diff options
Diffstat (limited to 'rtl/src/pc_reg.v')
-rw-r--r-- | rtl/src/pc_reg.v | 18 |
1 files changed, 18 insertions, 0 deletions
diff --git a/rtl/src/pc_reg.v b/rtl/src/pc_reg.v new file mode 100644 index 0000000..d8dfbec --- /dev/null +++ b/rtl/src/pc_reg.v @@ -0,0 +1,18 @@ +module pc_reg ( + input clk, + input rstn, + + input we, + input [31:0] pc_in, + + output reg [31:0] pc +); + +`include "include/consts.vh" + +always @ (posedge clk or negedge rstn) begin + if (!rstn) pc <= PC_INITIAL; + else if (we) pc <= pc_in; +end + +endmodule |