diff options
Diffstat (limited to 'src/rom.v')
-rw-r--r-- | src/rom.v | 24 |
1 files changed, 0 insertions, 24 deletions
diff --git a/src/rom.v b/src/rom.v deleted file mode 100644 index d93ef07..0000000 --- a/src/rom.v +++ /dev/null @@ -1,24 +0,0 @@ -module rom #( - parameter N = 32, - parameter SIZE = 1024 -)( - input clk, - input [N-1:0] addr, - output reg [N-1:0] data_read -); - -`include "include/log2.vh" - - -//(* RAM_STYLE="BLOCK" *) -reg [N-1:0] mem [0:SIZE-1]; - -initial begin - $readmemh("build/rom.hex", mem, 0, SIZE-1); -end - -always @(negedge clk) begin - data_read <= mem[addr >> 2]; -end - -endmodule |