diff options
Diffstat (limited to 'rtl/src/alu_result_reg.v')
-rw-r--r-- | rtl/src/alu_result_reg.v | 14 |
1 files changed, 14 insertions, 0 deletions
diff --git a/rtl/src/alu_result_reg.v b/rtl/src/alu_result_reg.v new file mode 100644 index 0000000..cece9e4 --- /dev/null +++ b/rtl/src/alu_result_reg.v @@ -0,0 +1,14 @@ +module alu_result_reg ( + input clk, + input rstn, + + input [31:0] alu_result_in, + output reg [31:0] alu_result_buf +); + +always @ (posedge clk or negedge rstn) begin + if (!rstn) alu_result_buf <= 32'b0; + else alu_result_buf <= alu_result_in; +end + +endmodule |