blob: 6221b01f0bea34d39b88d880149d13522296d983 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
|
module testbench;
reg reset = 0;
initial begin
$dumpfile("testbench.vcd");
$dumpvars(0,testbench);
# 17 reset = 1;
# 11 reset = 0;
# 29 reset = 1;
# 5 reset = 0;
# 128 $finish;
end
reg clk = 0;
always #1 clk = !clk;
wire [5:0] led;
wire reset_inv;
assign reset_inv = ~reset;
top blinky(.clk(clk), .key(reset_inv), .led(led));
initial
$monitor("At time %t, value = %h (%0d)", $time, led, led);
endmodule
|