diff options
Diffstat (limited to 'src/rom.v')
-rw-r--r-- | src/rom.v | 15 |
1 files changed, 13 insertions, 2 deletions
@@ -3,11 +3,22 @@ module rom #( parameter SIZE = 1024 )( input clk, - input rst, input [log2(SIZE)-1:0] addr, - output [N-1:0] data_read + output reg [N-1:0] data_read ); `include "include/log2.vh" +reg [7:0] memory [SIZE-1:0]; + +initial begin + $readmemh("rom/rom.hex", memory); +end + +always @(posedge clk) begin + data_read = memory[addr]; +end + + + endmodule |