diff options
Diffstat (limited to 'src/data_reg.v')
-rw-r--r-- | src/data_reg.v | 14 |
1 files changed, 0 insertions, 14 deletions
diff --git a/src/data_reg.v b/src/data_reg.v deleted file mode 100644 index 473d50a..0000000 --- a/src/data_reg.v +++ /dev/null @@ -1,14 +0,0 @@ -module data_reg ( - input clk, - input rstn, - - input [31:0] data_in, - output reg [31:0] data_buf -); - -always @ (posedge clk or negedge rstn) begin - if (!rstn) data_buf <= 32'b0; - else data_buf <= data_in; -end - -endmodule |