aboutsummaryrefslogtreecommitdiff
path: root/sim/testbenches/src/testbench_cpu.v
diff options
context:
space:
mode:
Diffstat (limited to 'sim/testbenches/src/testbench_cpu.v')
-rw-r--r--sim/testbenches/src/testbench_cpu.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/sim/testbenches/src/testbench_cpu.v b/sim/testbenches/src/testbench_cpu.v
index ba40dc2..7c7ec67 100644
--- a/sim/testbenches/src/testbench_cpu.v
+++ b/sim/testbenches/src/testbench_cpu.v
@@ -1,6 +1,6 @@
`timescale 1ns / 1ps
-module testbench_register_file();
+module testbench_cpu();
reg clk;
reg rst;
@@ -41,7 +41,7 @@ end
initial begin
$dumpfile(waveform_filename);
- $dumpvars(0,testbench_register_file);
+ $dumpvars(0,testbench_cpu);
end