From cb0be9e2039569ee7d18657e8f675d1f8369b407 Mon Sep 17 00:00:00 2001 From: Flavian Kaufmann Date: Tue, 21 May 2024 13:50:28 +0200 Subject: restructured project --- src/io.v | 30 ------------------------------ 1 file changed, 30 deletions(-) delete mode 100644 src/io.v (limited to 'src/io.v') diff --git a/src/io.v b/src/io.v deleted file mode 100644 index f53062b..0000000 --- a/src/io.v +++ /dev/null @@ -1,30 +0,0 @@ -module io ( - input clk, - input rstn, - - input we, - input [31:0] addr, - input [31:0] wd, - - output reg [31:0] rd, - - input [31:0] io_in, - output reg [31:0] io_out -); - -`include "include/consts.vh" - -always @ (posedge clk or negedge rstn) begin - if (!rstn) begin - io_out <= 32'b0; - end else if (we && addr == 32'h0000_0004) begin - io_out <= wd; - end -end - -always @ (posedge clk) begin - if (addr == 32'h0000_0000) rd <= io_in; - else if (addr == 32'h0000_0004) rd <= io_out; - else rd <= 32'b0; -end -endmodule \ No newline at end of file -- cgit v1.2.3