From 2a3951a25ffe28342177e29cf97125ed89ca59a4 Mon Sep 17 00:00:00 2001 From: Flavian Kaufmann Date: Wed, 1 May 2024 16:47:56 +0200 Subject: added make target for testvec generation --- Makefile | 21 +- README.md | 1 + sim/testbench.v | 2 +- tests/alu_testvec.c | 112 + tests/generate_alu_tests.c | 112 - testvecs/alu_testvec.txt | 9027 -- testvecs/testbench.vcd | 257557 ------------------------------------------ 7 files changed, 132 insertions(+), 266700 deletions(-) create mode 100644 tests/alu_testvec.c delete mode 100644 tests/generate_alu_tests.c delete mode 100644 testvecs/alu_testvec.txt delete mode 100644 testvecs/testbench.vcd diff --git a/Makefile b/Makefile index bf4cc0a..3591fb5 100644 --- a/Makefile +++ b/Makefile @@ -1,15 +1,20 @@ PROJ_NAME = riscv_cpu TOP_MODULE = top +BUILD_DIR = build + SRC_DIR = src CONSTRAINTS_DIR = constraints SIM_DIR = sim +GENTESTS_DIR = tests SOURCES = $(wildcard $(SRC_DIR)/*.v) TESTBENCH = $(SIM_DIR)/testbench.v CONSTRAINTS = $(CONSTRAINTS_DIR)/tangnano9k.cst -BUILD_DIR = build +GENTESTS_SOURCES = $(wildcard $(GENTESTS_DIR)/*.c) +GENTESTS_BINARIES = $(patsubst $(GENTESTS_DIR)/%.c,$(BUILD_DIR)/%,$(GENTESTS_SOURCES)) + BITSTREAM = $(BUILD_DIR)/$(PROJ_NAME).fs YOSYS = yosys @@ -19,6 +24,7 @@ PROGRAMMER = openFPGALoader IVERILOG = iverilog VVP = vvp GTKWAVE = gtkwave +CC = clang FAMILY = GW1N-9C DEVICE = GW1NR-LV9QN88PC6/I5 @@ -57,6 +63,15 @@ flash: $(BITSTREAM) clean: rm -rf $(BUILD_DIR) +$(BUILD_DIR)/%: $(GENTESTS_DIR)/%.c + @mkdir -p $(BUILD_DIR) + $(CC) -o $@ $< + +tests: $(GENTESTS_BINARIES) + @for bin in $(GENTESTS_BINARIES); do \ + ./$$bin > $$bin.txt; \ + done + simulate: $(BUILD_DIR)/testbench.vcd wave: $(BUILD_DIR)/testbench.vcd @@ -66,7 +81,7 @@ $(BUILD_DIR)/testbench: $(SOURCES) $(TESTBENCH) @mkdir -p $(BUILD_DIR) $(IVERILOG) -o $(BUILD_DIR)/testbench $(SOURCES) $(TESTBENCH) -$(BUILD_DIR)/testbench.vcd: $(BUILD_DIR)/testbench +$(BUILD_DIR)/testbench.vcd: $(BUILD_DIR)/testbench tests cd $(BUILD_DIR); $(VVP) testbench -.PHONY: all program flash simulate wave clean +.PHONY: all program flash simulate wave clean tests diff --git a/README.md b/README.md index b56e854..92f2fe0 100644 --- a/README.md +++ b/README.md @@ -7,6 +7,7 @@ An attempt at building a simple RISCV CPU in verilog. * `make all` to synthesize, place and route the design and to generate the bitstream. * `make program` to upload the bitstream to the FPGA. * `make flash` to flash the bitsream to the FPGA. +* `make tests` to generate testvec files * `make simulate` to run the testbench (sim/testbench.v). * `make wave` to view the simulation in GTKWave. * `make clean` to clean build files. diff --git a/sim/testbench.v b/sim/testbench.v index 33362d7..5a47111 100644 --- a/sim/testbench.v +++ b/sim/testbench.v @@ -25,7 +25,7 @@ module testbench(); reg [103:0] testvec [0:9999]; initial begin - $readmemh("../testvecs/alu_testvec.txt", testvec); + $readmemh("alu_testvec.txt", testvec); error_count = 0; vector_count = 0; end diff --git a/tests/alu_testvec.c b/tests/alu_testvec.c new file mode 100644 index 0000000..39de43e --- /dev/null +++ b/tests/alu_testvec.c @@ -0,0 +1,112 @@ +#include +#include +#include +#include + +typedef enum { + ADD = 0b0000, + SUB = 0b0001, + SLT = 0b0011, + + AND = 0b0100, + OR = 0b0101, + XOR = 0b0110, + + SLL = 0b1000, + SRL = 0b1001, + SRA = 0b1011, +} OP; + +void test_op(OP op, uint32_t a, uint32_t b) { + uint32_t result; + bool zero; + + switch (op) { + case ADD: + result = a + b; + break; + case SUB: + result = a - b; + break; + case SLT: + result = (int32_t)a < (int32_t)b; + break; + + case AND: + result = a & b; + break; + case OR: + result = a | b; + break; + case XOR: + result = a ^ b; + break; + + case SLL: + result = a << b % 32; + break; + case SRL: + result = a >> b % 32; + break; + case SRA: + result = ((int32_t)a) >> b % 32; + break; + } + + zero = result == 0; + + printf("%01X__%08X_%08X__%08X_%01X\n", op & 0x0f, a, b, result, zero); +} + +void test_op_random(OP op, int num) { + for (int i = 0; i < num; ++i) { + uint32_t a = (rand() << 16) | rand(); + uint32_t b = (rand() << 16) | rand(); + test_op(op, a, b); + } +} + +int main(int argc, const char *argv[]) { + srand(time(NULL)); + + test_op_random(ADD, 1000); + test_op(ADD, 0x00000000, 0x00000000); + test_op(ADD, 0xffffffff, 0xffffffff); + test_op(ADD, 0xffffffff, 0x00000001); + test_op_random(SUB, 1000); + test_op(SUB, 0xffffffff, 0xffffffff); + test_op_random(SLT, 1000); + test_op(SLT, 0x8fffffff, 0xffffffff); + test_op(SLT, 0xffffffff, 0x00000001); + test_op(SLT, 0x00000001, 0xffffffff); + + test_op_random(OR, 1000); + test_op(OR, 0x00000000, 0x00000000); + test_op(OR, 0xffffffff, 0x00000000); + test_op(OR, 0x00000000, 0xffffffff); + test_op(OR, 0xffffffff, 0xffffffff); + test_op_random(AND, 1000); + test_op(AND, 0x00000000, 0x00000000); + test_op(AND, 0xffffffff, 0x00000000); + test_op(AND, 0x00000000, 0xffffffff); + test_op(AND, 0xffffffff, 0xffffffff); + test_op_random(XOR, 1000); + test_op(XOR, 0x00000000, 0x00000000); + test_op(XOR, 0xffffffff, 0x00000000); + test_op(XOR, 0x00000000, 0xffffffff); + test_op(XOR, 0xffffffff, 0xffffffff); + + test_op_random(SLL, 1000); + test_op(SLL, 0x0000000f, 0x00000004); + test_op(SLL, 0xffffffff, 0x0000001c); + test_op(SLL, 0xf0000000, 0x00000002); + test_op(SLL, 0x01234567, 0x00000001); + test_op_random(SRL, 1000); + test_op(SRL, 0xf0000000, 0x0000001c); + test_op(SRL, 0x0000000f, 0x0000004); + test_op_random(SRA, 1000); + test_op(SRA, 0xf0000000, 0x0000001c); + test_op(SRA, 0x0000000f, 0x0000004); + + return 0; +} diff --git a/tests/generate_alu_tests.c b/tests/generate_alu_tests.c deleted file mode 100644 index 39de43e..0000000 --- a/tests/generate_alu_tests.c +++ /dev/null @@ -1,112 +0,0 @@ -#include -#include -#include -#include - -typedef enum { - ADD = 0b0000, - SUB = 0b0001, - SLT = 0b0011, - - AND = 0b0100, - OR = 0b0101, - XOR = 0b0110, - - SLL = 0b1000, - SRL = 0b1001, - SRA = 0b1011, -} OP; - -void test_op(OP op, uint32_t a, uint32_t b) { - uint32_t result; - bool zero; - - switch (op) { - case ADD: - result = a + b; - break; - case SUB: - result = a - b; - break; - case SLT: - result = (int32_t)a < (int32_t)b; - break; - - case AND: - result = a & b; - break; - case OR: - result = a | b; - break; - case XOR: - result = a ^ b; - break; - - case SLL: - result = a << b % 32; - break; - case SRL: - result = a >> b % 32; - break; - case SRA: - result = ((int32_t)a) >> b % 32; - break; - } - - zero = result == 0; - - printf("%01X__%08X_%08X__%08X_%01X\n", op & 0x0f, a, b, result, zero); -} - -void test_op_random(OP op, int num) { - for (int i = 0; i < num; ++i) { - uint32_t a = (rand() << 16) | rand(); - uint32_t b = (rand() << 16) | rand(); - test_op(op, a, b); - } -} - -int main(int argc, const char *argv[]) { - srand(time(NULL)); - - test_op_random(ADD, 1000); - test_op(ADD, 0x00000000, 0x00000000); - test_op(ADD, 0xffffffff, 0xffffffff); - test_op(ADD, 0xffffffff, 0x00000001); - test_op_random(SUB, 1000); - test_op(SUB, 0xffffffff, 0xffffffff); - test_op_random(SLT, 1000); - test_op(SLT, 0x8fffffff, 0xffffffff); - test_op(SLT, 0xffffffff, 0x00000001); - test_op(SLT, 0x00000001, 0xffffffff); - - test_op_random(OR, 1000); - test_op(OR, 0x00000000, 0x00000000); - test_op(OR, 0xffffffff, 0x00000000); - test_op(OR, 0x00000000, 0xffffffff); - test_op(OR, 0xffffffff, 0xffffffff); - test_op_random(AND, 1000); - test_op(AND, 0x00000000, 0x00000000); - test_op(AND, 0xffffffff, 0x00000000); - test_op(AND, 0x00000000, 0xffffffff); - test_op(AND, 0xffffffff, 0xffffffff); - test_op_random(XOR, 1000); - test_op(XOR, 0x00000000, 0x00000000); - test_op(XOR, 0xffffffff, 0x00000000); - test_op(XOR, 0x00000000, 0xffffffff); - test_op(XOR, 0xffffffff, 0xffffffff); - - test_op_random(SLL, 1000); - test_op(SLL, 0x0000000f, 0x00000004); - test_op(SLL, 0xffffffff, 0x0000001c); - test_op(SLL, 0xf0000000, 0x00000002); - test_op(SLL, 0x01234567, 0x00000001); - test_op_random(SRL, 1000); - test_op(SRL, 0xf0000000, 0x0000001c); - test_op(SRL, 0x0000000f, 0x0000004); - test_op_random(SRA, 1000); - test_op(SRA, 0xf0000000, 0x0000001c); - test_op(SRA, 0x0000000f, 0x0000004); - - return 0; -} diff --git a/testvecs/alu_testvec.txt b/testvecs/alu_testvec.txt deleted file mode 100644 index cd01b01..0000000 --- a/testvecs/alu_testvec.txt +++ /dev/null @@ -1,9027 +0,0 @@ -0__BAFC7A76_F6BA5346__B1B6CDBC_0 -0__3F7F74FF_65AF0F24__A52E8423_0 -0__7DE7ADB7_479FBB1E__C58768D5_0 -0__FCBF6C5C_2BBFB7E9__287F2445_0 -0__7B6D3DBB_FFAC3E74__7B197C2F_0 -0__787D9EE1_D2572F96__4AD4CE77_0 -0__691B818D_9FD1C3DB__08ED4568_0 -0__6F2F5754_67FDFEE8__D72D563C_0 -0__77FAE01A_E79F37DB__5F9A17F5_0 -0__3FFB2F81_FAD3E1F0__3ACF1171_0 -0__BF56D541_FDF7A758__BD4E7C99_0 -0__FE7A4FB8_FFFC20EC__FE7670A4_0 -0__AF7764C1_D38DEC0F__830550D0_0 -0__FFFF68A1_3FD60C3F__3FD574E0_0 -0__14E7B259_FB5E9B6E__10464DC7_0 -0__99DBE5FB_CBBFBA9D__659BA098_0 -0__BEEA9042_FF7D79E5__BE680A27_0 -0__F9BFB438_F3FF5D1A__EDBF1152_0 -0__5FF8BF65_AA7F137A__0A77D2DF_0 -0__C3D2E03E_175C24AE__DB2F04EC_0 -0__3FFB799F_D7983310__1793ACAF_0 -0__AE752B12_BFDEFB5C__6E54266E_0 -0__7DEE3F3D_DFBCEE5F__5DAB2D9C_0 -0__EF6FEA7B_77FFBC14__676FA68F_0 -0__FEFE3033_91FFFD46__90FE2D79_0 -0__27FEE4EF_1FD998CA__47D87DB9_0 -0__4EFFEC92_DFACA5DB__2EAC926D_0 -0__FD5F8D99_6FFB69A9__6D5AF742_0 -0__1D7D0496_63F5C27B__8172C711_0 -0__6D59731E_EFFBBA2B__5D552D49_0 -0__7BD846BA_7FFBF60A__FBD43CC4_0 -0__3BD73588_8CF796AB__C8CECC33_0 -0__FB3AD6B3_E329E36B__DE64BA1E_0 -0__BE3F8629_35FF047D__F43E8AA6_0 -0__C69BFB52_F8B5CB84__BF51C6D6_0 -0__6BFFF54B_57FD529C__C3FD47E7_0 -0__CFEEF479_7AEFE349__4ADED7C2_0 -0__267A7870_37BFAA58__5E3A22C8_0 -0__BBF5EEC6_27F699A9__E3EC886F_0 -0__7FFE8D36_5BD30F3A__DBD19C70_0 -0__FFFE8AA7_EF77BB98__EF76463F_0 -0__FFFE5F7C_E67E428B__E67CA207_0 -0__F7FF5E1B_7C6F99DA__746EF7F5_0 -0__F5FFBF7F_7FFE60BC__75FE203B_0 -0__2FFFB30D_39EFCCBB__69EF7FC8_0 -0__5FF3C2A4_DD579D98__3D4B603C_0 -0__7F6F3A50_6A7F02BD__E9EE3D0D_0 -0__F8FFEA69_BEFF7FF3__B7FF6A5C_0 -0__3DD722D9_FF363806__3D0D5ADF_0 -0__2FA5286F_BEDEAC93__EE83D502_0 -0__FEFABB16_CF75CF80__CE708A96_0 -0__FBEF089B_76FE14F1__72ED1D8C_0 -0__77FFEEB7_7FBEDDE4__F7BECC9B_0 -0__E39C940E_75FFD8EF__599C6CFD_0 -0__7D2B1344_57F9E4C8__D524F80C_0 -0__7F7FA549_9675E30D__15F58856_0 -0__7BFEE818_BFF708F2__3BF5F10A_0 -0__5FFD3779_7B798646__DB76BDBF_0 -0__FEFAEDA6_5FDFE52D__5EDAD2D3_0 -0__FAEFBC52_E3C92A9E__DEB8E6F0_0 -0__7DBE4435_37FED1AB__B5BD15E0_0 -0__7F1FEAFB_477F1539__C69F0034_0 -0__727FF4ED_6E4B44E8__E0CB39D5_0 -0__677F0D65_97D6E95E__FF55F6C3_0 -0__777F9EC8_98DB5B28__105AF9F0_0 -0__EB7B977F_7DF5A786__69713F05_0 -0__D6FECD3F_1DADEF71__F4ACBCB0_0 -0__FF5FE363_8BAFFD62__8B0FE0C5_0 -0__77EEC5D9_5DFE9B34__D5ED610D_0 -0__BEFFA800_BD6E4A16__7C6DF216_0 -0__1FF798CC_BDAF1C4E__DDA6B51A_0 -0__7D57D399_53EF114D__D146E4E6_0 -0__FF51B60B_FCDFCF7A__FC318585_0 -0__B2DF5B63_F7FD4B67__AADCA6CA_0 -0__B478FFFC_357FD122__E9F8D11E_0 -0__6FCF2E29_FFF6DA84__6FC608AD_0 -0__7FC74F55_F73BC092__77030FE7_0 -0__EFDEC18E_7BADDD7C__6B8C9F0A_0 -0__3DBEA607_2F5F2590__6D1DCB97_0 -0__7FFA9B68_333FC4A6__B33A600E_0 -0__AF8F9B73_BEF9C389__6E895EFC_0 -0__6EFBB3A8_F1AF3399__60AAE741_0 -0__B37F64FC_E4EFCD9F__986F329B_0 -0__A7B959B2_CBFD6BF5__73B6C5A7_0 -0__A7EF3BB8_FFFE5344__A7ED8EFC_0 -0__D3FFDD94_396FFABE__0D6FD852_0 -0__ED7EA0A2_F3DC0892__E15AA934_0 -0__DF41D352_FEBB6FEB__DDFD433D_0 -0__FEFDEE64_27F7E319__26F5D17D_0 -0__B7FF55C3_FAF34954__B2F29F17_0 -0__68EB1324_D76E44FE__40595822_0 -0__A77B5E99_E76EDF60__8EEA3DF9_0 -0__4FAA9BFB_D3FB3012__23A5CC0D_0 -0__3D2E3201_FFA6914B__3CD4C34C_0 -0__FBF742C2_EFBE2181__EBB56443_0 -0__D5EBC6B8_7FFBFF18__55E7C5D0_0 -0__BDF50B73_CBFBD1AD__89F0DD20_0 -0__FFFFCDC6_EFEF91F7__EFEF5FBD_0 -0__56FB80FB_6F6F99FE__C66B1AF9_0 -0__2BF919A6_FFE5B201__2BDECBA7_0 -0__7FDF0031_BFFFCE87__3FDECEB8_0 -0__3FDF881B_D8BF588D__189EE0A8_0 -0__BEFFA7CD_FB6907A2__BA68AF6F_0 -0__7FFF5B54_FEFC5105__7EFBAC59_0 -0__5F5F7E61_777FF024__D6DF6E85_0 -0__5EB9B289_73AAD195__D264841E_0 -0__BFDF74A8_EDEDCE21__ADCD42C9_0 -0__FFFE9A34_FF7F4F47__FF7DE97B_0 -0__CDDDF8CB_FF77A4DB__CD559DA6_0 -0__2BB9443E_55FF1686__81B85AC4_0 -0__BADFDB87_ADFE03AF__68DDDF36_0 -0__EDFECEAE_59DB0124__47D9CFD2_0 -0__7A4CF943_DEFF5EDF__594C5822_0 -0__B77544E3_FF7F522B__B6F4970E_0 -0__F3FB98F5_7673CB15__6A6F640A_0 -0__0FAD5472_79652D7D__891281EF_0 -0__FFFF3E3D_797D0E06__797C4C43_0 -0__FE9ADEDA_FFB7EF26__FE52CE00_0 -0__E51D30EE_7FFE8BA7__651BBC95_0 -0__DDDE895C_24F76304__02D5EC60_0 -0__AFFFF10F_3F3C81E4__EF3C72F3_0 -0__AFFF40BA_79E1C322__29E103DC_0 -0__17EFAA8D_55C7B905__6DB76392_0 -0__37FF2BC9_FEDF0122__36DE2CEB_0 -0__CFD508A6_EDFFA435__BDD4ACDB_0 -0__DA7D0B42_3F33DF81__19B0EAC3_0 -0__DBFBF559_D38DDAB7__AF89D010_0 -0__7DF0A2A1_37FFDC57__B5F07EF8_0 -0__DFF8A69C_73BF43A3__53B7EA3F_0 -0__9EF360B4_D55C34FF__744F95B3_0 -0__5BBD9260_E7F991CA__43B7242A_0 -0__FBFF9918_37FFC5DB__33FF5EF3_0 -0__F87F9CA8_7F0FC907__778F65AF_0 -0__2AF5B9AD_2EDBFA34__59D1B3E1_0 -0__7BBE81C8_87E90DA6__03A78F6E_0 -0__5F5AB295_7EF75A4E__DE520CE3_0 -0__F5BF3510_FF976FA5__F556A4B5_0 -0__FBBE9133_EFDAC3AE__EB9954E1_0 -0__5DD70859_78E8973E__D6BF9F97_0 -0__E15FA2E5_9EF41B49__8053BE2E_0 -0__5F97DA8E_DFFEA707__3F968195_0 -0__DCFBBC0B_7EB7C7E0__5BB383EB_0 -0__7FEF6CCE_BFF93B6A__3FE8A838_0 -0__EFBE502B_7D59CC39__6D181C64_0 -0__FFBD6F4E_E7DB6884__E798D7D2_0 -0__EFB2A912_E48B02C6__D43DABD8_0 -0__36EF08A5_FF6D4F65__365C580A_0 -0__AFAD9D46_7F9FB504__2F4D524A_0 -0__7E3F3A64_FFFF2A06__7E3E646A_0 -0__3FDFA430_6D7DBFA8__AD5D63D8_0 -0__D7F7AB61_F79F400B__CF96EB6C_0 -0__D7BE168A_CADF1411__A29D2A9B_0 -0__DFEF8C43_B6BBC1AF__96AB4DF2_0 -0__DBEF886D_BFBF0BAC__9BAE9419_0 -0__5DDF61D6_3DFF939E__9BDEF574_0 -0__9FBF19BB_73B7325F__13764C1A_0 -0__5E2A6F4E_BFD3B59E__1DFE24EC_0 -0__BFC41A99_7DBDD671__3D81F10A_0 -0__F7CB833D_6EE6B0A4__66B233E1_0 -0__5E9FB612_7EFD8675__DD9D3C87_0 -0__DFF9ECDC_8FE3FD95__6FDDEA71_0 -0__489C10C4_BFFD401C__089950E0_0 -0__FEFBA329_FBBFC539__FABB6862_0 -0__3FF3002A_FDE7A4DF__3DDAA509_0 -0__6DBFB18D_B6EFC06A__24AF71F7_0 -0__77EF0810_8FFAF0E9__07E9F8F9_0 -0__7C2DEE53_A9FF930A__262D815D_0 -0__8FAF40F6_DFB26390__6F61A486_0 -0__BFDF7E08_7F965127__3F75CF2F_0 -0__FDFD858A_7577BD0C__73754296_0 -0__96DE377E_3E7E6FC3__D55CA741_0 -0__DB7F19AA_37DFA57C__135EBF26_0 -0__EDE384A0_7EEFC458__6CD348F8_0 -0__BF7D2022_BB9F4DAA__7B1C6DCC_0 -0__FA6D8D2B_20FA987E__1B6825A9_0 -0__B93C9FD4_3FFB1F43__F937BF17_0 -0__7BFF03E9_FF1D1C60__7B1C2049_0 -0__375D11DA_1B9B62C6__52F874A0_0 -0__CBFA4A17_76F9685A__42F3B271_0 -0__FFFF1C7D_CFCF49C5__CFCE6642_0 -0__6F776F50_3233B109__A1AB2059_0 -0__DF9FCA1E_F6EB8551__D68B4F6F_0 -0__FBFF4FD3_D7EE7DE8__D3EDCDBB_0 -0__2F57F85E_F557B619__24AFAE77_0 -0__4FFF31C7_3FD7FCD2__8FD72E99_0 -0__7F6FF3F8_5CCF7DC9__DC3F71C1_0 -0__64BB6611_F9DE3C67__5E99A278_0 -0__FAD75C04_2EEB834D__29C2DF51_0 -0__47FBBDF3_CFDF8A7E__17DB4871_0 -0__7FFFF928_D3DB88A6__53DB81CE_0 -0__6F776705_9DDD36C4__0D549DC9_0 -0__9EFD8AFD_F7EF9809__96ED2306_0 -0__BB99EC8B_BA7EEEDC__7618DB67_0 -0__CEEFEBA4_5F7D3FED__2E6D2B91_0 -0__F7D5BCED_7FF76101__77CD1DEE_0 -0__EDF9C5C3_FFDD88BE__EDD74E81_0 -0__B3E7FA9E_FFAF946D__B3978F0B_0 -0__F9F7D029_734FB4B9__6D4784E2_0 -0__1AB395FE_62BF2F63__7D72C561_0 -0__5DFF5377_D59D410F__339C9486_0 -0__6BBC49A7_79F7240F__E5B36DB6_0 -0__ABFF26E0_796E8FC0__256DB6A0_0 -0__9FEFBAB2_49FEF056__E9EEAB08_0 -0__FD1F734D_E3DFA48B__E0FF17D8_0 -0__B30FBA30_F6DF2CAE__A9EEE6DE_0 -0__FFFB766E_6F193A54__6F14B0C2_0 -0__6983A3A6_FDF735E8__677AD98E_0 -0__7A6F9F3C_7FFF9273__FA6F31AF_0 -0__FF1FE609_B5EF9749__B50F7D52_0 -0__5CCFDAAE_DFCECB7E__3C9EA62C_0 -0__FFFFECA5_62D1CE61__62D1BB06_0 -0__47BB352E_B3FD7660__FBB8AB8E_0 -0__F2CBE194_E5DF2F7A__D8AB110E_0 -0__747F41F3_F67F2D33__6AFE6F26_0 -0__7FCE20F3_3BB76B2A__BB858C1D_0 -0__9CDFE3EE_6FAFA018__0C8F8406_0 -0__EFFCB6EF_7FDF4B29__6FDC0218_0 -0__BEB37FFC_CD9F02C9__8C5282C5_0 -0__FFE94151_6F391016__6F225167_0 -0__2BFE10EE_7EBD6687__AABB7775_0 -0__5FFB2541_DD8D7AEB__3D88A02C_0 -0__DF7DFD2A_FFBF38AA__DF3D35D4_0 -0__29F34ED3_FFE301B4__29D65087_0 -0__FDFF8F38_BEF7234A__BCF6B282_0 -0__EF9EC8A8_FF7B03FA__EF19CCA2_0 -0__73FFB144_3BBD123F__AFBCC383_0 -0__6BFDB77A_F9ED24F2__65EADC6C_0 -0__EFDEFED4_4B654287__3B44415B_0 -0__FAFF457C_F7F3D478__F2F319F4_0 -0__3FB3A489_77B4639D__B7680826_0 -0__FFBA948B_7D6B081F__7D259CAA_0 -0__7BFF398D_D7FF1FED__53FE597A_0 -0__63FE2E9A_FBCE35BE__5FCC6458_0 -0__E7EFC636_377DBBC3__1F6D81F9_0 -0__5F1FC091_9BFFA76A__FB1F67FB_0 -0__3FDFA997_78DC4532__B8BBEEC9_0 -0__37BF48A3_FF6A7485__3729BD28_0 -0__F7BF4B1A_BCE9AB9D__B4A8F6B7_0 -0__EF5F3EA7_6E5F93A2__5DBED249_0 -0__BEFF87C6_FF06000F__BE0587D5_0 -0__F6DF796A_77DE1454__6EBD8DBE_0 -0__DDBDED42_AF7F74E0__8D3D6222_0 -0__3F5F7677_8D8FEFBC__CCEF6633_0 -0__22FE9528_77773B89__9A75D0B1_0 -0__DBFF2B92_BBB1218C__97B04D1E_0 -0__E9BDFD07_2EEE4718__18AC441F_0 -0__9EBAB276_77FB238D__16B5D603_0 -0__77FC9DAF_B97F7389__317C1138_0 -0__76BF6B2F_F7DFA494__6E9F0FC3_0 -0__27EFA713_E77FD6C1__0F6F7DD4_0 -0__1BFD4D8F_FEEC3B8B__1AE9891A_0 -0__79FFD495_F93BF136__733BC5CB_0 -0__77C94525_FB51833F__731AC864_0 -0__FF4FF614_F63EADF8__F58EA40C_0 -0__97FB0241_056F6C5D__9D6A6E9E_0 -0__4DF3BDFB_FD76D036__4B6A8E31_0 -0__F5F1EE4A_7BBFA176__71B18FC0_0 -0__6FBFACCC_F7F9EA04__67B996D0_0 -0__FFFFC2EE_FDEDED3A__FDEDB028_0 -0__FCF55FDF_5F99FBE8__5C8F5BC7_0 -0__6BCE3E01_CBF7EDA3__37C62BA4_0 -0__AAD67359_F97EA20A__A4551563_0 -0__77EE7B60_EF7D2797__676BA2F7_0 -0__32D57FB0_FD7B6139__3050E0E9_0 -0__FF957D7D_EDFF443A__ED94C1B7_0 -0__71FFB6CF_EB5E5701__5D5E0DD0_0 -0__7977F677_7FEF5585__F9674BFC_0 -0__C3EFFE33_FDCDBE7B__C1BDBCAE_0 -0__F9FF8317_EB249B94__E5241EAB_0 -0__7AFD2810_5E9C15CE__D9993DDE_0 -0__AFFB7094_5D9A70D0__0D95E164_0 -0__9EFDC888_7FFF8362__1EFD4BEA_0 -0__FBFBF9D7_DCDBD0AA__D8D7CA81_0 -0__7F7DC86A_FFCF3C42__7F4D04AC_0 -0__6FFBAFAD_BCEF7ADC__2CEB2A89_0 -0__7BDBD0AF_DF7A5D65__5B562E14_0 -0__FB757567_DBFF61EF__D774D756_0 -0__BB7BFFBF_5AF74193__16734152_0 -0__7C7DA0C7_BEEB0796__3B68A85D_0 -0__7FFF6D13_3DDF2520__BDDE9233_0 -0__7EFFE562_CE7F27CD__4D7F0D2F_0 -0__2FDF37EE_EF66F733__1F462F21_0 -0__4F56A0AD_BFFF20A9__0F55C156_0 -0__4D6F8193_FFFF045A__4D6E85ED_0 -0__F5F30567_FAF74E8A__F0EA53F1_0 -0__99FF8823_FEBF06DC__98BE8EFF_0 -0__F37F2116_6EB3A71D__6232C833_0 -0__7BFF0340_FD948615__79938955_0 -0__5CFEE470_E7DE331C__44DD178C_0 -0__BE17D27D_2EE66068__ECFE32E5_0 -0__5FBB0379_74716CF2__D42C706B_0 -0__FF8F59E9_3BDBF9E5__3B6B53CE_0 -0__46EABC62_F6FA4EB1__3DE50B13_0 -0__BEF740D0_7FED15C3__3EE45693_0 -0__FE77392B_67DECA70__6656039B_0 -0__B6BF0909_7FBD36F7__367C4000_0 -0__F3C731DC_DD476AAE__D10E9C8A_0 -0__EDBCB302_7EB1F8B1__6C6EABB3_0 -0__7FDF4348_7E1CC799__FDFC0AE1_0 -0__7BFD679B_17C1CA46__93BF31E1_0 -0__BEEDC586_FF1F593E__BE0D1EC4_0 -0__03761150_9DF5B61E__A16BC76E_0 -0__6F66116C_FB3D39A1__6AA34B0D_0 -0__F2BF26ED_E7B9C9FC__DA78F0E9_0 -0__DE33B28E_FDEF4907__DC22FB95_0 -0__BFFD47A1_BDF94493__7DF68C34_0 -0__7FA29612_BFFDBD9D__3FA053AF_0 -0__FD67582B_B57D48C6__B2E4A0F1_0 -0__DEDFA4AC_3D376F90__1C17143C_0 -0__73FFF549_97B7B92B__0BB7AE74_0 -0__FFACD3B6_7AA748E0__7A541C96_0 -0__B7F1987D_53FF7312__0BF10B8F_0 -0__BFFB6AFB_B79D61AD__7798CCA8_0 -0__BCFFC684_5EF7A6C3__1BF76D47_0 -0__7EEE1231_73EC0AC6__F2DA1CF7_0 -0__E7DB98C0_DF7BA2FF__C7573BBF_0 -0__43CB222F_5D77F168__A1431397_0 -0__1FFF8A74_D3FB0803__F3FA9277_0 -0__7E7CBDC9_667F5E8C__E4FC1C55_0 -0__6FEF681E_F2F6956C__62E5FD8A_0 -0__1FF4CCDD_DDBDD792__FDB2A46F_0 -0__DFFA4044_3DEF823B__1DE9C27F_0 -0__FE56DCAB_7EFEFE07__7D55DAB2_0 -0__AD3C7B72_7FCFE6F4__2D0C6266_0 -0__F7B90F18_1CCBFD67__14850C7F_0 -0__7FBA5C08_7F7B8B1A__FF35E722_0 -0__DA1F6427_667F6AFC__409ECF23_0 -0__DCF77B9C_6B6F290A__4866A4A6_0 -0__F35474D4_5FFFB9AC__53542E80_0 -0__FDFEFAC3_3FFED490__3DFDCF53_0 -0__58E697A2_7FBF69E3__D8A60185_0 -0__EF597838_BB7DC0B6__AAD738EE_0 -0__16FF79E5_B79D504D__CE9CCA32_0 -0__4FFDB28D_7FF96396__CFF71623_0 -0__4BCD3457_6DE79EEB__B9B4D342_0 -0__6FC72891_7FBF605C__EF8688ED_0 -0__773FF3DB_B5F79E11__2D3791EC_0 -0__7959D92B_BFF72AFD__39510428_0 -0__7E766ECC_2BE563A1__AA5BD26D_0 -0__E73F6CF0_74B7637F__5BF6D06F_0 -0__7D795E35_7FFD3419__FD76924E_0 -0__FFF712E8_73EF574B__73E66A33_0 -0__7FBDC081_91DA03CE__1197C44F_0 -0__FF742FA2_78D75575__784B8517_0 -0__A7FE2BBA_DFCF5C9D__87CD8857_0 -0__56FB99E2_D5A68351__2CA21D33_0 -0__7FFEC2AD_7FD77F7A__FFD64227_0 -0__77FEB6B5_7DFF659B__F5FE1C50_0 -0__EFBF70F4_DEF06B2D__CEAFDC21_0 -0__7BFDC499_3F3EA206__BB3C669F_0 -0__797AF043_FFDF86B0__795A76F3_0 -0__E9406618_CEFEA404__B83F0A1C_0 -0__7BBD672E_3EFF7E9C__BABCE5CA_0 -0__73F738D7_DFFDD008__53F508DF_0 -0__CFDCC471_FDFDC193__CDDA8604_0 -0__F7DD510C_1F372B59__17147C65_0 -0__EBFD3954_DFE96796__CBE6A0EA_0 -0__F67FCE43_F7FFD8F1__EE7FA734_0 -0__F3B3B530_A77E5CD9__9B321209_0 -0__BF7F3732_BFFF2D4B__7F7E647D_0 -0__F6BB14E1_FF2F964B__F5EAAB2C_0 -0__357B62B7_FF3F3BF4__34BA9EAB_0 -0__3FBE8FB1_C7FFBE9F__07BE4E50_0 -0__DDACBD37_B37FB0A9__912C6DE0_0 -0__7CFFFBCF_6EEBF978__EBEBF547_0 -0__6FE38517_FFEDF49E__6FD179B5_0 -0__CFB35905_7F7F6575__4F32BE7A_0 -0__47BB8436_1D6E27B6__6529ABEC_0 -0__3E6F8D71_FF19D1CC__3D895F3D_0 -0__BFDF9D34_DAAC3C08__9A8BD93C_0 -0__5F7FCEBE_6BEEFDE6__CB6ECCA4_0 -0__7E792943_3B79745A__B9F29D9D_0 -0__FFF76247_3B6F238C__3B6685D3_0 -0__CF6FB137_9FBFE8BC__6F2F99F3_0 -0__BBE3DDBD_F79F492F__B38326EC_0 -0__FDE93364_45FF3B35__43E86E99_0 -0__7F9BE9D8_BF2F3BF6__3ECB25CE_0 -0__AFEA8B10_CFB97DEC__7FA408FC_0 -0__3FEF654A_FBF2BD9B__3BE222E5_0 -0__1BF777F9_97C9FA6C__B3C17265_0 -0__D5EF479C_7465510F__4A5498AB_0 -0__E9CF1148_BFFF889E__A9CE99E6_0 -0__47BE44E2_7D7CB1DC__C53AF6BE_0 -0__1FEF0274_5EEF84C9__7EDE873D_0 -0__DFFDEEE8_F27E08C9__D27BF7B1_0 -0__FFF53378_67FCDEA1__67F21219_0 -0__52FFAD76_6FFFEC73__C2FF99E9_0 -0__FAF95139_F5BE8692__F0B7D7CB_0 -0__57BE1E53_FE77F5E0__56361433_0 -0__8DD59178_7A7BA22C__085133A4_0 -0__54F705F7_DEDF580D__33D65E04_0 -0__FDFFA6B7_71FF1281__6FFEB938_0 -0__2F3F04C3_BFEE50B0__EF2D5573_0 -0__5B37C6DA_7EEFE2CC__DA27A9A6_0 -0__FF2DD0FC_89B62F53__88E4004F_0 -0__FFAF11C7_2FCDEDB6__2F7CFF7D_0 -0__7F6F83C3_EC9F11F4__6C0E95B7_0 -0__FFEE7C34_7FDB9970__7FCA15A4_0 -0__F77E1354_3FF776CF__37758A23_0 -0__5FF7FC87_3CFF2B82__9CF72809_0 -0__CABE9017_FCF7911F__C7B62136_0 -0__F7471C5F_D7FCD676__CF43F2D5_0 -0__6DBED422_3D6FBA8B__AB2E8EAD_0 -0__55576AB6_FFEF4BC7__5546B67D_0 -0__5DEB88F9_BF7D76A1__1D68FF9A_0 -0__5FFCB234_AFDF8CF7__0FDC3F2B_0 -0__C5EB062F_FFBAC0AC__C5A5C6DB_0 -0__94FB156A_EDDF9534__82DAAA9E_0 -0__FFFF48B8_6DFDAD35__6DFCF5ED_0 -0__BFFBFF43_9FFE0B27__5FFA0A6A_0 -0__7FC9523B_F7FF01D5__77C85410_0 -0__5F7CB2ED_76FDC84B__D67A7B38_0 -0__FCDE4629_5FEFF44B__5CCE3A74_0 -0__B8FDB243_6BBF5061__24BD02A4_0 -0__7EAE5267_1F9C034B__9E4A55B2_0 -0__3EBE0653_49550C4E__881312A1_0 -0__FFFE7D40_79A77A30__79A5F770_0 -0__3F7758E7_DDE1A1C0__1D58FAA7_0 -0__57FB050D_FFEBE03A__57E6E547_0 -0__2EAA9420_D865BA59__07104E79_0 -0__533D21EC_7FCD0B9C__D30A2D88_0 -0__57FA0C8D_3FFDCA5D__97F7D6EA_0 -0__BFFF62ED_FD6F8523__BD6EE810_0 -0__FCE2F4B8_78BBE127__759ED5DF_0 -0__FFEB231B_FFFFD8BE__FFEAFBD9_0 -0__F5F891B4_FBFF7579__F1F8072D_0 -0__FDF7EFCF_79F4991D__77EC88EC_0 -0__6DBF260D_5F75C4A0__CD34EAAD_0 -0__FFFD7A22_6BEF943D__6BED0E5F_0 -0__77FAAE8D_DFDBB1BD__57D6604A_0 -0__F7E793AA_DAEE59FF__D2D5EDA9_0 -0__BDEF7B1F_595FD8C6__174F53E5_0 -0__BD4B2C44_7FEB0FA4__3D363BE8_0 -0__79FEF638_4E7E524C__C87D4884_0 -0__77FF7D0B_FDFD1262__75FC8F6D_0 -0__71BEE87F_7BBF6E9D__ED7E571C_0 -0__5FDB22CB_4EFD1B92__AED83E5D_0 -0__53CDBFC7_FB5F9581__4F2D5548_0 -0__BFCB502B_7EEB9F2D__3EB6EF58_0 -0__5DDB19B4_7FFF9D7B__DDDAB72F_0 -0__6DDFD55D_5FF7B114__CDD78671_0 -0__EF6C2FFD_E7FF815B__D76BB158_0 -0__FCFF5AD3_FBB791AC__F8B6EC7F_0 -0__BDFD9285_77FFBE12__35FD5097_0 -0__CC972913_A5FFF08A__7297199D_0 -0__05EEEEAD_BE66026F__C454F11C_0 -0__F7FF82DD_FF2F50FF__F72ED3DC_0 -0__EDFF40DB_2E0EF23A__1C0E3315_0 -0__DFA9C84C_26D75D42__0681258E_0 -0__BFBF56B5_F4CD7F64__B48CD619_0 -0__BCD71093_396F4170__F6465203_0 -0__2CD9AC6E_7E66D343__AB407FB1_0 -0__35CE7899_D7FE2E22__0DCCA6BB_0 -0__F7FF5D6D_FBB33B3F__F3B298AC_0 -0__D35F278E_EDC78D5E__C126B4EC_0 -0__7EBF88A5_3E83766F__BD42FF14_0 -0__F76F2523_5B9A5CAC__530981CF_0 -0__3EDF70F1_FDFF5648__3CDEC739_0 -0__FC77ACF4_FD37BDA8__F9AF6A9C_0 -0__BAF99208_78FF6D80__33F8FF88_0 -0__7FCD2EB2_EBE6A625__6BB3D4D7_0 -0__FF7D3569_E9EBA710__E968DC79_0 -0__7FBBA7D9_D2D8240E__5293CBE7_0 -0__74FFA302_34C278F8__A9C21BFA_0 -0__F6D97B89_6BEA3B77__62C3B700_0 -0__3EFD30BB_7ED7BB9C__BDD4EC57_0 -0__7F7B5773_7FC30052__FF3E57C5_0 -0__6FEF1385_A2FFA8D4__12EEBC59_0 -0__F7E8F3E5_5BFF2698__53E81A7D_0 -0__DAFE9774_6F7B516C__4A79E8E0_0 -0__F6BD823B_1FCD0D3B__168A8F76_0 -0__AF7F0F64_77DF3A60__275E49C4_0 -0__DA7B319A_FBF7EC01__D6731D9B_0 -0__77CE71AB_FF0F8692__76DDF83D_0 -0__35796797_07D709F9__3D507190_0 -0__FDDE0942_FA9BACB8__F879B5FA_0 -0__ABFF5397_FDFF9050__A9FEE3E7_0 -0__BBCE3303_2FFEF636__EBCD2939_0 -0__77CFC9D9_FFBA2BDD__7789F5B6_0 -0__D9775616_FC2F4AE6__D5A6A0FC_0 -0__777F075C_7DBF22D2__F53E2A2E_0 -0__2F9D5788_F6E90D98__26866520_0 -0__BBF39AD1_1EBB846E__DAAF1F3F_0 -0__77BE8C8B_6FDF8EED__E79E1B78_0 -0__D5DFFF6A_F5B530C1__CB95302B_0 -0__FA9FD608_FDE967F6__F8893DFE_0 -0__E7FE4E3A_FFCDC439__E7CC1273_0 -0__7FBF7F5E_5AEF3213__DAAEB171_0 -0__EC5F89EC_18FF1CB0__055EA69C_0 -0__6FFF7E70_FFF3C688__6FF344F8_0 -0__55EF41CB_B7FFACB6__0DEEEE81_0 -0__FEFB455E_5EFBF6D6__5DF73C34_0 -0__9D0F5B67_FFE9E1D0__9CF93D37_0 -0__5BF7086F_D65F1B8A__325623F9_0 -0__4A3FF892_5F7B37FC__A9BB308E_0 -0__977B2CB0_FEBE464A__963972FA_0 -0__FE3FEC52_3BEEBA2F__3A2EA681_0 -0__7F7F48A5_56757355__D5F4BBFA_0 -0__DBFE4D85_5BFFE569__37FE32EE_0 -0__5FFDA9D5_17BE7FC3__77BC2998_0 -0__F7F6E864_37FD61A4__2FF44A08_0 -0__73FE58D8_CFF9D85E__43F83136_0 -0__0ADF7EBA_FFCFDF2F__0AAF5DE9_0 -0__B7C6421A_D5B13FE2__8D7781FC_0 -0__3BF7C9DE_7C2D2365__B824ED43_0 -0__FB278142_3E75132B__399C946D_0 -0__7DEF6711_B77E3A1C__356DA12D_0 -0__7EFD1D6B_9EFBE866__1DF905D1_0 -0__DF6F7E8E_E7BEACC3__C72E2B51_0 -0__7B1C6BB6_BF0B3EEB__3A27AAA1_0 -0__D4FE06A0_F3D9887B__C8D78F1B_0 -0__77DCD718_7DFD1B16__F5D9F22E_0 -0__75AF6D89_76FF1AB9__ECAE8842_0 -0__77F74BA0_059BE230__7D932DD0_0 -0__E57F3C40_A2FFE1C5__887F1E05_0 -0__4DFF9348_96FB693C__E4FAFC84_0 -0__EDB39C8A_7EDF758B__6C931215_0 -0__1FFA0140_5FEDCE26__7FE7CF66_0 -0__5FD92D41_3F1925CE__9EF2530F_0 -0__07FFA766_5BDE3E6E__63DDE5D4_0 -0__DAF667D8_FD1C4644__D812AE1C_0 -0__79BDBCBC_18BECA7F__927C873B_0 -0__7DC7E133_3FFAE03F__BDC2C172_0 -0__7772186E_6DFEC597__E570DE05_0 -0__7C3F5E0D_FF2C473F__7B6BA54C_0 -0__FEFD35D4_1BCFCED9__1ACD04AD_0 -0__6CF769CE_9F79B07E__0C711A4C_0 -0__6FDF3851_EDF7733C__5DD6AB8D_0 -0__E05D3800_FAE2AD5F__DB3FE55F_0 -0__7ADB1CC9_DEDF9334__59BAAFFD_0 -0__677BEAE7_59D729A7__C153148E_0 -0__E4E4E366_7AEFE08A__5FD4C3F0_0 -0__AB3E9DCC_CDBF121D__78FDAFE9_0 -0__77FF78A4_97E3DE98__0FE3573C_0 -0__D9A38D2D_928F30E8__6C32BE15_0 -0__DDBBBA3B_FB79536B__D9350DA6_0 -0__D7E80F87_7FDF33A2__57C74329_0 -0__E9D79951_DADEF97A__C4B692CB_0 -0__F7BF7A2E_7D195012__74D8CA40_0 -0__F6F8BE7E_7FD33A61__76CBF8DF_0 -0__FEE74C41_7C7F8276__7B66CEB7_0 -0__5FFE55AF_DFFF5B29__3FFDB0D8_0 -0__33D75B4A_72FCCDD2__A6D4291C_0 -0__F99F9638_76E7083A__70869E72_0 -0__7BDF1B81_F92FD754__750EF2D5_0 -0__53FFB806_BDE90DAF__11E8C5B5_0 -0__677F1A54_FDE08781__655FA1D5_0 -0__747FDD09_EE83CA14__6303A71D_0 -0__1F73A124_5F7F363A__7EF2D75E_0 -0__58F7DC78_77F8FD75__D0F0D9ED_0 -0__6D6A3887_7F7F0482__ECE93D09_0 -0__1BBF622B_66DBE93A__829B4B65_0 -0__5BF757C8_7A731DF0__D66A75B8_0 -0__AF4B3673_BF3F3FB6__6E8A7629_0 -0__DF5E4E7E_53334209__32919087_0 -0__BFAFDCBC_FECF29C9__BE7F0685_0 -0__C3BEEC16_BBBE267F__7F7D1295_0 -0__7DFB4492_DD9E37E9__5B997C7B_0 -0__FFEA5B2E_7FBF7815__7FA9D343_0 -0__EBAFA2CE_D97FEC9B__C52F8F69_0 -0__E3DFA561_A79DC88B__8B7D6DEC_0 -0__7F3EF9C0_EB7BB59E__6ABAAF5E_0 -0__BFFD2A1E_2BDF3DD0__EBDC67EE_0 -0__6FFBF646_BDFB1D85__2DF713CB_0 -0__15FBDCDD_3FFF3D5A__55FB1A37_0 -0__FBEBD63C_7EB3289D__7A9EFED9_0 -0__BFFF1A2F_5F39B09A__1F38CAC9_0 -0__7E7BDD12_FB9F6B8D__7A1B489F_0 -0__FBF71343_FD993786__F9904AC9_0 -0__7F1F6E01_0A72AD48__89921B49_0 -0__7709E5B3_7FEA5EBF__F6F44472_0 -0__6DDFC727_6DBE2347__DB9DEA6E_0 -0__4BC4B3AF_EDFB1C61__39BFD010_0 -0__5D7E36DC_EBFF6B9D__497DA279_0 -0__727CEE96_F7FFA337__6A7C91CD_0 -0__ADF76D6F_FFFCDB15__ADF44884_0 -0__6F875D4D_6DCF38C2__DD56960F_0 -0__7BD6FD8E_BFC7AF58__3B9EACE6_0 -0__FBFD3048_2CFBC0A9__28F8F0F1_0 -0__BE3A2544_BB57A431__7991C975_0 -0__BEF3BF59_CFD6A241__8ECA619A_0 -0__7FBF6BD0_6F753220__EF349DF0_0 -0__FEF7A683_E5DBBD73__E4D363F6_0 -0__FDFD1628_B7DF5BAE__B5DC71D6_0 -0__3EED4A92_DE7FE98B__1D6D341D_0 -0__B7BEC714_FFF5C1A2__B7B488B6_0 -0__BFF5923F_E54F6351__A544F590_0 -0__BEA77785_DFFDF619__9EA56D9E_0 -0__EF66EFDF_73E717D5__634E07B4_0 -0__BBAEA8B7_D37B44F8__8F29EDAF_0 -0__1CDE04D8_6FEF331A__8CCD37F2_0 -0__373D3D5E_FB714086__32AE7DE4_0 -0__3FC968D3_3FD85E74__7FA1C747_0 -0__2F15E7AB_9B474BD0__CA5D337B_0 -0__71BE73C7_3FDF54DE__B19DC8A5_0 -0__DDBADD86_EFF74F42__CDB22CC8_0 -0__9FE7DBAE_87AF2E32__279709E0_0 -0__DBAF85DF_1E758044__FA250623_0 -0__FEEFA615_FD6F9C57__FC5F426C_0 -0__6774E871_77BFAFFC__DF34986D_0 -0__CB7F49CA_72E7551A__3E669EE4_0 -0__6F9BD858_FFE75F24__6F83377C_0 -0__777FFA4E_5627F195__CDA7EBE3_0 -0__7BFB7B15_FDEC6CFA__79E7E80F_0 -0__CDDFAFA5_BFFFC917__8DDF78BC_0 -0__1DFF03CC_5967B4A2__7766B86E_0 -0__7F75385D_CFDECA68__4F5402C5_0 -0__DBF601A9_3F4F18D4__1B451A7D_0 -0__3FFF6290_FFFDEFAE__3FFD523E_0 -0__ED33FA93_FEFDDDA7__EC31D83A_0 -0__3BD90584_37D3B95C__73ACBEE0_0 -0__49FF12D7_7796C22A__C195D501_0 -0__BD330F02_798F8D18__36C29C1A_0 -0__79AD9694_F3EE2979__6D9BC00D_0 -0__79EDBEF6_67BD3F4B__E1AAFE41_0 -0__87F5AA5B_7FAE2CF0__07A3D74B_0 -0__7FEB68F1_D757FF37__57436828_0 -0__BFBBE2A3_54FC9F1E__14B881C1_0 -0__6DDFFD15_E7FF330F__55DF3024_0 -0__5F978265_DD7FDDDC__3D176041_0 -0__E7B7D6AA_7FF5E233__67ADB8DD_0 -0__ADEF21C5_33CFAEF0__E1BED0B5_0 -0__1E7BFAFE_7DFDD473__9C79CF71_0 -0__FFFE9B70_7FB17D87__7FB018F7_0 -0__6FF8D06D_A8E69A11__18DF6A7E_0 -0__E6DF197C_6F7FD475__565EEDF1_0 -0__AB5E987E_CE7D7669__79DC0EE7_0 -0__1DD17657_7DF7B1ED__9BC92844_0 -0__7F7D75C7_CFF7E013__4F7555DA_0 -0__3FBCE0C8_7FEFF983__BFACDA4B_0 -0__5FBA2C2B_FBDF86E1__5B99B30C_0 -0__7EEF8DC4_7FFEC18D__FEEE4F51_0 -0__77F23589_FBFC3463__73EE69EC_0 -0__EEDDB6BF_FDEFDE89__ECCD9548_0 -0__FF8FD74D_5FEAD898__5F7AAFE5_0 -0__1CFD3041_3E7931EF__5B766230_0 -0__7BFDDDA0_7EFFAD26__FAFD8AC6_0 -0__FAFF641A_5E772962__59768D7C_0 -0__7FBF7AB0_FE7CB8F5__7E3C33A5_0 -0__E7BB7521_DFD7CB81__C79340A2_0 -0__97791E3B_BDF98EC8__5572AD03_0 -0__2DEF267F_6F4F65AB__9D3E8C2A_0 -0__FFBB4A0E_1DE691B0__1DA1DBBE_0 -0__FEFE37B0_7F5F81AC__7E5DB95C_0 -0__9EFDAD93_DDF65D44__7CF40AD7_0 -0__4B77F5C5_7EFE8F8B__CA768550_0 -0__79FFF61D_7E7F3DD2__F87F33EF_0 -0__DFDE514F_4EE98733__2EC7D882_0 -0__3BEF5F57_55FF4E80__91EEADD7_0 -0__E758124B_FD2DC9AB__E485DBF6_0 -0__3BEBBA6B_E5C70323__21B2BD8E_0 -0__377FE173_5953345E__90D315D1_0 -0__3AFB10E1_7F732E2F__BA6E3F10_0 -0__7ECA3857_F7D6B2D4__76A0EB2B_0 -0__FF936932_F7BFAFAA__F75318DC_0 -0__FF7FBB57_7FBEF1BE__7F3EAD15_0 -0__0FB91151_DFBDAB9F__EF76BCF0_0 -0__5FBBEEFF_BFBF14B0__1F7B03AF_0 -0__6BDF743B_2DEFBCA3__99CF30DE_0 -0__BEB7D4EB_ED7DD428__AC35A913_0 -0__CEFAD6AB_BEFF1C9B__8DF9F346_0 -0__7FF742F4_FFD9AB29__7FD0EE1D_0 -0__4DBFB440_FDFE41CB__4BBDF60B_0 -0__DDFE92DE_77E76BF8__55E5FED6_0 -0__FAC90280_75790383__70420603_0 -0__FCF7FF94_853D40EE__82354082_0 -0__EB6C2B0E_FBFF6332__E76B8E40_0 -0__F31EA52F_FFFFF3E5__F31E9914_0 -0__6FC7EEF2_7E7BA66D__EE43955F_0 -0__7F83C018_7FAE67DC__FF3227F4_0 -0__EFA9F123_57FE604D__47A85170_0 -0__7B3DE01B_77BFA544__F2FD855F_0 -0__2FB747D9_5AF1D3E0__8AA91BB9_0 -0__5DDFAEA3_7F7C4EE5__DD5BFD88_0 -0__CFBFCD38_3C6EBB85__0C2E88BD_0 -0__37D902F4_FDD9CE8E__35B2D182_0 -0__D37E1CEE_6F5FF1C9__42DE0EB7_0 -0__FAFD2DFA_F5BFFBB8__F0BD29B2_0 -0__77376CD0_FFE5FFBC__771D6C8C_0 -0__BFFFE983_EDED8FF1__ADED7974_0 -0__3EF8B6A3_E9755FF2__286E1695_0 -0__3F6F05AD_BE73D8CB__FDE2DE78_0 -0__FECDED06_6BFBE6EE__6AC9D3F4_0 -0__4773EB42_5FD7185B__A74B039D_0 -0__3DBE8A1B_4FB7EB5E__8D767579_0 -0__9BD985D6_F3DF9B39__8FB9210F_0 -0__E69587E5_EFCDD08D__D6635872_0 -0__FD6F8181_79FF3B65__776EBCE6_0 -0__FBB1D071_FDFFDAC3__F9B1AB34_0 -0__6EEC0060_D75E231B__464A237B_0 -0__E79D6147_FFFD6178__E79AC2BF_0 -0__42F72F8D_F7FE3530__3AF564BD_0 -0__E5FAD8F9_FD7F8D21__E37A661A_0 -0__BF6FC85C_28F69F3A__E8666796_0 -0__E9EDD372_3FFF8A46__29ED5DB8_0 -0__17D87E55_21FFFB10__39D87965_0 -0__F8FF6890_FFFFFFC0__F8FF6850_0 -0__ED4FD1AD_FC979C57__E9E76E04_0 -0__7B9F0414_FF7DB3E4__7B1CB7F8_0 -0__5D3CC120_6FA76E12__CCE42F32_0 -0__77F92F6A_EC77EC5C__64711BC6_0 -0__B30B9184_AECF19D7__61DAAB5B_0 -0__A62516B5_D7834D09__7DA863BE_0 -0__B6FF5757_7FD7B67D__36D70DD4_0 -0__79FACAA7_DD5D4ECF__57581976_0 -0__FEBF01EB_F1CFCDFB__F08ECFE6_0 -0__1ADFBDE9_37BE787A__529E3663_0 -0__F32DDD62_F6FBA40D__EA29816F_0 -0__9DFF5297_64EE4889__02ED9B20_0 -0__3FDEC114_18DED995__58BD9AA9_0 -0__DB99747F_7FFFEDB4__5B996233_0 -0__F37F62CA_D59F9F5D__C91F0227_0 -0__FFCF3299_4DF3EF60__4DC321F9_0 -0__EFFDF210_3FEF8DA9__2FED7FB9_0 -0__7EFF11C5_DFFFA7C0__5EFEB985_0 -0__39C33970_7DED4B5A__B7B084CA_0 -0__7FADC0A8_963F5CEE__15ED1D96_0 -0__37DF8516_CBFA184C__03D99D62_0 -0__5FC70101_79D63734__D99D3835_0 -0__7F2E65B6_BFAF13FB__3EDD79B1_0 -0__FFE77062_7BBB1F25__7BA28F87_0 -0__E53F9ECF_7B7E6180__60BE004F_0 -0__5DFBAF47_AE7B1092__0C76BFD9_0 -0__F1BE73EB_5FFF87DB__51BDFBC6_0 -0__4BDE0219_FDDF99DD__49BD9BF6_0 -0__FB763D7B_79FF6F39__7575ACB4_0 -0__3DAFD9A6_7A7A4064__B82A1A0A_0 -0__96DD7B27_7AE1C8EA__11BF4411_0 -0__BD59AFE2_3FFF9129__FD59410B_0 -0__2DBB86CC_D64F433F__040ACA0B_0 -0__F134A105_79FF78F7__6B3419FC_0 -0__B7FFD900_E9FA0877__A1F9E177_0 -0__FEEB2A39_7EFFD83B__7DEB0274_0 -0__42DBE401_5FFEE3BB__A2DAC7BC_0 -0__7BAE674F_BDACB847__395B1F96_0 -0__5FF36997_647BDF31__C46F48C8_0 -0__5FB7DCF5_E3BB4015__43731D0A_0 -0__757B34CE_F3FF047D__697A394B_0 -0__BDE7F5D0_7F7AAC6F__3D62A23F_0 -0__EDFA7F63_7FBAF9D5__6DB57938_0 -0__35FBD85F_6DEFBA55__A3EB92B4_0 -0__7BFFB71F_B7DB4839__33DAFF58_0 -0__B9F79528_D3FBA922__8DF33E4A_0 -0__6A3FE42D_6D777EBF__D7B762EC_0 -0__7FD0E279_EDDBEFD9__6DACD252_0 -0__B73F817B_F7FF3F1D__AF3EC098_0 -0__F5DB691A_65FE5974__5BD9C28E_0 -0__EF7F4FA3_EFE7BE21__DF670DC4_0 -0__AFCED419_FFEC63C5__AFBB37DE_0 -0__5FFCFC9B_5DB70A5C__BDB406F7_0 -0__2CEB3A03_EFDD4435__1CC87E38_0 -0__3F998B20_FBDDE613__3B777133_0 -0__57FF1934_CDBF1B82__25BE34B6_0 -0__FA3F6389_FDDBACC3__F81B104C_0 -0__77CE63CE_DFE42A43__57B28E11_0 -0__E1FD60BC_EFFE19DF__D1FB7A9B_0 -0__AA67AECC_D5BE21AD__8025D079_0 -0__E7BE97BB_7E5E1171__661CA92C_0 -0__3EE365C0_3BAA81C7__7A8DE787_0 -0__3DE7C843_CF73CB86__0D5B93C9_0 -0__F51E9541_EFBC67B4__E4DAFCF5_0 -0__7EE8438C_BF6BC6F6__3E540A82_0 -0__7F9AB622_BFEF6734__3F8A1D56_0 -0__E6BF0F1F_EAFD4921__D1BC5840_0 -0__3BE93A41_97DF0943__D3C84384_0 -0__7B8FE5AE_739FEC4C__EF2FD1FA_0 -0__ED6FB0B3_FFFF9F8E__ED6F5041_0 -0__7E7E18ED_EDFFFD56__6C7E1643_0 -0__5DFEB623_FFBDEBE1__5DBCA204_0 -0__7BFF19A7_7EFFFBE6__FAFF158D_0 -0__FC7EA902_FFFFAE8C__FC7E578E_0 -0__DFEF257B_FFEF8E16__DFDEB391_0 -0__7BF94D22_2D79B90C__A973062E_0 -0__F9DF6B3E_FF9BFA38__F97B6576_0 -0__B3FC8A4B_6E751888__2271A2D3_0 -0__BD749158_7DAFB7FC__3B244954_0 -0__3F3F6D12_BFFF34DD__FF3EA1EF_0 -0__FFE1CCBF_777D9556__775F6215_0 -0__7DFD39A0_5BFD7DCC__D9FAB76C_0 -0__DFFFB214_6DB7B168__4DB7637C_0 -0__7BCF2706_195FDADC__952F01E2_0 -0__EB6FF0B9_77A23A75__63122B2E_0 -0__7BBF39F0_F764602C__73239A1C_0 -0__576FA50A_7FBD84F1__D72D29FB_0 -0__3FF72FC8_49FEFE98__89F62E60_0 -0__FEB7A227_F72FDA28__F5E77C4F_0 -0__E96F26F2_3F7F2C9C__28EE538E_0 -0__F54FD073_77DA694B__6D2A39BE_0 -0__F6E6B349_FFBFA6EB__F6A65A34_0 -0__FD7F5356_4E7DB20B__4BFD0561_0 -0__F54F689F_FFEED7FC__F53E409B_0 -0__27EED2FA_6FFF3524__97EE081E_0 -0__6FFF8533_2DBA36FC__9DB9BC2F_0 -0__EF6D97C4_FEEEEA8B__EE5C824F_0 -0__7F8DD908_DEF24CC9__5E8025D1_0 -0__37FF2FCA_7FEFE823__B7EF17ED_0 -0__7E1F6D05_FBDD0901__79FC7606_0 -0__5FFF0E46_5BF74056__BBF64E9C_0 -0__FFC30A7E_3B7DFE95__3B410913_0 -0__7FFE7B2F_ACDFA50B__2CDE203A_0 -0__E7F7C38B_5FFEC85D__47F68BE8_0 -0__7EF5E1AC_35776204__B46D43B0_0 -0__2FFF5CFB_7B6BC2EE__AB6B1FE9_0 -0__EDFEAC5B_FC7D5B31__EA7C078C_0 -0__7F6D01C2_BB7F3329__3AEC34EB_0 -0__EB7F0E2C_6F7F380F__5AFE463B_0 -0__CBDE6BDF_3FC1BDAE__0BA0298D_0 -0__FDBED905_4F7CA81B__4D3B8120_0 -0__FA6D8790_7E97EB55__790572E5_0 -0__573D83E3_FFF68AF8__57340EDB_0 -0__FDBF3895_FFBE80ED__FD7DB982_0 -0__75EFAF13_3D5F9741__B34F4654_0 -0__7FFA5970_EFFE0F9C__6FF8690C_0 -0__4517C3A2_F53660BA__3A4E245C_0 -0__DDFDD8C3_77B22FB5__55B00878_0 -0__5F7B8974_4FB30BDF__AF2E9553_0 -0__E7F5EDB8_27FF3E43__0FF52BFB_0 -0__B779CC94_2A742A22__E1EDF6B6_0 -0__7FFF9B8A_FFFAB006__7FFA4B90_0 -0__F5DB3C78_76F688E1__6CD1C559_0 -0__F5E5CA41_EF5FF9C9__E545C40A_0 -0__3F7FDCB3_8DFF0363__CD7EE016_0 -0__7DB4CE75_E5F788CE__63AC5743_0 -0__F0BB41B2_36D79DFF__2792DFB1_0 -0__EE69A2FD_B2BF4741__A128EA3E_0 -0__7DA4F069_FF6FD15B__7D14C1C4_0 -0__FFB39195_2C771D2F__2C2AAEC4_0 -0__7BBB5C5D_5FEF457B__DBAAA1D8_0 -0__FFFD617A_C9D91517__C9D67691_0 -0__FF7E4989_FD5F3C2C__FCDD85B5_0 -0__F3FF6C6A_FEDD0B03__F2DC776D_0 -0__1E7F3A18_3D7F8BE9__5BFEC601_0 -0__D7E39B68_F7FE6955__CFE204BD_0 -0__6FFFD1AF_53D77823__C3D749D2_0 -0__6FFD09AA_BFAF9756__2FACA100_0 -0__EB27A395_FFEF05B0__EB16A945_0 -0__BF5B7DAC_BFDFEEAF__7F3B6C5B_0 -0__37DF92B0_7FDFE7A4__B7BF7A54_0 -0__FF7FF5A5_67FFDB48__677FD0ED_0 -0__A9FF9C61_F9BA8B21__A3BA2782_0 -0__7FB9E959_E777CC8B__6731B5E4_0 -0__FFFFB987_8A7EEC97__8A7EA61E_0 -0__BFDF0E06_B3FDAA4F__73DCB855_0 -0__4FBF8F13_70BB51C7__C07AE0DA_0 -0__555F9F88_FCD96253__523901DB_0 -0__6FFE9650_96F7067F__06F59CCF_0 -0__7ECEA889_FECF9F09__7D9E4792_0 -0__37C78347_BDFF512F__F5C6D476_0 -0__FDEF22E5_7CF9DF0B__7AE901F0_0 -0__7FFE1BD8_3E5F72C9__BE5D8EA1_0 -0__FDDFF829_6FEF06D2__6DCEFEFB_0 -0__FDDBB72B_777D24F5__7558DC20_0 -0__73FBD5BE_FFDD9D23__73D972E1_0 -0__FBAF6B4F_65FBFE7A__61AB69C9_0 -0__4F6EC4C3_6FD34220__BF4206E3_0 -0__7EEBC726_CEFF5EB4__4DEB25DA_0 -0__DFC7795F_76FB6F09__56C2E868_0 -0__FCF7ECF3_4F352F61__4C2D1C54_0 -0__EEFEC6C2_6E5E9864__5D5D5F26_0 -0__FDFDD814_7DFA7BD7__7BF853EB_0 -0__7F9FA9BD_DFF52562__5F94CF1F_0 -0__7DCFE506_7B9BD66A__F96BBB70_0 -0__47859DC8_FECFD9A3__4655776B_0 -0__BDF7F0C5_7BBB69F3__39B35AB8_0 -0__FEEFFC6A_DEFE6219__DDEE5E83_0 -0__97EC30F8_FCA6B886__9492E97E_0 -0__B3FFCBB8_BFAB5E82__73AB2A3A_0 -0__BFFF2A85_BBEB1453__7BEA3ED8_0 -0__76EFA480_FAFBA2B9__71EB4739_0 -0__2E7FD1EB_F177EA59__1FF7BC44_0 -0__F26AD124_FFBF99B7__F22A6ADB_0 -0__EF7F08E2_45BF9FCA__353EA8AC_0 -0__89DEC75C_7FF73712__09D5FE6E_0 -0__BEFE834B_EDE94D16__ACE7D061_0 -0__75DF967B_B97BE1BF__2F5B783A_0 -0__F7DF3C56_7EDE34A7__76BD70FD_0 -0__FFFCE37E_6F6F1436__6F6BF7B4_0 -0__7D926EDF_77BF3361__F551A240_0 -0__7FFBA98B_F4D7FCF2__74D3A67D_0 -0__CDFEFAA8_75DB1F2E__43DA19D6_0 -0__7B7B2AF1_7FF59B5E__FB70C64F_0 -0__7FDF2744_316C27BB__B14B4EFF_0 -0__7EEF07BD_73B294C0__F2A19C7D_0 -0__FAFFDC34_7FAC6391__7AAC3FC5_0 -0__4FFFED55_8E7D7472__DE7D61C7_0 -0__FFFC0815_AADFD6CB__AADBDEE0_0 -0__FEFAF613_9EB935AA__9DB42BBD_0 -0__3ADF353B_CDF3A785__08D2DCC0_0 -0__3FEFFDE6_63E7A001__A3D79DE7_0 -0__F7DD5009_F4ADE24F__EC8B3258_0 -0__FA5FBE90_FFB72A71__FA16E901_0 -0__7FF745C1_9FAB0E2A__1FA253EB_0 -0__EFDF9B9C_733F9A99__631F3635_0 -0__EFDF7EB1_F8ED4A1A__E8CCC8CB_0 -0__66EC1DF9_F9EAA412__60D6C20B_0 -0__D3C31DE3_4E7DDB28__2240F90B_0 -0__774CADFD_D7F7E249__4F449046_0 -0__67AF4B8E_7B5FD748__E30F22D6_0 -0__FFE90C08_13E206CA__13CB12D2_0 -0__C7FF6CB5_5F7234E4__2771A199_0 -0__95F363C5_37BFEB55__CDB34F1A_0 -0__6C79F0C5_3FBC66F7__AC3657BC_0 -0__F7FDB3B4_7EE168F3__76DF1CA7_0 -0__6B99E891_FEF9EC9E__6A93D52F_0 -0__FDBFB2C3_6A7FE5D9__683F989C_0 -0__3FBF0262_CBEEB70E__0BADB970_0 -0__5EFBBEAA_BFC7FCAA__1EC3BB54_0 -0__1DF7C46C_DFB791C9__FDAF5635_0 -0__4BFED13B_7B5E9106__C75D6241_0 -0__27BC55CE_49BE81FF__717AD7CD_0 -0__9FDFD86E_37B9F8FE__D799D16C_0 -0__F7EC28DB_777F4208__6F6B6AE3_0 -0__37F6CEE9_6CEACB3F__A4E19A28_0 -0__DBED8E92_63B6166A__3FA3A4FC_0 -0__BFF76A03_FEFF5C51__BEF6C654_0 -0__DFBED743_7CBF25A0__5C7DFCE3_0 -0__7FDAE34E_33CF0335__B3A9E683_0 -0__ACFD41C4_BFF6F4F4__6CF436B8_0 -0__CFE6F731_D7FF9429__A7E68B5A_0 -0__3D57492F_C3BD032D__01144C5C_0 -0__FCEA53F1_33FCEE45__30E74236_0 -0__47FF437C_BFEB00B5__07EA4431_0 -0__BFFF492E_DBC173B5__9BC0BCE3_0 -0__9F6E446C_1FDB8DE0__BF49D24C_0 -0__7FF1BF30_75D9E111__F5CBA041_0 -0__76BA3BF3_FF5D674C__7617A33F_0 -0__BFDFDAD2_687E719B__285E4C6D_0 -0__FCDBF964_4DFE63A7__4ADA5D0B_0 -0__FFED0C15_747E6EC3__746B7AD8_0 -0__FFFF65AF_F7DB1333__F7DA78E2_0 -0__DD7BDFD6_BA7F169F__97FAF675_0 -0__3B6F32BF_BFEF0DF1__FB5E40B0_0 -0__6BFBBD1D_FF6AAC1F__6B66693C_0 -0__47BEDA2E_6F5706D8__B715E106_0 -0__7FAED3B1_69B5691A__E9643CCB_0 -0__7F3F7AE2_BFFFF355__3F3F6E37_0 -0__56DC243E_7FFBD12F__D6D7F56D_0 -0__7FE97A59_EFFF86FD__6FE90156_0 -0__D27CBE17_774BC28F__49C880A6_0 -0__7675CAD3_5FBD0BF5__D632D6C8_0 -0__77F564D5_74EFB9FD__ECE51ED2_0 -0__EDCABB9C_3EFF4364__2CC9FF00_0 -0__7C5FF775_7DEEF647__FA4EEDBC_0 -0__CEC7E32D_E6F76511__B5BF483E_0 -0__7F7F8B9E_5EE7E3CF__DE676F6D_0 -0__5FFF6A6C_E7F78E12__47F6F87E_0 -0__52FF9FEA_DFF71021__32F6B00B_0 -0__EAFF2C74_7C7DDFF6__677D0C6A_0 -0__BEFF6799_B6FECDF9__75FE3592_0 -0__F7DB00EC_BF6F0875__B74A0961_0 -0__75D3A1D1_FBEFFF56__71C3A127_0 -0__F2CD4AB2_1F7EE396__124C2E48_0 -0__DFD77981_7EAF3767__5E86B0E8_0 -0__7BF50912_BFBC822F__3BB18B41_0 -0__FFFB76D3_5DBDE1B9__5DB9588C_0 -0__6A7FFFAF_66AF5BF2__D12F5BA1_0 -0__F7FAD38B_77DDFE6B__6FD8D1F6_0 -0__2FE78B24_F393E495__237B6FB9_0 -0__FF3D331D_EDDF3103__ED1C6420_0 -0__E7F8DCCD_7C5F6E88__64584B55_0 -0__FFDFA978_56BF13D5__569EBD4D_0 -0__31B58819_69D83549__9B8DBD62_0 -0__7CFF9802_DEFF7F76__5BFF1778_0 -0__7BF93CE6_7F7F9D69__FB78DA4F_0 -0__FF5809B5_CADDD4BC__CA35DE71_0 -0__A7F4EBDE_7F7A2F0A__276F1AE8_0 -0__67CE91C5_3EFF40FA__A6CDD2BF_0 -0__FDFB62F1_EB973516__E9929807_0 -0__6D7E24C2_6F6A7F87__DCE8A449_0 -0__BF7D90AF_FE7C3F57__BDF9D006_0 -0__71377623_FD6D163C__6EA48C5F_0 -0__F6A7A1D3_3FA4E173__364C8346_0 -0__7BCB0BCC_BCED7CAE__38B8887A_0 -0__EFB53EEF_FEF7B964__EEACF853_0 -0__7E7DC8C4_FEB9AEC9__7D37778D_0 -0__7FDF2B92_BDD825C9__3DB7515B_0 -0__CE970D55_6DF200E1__3C890E36_0 -0__F7FF4903_BEFEF5AE__B6FE3EB1_0 -0__D74F7533_9FF89BE1__77481114_0 -0__FFDB8DB4_5DAD80A3__5D890E57_0 -0__5BFF7E45_7A6D6F0F__D66CED54_0 -0__797FB394_F7F46054__717413E8_0 -0__55FF6C9F_6EBF4047__C4BEACE6_0 -0__1C6D464D_7FBDF5A8__9C2B3BF5_0 -0__6FCF8811_7BDFB2B1__EBAF3AC2_0 -0__B5E7DCC7_EF6F5457__A557311E_0 -0__76EFD221_EDFF041E__64EED63F_0 -0__D7517368_FFF71C99__D7489001_0 -0__FABCDE4E_09E93422__04A61270_0 -0__EF83AEBE_7F0F20DC__6E92CF9A_0 -0__73FB32FB_3FBE71B6__B3B9A4B1_0 -0__771F13CD_53F3A885__CB12BC52_0 -0__DDB56693_6896EB45__464C51D8_0 -0__37CFA429_95FFD684__CDCF7AAD_0 -0__7F46BF8A_0CEE1714__8C34D69E_0 -0__2ECFEB18_7EDFDAF3__ADAFC60B_0 -0__BAF79687_DED7EDB4__99CF843B_0 -0__F56E7ECD_F95EAD1A__EECD2BE7_0 -0__BFFFFC88_5D0FE347__1D0FDFCF_0 -0__7BDFFA4A_7FFF6364__FBDF5DAE_0 -0__00000000_00000000__00000000_1 -0__FFFFFFFF_FFFFFFFF__FFFFFFFE_0 -0__FFFFFFFF_00000001__00000000_1 -1__77ED0858_FFAE8082__783E87D6_0 -1__DFFF5A73_7FFCD920__60028153_0 -1__675FB0EA_27CBB9DA__3F93F710_0 -1__ABFCD6ED_77A14719__345B8FD4_0 -1__F7EB8A6A_72AFAB15__853BDF55_0 -1__3AE47550_F0F7F371__49EC81DF_0 -1__F3F7ABFB_5EFF5E70__94F84D8B_0 -1__7CFD30BC_CFFF947B__ACFD9C41_0 -1__7FFEF46A_DDFBB90A__A2033B60_0 -1__7FEFB199_FFFF74F1__7FF03CA8_0 -1__DF0EEF09_5BFD3094__8311BE75_0 -1__7DFE7F90_FEF76DC4__7F0711CC_0 -1__7BD4E0B8_797DB16C__02572F4C_0 -1__5BFF2CBB_BDE3C55D__9E1B675E_0 -1__6FFF5DCA_7FDF399C__F020242E_0 -1__73BFCF98_1DF99BB5__55C633E3_0 -1__DF7BDF4B_FFFDC862__DF7E16E9_0 -1__F53F54CB_3FE7DCCC__B55777FF_0 -1__FB979FCB_F5D9BDA8__05BDE223_0 -1__7BF5C136_FF3BD679__7CB9EABD_0 -1__AFDBE036_6F9BA819__4040381D_0 -1__76FDC818_DDFF1D3B__98FEAADD_0 -1__7B35EE8C_78D77354__025E7B38_0 -1__FB7759F2_3FBB8B13__BBBBCEDF_0 -1__FE77825E_3CBF1044__C1B8721A_0 -1__FF738639_6CE706C7__928C7F72_0 -1__36EFB234_7C9D0256__BA52AFDE_0 -1__7FF3D208_5FFDC70A__1FF60AFE_0 -1__9BEE7C6D_FFCBDF0D__9C229D60_0 -1__52AB1DC0_7B7736E7__D733E6D9_0 -1__B5FFCC75_5F9D4E5F__56627E16_0 -1__7FFC2C40_7EEB9754__011094EC_0 -1__7BFFC757_5F2F7DA2__1CD049B5_0 -1__297AE576_AF4F1895__7A2BCCE1_0 -1__FFFE2EA9_799B14EC__866319BD_0 -1__EF7BD684_F9F6128F__F585C3F5_0 -1__BB7CD27D_69DE7663__519E5C1A_0 -1__FEFFF704_6AF4AA09__940B4CFB_0 -1__376DE8AF_6F79FE5B__C7F3EA54_0 -1__7D7FA8BF_CBDFA9B6__B19FFF09_0 -1__73EDD8D8_9DBD6A5E__D6306E7A_0 -1__567E1885_FFDD920E__56A08677_0 -1__FADC8A0F_FDFD7332__FCDF16DD_0 -1__FD4D8A78_FFDE06DD__FD6F839B_0 -1__FB6E01CB_EC1F3768__0F4ECA63_0 -1__B7FD338F_FD6DA9C0__BA8F89CF_0 -1__CF35520D_FEEAFA1A__D04A57F3_0 -1__EBFCCAEE_F2FB595D__F9017191_0 -1__177928ED_FAEC2662__1C8D028B_0 -1__0B13360E_D9BDE46C__315551A2_0 -1__9F7F7E99_7DBE7889__21C10610_0 -1__79CFBC74_76BFE40C__030FD868_0 -1__6D7FD42A_777296CB__F60D3D5F_0 -1__7DB73E8C_9DDB1FD3__DFDC1EB9_0 -1__78BCEBE4_D6DF9634__A1DD55B0_0 -1__73BFF9D8_FFF5D794__73CA2244_0 -1__6FFBF7AF_3F7B6B04__30808CAB_0 -1__EF6FB7B7_EF6E6823__00014F94_0 -1__6B7F3E7B_377B1F5B__34041F20_0 -1__BFFF1EA2_2BBFC1AF__943F5CF3_0 -1__FFDF7472_14F7F362__EAE78110_0 -1__AF5F8A93_DFFD08DE__CF6281B5_0 -1__3FCF7DCE_7FBF9597__C00FE837_0 -1__6F4682B3_FDDE78F7__716809BC_0 -1__E4F04F8E_FEAB43E3__E6450BAB_0 -1__3E6F3EFF_FF7B6730__3EF3D7CF_0 -1__9FBE5C07_FF7DEF3B__A0406CCC_0 -1__5F7216C4_FB3FDD7B__64323949_0 -1__FCFCCD60_97FBF285__6500DADB_0 -1__25E30934_5E4B70B4__C7979880_0 -1__7FED2116_7C6F7C3D__037DA4D9_0 -1__DBDEE1FC_BFD790A5__1C075157_0 -1__61FEACBF_7DE70768__E417A557_0 -1__773F0810_6EBD9875__08816F9B_0 -1__5FCE0468_7F4FD7D1__E07E2C97_0 -1__FFFF0704_FAEF26DA__050FE02A_0 -1__EEFE584B_FEFFD752__EFFE80F9_0 -1__BD7ED220_4EBFDF5E__6EBEF2C2_0 -1__F7862C28_17FF11AB__DF871A7D_0 -1__F57E9886_FFB76B31__F5C72D55_0 -1__D6FF9C83_EDEE9B31__E9110152_0 -1__EDDED0EA_F77D1E75__F661B275_0 -1__F6791F63_FB54381D__FB24E746_0 -1__2FBF1E45_6EBBB1E7__C1036C5E_0 -1__DBCFE228_FFD6FD98__DBF8E490_0 -1__3F4F6480_5FF3A170__DF5BC310_0 -1__FDDF8C9B_3BCFA185__C20FEB16_0 -1__5FFE31AE_DFC7BEFB__803672B3_0 -1__5FFE50C6_274E074D__38B04979_0 -1__7DE7DAF4_D8FCC203__A4EB18F1_0 -1__7FB77297_3E3CB4F8__417ABD9F_0 -1__27B64E3E_DEDCDA72__48D973CC_0 -1__BEFB2E8F_FFBF6D1E__BF3BC171_0 -1__FCBB7EB6_FD2DEBCD__FF8D92E9_0 -1__FFBFBEBA_F347079C__0C78B71E_0 -1__B4BFA39F_75BF13AF__3F008FF0_0 -1__7FEBD271_3F9A9011__40514260_0 -1__5FEF6832_DBD7124C__841855E6_0 -1__6EEEE806_EBF77632__82F771D4_0 -1__5FFFC261_DDDEFBDB__8220C686_0 -1__5E7F633C_6EE76756__EF97FBE6_0 -1__7FDFF60C_BFEFB12A__BFF044E2_0 -1__77F17F2E_FBFD242D__7BF45B01_0 -1__37FE0E63_E7F64060__5007CE03_0 -1__FBEFECD6_3BFD9601__BFF256D5_0 -1__397B2692_7FFF33EC__B97BF2A6_0 -1__EF6F9608_FFFF64AF__EF703159_0 -1__7EE5A597_9FFFF961__DEE5AC36_0 -1__535CFE14_D45EC674__7EFE37A0_0 -1__FBFB4479_C2DF1F63__391C2516_0 -1__FEF7C9F2_6BFF7A54__92F84F9E_0 -1__7F3B36F7_F67830B7__88C30640_0 -1__79C71C14_FEFD93B9__7AC9885B_0 -1__B5EF3E83_2FD7FA1C__86174467_0 -1__7DF901D6_DF9BCF48__9E5D328E_0 -1__AFBBA8D1_69F69F94__45C5093D_0 -1__EEDF0AAE_7FB77897__6F279217_0 -1__7EFF5740_5396ABDA__2B68AB66_0 -1__97E720BC_2BFC491E__6BEAD79E_0 -1__6FF77A7E_FF6F5898__708821E6_0 -1__FFD7C7A8_57B371D0__A82455D8_0 -1__7ADD650E_FDF4B9E9__7CE8AB25_0 -1__EFF1F677_7FFE1A24__6FF3DC53_0 -1__5DFF081D_DFDBC2AF__7E23456E_0 -1__F9DF9A24_EBFDE5B7__0DE1B46D_0 -1__9D7FDD60_D9BD5A3C__C3C28324_0 -1__3FDF79BA_A65EFE8E__99807B2C_0 -1__2EEC6C1A_36EF53C0__F7FD185A_0 -1__65A71980_359FCFD1__300749AF_0 -1__FDD3888B_BFD506CE__3DFE81BD_0 -1__DF7C3168_BBC7C1FD__23B46F6B_0 -1__F6FFA618_EFFDC2E8__0701E330_0 -1__77FD8B4E_FD3F656B__7ABE25E3_0 -1__FFEF7A07_F8EDC892__0701B175_0 -1__7FB79C81_4F3F05C4__307896BD_0 -1__BB78FF38_FFB3E372__BBC51BC6_0 -1__9FFE5C2C_5DB8ECC4__42456F68_0 -1__3DDAAFC2_177B93DC__265F1BE6_0 -1__5CDBDA34_DFBB7325__7D20670F_0 -1__ABF8A855_7FE356E3__2C155172_0 -1__7BD7BD29_FFEA28A8__7BED9481_0 -1__7A79A607_6BDFF1D4__0E99B433_0 -1__EF5FF863_719ED52D__7DC12336_0 -1__BFBA2C07_BFB5ADF7__00047E10_0 -1__6B53E34C_DFFFE584__8B53FDC8_0 -1__5DEF51C3_FA7B610C__6373F0B7_0 -1__956F2810_7DEE4041__1780E7CF_0 -1__9F7F772C_FBC65CEB__A3B91A41_0 -1__5AEF7326_F8BB69A1__62340985_0 -1__FFB7868C_7FFB989D__7FBBEDEF_0 -1__7A8FB9D3_3ADCEC8D__3FB2CD46_0 -1__3F2FC4C5_7845C850__C6E9FC75_0 -1__273B5ADE_FFFA6BAC__2740EF32_0 -1__7FFF2B5D_7FEBA28D__001388D0_0 -1__7EEE6A63_FFBFE92B__7F2E8138_0 -1__7FFF833A_F61710DB__89E8725F_0 -1__F7FD515F_79FFDB07__7DFD7658_0 -1__BDFCD0DE_FFDF11C9__BE1DBF15_0 -1__FFAF20EE_26F78D87__D8B79367_0 -1__AD1DFA50_BFFFB6C6__ED1E438A_0 -1__97D490F3_7D7F3EDC__1A555217_0 -1__FDAF8531_9C5B8F30__6153F601_0 -1__FFFF6EB9_7DDE73F1__8220FAC8_0 -1__FB3F7D45_6FCC2A64__8B7352E1_0 -1__6FFBF337_DB9FA5D2__945C4D65_0 -1__EF1F3805_7F3F3A60__6FDFFDA5_0 -1__F1B5E940_EF6BED57__0249FBE9_0 -1__67FFDAF8_F6BD9A31__714240C7_0 -1__6BCCA548_59E712FE__11E5924A_0 -1__EF77FD49_EFCEF365__FFA909E4_0 -1__FF3B03FC_F70D9620__082D6DDC_0 -1__6FDF6AF4_F97C27B8__7663433C_0 -1__B7627493_7BC1B738__3BA0BD5B_0 -1__E77FD474_0EEFC925__D8900B4F_0 -1__B1FF26FA_EFFFE2FD__C1FF43FD_0 -1__E3FF96DB_3FBE6E7E__A441285D_0 -1__2FED6F50_FEB07E89__313CF0C7_0 -1__71B7BD96_FEDD2EFB__72DA8E9B_0 -1__BD4B052D_F9AF38DC__C39BCC51_0 -1__2B79FA5A_69FF9D77__C17A5CE3_0 -1__67F708FB_CFCF7940__98278FBB_0 -1__7FFC6E49_B5FF854E__C9FCE8FB_0 -1__DF5CE9BF_6BDC4DA5__73809C1A_0 -1__D6FB2EB3_7FEF9A53__570B9460_0 -1__1DBBF35E_FF990F41__1E22E41D_0 -1__F1D980CA_E96B386E__086E485C_0 -1__FFFD7EBA_F2F8CA7E__0D04B43C_0 -1__5FBE6DD1_FFFE367C__5FC03755_0 -1__1FB93433_5FFF5B68__BFB9D8CB_0 -1__7BFC1EB0_FF8D97D6__7C6E86DA_0 -1__BF7FA9EA_6DFDE060__5181C98A_0 -1__E7B7CCC6_FDB03085__EA079C41_0 -1__9FEB06AA_8FDFDC9D__100B2A0D_0 -1__CFDD2696_72DF26B6__5CFDFFE0_0 -1__BE70C646_2F1DD3CB__8F52F27B_0 -1__BFAE9946_EFB84960__CFF64FE6_0 -1__75FF6465_7437E563__01C77F02_0 -1__EB7FC25E_EFFF762F__FB804C2F_0 -1__3FE78965_5DBD116C__E22A77F9_0 -1__FDED6B68_BD77DEE9__40758C7F_0 -1__BE675A6E_3BBADD99__82AC7CD5_0 -1__F7DF4729_7BAF09BE__7C303D6B_0 -1__CFFA915E_B5CE3663__1A2C5AFB_0 -1__E9FDE799_2FEFFD0F__BA0DEA8A_0 -1__EFDB6E1B_F76F6A67__F86C03B4_0 -1__F39F85E5_B79F4732__3C003EB3_0 -1__7FFF10E9_727FFEC1__0D7F1228_0 -1__6F9F22DD_FEDF1FA8__70C00335_0 -1__CA65C1C9_D97FFF45__F0E5C284_0 -1__37BEE07C_FF7DCBA4__384114D8_0 -1__7E7F1B3A_DFBA737D__9EC4A7BD_0 -1__788F823E_E5F95EF2__9296234C_0 -1__777784FA_7F4E466F__F8293E8B_0 -1__5FFAD300_EFFAC27C__70001084_0 -1__7EF803D5_EFDD70AA__8F1A932B_0 -1__F95EB7D1_3FB6D5FD__B9A7E1D4_0 -1__FBFF1832_7FB7AE98__7C47699A_0 -1__BFFF5F48_7BFDD2B9__44018C8F_0 -1__A7EB08BD_EEBFEB9C__B92B1D21_0 -1__6D7FAAF8_B6F92247__B68688B1_0 -1__7DBCDE1B_FE6EE4A3__7F4DF978_0 -1__97CEF00F_7FE05880__17EE978F_0 -1__7BD3C19E_E7F528DB__93DE98C3_0 -1__74F7280E_B59C9CC8__BF5A8B46_0 -1__69FEA652_76EE19F3__F3108C5F_0 -1__D7FC1887_7B3FA0B7__5CBC77D0_0 -1__9D7F2E13_FFE51BC3__9D9A1250_0 -1__FFFF7051_5F7FBD09__A07FB348_0 -1__CEFBD456_7DEBA820__51102C36_0 -1__FEFE7ABA_58BDCE18__A640ACA2_0 -1__D2BF11B8_777A29E5__5B44E7D3_0 -1__DFFBA54A_F7FE215F__E7FD83EB_0 -1__FF5F574A_DBCFA707__238FB043_0 -1__FFF69BAF_0FFBFA25__EFFAA18A_0 -1__FEBEC3CF_E7BFDFCC__16FEE403_0 -1__FEFE6783_F1EDCBA6__0D109BDD_0 -1__77509676_7DFFEA5F__F950AC17_0 -1__3FEED1B2_18F34869__26FB8949_0 -1__EF760715_6EDE9F87__8097678E_0 -1__7E6BF184_7CBFB324__01AC3E60_0 -1__7EFBA5D3_EF740E5E__8F879775_0 -1__7D9700F8_F7DF2535__85B7DBC3_0 -1__F6A47B0B_6F3B56E3__87692428_0 -1__779F8556_F3C1669D__83DE1EB9_0 -1__6774C11D_AFFF1D47__B775A3D6_0 -1__3F2B4731_09FFA534__352BA1FD_0 -1__FFCF307B_DF79A654__20558A27_0 -1__F6CF8812_AF9FECDE__472F9B34_0 -1__FEFEC4CB_1DBB1260__E143B26B_0 -1__6BF0EF60_BFC7B39C__AC293BC4_0 -1__F6FFE65B_D5BBF309__2143F352_0 -1__FFF6194F_B7FBED1E__47FA2C31_0 -1__5FFF2744_17FCBBCA__48026B7A_0 -1__FFD7004A_317DDA06__CE592644_0 -1__D8EFA89B_FFFDD0CB__D8F1D7D0_0 -1__FE5F2E60_FE1E8E55__0040A00B_0 -1__D69F34BE_DFDBBEB7__F6C37607_0 -1__EEFCFB5F_4CB4D4EB__A2482674_0 -1__93BE2A57_BFF78B30__D3C69F27_0 -1__5D6B44B0_FED36F1A__5E97D596_0 -1__6FBFDC7A_2DBF9536__42004744_0 -1__7BF7A7C6_EFDBBD45__8C1BEA81_0 -1__537F1502_6EB768B9__E4C7AC49_0 -1__55FB0EAA_FFFEC68D__55FC481D_0 -1__F3E74330_7EB7F831__752F4AFF_0 -1__EF77C27D_D7B1FC09__17C5C674_0 -1__FF4FF59F_DFCF6338__1F809267_0 -1__39FDEDDA_94A5F026__A557FDB4_0 -1__5F9E61D8_BD7AD458__A2238D80_0 -1__FEF4170D_76FF9430__87F482DD_0 -1__DEEEFE0A_7FDE5087__5F10AD83_0 -1__1F9F3753_7FFD22AD__9FA214A6_0 -1__FD76DD92_E7FEA8D3__157834BF_0 -1__CEFF9AEA_BFF7C9A1__0F07D149_0 -1__B9FFE17C_FF25E8EF__BAD9F88D_0 -1__FFFF8636_E6BB64D5__19442161_0 -1__0FBBB1B8_BBFF150F__53BC9CA9_0 -1__F6BB2EB7_2AEFD01B__CBCB5E9C_0 -1__BD5FF479_4CFE637F__706190FA_0 -1__3EFF397F_E7CF6523__572FD45C_0 -1__FBFE2AF7_F71FAEF7__04DE7C00_0 -1__E7EF7105_77AF70AB__7040005A_0 -1__7FF00D7D_FFFD4890__7FF2C4ED_0 -1__7D786D08_77FFD594__05789774_0 -1__77F706FC_F7FF1D3E__7FF7E9BE_0 -1__F7AB051B_7C7BB411__7B2F510A_0 -1__F78DC497_EB9FBD58__0BEE073F_0 -1__F6BD8930_FEFE19F9__F7BF6F37_0 -1__3F33C326_2BBFC3EE__1373FF38_0 -1__759D0A01_FBAECFBF__79EE3A42_0 -1__3EFCD650_7FFC611F__BF007531_0 -1__6757BC17_EC955CAD__7AC25F6A_0 -1__FBBF5177_9ADDBE3F__60E19338_0 -1__5F6F55CF_AFF6F774__AF785E5B_0 -1__FEFFD617_DEBCA538__204330DF_0 -1__2BFCAFC6_FFE5052F__2C17AA97_0 -1__5FFB09DB_7EFF8303__E0FB86D8_0 -1__728FEF7A_4CE5B3B6__25AA3BC4_0 -1__7F5DBEDE_FECF2CDE__808E9200_0 -1__BCB61367_D7F38A73__E4C288F4_0 -1__B7666642_B6F6477D__00701EC5_0 -1__7FD9088B_FFFC862D__7FDC825E_0 -1__4FBD91A4_ECBFEFC4__62FDA1E0_0 -1__7D7B6DEC_EABB53F8__92C019F4_0 -1__FFBE4AE7_DAC988C7__24F4C220_0 -1__FA3C3330_EDE7AFF8__0C548338_0 -1__F495FA7D_5DFF3CE4__9696BD99_0 -1__FE76A7B2_F6AF3A26__07C76D8C_0 -1__EDFBB6F9_EDF3769E__0008405B_0 -1__A597C249_5BED7DCF__49AA447A_0 -1__EFA78722_DFDF220B__0FC86517_0 -1__2DE7AB5E_AFFF3245__7DE87919_0 -1__7B0DDEEA_2F734148__4B9A9DA2_0 -1__F7FF7903_FF2F897A__F8CFEF89_0 -1__C9EF48B4_6ED79771__5B17B143_0 -1__FCDFA1CA_2A779C8E__D268053C_0 -1__744FA2F8_678F6B3A__0CC037BE_0 -1__F9BEC7BC_1F6383B5__DA5B4407_0 -1__F5EB5DCD_7BEFD5C3__79FB880A_0 -1__2FFB304B_EB739A36__44879615_0 -1__855E9E7E_7E6DFFCF__06F09EAF_0 -1__BD67DF95_EF9F3BD5__CDC8A3C0_0 -1__7F7F23C1_8BEAA46F__F3947F52_0 -1__7D93C1ED_FDFF9ED1__7F94231C_0 -1__FBFBE80F_7F3AF583__7CC0F28C_0 -1__FFFF3C43_FFDAD127__00246B1C_0 -1__F775D813_1B8BB9AB__DBEA1E68_0 -1__DEEFDCEF_F6FE8BD4__E7F1511B_0 -1__7FC2D323_CD2B7E07__B297551C_0 -1__1BFF08A9_BF93ECE9__5C6B1BC0_0 -1__D7BA95E0_F91B4961__DE9F4C7F_0 -1__DFF90B67_DBF2B92D__0406523A_0 -1__7F9EF4B8_7F34BBD1__006A38E7_0 -1__FFDF2146_B5F79865__49E788E1_0 -1__3DEA2E0C_77FF07AC__C5EB2660_0 -1__FE5F0B30_F7FFEC66__065F1ECA_0 -1__7FEF4AFC_3FBE6B41__4030DFBB_0 -1__B6AF327A_5FFFA83E__56AF8A3C_0 -1__BFAE66A9_FEFDD7F9__C0B08EB0_0 -1__3FFB350A_5F3B427D__E0BFF28D_0 -1__3CFF9771_DBBACB66__6144CC0B_0 -1__BFEF065C_9FE71027__2007F635_0 -1__A26B1063_E5173DEA__BD53D279_0 -1__EF020B14_7BFC62DD__7305A837_0 -1__D3BAB3D8_73F3E1CD__5FC6D20B_0 -1__DBDD5668_FF694049__DC74161F_0 -1__F7FF5E54_0FBF7DFD__E83FE057_0 -1__7B4FADDA_D33DC7F0__A811E5EA_0 -1__77FF49A0_D47F771E__A37FD282_0 -1__55B9661C_E12F3612__748A300A_0 -1__EF7D1716_BFF85633__2F84C0E3_0 -1__7E7F86D8_FFFC3237__7E8354A1_0 -1__FD2F00D8_F8FD27E8__0431D8F0_0 -1__3FFBCE73_FDFE86CA__41FD47A9_0 -1__547F8CA6_F7EF448A__5C90481C_0 -1__FE7BA546_DFFF3D78__1E7C67CE_0 -1__FEE7C2FE_EDB8BECD__112F0431_0 -1__AEDF44DD_3EEF97C6__6FEFAD17_0 -1__7EF74CCA_7EBFE28E__00376A3C_0 -1__FEE93E80_7FBF18B3__7F2A25CD_0 -1__BC7E642E_1ED4D493__9DA98F9B_0 -1__17DAD99D_DDDE2ECE__39FCAACF_0 -1__FFFC9AAB_C6FC9D99__38FFFD12_0 -1__DBDF1B07_B7EF2B96__23EFEF71_0 -1__BF76AE78_5BE6B84E__638FF62A_0 -1__57FDAB4F_F3FB7520__6402362F_0 -1__F0BF5DFD_BE68AD83__3256B07A_0 -1__A9BCAB6F_59C3ABA4__4FF8FFCB_0 -1__BDF74444_DF4F879F__DEA7BCA5_0 -1__E7E71340_FFF6353D__E7F0DE03_0 -1__75FF5ED0_FFDF2682__7620384E_0 -1__6F8EDE36_EBEEB21B__83A02C1B_0 -1__2FB7A48C_FD9F6B49__32183943_0 -1__B39F3955_17F5EBE4__9BA94D71_0 -1__F5D5CEF6_FFF2391E__F5E395D8_0 -1__3BFA4903_CD9350FA__6E66F809_0 -1__7F972E7B_DB6B6FB7__A42BBEC4_0 -1__CDE700D0_7FCF2A66__4E17D66A_0 -1__B7F70751_6FFDF046__47F9170B_0 -1__FF5DF453_AB6E604B__53EF9408_0 -1__FFFFA047_FFFFACE3__FFFFF364_0 -1__B95E4F90_F7702C6E__C1EE2322_0 -1__3CD9AC8B_F8FB3B18__43DE7173_0 -1__FFE4BA97_71EDF04A__8DF6CA4D_0 -1__C67E02F2_8ADD5553__3BA0AD9F_0 -1__C2BEA1ED_FFCFB819__C2EEE9D4_0 -1__FFFE3B08_CEDF2559__311F15AF_0 -1__7EDF172B_3CCF8326__420F9405_0 -1__7EB5C8EA_B7E98FFD__C6CC38ED_0 -1__773E35C4_FBDE5A2F__7B5FDB95_0 -1__FE7E1FA7_77AE3412__86CFEB95_0 -1__EEFF8159_3FAF3029__AF505130_0 -1__FBFB7878_7DF7B3C5__7E03C4B3_0 -1__77BBF903_7E6B8586__F950737D_0 -1__5CBFBE60_FEDFC47F__5DDFF9E1_0 -1__FFFFEA7C_B7FD0868__4802E214_0 -1__F43F2643_1FEF8053__D44FA5F0_0 -1__F6554318_FFDA10C5__F67B3253_0 -1__37FB2D99_FE7B9BC4__397F91D5_0 -1__9BA7252C_7F831B33__1C2409F9_0 -1__BD7F1DBA_FCF7D350__C0874A6A_0 -1__7DDD884A_EBBF9646__921DF204_0 -1__5A9DF048_37BA155E__22E3DAEA_0 -1__DDFDD397_7FFE683E__5DFF6B59_0 -1__FDFC4D79_6F7DB46E__8E7E990B_0 -1__D9FF8F48_ED7B4B23__EC844425_0 -1__FFF5429B_FFFDD588__FFF76D13_0 -1__EBEF6B7B_7F7CDAAE__6C7290CD_0 -1__56F5E648_BFEFDBD4__97060A74_0 -1__57EFE2FC_72FF2680__E4F0BC7C_0 -1__F6FD85BA_F69EA737__005EDE83_0 -1__6EFFFA33_73FBC395__FB04369E_0 -1__9FCA17A0_16BF5571__890AC22F_0 -1__7FFFC7B3_DBFF4F26__A400788D_0 -1__6DAF70B6_DFBF5AE5__8DF015D1_0 -1__7DFBD25B_77AF313C__064CA11F_0 -1__7EFEF526_BCFE82BB__C200726B_0 -1__C9DDF417_57E788BC__71F66B5B_0 -1__3F53B643_FF6FEA6C__3FE3CBD7_0 -1__EBD7F54A_5515F8E2__96C1FC68_0 -1__FEFEDB29_EFBFBBFF__0F3F1F2A_0 -1__95EE89C6_7FD7E076__1616A950_0 -1__D3BFC3BC_CDDE5358__05E17064_0 -1__FFD72584_5F5F9B82__A0778A02_0 -1__E4AE95F3_FCBD8CC0__E7F10933_0 -1__FDA98241_B5FFA8EB__47A9D956_0 -1__DD3EC70E_75F63891__67488E7D_0 -1__FFBF7B96_EEE75678__10D8251E_0 -1__58FE98F9_3FE51308__191985F1_0 -1__9FF35377_F9EF0E5E__A6044519_0 -1__7EFB7C3D_FF77B285__7F83C9B8_0 -1__7FF6DDAA_DEB7064D__A13FD75D_0 -1__EFFAB74C_275A2339__C8A09413_0 -1__BFEBA89B_7DE50512__4206A389_0 -1__6535344F_7DDC402B__E758F424_0 -1__F7EF1717_2BFB42E5__CBF3D432_0 -1__FEFFD2E2_5F7D1A0B__9F82B8D7_0 -1__FCF5D610_CFE781CC__2D0E5444_0 -1__7FFF2C39_B3FAF8E7__CC043352_0 -1__37BFF001_EFFF8C1B__47C063E6_0 -1__4EE63378_0FDB4F5E__3F0AE41A_0 -1__FC7EF75F_FB6F3B02__010FBC5D_0 -1__6E9EE02E_1F7FC630__4F1F19FE_0 -1__CB3E8C95_F0EFDB10__DA4EB185_0 -1__38F5D1BF_7FAF6CB3__B946650C_0 -1__7FFE6311_34FB2E2F__4B0334E2_0 -1__6FFDE205_DEF184F7__910C5D0E_0 -1__7EFF6095_DEBD7449__A041EC4C_0 -1__AFCE2B2C_7F7B5B22__3052D00A_0 -1__35B93A0F_EFDFE66A__45D953A5_0 -1__5FF40AF8_7F6FF0F4__E0841A04_0 -1__5A9DD18A_F8FB704A__61A26140_0 -1__77DB7693_EFBF4378__881C331B_0 -1__BC65CDEF_3FDFA145__7C862CAA_0 -1__DFB5902D_B7AB0AFF__280A852E_0 -1__448F1F93_0DFF6443__368FBB50_0 -1__79DFBBF8_FFFFFC29__79DFBFCF_0 -1__6AA007B0_FF6F5CBD__6B30AAF3_0 -1__FDF62985_592B9737__A4CA924E_0 -1__FCBF6728_AEFC8EB4__4DC2D874_0 -1__EEE92FA1_0F9AEC97__DF4E430A_0 -1__FFF2B4E0_3FFF14E2__BFF39FFE_0 -1__3FF21946_66FF43CD__D8F2D579_0 -1__5E6F2943_EFF5AF27__6E797A1C_0 -1__7BF3D47A_BFE76DDB__BC0C669F_0 -1__5FEBB97A_713F4AFC__EEAC6E7E_0 -1__28F8B090_D7F38047__51053049_0 -1__F9FE5B2F_B4FD28CF__45013260_0 -1__59B6A59F_FB9B0F78__5E1B9627_0 -1__DEFD5F15_6BD7ACDB__7325B23A_0 -1__73EFC457_6F7D07FF__0472BC58_0 -1__4E9C15A5_2FEF421B__1EACD38A_0 -1__797EB216_321524AD__47698D69_0 -1__F3AFA92A_3FCFB600__B3DFF32A_0 -1__D9AD678F_F63B93DE__E371D3B1_0 -1__FFFBD3C7_FD77288F__0284AB38_0 -1__DEB97D58_73BD9541__6AFBE817_0 -1__486F07B0_DCEF17C2__6B7FEFEE_0 -1__DE77F555_DFEF3011__FE88C544_0 -1__F7F0C04D_3BF7211C__BBF99F31_0 -1__FBD95C5E_7FDFC23D__7BF99A21_0 -1__7F7E677D_57C55A5A__27B90D23_0 -1__EFFE054E_7ADF09DC__751EFB72_0 -1__AF742E4F_7E5FC3CA__31146A85_0 -1__6AE7A6A5_FEFB5AB1__6BEC4BF4_0 -1__5B3EE7FA_F8B3B33B__628B34BF_0 -1__1BFBE28E_FFBF9A04__1C3C488A_0 -1__B3BF1B48_2FBDAE97__84016CB1_0 -1__5BDD9F51_8DFD91EE__CDE00D63_0 -1__BD6BB7D5_3E7C20AF__7EEF9726_0 -1__F3E7AED2_7EF7669E__74F04834_0 -1__3F7B277A_CFFFAD9F__6F7B79DB_0 -1__CEFFD9C8_FECE59D0__D0317FF8_0 -1__BF7FCF19_FC7FB43D__C3001ADC_0 -1__6FFF22F2_7DEFBBB6__F20F673C_0 -1__E75F2507_37696480__AFF5C087_0 -1__7FFF4B95_76D7F1B3__092759E2_0 -1__3BDFC53B_F7D720D3__4408A468_0 -1__7B77EDB8_FF7E76FB__7BF976BD_0 -1__D9CE9390_627B7893__77531AFD_0 -1__2DF25762_FAFDFA0A__32F45D58_0 -1__FF6F755F_FB7ABE09__03F4B756_0 -1__7FBF60FF_37E44D30__47DB13CF_0 -1__AF7B40F6_FFFB5885__AF7FE871_0 -1__CFFFD812_FBF7B9E7__D4081E2B_0 -1__57B16D6B_F5F7FDE4__61B96F87_0 -1__AFFF9ED7_3C7BD055__7383CE82_0 -1__DF769B86_A5F6DB00__397FC086_0 -1__EDF7AB92_3EFB93C7__AEFC17CB_0 -1__37EFFB9B_B8876A32__7F689169_0 -1__1F5BDEDE_D1DBEAB8__4D7FF426_0 -1__FEF5F25A_51FBAECF__ACFA438B_0 -1__FDFD79DE_1E7FA9FB__DF7DCFE3_0 -1__AD9B5F1D_6DCF5FEC__3FCBFF31_0 -1__F3AA286D_3FFFC841__B3AA602C_0 -1__3EFF6DFA_7FBDEDC1__BF418039_0 -1__7AFDFC37_F49F36B6__865EC581_0 -1__3E16558F_76F77B31__C71EDA5E_0 -1__41BFBB6E_77FF8A94__C9C030DA_0 -1__3FFB134C_3B5F8973__049B89D9_0 -1__FEE7682F_18CDF430__E61973FF_0 -1__E3CF34A9_7D660CD3__666927D6_0 -1__6DBF95DD_07FFF6F2__65BF9EEB_0 -1__8CBE61E4_F43E972A__987FCABA_0 -1__D2092637_3EFDE1A0__930B4497_0 -1__EFEE0713_7EF915F9__70F4F11A_0 -1__BE5FCA05_3AFBFA8D__8363CF78_0 -1__7DBEEB7F_FFCED764__7DF0141B_0 -1__67FFBA56_F67F2C5D__71808DF9_0 -1__F7E3FC94_97B7CAD0__602C31C4_0 -1__256BD86B_7FB398C6__A5B83FA5_0 -1__7F6FB9B5_5D779570__21F82445_0 -1__3F7E8047_E8FBD325__5682AD22_0 -1__73FDCBBC_FFDFA71C__741E24A0_0 -1__57DF7D61_B4773CBB__A36840A6_0 -1__37D70B96_B7774A88__805FC10E_0 -1__39BE92EE_5CF21980__DCCC796E_0 -1__67EF5C3D_BFFFC696__A7EF95A7_0 -1__EBAED380_FBBF98F8__EFEF3A88_0 -1__FBB7A9C5_FA3FE2EB__0177C6DA_0 -1__FFFAAC6C_37FEDC51__C7FBD01B_0 -1__73FB128C_FFB6773C__74449B50_0 -1__63DEC368_FFDDFDF8__6400C570_0 -1__FDFD4140_2FA79E13__CE55A32D_0 -1__5DFF5D52_E2BF03B6__7B40599C_0 -1__F3DF86B3_DBDB88D7__1803FDDC_0 -1__5BF7D950_7D2B885C__DECC50F4_0 -1__EFFA832E_7FB747FD__70433B31_0 -1__7FEE3826_6ECB391F__1122FF07_0 -1__3DAF1E53_FDAF9947__3FFF850C_0 -1__7BFF205F_7FFB06E5__FC04197A_0 -1__FFEF8510_FF7956F7__00762E19_0 -1__BED71DC4_7DF7747D__40DFA947_0 -1__E7D7E128_2DAF8AF6__BA285632_0 -1__3F74E1C9_6F6E06EE__D006DADB_0 -1__5BFE3671_57BD2A28__04410C49_0 -1__F903AC50_D5FF9237__23041A19_0 -1__6BFD183A_9FB5BC09__CC475C31_0 -1__FBDD97F7_07FB6E0D__F3E229EA_0 -1__5A2D6421_E5D7499B__74561A86_0 -1__7BC36C39_5CFD5584__1EC616B5_0 -1__76DDEEF3_A73FE7F4__CF9E06FF_0 -1__67F1C14C_D7B675AA__903B4BA2_0 -1__1A5EF561_BC7FAEA1__5DDF46C0_0 -1__D63FCF35_FFDF1D59__D660B1DC_0 -1__FF37EBA7_34FC1DCE__CA3BCDD9_0 -1__FABFAEF6_B6F3A033__43CC0EC3_0 -1__F7FED9A4_D3DBBF01__24231AA3_0 -1__FD5F4A85_7D25FFB8__80394ACD_0 -1__FFFA4BBF_63964DAF__9C63FE10_0 -1__3FF66C85_BBF3BC0B__8402B07A_0 -1__9EEBC62C_B9CBCB6D__E51FFABF_0 -1__7F7E9225_BFF2DEAF__BF8BB376_0 -1__BF9F6524_64E71DE6__5AB8473E_0 -1__1D5BC5F2_ABEEC884__716CFD6E_0 -1__77F7EDF5_BB5D5ED8__BC9A8F1D_0 -1__DFFC1995_AC6F4263__338CD732_0 -1__9E7D4229_BD779BB1__E105A678_0 -1__DE7DFF63_DFDF72AC__FE9E8CB7_0 -1__AFF35468_9ED96BD4__1119E894_0 -1__3FF636D9_FCEF2390__43071349_0 -1__DE979731_75FDD0ED__6899C644_0 -1__B9738DF7_63D4BCBC__559ED13B_0 -1__FE3E198D_95FB3DC4__6842DBC9_0 -1__199E12F1_D5FED768__439F3B89_0 -1__3E9B3E46_CD7F8517__711BB92F_0 -1__FDB719FB_FFBF5B34__FDF7BEC7_0 -1__DFEFE4FD_BFD46321__201B81DC_0 -1__7C7F374F_6B7F2EE9__11000866_0 -1__FDF7D86E_7DD73A25__80209E49_0 -1__7FEE778C_ECBF311C__932F4670_0 -1__7FF727E8_77B9FCDE__083D2B0A_0 -1__DFE7438B_FBEF0538__E3F83E53_0 -1__DEFF7BF0_DBE7BACC__0317C124_0 -1__B79AAC80_2FFF0DD9__879B9EA7_0 -1__7B3DA519_6FF72622__0B467EF7_0 -1__ABE82BBE_FFED62FB__ABFAC8C3_0 -1__CFE75029_FB3F1BB8__D4A83471_0 -1__F7370D1B_EAF6F018__0C401D03_0 -1__FEF276B0_59CEC177__A523B539_0 -1__F9BE4C6C_9A4BD7E8__5F727484_0 -1__FDBBA0D7_FEF79649__FEC40A8E_0 -1__FFBFC972_73D094E4__8BEF348E_0 -1__3F5D14FE_6FE7692A__CF75ABD4_0 -1__7FBB8698_75B49F5E__0A06E73A_0 -1__D7AC8F05_FFBF8F74__D7ECFF91_0 -1__7F0D39C1_EA775462__9495E55F_0 -1__F27E7DC1_2FFFAFD0__C27ECDF1_0 -1__E7FF362C_FBDFF3A8__EC1F4284_0 -1__CDBECF51_FF97E723__CE26E82E_0 -1__F72AABEC_1F7F7CDD__D7AB2F0F_0 -1__9ACF0A3D_7E8F5DC3__1C3FAC7A_0 -1__DF8CDA29_BFFB9AAC__1F913F7D_0 -1__AFDEDFDA_7B7EC819__346017C1_0 -1__FFF63326_3BFE0202__C3F83124_0 -1__DDD7E1FF_7FBBE4B2__5E1BFD4D_0 -1__FAEE8F22_7831A8E5__82BCE63D_0 -1__7FFAEAF6_DD570F2F__A2A3DBC7_0 -1__E3CFAF93_FDB52C2B__E61A8368_0 -1__E7D70DF3_1B7F6D42__CC57A0B1_0 -1__1FEDF45C_CFEFC7A1__4FFE2CBB_0 -1__3DF8A2AC_FF5E1325__3E9A8F87_0 -1__FFFF614D_6B53A636__94ABBB17_0 -1__7BD665DD_F6EF226A__84E74373_0 -1__E97F39C0_EB4705EA__FE3833D6_0 -1__7ECB53B5_BFFD9658__BECDBD5D_0 -1__B7F2B743_AB7FF77D__0C72BFC6_0 -1__3DCE3CEA_5FFD450E__DDD0F7DC_0 -1__FAEF92D7_FFBE1F41__FB317396_0 -1__35CAB6D4_3B7BF208__FA4EC4CC_0 -1__1FEB39AC_5BDB5C3F__C40FDD6D_0 -1__34DF2C99_F37FE26F__415F4A2A_0 -1__1F6E3456_5FCFDC85__BF9E57D1_0 -1__DE559A87_6FF46C6D__6E612E1A_0 -1__7FF76825_6C5F5F79__139808AC_0 -1__4F7981D0_A6FD2FDC__A87C51F4_0 -1__779FB020_5B6F4503__1C306B1D_0 -1__F7CF6106_FDFF8888__F9CFD87E_0 -1__DCF2B339_DFFF394A__FCF379EF_0 -1__7D7B80B2_78ED1D69__048E6349_0 -1__FDFE9F8B_7FB6AB58__7E47F433_0 -1__2AF14AAD_F67EF48B__34725622_0 -1__2F6E9581_65672E41__CA076740_0 -1__BCDF580A_6FE50FB4__4CFA4856_0 -1__2FF43EFD_6D770D61__C27D319C_0 -1__7FAF3185_7FF7D205__FFB75F80_0 -1__7FBAFAB3_3FA4DD80__40161D33_0 -1__3FFBF048_5F6FB1A6__E08C3EA2_0 -1__7EEE8279_1FE6BB64__5F07C715_0 -1__B57B8197_EBD3D25C__C9A7AF3B_0 -1__CCBF0EA9_7FBD237A__4D01EB2F_0 -1__71ECAD46_4F1C2F52__22D07DF4_0 -1__FB5F6C2F_C6ED19FB__34725234_0 -1__FBFFD1E1_79EDBFF7__821211EA_0 -1__1EFB64CD_FFFFDBCE__1EFB88FF_0 -1__E76E648A_B3F7BBC0__3376A8CA_0 -1__7BE2B6BB_FCFA4638__7EE87083_0 -1__1757D409_F63335F7__21249E12_0 -1__17D8E11B_FFF90E21__17DFD2FA_0 -1__F5FD3360_17FB9343__DE01A01D_0 -1__5C7AAEE7_ECDE5113__6F9C5DD4_0 -1__EBFC0B60_FA3FE1D3__F1BC298D_0 -1__37DBFCF6_BDF3CCE5__79E83011_0 -1__EDFEA030_E18F25E9__0C6F7A47_0 -1__777F425E_77F70702__FF883B5C_0 -1__27DAD43C_F3F565D4__33E56E68_0 -1__7B3B7DEC_37952740__43A656AC_0 -1__FF59986C_DF7FCED2__1FD9C99A_0 -1__7CFDE45D_FFDF25E5__7D1EBE78_0 -1__1E35F496_BE77CF4C__5FBE254A_0 -1__DBA531A7_F5FFB3C3__E5A57DE4_0 -1__FFB85D44_7B7BF850__843C64F4_0 -1__8A6FCDB7_AFFD1E4F__DA72AF68_0 -1__DBDFB4C4_DEFF2875__FCE08C4F_0 -1__6195DDF0_FF7BC4CC__621A1924_0 -1__7BBF4262_6FAF25E4__0C101C7E_0 -1__B333CC5D_4F659BE4__63CE3079_0 -1__FBF089AF_7FBAC42D__7C35C582_0 -1__DBFF1703_F2E7D7F4__E9173F0F_0 -1__F5FF2527_3EF795FF__B7078F28_0 -1__9FF70D8A_EE7F2DA8__B177DFE2_0 -1__7FDC655D_FF6ED42E__806D912F_0 -1__65BFE2E0_F7DFD4E1__6DE00DFF_0 -1__3BEE1922_77CC2C78__C421ECAA_0 -1__F6FE4FC6_DB3BD75F__1BC27867_0 -1__EFB5FFB6_3E7EC7A5__B1373811_0 -1__376F85CD_57FE0B5B__DF717A72_0 -1__FFFA48F8_EEFDEE18__10FC5AE0_0 -1__9F1F9BC5_ADFF0DCE__F1208DF7_0 -1__6FCE27F3_FFFF9F5A__6FCE8899_0 -1__FF6FCC28_BEEFA527__40802701_0 -1__C38F9D6C_3FFFDB56__838FC216_0 -1__F17FD181_7FFFF62A__717FDB57_0 -1__5FFB7A18_FF767C19__6084FDFF_0 -1__693DB8C4_6B7DE2A3__FDBFD621_0 -1__7BAF8D52_79F60900__01B98452_0 -1__3B6EF904_9FFED809__9B7020FB_0 -1__7EFF1739_FFFECC9B__7F004A9E_0 -1__6DF70EE3_BCFE4F1A__B0F8BFC9_0 -1__4F2CD6F0_4F9E62C1__FF8E742F_0 -1__74CD681D_67F7160E__0CD6520F_0 -1__5DEF87F9_5F9AEBC2__FE549C37_0 -1__3EFF1708_1F7B99CB__1F837D3D_0 -1__FEFF4A55_5FF72BF5__9F081E60_0 -1__F62B9741_6FE94049__864256F8_0 -1__AF7E8A68_EBEE5602__C3903466_0 -1__EF3FAD2F_3A9F9BA4__B4A0118B_0 -1__4B26E741_7F020AE5__CC24DC5C_0 -1__7FFE3D1A_BCFFD25D__C2FE6ABD_0 -1__FE8CCB8E_DDEF0A5E__209DC130_0 -1__FFFF0F1C_3CFE8472__C3008AAA_0 -1__73336654_3E1B0AA0__35185BB4_0 -1__EBB9154C_7E7BAFD0__6D3D657C_0 -1__EBD9DC36_FC3D49DC__EF9C925A_0 -1__7FEE35F7_1BFE2CC6__63F00931_0 -1__A5378FBC_DBB9BEF5__C97DD0C7_0 -1__FFDCD5D5_CB4BE05F__3490F576_0 -1__B7EF1C0B_3E4CB5C5__79A26646_0 -1__FE8FFFFD_67FE00B8__9691FF45_0 -1__7FFF6A9F_6F7799E0__1087D0BF_0 -1__6F4D6A4B_8EFF54F7__E04E1554_0 -1__6EDFE290_7FFD3AE5__EEE2A7AB_0 -1__FEFFBDBD_F7ADC291__0751FB2C_0 -1__FF79C359_3FEB3298__BF8E90C1_0 -1__E9FF7838_FE73CAF4__EB8BAD44_0 -1__DD551BF8_7EBF3B8F__5E95E069_0 -1__767B552A_6FCF6F54__06ABE5D6_0 -1__27FFFA9E_ECDD127F__3B22E81F_0 -1__E7BD5D3C_3F6FD91A__A84D8422_0 -1__7F5DB16E_F7EFC080__876DF0EE_0 -1__7F5FE5E5_7561F654__09FDEF91_0 -1__3FEA4AFB_E37904F6__5C714605_0 -1__ECFFFA27_3DE6C7E3__AF193244_0 -1__3F4FD842_FFFB1168__3F54C6DA_0 -1__F57EAF36_7EF53189__76897DAD_0 -1__4FDE6AF8_EFBAC963__6023A195_0 -1__EF7D6C49_7FC50F72__6FB85CD7_0 -1__6F9F0E9D_EDF7F452__81A71A4B_0 -1__7F879CF7_7EAF0CF0__00D89007_0 -1__B37F103E_66DF614E__4C9FAEF0_0 -1__4F79B338_5CBF8F6A__F2BA23CE_0 -1__EABFAC70_6FFEAAF1__7AC1017F_0 -1__ED7FF75C_F47770B5__F90886A7_0 -1__EE9E742E_BEFFB04A__2F9EC3E4_0 -1__FFDFCF73_DFFCC56C__1FE30A07_0 -1__6F9DDB81_5BEF3E55__13AE9D2C_0 -1__7FFB450E_D7F5AB28__A80599E6_0 -1__DE1F284A_79DF5579__643FD2D1_0 -1__B97F929E_D53D49ED__E44248B1_0 -1__977E533B_4B3B1BC9__4C433772_0 -1__6F94128E_3BFD6963__3396A92B_0 -1__037EC65F_DBE57118__27995547_0 -1__1DBC8D6A_7FDDEB50__9DDEA21A_0 -1__FE23E076_7DA3C06B__8080200B_0 -1__CD9CD068_5FEF30A2__6DAD9FC6_0 -1__FFBE4551_FD5826CA__02661E87_0 -1__AFE7B8C4_6BF7E3D6__43EFD4EE_0 -1__2DF94414_7DAD12E5__B04C312F_0 -1__B7FF9507_3FFC49F5__78034B12_0 -1__AEFFE094_7D72DBA7__318D04ED_0 -1__F75FAC1E_3B6B6E70__BBF43DAE_0 -1__B99B3837_FBBF17FA__BDDC203D_0 -1__4BDF5641_EE2F042A__5DB05217_0 -1__9D77FC01_F5BEFC36__A7B8FFCB_0 -1__75DF330B_7EAF3096__F7300275_0 -1__6FFFDF25_6FD7F0DF__0027EE46_0 -1__FFFFF136_3FFD3D8A__C002B3AC_0 -1__7F5BA878_BFBD0CBE__BF9E9BBA_0 -1__FDD7D1E4_3BDDDB69__C1F9F67B_0 -1__E9FE7121_72FDB403__7700BD1E_0 -1__7EFF41C2_77FB7D29__0703C499_0 -1__5E37E40B_FEE9865F__5F4E5DAC_0 -1__FFF6B074_BEBE343B__41387C39_0 -1__1FFF0E61_36ACA255__E9526C0C_0 -1__D5F74A7E_EB8782F7__EA6FC787_0 -1__7FF4E9D3_5EEE9436__2106559D_0 -1__6FEE6851_BCDFE21C__B30E8635_0 -1__B17D13DB_BFDEFA5D__F19E197E_0 -1__1FFE2369_D55E9448__4A9F8F21_0 -1__7FE93058_1F663264__6082FDF4_0 -1__7EFA8EDD_6F661213__0F947CCA_0 -1__EFDF0FBF_ECEF422D__02EFCD92_0 -1__EFCC15FA_46FA29CA__A8D1EC30_0 -1__BFAB1DEC_FF9F6740__C00BB6AC_0 -1__D73B6F91_FD9F3748__D99C3849_0 -1__8FFFC544_FEE6F1A7__9118D39D_0 -1__3F7D99D5_7EFB7D60__C0821C75_0 -1__5776E13C_5E6A502F__F90C910D_0 -1__7AE3FB9C_FBDF3C41__7F04BF5B_0 -1__0BBEA4F0_BFF76617__4BC73ED9_0 -1__BF7F29DC_57FF077F__6780225D_0 -1__5FF34C27_BE7FD5D9__A173764E_0 -1__B87D023E_7EBF5CE1__39BDA55D_0 -1__F6EBE4FA_65ED5427__90FE90D3_0 -1__FEDE698D_FFDC022C__FF026761_0 -1__BE771BAB_E6F7D337__D77F4874_0 -1__F7FB2255_FDD7E15A__FA2340FB_0 -1__FE5F3F50_AD9FFEF3__50BF405D_0 -1__782F3B8B_70CE48DF__0760F2AC_0 -1__73FC17DA_4FFB76B8__2400A122_0 -1__4FFFF6E9_6B7FA5E8__E4805101_0 -1__5AFD288E_BFD9D160__9B23572E_0 -1__333B7D3C_FF5A059B__33E177A1_0 -1__79750E76_DFFE879C__997686DA_0 -1__35F4498B_7FD13CFE__B6230C8D_0 -1__C7FFC206_7E078E71__49F83395_0 -1__FD77CB7B_7A46B839__83311342_0 -1__FFF10DDC_FF5A42EE__0096CAEE_0 -1__65FB9FCB_FFD77826__662427A5_0 -1__7B313A98_0F7F2485__6BB21613_0 -1__F9BFFD4F_D7E1BE65__21DE3EEA_0 -1__5C6F2609_4877428E__13F7E37B_0 -1__7FF8A3F0_E7FBAC12__97FCF7DE_0 -1__EF7F0573_CEF3F20D__208B1366_0 -1__5FBF171C_37B70D0C__28080A10_0 -1__B3B716E8_E76EE7AC__CC482F3C_0 -1__DFCA2495_F1DF0FAF__EDEB14E6_0 -1__FB7E500B_3C9F09D2__BEDF4639_0 -1__EAEE35F9_94BFA045__562E95B4_0 -1__7FFF2A6C_57FB285A__28040212_0 -1__5F5BF62E_4FFFB470__0F5C41BE_0 -1__2BFE8680_57FE62A4__D40023DC_0 -1__2FFB5AB0_F777A903__3883B1AD_0 -1__7BFAE010_7DEFC48F__FE0B1B81_0 -1__F1DE5119_7BB35367__762AFDB2_0 -1__EDFD9AB9_2C079FE4__C1F5FAD5_0 -1__7A6F089B_15EFE656__647F2245_0 -1__7E6FC864_7D0F7DFC__01604A68_0 -1__776E8DC9_FF5F1376__780F7A53_0 -1__D2EFAD3E_FBF5BB88__D6F9F1B6_0 -1__3E7F3BB5_3E997FD1__FFE5BBE4_0 -1__B2DFD691_E3AEDE33__CF30F85E_0 -1__3FFB0BBD_DF9D4ACF__605DC0EE_0 -1__6BFD8CD5_F7702318__748D69BD_0 -1__7FEFB612_FBFF2C5C__83F089B6_0 -1__9EFFEA56_DFEA33A3__BF15B6B3_0 -1__41BF39AB_2FAD0845__12123166_0 -1__FFFFE378_EBBF530F__14409069_0 -1__1BFB5CA6_F7BFC43F__243B9867_0 -1__3F1FDF2C_FFF90907__3F26D625_0 -1__EF35ECF1_FBB9A83F__F37C44B2_0 -1__F7D73DE1_DCFF1B17__1AD822CA_0 -1__EED37F28_577E5E68__975520C0_0 -1__3E8FE207_5D3FC4DD__E1501D2A_0 -1__BEFDB0FB_3FBD1ECD__7F40922E_0 -1__3FFDF8D4_2FF33548__100AC38C_0 -1__71FDD5F2_358F82F7__3C6E52FB_0 -1__7DF67891_F7FF4F2F__85F72962_0 -1__DFFD02D2_5FFC46CC__8000BC06_0 -1__2B53EC95_38B5CBB2__F29E20E3_0 -1__5FBD63FB_5A7B7566__0541EE95_0 -1__FDBFF307_90AF1764__6D10DBA3_0 -1__BEC93921_D3DB8B5A__EAEDADC7_0 -1__EF642FDB_3BD7DBE8__B38C53F3_0 -1__75B7E328_77FDA3CE__FDBA3F5A_0 -1__7ABCC9B4_7FF74F65__FAC57A4F_0 -1__94FFCEE4_EF8D8BD9__A572430B_0 -1__EC843EE0_1BF9DF44__D08A5F9C_0 -1__EFCABC85_EFDD8561__FFED3724_0 -1__FFD76A17_78FF8BA8__86D7DE6F_0 -1__FFB9EE4A_53FE0370__ABBBEADA_0 -1__BFEE3B8F_67EFFE7A__57FE3D15_0 -1__6FFEAF91_7FDC8337__F0222C5A_0 -1__D2E31E60_7ADFF38F__58032AD1_0 -1__7CB9BC39_73FF8469__08BA37D0_0 -1__343FDE18_57FF2EE6__DC40AF32_0 -1__6BF6778C_BFFDFF39__ABF87853_0 -1__5FDF9F9D_2F7F9734__30600869_0 -1__FEBF54D7_3BFFB596__C2BF9F41_0 -1__996FB2A3_FD698090__9C063213_0 -1__77F214A3_EBFFF114__8BF2238F_0 -1__7FBFDAC7_7F7FC7CA__004012FD_0 -1__FFCB4774_51303B92__AE9B0BE2_0 -1__FF9F47FC_FE5B5EB0__0143E94C_0 -1__9F754906_37EE9C70__6786AC96_0 -1__BEDFC8A3_751E948C__49C13417_0 -1__FFB1C341_5DEB16E9__A1C6AC58_0 -1__767BEDE1_AFE33069__C698BD78_0 -1__56A3A00D_DFDD61DC__76C63E31_0 -1__FFF6E5E2_B7BE5416__483891CC_0 -1__83B5109F_7F5D5B21__0457B57E_0 -1__FDAFC44E_2AB7CF7F__D2F7F4CF_0 -1__FFF35220_DFEFCA02__2003881E_0 -1__7F9E5021_EDEDEB06__91B0651B_0 -1__EFB7267C_BFB3DC6B__30034A11_0 -1__4592D45F_EFF37975__559F5AEA_0 -1__63DA3215_6F3723CD__F4A30E48_0 -1__B1FA275E_B5FE39DD__FBFBED81_0 -1__FFBF856F_3FFF2AA1__BFC05ACE_0 -1__BFED3EDC_FD7D5D0A__C26FE1D2_0 -1__6B777D1B_EEA9F50F__7CCD880C_0 -1__D8E26D60_FFE5BDD1__D8FCAF8F_0 -1__3BBF3797_BFEE3202__7BD10595_0 -1__7AFE15F0_7ED56D8E__FC28A862_0 -1__BCD34509_7AB6ACB7__421C9852_0 -1__6B7945C8_7FBD4242__EBBC0386_0 -1__3BFB4D0F_7AD71D02__C124300D_0 -1__ED9BE1CA_EDF788A0__FFA4592A_0 -1__DBFF18E2_FEDD93B8__DD21852A_0 -1__3FF7C52C_FAEEAECB__45091661_0 -1__E77BF2EE_59AF18AD__8DCCDA41_0 -1__6BEF80F1_77DD42C0__F4123E31_0 -1__6F3FA0A6_3C666073__32D94033_0 -1__6CF26483_7DEC058C__EF065EF7_0 -1__7A77E1F6_EFFF448B__8A789D6B_0 -1__67F7C4B1_7F9C36A7__E85B8E0A_0 -1__7FF6AB99_7FF765FC__FFFF459D_0 -1__CCFF86CD_67DE01EF__652184DE_0 -1__27DEB26C_FEFF5DCD__28DF549F_0 -1__7DDFEF34_5FEE7771__1DF177C3_0 -1__EFFEE095_7BB5C260__74491E35_0 -1__6D9B66BD_77FC63F8__F59F02C5_0 -1__6AFC7CA1_769EDA0D__F45DA294_0 -1__E8FF821E_BF5F84F6__299FFD28_0 -1__7BF60F16_FC3A893D__7FBB85D9_0 -1__3FCFF591_34F5F65F__0AD9FF32_0 -1__EFD3F888_BEDABBF5__30F93C93_0 -1__FDFD8CB4_FEF55A90__FF083224_0 -1__DCF9821B_FFF3C9AA__DD05B871_0 -1__EFB68747_6BFFB340__83B6D407_0 -1__6EB5BB25_BA2FF783__B485C3A2_0 -1__FF7FBB05_5B7FA654__A40014B1_0 -1__DDFF4E6C_BBA62095__22592DD7_0 -1__7DEFCDA3_B8D7F3FC__C517D9A7_0 -1__2DFF6ABF_6DFBB4EE__C003B5D1_0 -1__ED3D1DAD_78790B51__74C4125C_0 -1__5FBCEB97_35FF0288__29BDE90F_0 -1__37FFCBF5_75DE6B86__C221606F_0 -1__5EA9E15B_3BFECAE7__22AB1674_0 -1__5EDE38C0_FE7D1D12__60611BAE_0 -1__B8AFBFAB_C9FFAA6B__EEB01540_0 -1__7CF42451_7C9F5AA8__0054C9A9_0 -1__17C3EB92_FDE7A1CB__19DC49C7_0 -1__717F9864_FF1A2BEC__72656C78_0 -1__D62DC38E_F3BE3C64__E26F872A_0 -1__36FF1007_5FF37619__D70B99EE_0 -1__7FBD9E5F_D7AC9981__A81104DE_0 -1__7F9F4D55_5BC51F6C__23DA2DE9_0 -1__5AFF430A_6FEF1923__EB1029E7_0 -1__5EFB6437_A3DF41DD__BB1C225A_0 -1__6FF1263E_EBFC8BDD__83F49A61_0 -1__F5ABC923_54FF6B71__A0AC5DB2_0 -1__F3727361_3F7F1AF0__B3F35871_0 -1__FC7D38AE_7FA389B2__7CD9AEFC_0 -1__743F9FC5_7FFFE3C8__F43FBBFD_0 -1__ACEFBC63_7F9DE6DB__2D51D588_0 -1__7F79118F_FFFF942B__7F797D64_0 -1__BD9771E5_7FFF3156__3D98408F_0 -1__565FD3AB_EB0E6EF3__6B5164B8_0 -1__59EECF99_570F24A1__02DFAAF8_0 -1__EAEE7210_EFB718BF__FB375951_0 -1__DFF47C4C_77BF2BDD__6835506F_0 -1__D7F6795B_7D9F9163__5A56E7F8_0 -1__7DFF1376_DFF57E70__9E099506_0 -1__F5F75C64_FFFBDCCA__F5FB7F9A_0 -1__7DDD58CB_B5DFF50A__C7FD63C1_0 -1__7E2DB08C_FFF12467__7E3C8C25_0 -1__27BFAC08_577F5B48__D04050C0_0 -1__FFFEBEB4_3FFDF7CD__C000C6E7_0 -1__EAEFC715_676B1FD2__8384A743_0 -1__7CF77D19_536A9EC6__298CDE53_0 -1__FF83768F_DFFF7850__1F83FE3F_0 -1__FF3AB4A8_FF6E94E4__FFCC1FC4_0 -1__3DF996B5_58CB92CA__E52E03EB_0 -1__37FFEBA5_BAD52C4B__7D2ABF5A_0 -1__4EFCEF5A_7A5EFD0A__D49DF250_0 -1__DBFF7FB0_5A6F8293__818FFD1D_0 -1__EF375139_FCAF144C__F2883CED_0 -1__FEEF6B7E_5F4ABDE1__9FA4AD9D_0 -1__3FFE3684_739D75BA__CC60C0CA_0 -1__65695114_5BAF3475__09BA1C9F_0 -1__3BDFF934_FBF61F56__3FE9D9DE_0 -1__77763602_FFCF9A42__77A69BC0_0 -1__EF6EDE7C_F77A971A__F7F44762_0 -1__67F3E7DE_F1DA7904__76196EDA_0 -1__5F7DE17F_A98FC0A2__B5EE20DD_0 -1__FFBF1576_36BF1EF8__C8FFF67E_0 -1__7FBFFF5A_FFF77C99__7FC882C1_0 -1__5F670EF8_EDB54EE0__71B1C018_0 -1__7FEBE29C_EED5A8B4__911639E8_0 -1__FE6DCECA_7F3FB2A2__7F2E1C28_0 -1__E5B9C0FC_27FD96D8__BDBC2A24_0 -1__53CF3675_7F7FF1FA__D44F447B_0 -1__7FF5DAD9_FFDBFE48__8019DC91_0 -1__3EF454EF_2EFF3E21__0FF516CE_0 -1__FBD7B12B_9BC7EACC__600FC65F_0 -1__FFEF1203_D9E77D52__260794B1_0 -1__F92558AE_75FF1F8E__83263920_0 -1__FF924DCA_53451A70__AC4D335A_0 -1__DD3B3F3C_BFF56FB3__1D45CF89_0 -1__7EF771B8_2F2C3AC9__4FCB36EF_0 -1__6BFFCD81_F3359199__78CA3BE8_0 -1__ECFF93E5_D30F423D__19F051A8_0 -1__F7AB6249_FBBD290C__FBEE393D_0 -1__FCF821AA_7FEFF6E7__7D082AC3_0 -1__FFD7D723_7BD93C62__83FE9AC1_0 -1__8F6B3F93_DFFF5059__AF6BEF3A_0 -1__7FF50EBA_1F7F61B4__6075AD06_0 -1__8CAF7654_CB6F67FF__C1400E55_0 -1__BD0DABFD_7BED10EF__41209B0E_0 -1__FFFFFFFF_FFFFFFFF__00000000_1 -3__C90ED5A5_4DADDEFA__00000001_0 -3__36DF1620_EEBE4809__00000000_1 -3__6FEE474C_D7FA1DBB__00000000_1 -3__EBFF3EDF_DDBE0DF9__00000000_1 -3__75B51A99_7EEF26C2__00000001_0 -3__BEFB1F55_7BFD87C8__00000001_0 -3__BAFAE564_757FDD03__00000001_0 -3__2BEF94B5_7FFE8999__00000001_0 -3__FDE3C3D2_7FFB8774__00000001_0 -3__538FE083_FAD9DDCA__00000000_1 -3__3663A5CE_FFFF5CA9__00000000_1 -3__FF7EFE17_DDFD76E1__00000000_1 -3__F9FFF756_7BA3B1B5__00000001_0 -3__333BA66E_BB5F4F22__00000000_1 -3__5F7C5CB6_DF7D4832__00000000_1 -3__FFF65785_3FF9BA4A__00000001_0 -3__7AED74C7_FFCE5442__00000000_1 -3__EFFD2FD3_EF85E611__00000000_1 -3__7DFFD6E4_2EFCC50C__00000000_1 -3__FFD3117A_DEFE9264__00000000_1 -3__3D7F8AF7_77FE858F__00000001_0 -3__ADFF68F9_CE7F69D5__00000001_0 -3__7F2B46FF_6DFF0B22__00000000_1 -3__FEFFF741_EFE73701__00000000_1 -3__6F889EFD_3BDFD62A__00000000_1 -3__7FFF841B_6967B15C__00000000_1 -3__66D82B52_3A27238F__00000000_1 -3__BAFFC8E1_5C8DFFC8__00000001_0 -3__DBBF44AE_5995982F__00000001_0 -3__63FF09EF_7FCE8B93__00000001_0 -3__FCDB5B93_4FFFC564__00000001_0 -3__7F51743F_55FB2C83__00000000_1 -3__7F2F24C8_FEFBD451__00000000_1 -3__6CB5A169_77F89A59__00000001_0 -3__9E577256_797A751E__00000001_0 -3__37AD66B9_3FDCA5C9__00000001_0 -3__5FFF8C8D_FFBE1884__00000000_1 -3__CB9F7E94_7DFD5C65__00000001_0 -3__76FE3B4F_FE6FD531__00000000_1 -3__EBDF6019_3F7FED12__00000001_0 -3__79DDDE53_7D9F3A3B__00000001_0 -3__7F3ED42F_97FF0805__00000000_1 -3__FFCF3672_FF3FEE38__00000000_1 -3__DFBF8411_7BB9BC5F__00000001_0 -3__5BBA6A79_76BA2B75__00000001_0 -3__7EEE757D_EEFFDBBF__00000000_1 -3__259D22DC_BF7F00F4__00000000_1 -3__FFC38498_563D48CE__00000001_0 -3__D5FD4437_FF58B5C3__00000001_0 -3__57EA76DB_5FEE9C95__00000001_0 -3__664A877F_DE5D3CEF__00000000_1 -3__7B6DE453_53FB3DAA__00000000_1 -3__AF6913A2_08FFAB78__00000001_0 -3__5F7B7C90_3EFCD0D1__00000000_1 -3__789F9B9D_FD93E033__00000000_1 -3__7DDDAF0D_9E9B85BB__00000000_1 -3__F17B42F7_A7FBDEBA__00000000_1 -3__FDBFE81C_C5EFED81__00000000_1 -3__DFE31F9C_7BC1B608__00000001_0 -3__762F6D8E_E9AE4ADB__00000000_1 -3__EF5FF58A_7D7B3FDD__00000001_0 -3__27FD71F3_1FFF19EB__00000000_1 -3__9FDFC8A4_FDF38225__00000001_0 -3__C7F78C2C_FEFB4C14__00000001_0 -3__EF9ACC93_0D1FFAC4__00000001_0 -3__74D7C900_6EFD172E__00000000_1 -3__DFDF6BA5_27CF463E__00000001_0 -3__ABDE5D18_FAF9D4B1__00000001_0 -3__CB7B0A25_13E5CA7D__00000001_0 -3__DDE13ECB_BFF66D6C__00000000_1 -3__EFAFAEC4_FFE1EA41__00000001_0 -3__5AEBEB68_7FFFB656__00000001_0 -3__FFFF8A44_DBBFFC71__00000000_1 -3__DBE3371E_C96F33DC__00000000_1 -3__FDD9F5D4_75CD2D7E__00000001_0 -3__FFFB0865_7DDFBF70__00000001_0 -3__9C59B456_D8CFB80C__00000001_0 -3__7B3F6152_7CF96485__00000001_0 -3__B7FEDF6C_3EFFFCDD__00000001_0 -3__1EFE0825_D8EDDE08__00000000_1 -3__FBFE58A3_5F7FA877__00000001_0 -3__4F7FC0F0_CF7FD6F1__00000000_1 -3__9EFD6F4C_FF781F53__00000001_0 -3__F73D23B1_6F4FC124__00000001_0 -3__57BB617E_F2A72B13__00000000_1 -3__2FEF47A0_7FD25536__00000001_0 -3__7DB7A300_7EEF449F__00000001_0 -3__3DDB33A8_77E2AB63__00000001_0 -3__56FF72DC_FDAE6D6E__00000000_1 -3__EFFE9E84_7EFFA053__00000001_0 -3__EB6FFAAF_1CBDBCFA__00000001_0 -3__EF7D36DC_BFBFA0BD__00000000_1 -3__FFFB093E_FBF3B7C8__00000000_1 -3__E7F6776E_DE95A6FB__00000000_1 -3__B77BFC64_1D7F4A5F__00000001_0 -3__E97D4FE8_7BF15727__00000001_0 -3__F77BC362_9D7E959E__00000000_1 -3__FEEFBAF9_6FBF9154__00000001_0 -3__7E754141_4FFF76B6__00000000_1 -3__FBFAA07F_5CE1CE4C__00000001_0 -3__1F1D9644_753F53E7__00000001_0 -3__7FEF9C1D_79EC46D4__00000000_1 -3__7EDEFF89_AFEC9B65__00000000_1 -3__7DF95906_DF7D4BC2__00000000_1 -3__FBE644C7_CB6BC3D9__00000000_1 -3__68BF3748_BFB1919D__00000000_1 -3__FFFB3F35_EF5DF057__00000000_1 -3__17BE48DB_6AECBE91__00000001_0 -3__67BE7E06_CF5B7491__00000000_1 -3__FF2DD362_6F7A617B__00000001_0 -3__5E7B0F37_7C3FB92D__00000001_0 -3__76F6076B_3EDC4D66__00000000_1 -3__7A9F589A_FB963EBB__00000000_1 -3__BDFEA859_ADF790C4__00000000_1 -3__7FFE5539_3E7F5626__00000000_1 -3__F28DE60E_BE7791D0__00000000_1 -3__FD3BC67E_B66770B8__00000000_1 -3__67BFB6F1_BD728939__00000000_1 -3__185FD646_BEB8D370__00000000_1 -3__7FFF80C1_7F75677F__00000000_1 -3__FFEE599F_E9B522BF__00000000_1 -3__7F6D8C2C_DFFBA1D3__00000000_1 -3__7BFF972C_5FFF01D5__00000000_1 -3__7EF11632_5FF996F0__00000000_1 -3__7F7F3E40_F35FCC89__00000000_1 -3__4FADC7EC_79DB4C8A__00000001_0 -3__44B75D43_FFF5D112__00000000_1 -3__7EFBB072_3671D5CB__00000000_1 -3__3F3FD9DF_FA7FB11F__00000000_1 -3__DFDE788F_71CB5065__00000001_0 -3__4BFE6835_77BBB86E__00000001_0 -3__7363E7F9_8DDD6685__00000000_1 -3__FDF74A1B_7CFD309E__00000001_0 -3__5D28CD7F_7CFF6A9A__00000001_0 -3__EFFF9FAA_F7FBFF89__00000001_0 -3__FEBC67C8_AC8F1EDE__00000000_1 -3__BFA9C542_DEFFADB5__00000001_0 -3__76FF1AA1_7FFEE438__00000001_0 -3__37FE6E17_BBEF2B83__00000000_1 -3__E7FB4172_DFF75F5C__00000000_1 -3__B7BF2B65_37FB602E__00000001_0 -3__B7FDF19C_7DFC4CBA__00000001_0 -3__E7EF84C6_577E0D75__00000001_0 -3__9FDEF2D7_66BE15C5__00000001_0 -3__6C6E71FA_E7FFC33C__00000000_1 -3__FBF7FD57_BFBF12B6__00000000_1 -3__8F7DF52D_67EB0980__00000001_0 -3__F7F7163B_F6F72325__00000000_1 -3__7B5AF0C1_3DFDA6B2__00000000_1 -3__3DF9F7CB_5DECFB9C__00000001_0 -3__ECBEA61B_7F6F689E__00000001_0 -3__7BF69134_FFF5FC59__00000000_1 -3__6DD4672E_3F3EFB14__00000000_1 -3__F3CD7CE8_9FBF3C8C__00000000_1 -3__5BEF0FDD_B7FD6576__00000000_1 -3__7A7FD702_EDF521E3__00000000_1 -3__CD9FBE5A_2EE9740B__00000001_0 -3__7FAFCA81_76DF74C7__00000000_1 -3__F1DF3FE6_1F9695C5__00000001_0 -3__FEDDD866_7B8D4F04__00000001_0 -3__EF3FA050_5E1F69F0__00000001_0 -3__3FFB3CD2_3DFF0A84__00000000_1 -3__7FF682B7_F5EFD608__00000000_1 -3__DDFF3D87_D9FA3780__00000000_1 -3__DFD79FBD_4E991921__00000001_0 -3__FDBDFB21_5F7A81B6__00000001_0 -3__26EF67F1_377AB3A0__00000001_0 -3__FB157B12_EEE5D20A__00000000_1 -3__AB6F9E41_FB2F683A__00000001_0 -3__FDFBF54D_FDEF09FB__00000000_1 -3__79FFED0A_39F4B789__00000000_1 -3__BEE122E8_EF8B1C48__00000001_0 -3__CFBBE5D5_7FF53C13__00000001_0 -3__3FFB6905_FFFBE0AB__00000000_1 -3__2FE432E2_EFE76501__00000000_1 -3__7DFAC49C_7FFC4292__00000001_0 -3__BDF321CC_FFC91B00__00000001_0 -3__FCF33F25_FFF5502C__00000001_0 -3__B1FF1204_EDFF608D__00000001_0 -3__F57FBF58_66E8CCA0__00000001_0 -3__3FF781D5_FF77D83F__00000000_1 -3__5073163A_7BFBB0CB__00000001_0 -3__71B5A4AA_FF7F5C96__00000000_1 -3__DA3B91A1_072270B8__00000001_0 -3__58FC0169_AFCF5412__00000000_1 -3__FB7557F5_FF7F79FF__00000001_0 -3__B3BE404D_FFBD691C__00000001_0 -3__ED7EF4F7_B7BEA3AE__00000000_1 -3__475A7099_77BEDE46__00000001_0 -3__0BFFDBA3_F37F5813__00000000_1 -3__7B6F3AAE_8BFEFE77__00000000_1 -3__3DFF9475_AECF086F__00000000_1 -3__FDFF75C5_357053D3__00000001_0 -3__5F2F3285_BF7F2084__00000000_1 -3__FDCB99C8_7BFBADCD__00000001_0 -3__EEB76A1C_7DE71249__00000001_0 -3__EFBE7677_FFFF0D5F__00000001_0 -3__1FDF7452_BFFF18B3__00000000_1 -3__BF77488F_EBFDE127__00000001_0 -3__DF9ED9D6_7FCF4CB7__00000001_0 -3__BEFF361A_63D948BC__00000001_0 -3__7DB9CA89_3CFF9E9B__00000000_1 -3__FF3F9027_3F6F4D9F__00000001_0 -3__3FFA02A1_B97F6665__00000000_1 -3__D77F7FD1_FE2FF31D__00000001_0 -3__75E8D6EB_59E7B43A__00000000_1 -3__7DDB8F72_BBCE2C04__00000000_1 -3__DDEB3DBB_F7FE9A17__00000001_0 -3__7FFB0A52_BCEAE2F8__00000000_1 -3__5D5A24A5_FEDFE886__00000000_1 -3__F9BF5DA6_7FEDAF7A__00000001_0 -3__B1FFF0DA_E7F3411F__00000001_0 -3__FFFD7388_1F7F799F__00000001_0 -3__E7FF9D6F_FFF3C93F__00000001_0 -3__4ED98D78_CFFF2B94__00000000_1 -3__3F7FF284_E7EEA5F5__00000000_1 -3__CBFF09DD_AEFFD46E__00000000_1 -3__C5720A91_FFB75346__00000001_0 -3__6F6ADB3A_F6F3BEB1__00000000_1 -3__73BFF386_FFEB7530__00000000_1 -3__DFEEC6FA_5FEF8648__00000001_0 -3__4EDEA472_7B5E7A79__00000001_0 -3__F7D78F05_BDAFE6E7__00000000_1 -3__7F7FA5BC_FFFF04B9__00000000_1 -3__7F5D3C6F_DEAD96A7__00000000_1 -3__B3375A30_3FDE1C86__00000001_0 -3__FBFF1AB3_1FE973CD__00000001_0 -3__BFF4A8D7_DBA5B943__00000001_0 -3__FBF8D6C7_DFFD38FD__00000000_1 -3__B6BBA3F7_E7FE8C2E__00000001_0 -3__3EEF9E85_5BFBCD68__00000001_0 -3__777D50A4_7E22C731__00000001_0 -3__BDF185D3_F1FD943B__00000001_0 -3__DF779EBF_3FFFDFBE__00000001_0 -3__3E7B7BC1_E9E7AC77__00000000_1 -3__FFF7BEFA_5FFAF455__00000001_0 -3__7FFD56BC_7FFB1BB3__00000000_1 -3__BD7FC469_E3FF141C__00000001_0 -3__3D3B748D_FF9F0ACA__00000000_1 -3__77DE96DD_BFF7A297__00000000_1 -3__EFBB6D88_7BF958DC__00000001_0 -3__7A33A890_FF7FCCCE__00000000_1 -3__6FFB0146_DFF63A22__00000000_1 -3__FEBB80D5_7ECB5B8C__00000001_0 -3__627BE312_F5EA2E8D__00000000_1 -3__3EE65892_F6EBB1C9__00000000_1 -3__2FFD7ABB_9E9FA52B__00000000_1 -3__BFFB842D_EFAE5F9C__00000001_0 -3__3FF7824A_D96F78A7__00000000_1 -3__7BDE8CB8_EDEF0A49__00000000_1 -3__77EFF0E8_7F6F0DA8__00000001_0 -3__DDDF7B08_77FB33A5__00000001_0 -3__AB6EDD59_7BD589DE__00000001_0 -3__AD770942_DF59F09E__00000001_0 -3__3FCE1944_DB5FA699__00000000_1 -3__9DD923B5_6EFB1B08__00000001_0 -3__E7EC9D31_7F363E35__00000001_0 -3__68EF9118_F7CC8B4B__00000000_1 -3__6FDF3227_EF4F800B__00000000_1 -3__DB67D96A_EB7EA24C__00000001_0 -3__43FCBD28_EFDD9258__00000000_1 -3__F3D767F1_1FBFE10A__00000001_0 -3__63FB6293_E6770BDC__00000000_1 -3__FFBD2AB3_7E9F936E__00000001_0 -3__3DEF5439_7B712DC9__00000001_0 -3__F7554CB0_FBFFADD9__00000001_0 -3__BF3725D4_DEAB314C__00000001_0 -3__DFF579F2_3FBF0FCE__00000001_0 -3__ABD20815_FFFE3837__00000001_0 -3__DFFFA9FD_71B9A3F3__00000001_0 -3__F7FD8CC0_CFFFF73F__00000000_1 -3__7BE5F300_BFA39704__00000000_1 -3__BA7FA275_EF3F3CA1__00000001_0 -3__B7FF20BD_667FB9B4__00000001_0 -3__4EEF9C6D_FFFD5EE2__00000000_1 -3__756FE801_F7FC29AC__00000000_1 -3__7E6F00E4_FFE781A6__00000000_1 -3__FFDE5EB3_6FEE75CE__00000001_0 -3__7F7FA271_FD5F4250__00000000_1 -3__FFD5064A_5FEFA73E__00000001_0 -3__3AAF0792_5FFFDAF1__00000001_0 -3__2F6F84A6_F7ADC7CF__00000000_1 -3__6FF79EE5_F675E2D7__00000000_1 -3__EBFFBC99_F777734D__00000001_0 -3__707BF8E8_F5E9FD03__00000000_1 -3__2E3FD646_A7CF6D6F__00000000_1 -3__B755B30F_BEFB2C04__00000001_0 -3__EB3E0BDF_FEFEF188__00000001_0 -3__5CF733E5_6F4F7D06__00000001_0 -3__67D3339C_7BFA2836__00000001_0 -3__3F7E49D2_CAFF64D0__00000000_1 -3__BFEB9DBF_7C7FC17A__00000001_0 -3__7F9B932E_FFDFEF6B__00000000_1 -3__FAFF8F80_7FEE8A27__00000001_0 -3__3737681F_F57E9AFC__00000000_1 -3__3DCBFCC8_DFC30439__00000000_1 -3__7E7E4B2E_F7E532A2__00000000_1 -3__6BFDFAD4_F77F5FFA__00000000_1 -3__66DF3AB8_7AABA006__00000001_0 -3__343D8FB5_DFBEE376__00000000_1 -3__87FF56E6_79DB1165__00000001_0 -3__7F6F53FE_FFF97EE8__00000000_1 -3__EF7EB11A_2DE7DBDE__00000001_0 -3__FEEDC37A_B6FA2B43__00000000_1 -3__5EFFC37F_FFEF9330__00000000_1 -3__6BE72F1A_BF569699__00000000_1 -3__7F59BE18_43FBDE25__00000000_1 -3__5F3F6694_8F1F98B0__00000000_1 -3__6E659BF9_6EBFE84E__00000001_0 -3__FDFB453C_B7FE63E1__00000000_1 -3__7BF1A3F1_7BA49883__00000000_1 -3__FDEFB084_CFEEF042__00000000_1 -3__BF8AE9BE_FFCF2221__00000001_0 -3__B9EFA976_FFB824FA__00000001_0 -3__D77FD6F8_779D8F8A__00000001_0 -3__F7FE78A9_B3FF6BAF__00000000_1 -3__F915A188_04F704E5__00000001_0 -3__7DFF14E3_6FE84B50__00000000_1 -3__7BADDDFE_7DFE0336__00000001_0 -3__EDB8C1C7_6FFF9DD0__00000001_0 -3__FFE062EA_FDB3A21A__00000000_1 -3__7EAE85A2_7FFAD336__00000001_0 -3__BFDF2AD1_7FFBB096__00000001_0 -3__CE2F1A9E_BB378B6F__00000000_1 -3__797E08B4_D76B14AC__00000000_1 -3__536D14B6_FE75F8FF__00000000_1 -3__67FCE2E7_E7AF5990__00000000_1 -3__777E1821_53FFE734__00000000_1 -3__357B1BA9_FEFDA3C1__00000000_1 -3__FBFEA60B_7FB5BED5__00000001_0 -3__F99F2C64_BFB16B5C__00000000_1 -3__8ADFA638_ABB5EC1B__00000001_0 -3__FAF21E49_7FFD3155__00000001_0 -3__EDF799BD_7BFFAFF9__00000001_0 -3__7E7F1760_DBBDE7C6__00000000_1 -3__9DDD2FBB_F6BFAEC5__00000001_0 -3__5CEFD110_9EFD0E89__00000000_1 -3__6CF7D5A9_94372BC6__00000000_1 -3__DEA78912_27F9236D__00000001_0 -3__DFE1854B_25BF4691__00000001_0 -3__FCEA960E_7FDCF858__00000001_0 -3__F79FDFBD_756BE8B5__00000001_0 -3__FFBF0119_4D1F9C44__00000001_0 -3__5F7AF512_FF6BAB7A__00000000_1 -3__75E7AD03_DBFBDB2C__00000000_1 -3__75FA0165_F23B2A00__00000000_1 -3__BE9EE935_F6EE9849__00000001_0 -3__5FFDDD7E_AAFE19BA__00000000_1 -3__17FF19B0_7EE7B3C1__00000001_0 -3__7FDFF83F_5BFFCEC4__00000000_1 -3__D65DA97B_F7FD5221__00000001_0 -3__F67D382D_57FEF412__00000001_0 -3__F7DF65F5_F4DDD7ED__00000000_1 -3__37D3BDEF_F9FEA525__00000000_1 -3__7FBDDC62_F3A7C494__00000000_1 -3__FDE7C37C_796C89C4__00000001_0 -3__FDFF5007_7FEF36A0__00000001_0 -3__7FF8273F_F95EA138__00000000_1 -3__D3F3C7CD_EF7743D8__00000001_0 -3__7FF7894B_D5DE7280__00000000_1 -3__75771DC6_EFEB0D8D__00000000_1 -3__DFCBD778_4FFF22D6__00000001_0 -3__35F4F44D_FE9F49D9__00000000_1 -3__763CBDDC_EEFBCF43__00000000_1 -3__5FE54DD5_EFFF5CD1__00000000_1 -3__B4BB12EF_61DA6445__00000001_0 -3__7FF9BD8C_7FB7A6AC__00000000_1 -3__747E9031_D7FF88FF__00000000_1 -3__43FD3E8D_BDD7026B__00000000_1 -3__FB6A6683_7FB7A72C__00000001_0 -3__5BF76B5E_1EEF1907__00000000_1 -3__6CFF5338_FDE7B0E9__00000000_1 -3__EBE79FD4_7EF20BE4__00000001_0 -3__FBFB4F3D_7FEF85D8__00000001_0 -3__36AFF08C_D57D790F__00000000_1 -3__FBEC2E2A_07D726A1__00000001_0 -3__3FE3FC18_A7F4CD0D__00000000_1 -3__64F7C815_5FF746E9__00000000_1 -3__BABBE712_67BD16AC__00000001_0 -3__9EDB6281_0FFF2A8F__00000001_0 -3__1E7FEC5B_5DFF41D3__00000001_0 -3__9F6BB09E_7F9C97F9__00000001_0 -3__7EBFD90B_7EFD1F19__00000001_0 -3__BDEC6022_67F5C934__00000001_0 -3__BFAF69EC_7FBF553F__00000001_0 -3__FFFF5140_61EFFE71__00000001_0 -3__AD9BB4A8_CBF541CA__00000001_0 -3__7BD4EAB8_7C6CC183__00000001_0 -3__FD5A94AD_0F7725E3__00000001_0 -3__7FE2F62D_7DFFB672__00000000_1 -3__35BFD9B1_26D7AC47__00000000_1 -3__7FFB80E6_F68BE933__00000000_1 -3__5DFFF1E6_7FB43C93__00000001_0 -3__FFBB3FC8_7F0E8F61__00000001_0 -3__3DBA3A30_7FC5C2C3__00000001_0 -3__FDFB96B2_9FDE4EE4__00000000_1 -3__6FBA3C0C_7F7FE9FF__00000001_0 -3__FBFD4958_6EDDE645__00000001_0 -3__EFFD5302_DE7BDF49__00000000_1 -3__569F4B7A_7CAE3CE2__00000001_0 -3__7FCD2341_BCE1C01A__00000000_1 -3__2EBD7644_90EFD390__00000000_1 -3__FB7E6F4D_7EE7D0E0__00000001_0 -3__77FDD36F_54DA9B4E__00000000_1 -3__4BFDDC46_FBBFD027__00000000_1 -3__EEED930D_7BCF5746__00000001_0 -3__C2EF7B43_6FDFE2DD__00000001_0 -3__4F2FA308_6E491F35__00000001_0 -3__F7D9BDC8_BDE74936__00000000_1 -3__D73B0F3D_9E8E5C2D__00000000_1 -3__F77C5FFC_DEBF785F__00000000_1 -3__F3F6EC21_7BFFCE95__00000001_0 -3__FA9FCCB4_7BFE5B5A__00000001_0 -3__CB7954B9_63F956EE__00000001_0 -3__67FF7374_FFCD707A__00000000_1 -3__FFBDAD72_57170613__00000001_0 -3__FEDFF766_7DDAD852__00000001_0 -3__6FDC6945_59F5D79C__00000000_1 -3__68FBFFA7_7EFFFCE8__00000001_0 -3__6F79001F_7FFB0834__00000001_0 -3__DFE9F9D3_F8FF36C1__00000001_0 -3__F3F5F414_57F7C594__00000001_0 -3__AABEB2B4_7EFF417A__00000001_0 -3__CFDDDC36_EA5E8E60__00000001_0 -3__7FFFD732_37DF6798__00000000_1 -3__6FF60C10_25FF6DA5__00000000_1 -3__7FEBEC47_7F82A733__00000000_1 -3__7E2F069A_E55BF6E7__00000000_1 -3__FB5D68C7_FF27D790__00000001_0 -3__5FFFA96F_E7FBA059__00000000_1 -3__7FDFE8CF_BE2F8B8C__00000000_1 -3__AADF5EEB_ABFF339A__00000001_0 -3__DFDCF068_41FBAE57__00000001_0 -3__F307CB73_26AF4AC3__00000001_0 -3__5BD710D5_1CFD423A__00000000_1 -3__FFBCA9A5_A6FF5867__00000000_1 -3__FFF71538_7E6BC766__00000001_0 -3__3F7F6C7A_D3E34E6A__00000000_1 -3__1AF5A6C2_3F7DDEE1__00000001_0 -3__EBF74130_EDBE52BC__00000001_0 -3__DF69DBA6_DAF4CBAC__00000000_1 -3__F7DFF70C_7FD7D557__00000001_0 -3__67FE1916_FFFF0519__00000000_1 -3__F197CAA4_FFEF41F8__00000001_0 -3__7FBF4786_FCBF87B7__00000000_1 -3__1B7E2F66_DE18528A__00000000_1 -3__FF7B25AF_3357CCF4__00000001_0 -3__AEFD76D3_7ECFDE34__00000001_0 -3__73F2234F_477FB81D__00000000_1 -3__7B9F6A19_FCFD3000__00000000_1 -3__7FFB3BAA_6BBE5490__00000000_1 -3__FDBE2465_BF3C960F__00000000_1 -3__FAF7165D_75FFE95B__00000001_0 -3__78DAC868_7569EB86__00000000_1 -3__FDFF503A_7F6DCE40__00000001_0 -3__1F9143FB_7FF355D5__00000001_0 -3__5EF29E39_FFB7AC00__00000000_1 -3__7FEF5DA7_B7F6AF54__00000000_1 -3__D7F7A841_7FFB48E9__00000001_0 -3__F73A126C_9FBFAEAB__00000000_1 -3__6FFE1726_F7F67848__00000000_1 -3__EF2F40BE_BBF7A43D__00000000_1 -3__A7BF5FB4_7FE88F6F__00000001_0 -3__FF3E0914_47FF478D__00000001_0 -3__FDFB5DB5_5DAD0730__00000001_0 -3__FEFF8F6A_FF7AB725__00000001_0 -3__3DF7BF5C_796F5F01__00000001_0 -3__57FB4B59_DA2CFBA2__00000000_1 -3__767C7F86_6AFE7309__00000000_1 -3__FDF3E83D_7B6FB529__00000001_0 -3__DDFDD70E_FEBF0A91__00000001_0 -3__FEBB4265_2662FC94__00000001_0 -3__5ADA65BD_EADF6755__00000000_1 -3__7DFF39DF_C5FEFE33__00000000_1 -3__FFFE334B_B9FDFC29__00000000_1 -3__FFDB17F5_53BBD7C5__00000001_0 -3__E83E41BF_777FB9F5__00000001_0 -3__FFD0F043_FDCFD6A0__00000000_1 -3__BFEBD7BC_D9B7789F__00000001_0 -3__76EFC911_B79FE0CF__00000000_1 -3__7FEFE44F_7FF5C92B__00000001_0 -3__77F75252_D1766B0F__00000000_1 -3__CF9B10A6_0F773B56__00000001_0 -3__FEDE3DD1_EFFFB873__00000000_1 -3__BEFF0AF1_7B53265B__00000001_0 -3__7DC141BD_7D7A71D3__00000000_1 -3__5BCF7199_6EFF3A6D__00000001_0 -3__FD7F802D_3BFB161F__00000001_0 -3__5BEC2C99_FFBA7C34__00000000_1 -3__7BBDA567_3BFA46A8__00000000_1 -3__E4CBD2F5_4E5F5502__00000001_0 -3__3F7F4DA2_FDB72C45__00000000_1 -3__FEC2BA79_FCD55831__00000000_1 -3__37EFC8D9_3FB5E0D9__00000001_0 -3__EFAB51C9_9B5F7F5F__00000000_1 -3__3FFF96B7_D3766867__00000000_1 -3__4F9B8E71_E55E8AAE__00000000_1 -3__F9F70B91_7ACFC5F2__00000001_0 -3__9F625E4C_DFDD9861__00000001_0 -3__2FFBC4F3_6FBF8E5A__00000001_0 -3__FBEF0932_F7FF0CF7__00000000_1 -3__69B89EDE_377DA7E2__00000000_1 -3__FD73ECB8_7B2778E0__00000001_0 -3__FFFF3FE8_F5766583__00000000_1 -3__BF6F62C6_F7BF5C76__00000001_0 -3__73AABFB3_BFEF5815__00000000_1 -3__D7BAE251_3FF6465C__00000001_0 -3__4BBD7F19_47FFEE65__00000000_1 -3__3F5CB25D_3F139401__00000000_1 -3__FDFFE6C2_F7FF00D0__00000000_1 -3__6EF2162D_2FFA1D4E__00000000_1 -3__7BDF4711_EDFEB8DF__00000000_1 -3__5F77EBAA_F7ED08E9__00000000_1 -3__6DDF6B2A_B85513DC__00000000_1 -3__DFFEE609_7EDF89B5__00000001_0 -3__FFFCC5CC_DF6D23BB__00000000_1 -3__FBFFAF75_6FDBA42C__00000001_0 -3__7ED9166C_1FFC512D__00000000_1 -3__6D732179_B63D9DC0__00000000_1 -3__BED63B7F_6FBD9A23__00000001_0 -3__AA7FF149_4F3BD5C3__00000001_0 -3__5BB13C4A_7CEBCDE4__00000001_0 -3__76FF39D2_3EADD38D__00000000_1 -3__FF5BA382_BFCB94DD__00000000_1 -3__75EEA707_FA9DA221__00000000_1 -3__6FF59674_DEFCC63E__00000000_1 -3__5FFFBD50_FB7F95E2__00000000_1 -3__5EFF7CA1_3FFBF4E7__00000000_1 -3__7F3F30C5_3A77B80C__00000000_1 -3__3BB711EE_75FF55CB__00000001_0 -3__BFBFCD2F_FBD20DE7__00000001_0 -3__CFE0148E_FFB739B6__00000001_0 -3__3CFE568B_F76FB316__00000000_1 -3__FDABA17D_7DEECD3F__00000001_0 -3__2D3FBD68_5E3369D0__00000001_0 -3__57A3D35F_7DEBA5B9__00000001_0 -3__7FD61511_2FBF66CE__00000000_1 -3__74EB94F9_E7ADD2D4__00000000_1 -3__9BEA1222_7D7BF919__00000001_0 -3__FDE97BEA_7DB7F5DD__00000001_0 -3__BDFBC59D_F7DBDD63__00000001_0 -3__EBB03F02_FFEF8A8B__00000001_0 -3__EDDFF4F2_52BD5124__00000001_0 -3__5FB584C9_ECDD9ED8__00000000_1 -3__DFBEB659_BFAB1215__00000000_1 -3__3E5A8234_57E70742__00000001_0 -3__ED550170_97FF23ED__00000000_1 -3__A73965DE_FFFA04BF__00000001_0 -3__D6BF9B79_3F6F4974__00000001_0 -3__7DBEA075_CAFEBB56__00000000_1 -3__31FF8554_7FF7FEFA__00000001_0 -3__F7DFE0C1_FC9E6EAE__00000001_0 -3__BDE4EB5B_BD5C3636__00000000_1 -3__73BD6967_771D0B73__00000001_0 -3__EFDE9044_F6FFDE1D__00000001_0 -3__7F77B943_3F8B2567__00000000_1 -3__EFF12391_6DBD9974__00000001_0 -3__F7F48E64_7F5F3D4B__00000001_0 -3__2BCFA857_FCCB4D4A__00000000_1 -3__7FE3C04B_FF751D30__00000000_1 -3__5F77CD1F_FFEBC07F__00000000_1 -3__71FAD789_FFDFCD6D__00000000_1 -3__FF74BED9_DDBF96A6__00000000_1 -3__77FFCBD5_59AB5B3F__00000000_1 -3__BFFE9A69_73FF2E6D__00000001_0 -3__42673092_EBFCB4F0__00000000_1 -3__3FFB08C6_1F692EF8__00000000_1 -3__A7CECB80_5B5EFA3B__00000001_0 -3__5E857BD0_FBEF70B1__00000000_1 -3__9EDCC841_3B7D26A2__00000001_0 -3__7BEDC458_EADBA444__00000000_1 -3__FFD5F548_BD7F7D92__00000000_1 -3__3FBF9453_FFFBD681__00000000_1 -3__DFBC57E8_79FEAEA7__00000001_0 -3__6BA9204F_2E77A14E__00000000_1 -3__1BFFFF30_FEFCE8E0__00000000_1 -3__F6FBED87_5ED74899__00000001_0 -3__7E6FA032_7F8A2A6A__00000001_0 -3__D690ACD1_EF7CEE1B__00000001_0 -3__7E7A748F_D9FFDAD0__00000000_1 -3__FFF74AA0_DEF7F507__00000000_1 -3__DFF6E892_FEDF55F6__00000001_0 -3__CF4513E2_9ED38DC6__00000000_1 -3__FBFFDD34_BFFD49EE__00000000_1 -3__C5AB234A_FF8F0699__00000001_0 -3__7BFB281A_FF9F9CE0__00000000_1 -3__47DFB685_FFBFF3CA__00000000_1 -3__7FEF4ADE_757E330F__00000000_1 -3__7DAA53DC_BFEA302D__00000000_1 -3__EFBFD8EE_3777F4A4__00000001_0 -3__7F67778C_BC9A84DC__00000000_1 -3__BEDF8F2E_71EC81EC__00000001_0 -3__FFDFAEE8_5AD3F417__00000001_0 -3__796BC133_7B77DC47__00000001_0 -3__FBD94F3E_AD73AE8D__00000000_1 -3__FFFFFE17_F7EF48D7__00000000_1 -3__5E77BC59_D7EF6F8A__00000000_1 -3__FF5F6C27_F5F5C26B__00000000_1 -3__7EBFF871_FF77664F__00000000_1 -3__EBDDB505_BE6E10D2__00000000_1 -3__7BDEE131_D6BE2620__00000000_1 -3__6F668842_FF3F1A33__00000000_1 -3__3F5F2962_19779EE9__00000000_1 -3__DFF96C8B_1DE7D560__00000001_0 -3__9EBFF03D_467FE4A9__00000001_0 -3__5ED56A7E_9E7F94CE__00000000_1 -3__7696E127_F78F5FA0__00000000_1 -3__276FA57F_7FDBC8F9__00000001_0 -3__D1ADF66A_EBDF1732__00000001_0 -3__FDFFE750_7DDB7059__00000001_0 -3__FFF549A2_36BB5FEC__00000001_0 -3__BFAFEC8F_CFBFD769__00000001_0 -3__777B9A6C_7A3B73B6__00000001_0 -3__F9AF007E_69EFE877__00000001_0 -3__DF7719AA_5E34679D__00000001_0 -3__DF9AB886_BFFF8AF7__00000000_1 -3__7DBBA212_7CEFE967__00000000_1 -3__BEF3EF55_F73FEE2F__00000001_0 -3__ACFE30DE_7DF392CB__00000001_0 -3__BFFFB780_7CAB27C6__00000001_0 -3__5EFC3A3D_E36D07BC__00000000_1 -3__FFDC82B5_779F9E77__00000001_0 -3__F3FF73C5_C5DF7015__00000000_1 -3__77FBFD75_7E0F4130__00000001_0 -3__FFFCA4C3_5EDB910E__00000001_0 -3__7FFD2FE5_F76DBA0B__00000000_1 -3__7D476AA1_7EFFD496__00000001_0 -3__EFDF3D4A_FE77F18D__00000001_0 -3__9FCD508C_7DEE8158__00000001_0 -3__FE7E7598_7E7B8672__00000001_0 -3__FF79038D_5F5CC333__00000001_0 -3__6D7D53FA_65D9247C__00000000_1 -3__7EDFE1BD_4FFE1E0C__00000000_1 -3__A7DD58F7_C6F2F2AB__00000001_0 -3__DCD9FDD3_77BF4068__00000001_0 -3__BEF7A2C3_D4FD6201__00000001_0 -3__5B1D9332_FD6FDA1C__00000000_1 -3__EC5FC996_BFFE883A__00000000_1 -3__B76EFC21_E6FF3B15__00000001_0 -3__2BFDB072_3A7C655A__00000001_0 -3__5FBFA937_8AE86F2E__00000000_1 -3__375F89A3_7E4BCF09__00000001_0 -3__DFBB4444_FD3D6B92__00000001_0 -3__7BEAADD7_34FCF842__00000000_1 -3__FE3DBFF6_CDBBE808__00000000_1 -3__DAEF3D3E_FFBB8B87__00000001_0 -3__6CDFEB4B_FB65CE31__00000000_1 -3__7DBF0E37_7F7FDE24__00000001_0 -3__7DEBC16F_DC5F476D__00000000_1 -3__7FEF9EDB_4F7D89F6__00000000_1 -3__FF75FA3D_FF9D2AB5__00000001_0 -3__FCE711F4_D1BDA501__00000000_1 -3__0F5F66CF_ABD740C6__00000000_1 -3__9FDF91C5_6DBFA471__00000001_0 -3__3EFF6A66_7A9C2C30__00000001_0 -3__36EE1DC0_3EBDB13E__00000001_0 -3__77785478_EE7B0848__00000000_1 -3__CEBB791D_C7C78EAC__00000000_1 -3__FF7EBE2C_7E3BD199__00000001_0 -3__FFBF7C46_2FE62B62__00000001_0 -3__5FEF434D_F76EF55B__00000000_1 -3__7E352C6F_7B3B6F88__00000000_1 -3__6FD91C45_FEFD77B2__00000000_1 -3__7F35AE91_EED50140__00000000_1 -3__5C7FF52D_7DFB0138__00000001_0 -3__3F8DF7BF_6B3F2AA9__00000001_0 -3__EFFEA736_F37BCD3D__00000001_0 -3__99FEB5DE_3FAAC670__00000001_0 -3__73BDEC53_77BFFD5B__00000001_0 -3__FF7F8313_AFDD3FCC__00000000_1 -3__F2E7E16A_70FA7211__00000001_0 -3__FBDFE6C0_7CCA23B2__00000001_0 -3__9F6FF0FA_BFBDC6FE__00000001_0 -3__7FEFF03F_FFDF42FA__00000000_1 -3__57F756C2_FFD6CCFB__00000000_1 -3__F2BECD3C_57FE690B__00000001_0 -3__5C3C5DAF_FF7FADB5__00000000_1 -3__FF7EEBE9_4EDFED96__00000001_0 -3__73F938F6_DD1C6195__00000000_1 -3__FB9B2A78_7FAFF226__00000001_0 -3__EEB914B3_7EFFFF0D__00000001_0 -3__EFDE9B98_7EED15C4__00000001_0 -3__3B6D2105_FCEEC32B__00000000_1 -3__7BA7A64B_D3CBFEAF__00000000_1 -3__FFBF4A9B_3F7B23F3__00000001_0 -3__37AD856A_F76D91F5__00000000_1 -3__76D778F1_65FF634E__00000000_1 -3__C9DFC718_1DCD63DA__00000001_0 -3__A23C0D7E_FBFC2DDA__00000001_0 -3__5FB1171C_37CDD316__00000000_1 -3__6FBE20E0_EDFF6C57__00000000_1 -3__FB8F2F47_1F7BDA4C__00000001_0 -3__FB6CCEB1_F77F6219__00000000_1 -3__7FCFACD3_B06D98F9__00000000_1 -3__1C35F782_79FF407C__00000001_0 -3__ADEF1C31_ECF7196E__00000001_0 -3__A97CF028_FF530B7E__00000001_0 -3__FD3F900C_7FFDFB44__00000001_0 -3__7F7D92DB_BFFFBE05__00000000_1 -3__5FED5668_D6DF9717__00000000_1 -3__9B8E6656_DC7FAE6B__00000001_0 -3__57EE87D3_5EBA5AC4__00000001_0 -3__5FE22FEF_74FF9B2E__00000001_0 -3__14BFD35D_7F179244__00000001_0 -3__C6F60DF8_75FF7A77__00000001_0 -3__5FA66144_FE5A2C9E__00000000_1 -3__4BF3DC6E_BFFD55CA__00000000_1 -3__7DFF5321_B73D0698__00000000_1 -3__FEBED382_3D737831__00000001_0 -3__F8F2029B_62F39077__00000001_0 -3__B6FFA8BC_EBD7349A__00000001_0 -3__717D3BFA_D676056C__00000000_1 -3__4BFF20F7_5F5972B6__00000001_0 -3__6FD7E929_F4EF96F6__00000000_1 -3__63FB36CE_387EA6C0__00000000_1 -3__BDFFC293_71BF50E3__00000001_0 -3__E55D001E_F5BD84E7__00000001_0 -3__7BB25671_5E223186__00000000_1 -3__5FE9E903_FFB7E41E__00000000_1 -3__FFBF0947_547F1C81__00000001_0 -3__7B7EE3A1_CD3F32D0__00000000_1 -3__3FBF70F9_07EF14F4__00000000_1 -3__FEFC2A66_BFBD4F18__00000000_1 -3__B7BBAC4A_53DD396B__00000001_0 -3__CF9350FC_FCEE955F__00000001_0 -3__DFBF93BA_BCFA3E17__00000000_1 -3__76BF000E_F2AFA871__00000000_1 -3__EF6D34B5_7F77A68F__00000001_0 -3__277F15B8_F7093E32__00000000_1 -3__6F6DDF9E_23B98AE4__00000000_1 -3__94B73E17_5F7B5B2A__00000001_0 -3__39FFA0D7_A75FED58__00000000_1 -3__76DA1B1B_FFFF7B13__00000000_1 -3__5DB7C1DC_7FBFAC59__00000001_0 -3__7DBB29BC_778C2226__00000000_1 -3__60FE8EA0_FFF3196B__00000000_1 -3__6D7F1395_D77D4934__00000000_1 -3__5F0DFC1A_7FBE175F__00000001_0 -3__A97E8B93_7DE53044__00000001_0 -3__60EE1185_7F9491AA__00000001_0 -3__7E8B458E_DB6EDBF8__00000000_1 -3__FBFF09EC_FE67CFDC__00000001_0 -3__EFF7FA55_2DCB45F3__00000001_0 -3__77F791C1_7FDD6E98__00000001_0 -3__E5DF1145_FB6D25B7__00000001_0 -3__7F7B3ED5_4D3DAC7C__00000000_1 -3__37FD2025_7E6F99E2__00000001_0 -3__FBD2D38A_47FDF643__00000001_0 -3__FE7F2CD4_72E788F0__00000001_0 -3__7EDFF19C_7CF6585C__00000000_1 -3__3E77268E_7DAA3A01__00000001_0 -3__3F770DD6_7D5F0030__00000001_0 -3__7F5E44BD_7FF3F0BE__00000001_0 -3__8DDEDDD7_71F71445__00000001_0 -3__FFBFC18D_3CF535B1__00000001_0 -3__6A5F9D9A_7EFE5EE6__00000001_0 -3__EDAF3225_7EBDE574__00000001_0 -3__795F12BD_57B7B4BB__00000000_1 -3__E1EFF356_ED6F7D72__00000001_0 -3__FDDF1000_DF7F3AA4__00000000_1 -3__30F65BCD_42D9E383__00000001_0 -3__CFF7E10D_1F8B6A6A__00000001_0 -3__5F6D09BB_FDDD7E3E__00000000_1 -3__21B4C0D5_FBB22ADE__00000000_1 -3__776F06D5_A77FFF9A__00000000_1 -3__FFB3FE1E_7FFFDE6F__00000001_0 -3__7A3579BB_63FE1277__00000000_1 -3__7DFE4CFD_DE9D28B8__00000000_1 -3__78A9708B_F7BED6E3__00000000_1 -3__2F3F5119_7F7F9D00__00000001_0 -3__AE92405D_F5FE4749__00000001_0 -3__3FE450A3_5FF76BAA__00000001_0 -3__BEDECA12_C8E40621__00000001_0 -3__DDEFCCD0_DB6FC960__00000000_1 -3__FEEDC8C1_71EFB5D2__00000001_0 -3__685D3118_7E46C55F__00000001_0 -3__FFFA681A_B7D7FD03__00000000_1 -3__FD79E927_7FEB2757__00000001_0 -3__FEF271D6_FE5EBC39__00000000_1 -3__C7DDAFBA_051909E6__00000001_0 -3__FDEEF54B_56FD80B2__00000001_0 -3__77BF1FAF_4F662C42__00000000_1 -3__AF7D725A_EFDE8828__00000001_0 -3__76EF9463_3FABE8AB__00000000_1 -3__6F9E1830_3FB4FFAC__00000000_1 -3__9633DE49_8FED66BC__00000000_1 -3__D34F3FCE_F7711095__00000001_0 -3__E7DFB47A_DA6F4CB7__00000000_1 -3__BF7FA415_7F31831C__00000001_0 -3__ADD75E24_F6F9812C__00000001_0 -3__F7EFC1B7_0F4F2767__00000001_0 -3__FEEE8728_F7DC759C__00000000_1 -3__DFBA9D89_FEE7D7F4__00000001_0 -3__73FBD888_3AFEA74B__00000000_1 -3__7E2F2DD0_FB7821F6__00000000_1 -3__FB9E7254_FFD8FCB2__00000001_0 -3__7EEF8827_FFDFABD7__00000000_1 -3__FFED23CE_FDFF1069__00000000_1 -3__9FEF716B_77DD48C6__00000001_0 -3__EBFB6C2B_FEEEF1E0__00000001_0 -3__E6FF1D6A_6DDF54F5__00000001_0 -3__FEB79840_D5EF0FF5__00000000_1 -3__D9AF6822_BFF2ACCE__00000000_1 -3__3FAF6335_3EEEC5A6__00000000_1 -3__354F03ED_FEF0B55D__00000000_1 -3__737D58D3_BFFB148D__00000000_1 -3__3F9F06B5_5FF13EC4__00000001_0 -3__FFFD0D6F_762F8EDD__00000001_0 -3__DFED4FD7_F8F58379__00000001_0 -3__F3DE8A24_7DEBF292__00000001_0 -3__7DD51432_5DFF76D2__00000000_1 -3__FEDD2DB7_7B7F7A47__00000001_0 -3__0EE38362_9FD43EE9__00000000_1 -3__3FFE4CBE_6F2EA96A__00000001_0 -3__C6794DB4_CF7EA49F__00000001_0 -3__FBDD5AB4_FDDA9829__00000001_0 -3__EFB273C1_BE0FF2FE__00000000_1 -3__3D79D34B_FFF6D42F__00000000_1 -3__7FF625E3_7FD22E2A__00000000_1 -3__F77759A3_F5FC4A91__00000000_1 -3__87BCF6A2_09E217E6__00000001_0 -3__FFFE1591_3FF77B87__00000001_0 -3__FB8998EA_6CEDED3E__00000001_0 -3__FDF03D8B_BFDF3D31__00000000_1 -3__77D69A60_38EDBE93__00000000_1 -3__FB5CB5E6_6FFB6811__00000001_0 -3__45EF1EFB_FBFADAAD__00000000_1 -3__F7FE97E9_7EF79238__00000001_0 -3__D7F7D71E_1FE726DF__00000001_0 -3__3F512BC2_EFB69781__00000000_1 -3__BC7F325C_3AFF7B74__00000001_0 -3__2BFF47F8_73E88220__00000001_0 -3__3FAF55FC_7E57202B__00000001_0 -3__2ADE369F_56F7F95D__00000001_0 -3__73F70623_1E7FB1B7__00000000_1 -3__6CA39A5F_FE7F5BFC__00000000_1 -3__2FD7A2E7_EF5362EF__00000000_1 -3__7FEFD665_E7FF4F47__00000000_1 -3__FBF6A4B2_BFFFBC2B__00000000_1 -3__EBDFC7F7_7FBE294B__00000001_0 -3__76F705A6_F75F7082__00000000_1 -3__7CFD9E26_6D3BDC79__00000000_1 -3__DBE502E7_D9BD2034__00000000_1 -3__5FFF7821_DEFE873C__00000000_1 -3__7EE7707B_9FB9AC83__00000000_1 -3__F7FD88D8_778E6AE0__00000001_0 -3__D5FF5395_8EDF5701__00000000_1 -3__4BFF4F96_3FEE6287__00000000_1 -3__EAF718A1_27FF7364__00000001_0 -3__EFFD2F36_B47F5427__00000000_1 -3__FFFF9995_63F97FDE__00000001_0 -3__E9B52FE9_ABB2FCD2__00000000_1 -3__5D7EFCBB_DFDA1F19__00000000_1 -3__F792527F_6FFFF4DA__00000001_0 -3__777EA3AE_7FFA068A__00000001_0 -3__C6F169D8_07FBCDB4__00000001_0 -3__F67F42A5_FF5FE74A__00000001_0 -3__E775C5AA_7FBF25B6__00000001_0 -3__4EE005A1_B72E420E__00000000_1 -3__EFFF2DD0_FDFE7CA3__00000001_0 -3__FCFBD81E_DFACC830__00000000_1 -3__D7FB4D70_FF53F417__00000001_0 -3__2EBFA781_5DFED38A__00000001_0 -3__7DE76F4F_F7FE9595__00000000_1 -3__7E3A0F29_7E9E455F__00000001_0 -3__7EEE133A_77FB9397__00000000_1 -3__CDDFAE48_67E3C9C5__00000001_0 -3__F9EF181E_9F99CAAE__00000000_1 -3__7DAFC170_AEF72AA0__00000000_1 -3__7EBF052A_7A9FF7D9__00000000_1 -3__5A6FB305_7F9F46B7__00000001_0 -3__D6BB2C18_7F768C2E__00000001_0 -3__7F5DD7E0_DF7F806F__00000000_1 -3__543F3B2F_F7CB458D__00000000_1 -3__5FFF4C33_F9A94897__00000000_1 -3__DF7FCF1A_DB3EC150__00000000_1 -3__CFBA4D34_BFBBC419__00000000_1 -3__5EE58CBE_5CDD998B__00000000_1 -3__9FD9E24A_77FF8360__00000001_0 -3__2B5F4203_DDFEBD13__00000000_1 -3__5EBFABA7_7F7D8974__00000001_0 -3__7F5B567B_FF7B893B__00000000_1 -3__F3EFD459_7BFBB20F__00000001_0 -3__5FDFDCFB_1F9B6131__00000000_1 -3__E6F792BC_777E76D4__00000001_0 -3__7E5C423B_7BFF46DA__00000000_1 -3__FFDFB545_FE9EDD35__00000000_1 -3__DDF62E60_D70B3093__00000000_1 -3__6FBF852E_DF8C3C89__00000000_1 -3__5DE47BE8_FFBFDB5C__00000000_1 -3__87B95723_FFF741A4__00000001_0 -3__B75BD908_F73F1E99__00000001_0 -3__4CF10384_FEABE1A0__00000000_1 -3__FD9B0153_67FE45CA__00000001_0 -3__57B7321B_B7DBE393__00000000_1 -3__EBDE39E3_9FFF67B6__00000000_1 -3__FD7ED76B_FFCE3FDE__00000001_0 -3__72FD4016_7FF31A0B__00000001_0 -3__1FBFBE58_99D7EC84__00000000_1 -3__DAFC06B0_39AF7D62__00000001_0 -3__CFCEE2A9_DD3F917B__00000001_0 -3__7ABADBF5_FC3F14E7__00000000_1 -3__5F79ABD0_FBD79726__00000000_1 -3__5FB7A4BD_7BF15E90__00000001_0 -3__5FE7E812_7E9E9F6F__00000001_0 -3__7D5CCA0B_EFE6C67E__00000000_1 -3__F16E02B6_1FA7F427__00000001_0 -3__7FCB9A3F_D9FE9AA2__00000000_1 -3__2F1ED7BA_F8F6AC5F__00000000_1 -3__FDE792A6_0FF11BD4__00000001_0 -3__FF55D54D_DF6CDF4B__00000000_1 -3__E71D01B2_7FF66EEA__00000001_0 -3__5377F468_3BFF8E33__00000000_1 -3__FF59C761_FE6F429C__00000000_1 -3__5FFA6FCB_DABFF7ED__00000000_1 -3__5EF7CC83_DEF6A24F__00000000_1 -3__525C4D78_3F977FE5__00000000_1 -3__F664A723_75ED4FCB__00000001_0 -3__DEEF78AD_ECDD8625__00000001_0 -3__1FF56107_3DFFF9B8__00000001_0 -3__FEFFA03A_6FCEEC8E__00000001_0 -3__7677F5CC_2FF76CA2__00000000_1 -3__17BF4620_EFFBE0D9__00000000_1 -3__FD6FC72F_FFEBD3A3__00000001_0 -3__FFFAF873_75BF287C__00000001_0 -3__FDE9A271_E7FF5A50__00000000_1 -3__7D6FE595_E62EC1B7__00000000_1 -3__FDFBD13E_67AF3A71__00000001_0 -3__F77BF8F5_FDBBD7F5__00000001_0 -3__57FB2D97_2EEE3E51__00000000_1 -3__7FDF5E1D_FFD7BD50__00000000_1 -3__FEFE902C_7F7F041D__00000001_0 -3__67FFD00A_6F77C4BE__00000001_0 -3__BFF13CB1_B3FA6D7C__00000000_1 -3__FF3FA2E2_BBFFC12F__00000000_1 -3__4AEFCD7D_FFFE0121__00000000_1 -3__77FD3D21_7D3D5AE4__00000001_0 -3__3F4F9FEB_7FF804CA__00000001_0 -3__B7A36D3C_88FB3C1A__00000000_1 -3__FEFEAF71_76FF508F__00000001_0 -3__27BB1DF4_DBAFD0B3__00000000_1 -3__FEFA1D87_FFD3D895__00000001_0 -3__7FBD9EAF_6DD12579__00000000_1 -3__6377A9ED_4FDFC08D__00000000_1 -3__DFCBB353_3CF8E227__00000001_0 -3__CD7DBE98_37C839AA__00000001_0 -3__DFE71065_7BB398BD__00000001_0 -3__FFCFA363_FB685294__00000000_1 -3__9C5D8F8D_7EF7E6F4__00000001_0 -3__E5BDB9B9_3FBBE552__00000001_0 -3__9ED373B0_7EF7E927__00000001_0 -3__67FE011A_75DFD282__00000001_0 -3__7FB77E98_6D5E5017__00000000_1 -3__4FD5E86E_B3FF91A4__00000000_1 -3__AEFF5D42_FFD3AD89__00000001_0 -3__7ABF0FD1_BBEF9C60__00000000_1 -3__D6C6747B_77BF0968__00000001_0 -3__BEDEB42D_34FEECFB__00000001_0 -3__6BFCC9F7_BFB6E959__00000000_1 -3__F2F9FA2C_77B7EA56__00000001_0 -3__FE699CFB_6EBFF21A__00000001_0 -3__F50FE410_0EC7EDFB__00000001_0 -3__FC4F7A62_FFFFCFA8__00000001_0 -3__7FFBA444_FFDF6178__00000000_1 -3__6FEFAE0E_7AF754DD__00000001_0 -3__BFE3EA7F_7FB957D6__00000001_0 -3__D3FA7695_3AFF31A0__00000001_0 -3__1DB59251_779F2C8E__00000001_0 -3__7ED1F67D_FF2F5ECF__00000000_1 -3__BF17C5C9_3FFB4DBE__00000001_0 -3__75FFE235_75B64340__00000000_1 -3__3F3C2CC6_B7FB93EA__00000000_1 -3__457D467D_FC29F511__00000000_1 -3__3FF6A298_EEA58238__00000000_1 -3__7DFFD5D4_F75D7E18__00000000_1 -3__DEE732C9_7F7F34D0__00000001_0 -3__79FB8E51_B3FB2614__00000000_1 -3__06FDFA15_7E7BA2DD__00000001_0 -3__BBF9FC91_E7E730F7__00000001_0 -3__FD7B04C9_3B4BA075__00000001_0 -3__6ABEB341_E6609FA8__00000000_1 -3__F6B00581_7C7EB7F7__00000001_0 -3__DFD7B148_FABC710E__00000001_0 -3__C5FCBF1F_EFBFE4D2__00000001_0 -3__FBFF6E82_7FFFD500__00000001_0 -3__3EBEB518_5FDD6D0A__00000001_0 -3__FFDB2B70_477CE321__00000001_0 -3__BFFA596A_777FFAF7__00000001_0 -3__E4F50BB9_DFF5061B__00000000_1 -3__FFBB981C_7EBED4ED__00000001_0 -3__37B6B58F_C3ADDBC7__00000000_1 -3__F6DB8390_6FFCFD78__00000001_0 -3__EFFD0116_72DFAE27__00000001_0 -3__BFDFDFAC_FFF7C71C__00000001_0 -3__7B562157_FFFF520F__00000000_1 -3__B76D34CF_7D4F469C__00000001_0 -3__FFFDC215_3A586738__00000001_0 -3__9AFFD862_1F69DF86__00000001_0 -3__FBD5D237_4FF7A02F__00000001_0 -3__77F76F34_DF761594__00000000_1 -3__8FFFFFFF_FFFFFFFF__00000001_0 -3__FFFFFFFF_00000001__00000001_0 -3__00000001_FFFFFFFF__00000000_1 -5__FFB35E19_FFCB750A__FFFB7F1B_0 -5__33CFCEDB_FF720B4F__FFFFCFDF_0 -5__BFFCA670_5DFF54A4__FFFFF6F4_0 -5__75EA4518_76F63A2D__77FE7F3D_0 -5__AFFB731C_7D9F8524__FFFFF73C_0 -5__1DFD4335_57CD7300__5FFD7335_0 -5__7B3CE801_DFFABE39__FFFEFE39_0 -5__FB3F14D3_6E7F4E9E__FF7F5EDF_0 -5__9F97AEB3_6DBF6C17__FFBFEEB7_0 -5__7EFF9AE8_33F1100A__7FFF9AEA_0 -5__3FECC45C_D5AF384A__FFEFFC5E_0 -5__E7ED8017_BE7E7BCE__FFFFFBDF_0 -5__357F6B17_FFCEFF6D__FFFFFF7F_0 -5__ABFF270D_DDFE297A__FFFF2F7F_0 -5__3AFF3737_0EDCA42A__3EFFB73F_0 -5__D8FE8496_C7EF0B31__DFFF8FB7_0 -5__E2FA7066_39BD99E1__FBFFF9E7_0 -5__E7D72B10_5E691194__FFFF3B94_0 -5__1A5FC345_F8BF9D85__FAFFDFC5_0 -5__DBFE44F4_778B12D8__FFFF56FC_0 -5__5FBEAF6E_CDFB4661__DFFFEF6F_0 -5__BFF792A9_FF7B37CA__FFFFB7EB_0 -5__DF5CBC1F_EFFF1E7F__FFFFBE7F_0 -5__7FB2F8C5_7FEFD4CA__7FFFFCCF_0 -5__2DFF2E45_CAED7515__EFFF7F55_0 -5__FFB70DB9_2FFB9B30__FFFF9FB9_0 -5__7ED38AED_FFFF4BB6__FFFFCBFF_0 -5__DAC3FD6B_F965237F__FBE7FF7F_0 -5__E991179B_FF67290E__FFF73F9F_0 -5__7DFF3354_FF37D37F__FFFFF37F_0 -5__4F5F4078_BFFBAF04__FFFFEF7C_0 -5__3BDF70F4_BF5AC057__BFDFF0F7_0 -5__ABDF0B4F_97AEF79B__BFFFFFDF_0 -5__FEFF5CC5_FBD7B2D6__FFFFFED7_0 -5__7F67C0D5_22EDA5D5__7FEFE5D5_0 -5__56FF02F4_3FBF71CC__7FFF73FC_0 -5__7CE3EB4E_DFF77A7D__FFF7FB7F_0 -5__D7FF29C6_A9FB5808__FFFF79CE_0 -5__FEB29B55_7E7D0727__FEFF9F77_0 -5__F2DFE5E2_BBFF9075__FBFFF5F7_0 -5__5FEFEC17_3F4ECE0F__7FEFEE1F_0 -5__7BFF13D3_9BEFC061__FBFFD3F3_0 -5__6E7E286E_73FD996D__7FFFB96F_0 -5__F57BA709_78BFE368__FDFFE769_0 -5__FBBF6E9F_DFAFCE3C__FFBFEEBF_0 -5__D5DFE12A_EFFB770E__FFFFF72E_0 -5__6FFF62C1_B29F41C8__FFFF63C9_0 -5__FB73B6E7_1FFBECAF__FFFBFEEF_0 -5__DFFFFF58_FDB99690__FFFFFFD8_0 -5__DFF9674F_817A802C__DFFBE76F_0 -5__FBFF5D8A_3B950558__FBFF5DDA_0 -5__FFF7BA40_FF7C3CBB__FFFFBEFB_0 -5__4FFB8B25_7F9F504D__7FFFDB6D_0 -5__7FDF99F1_FDCB414C__FFDFD9FD_0 -5__FF7E8914_BCFF5E4D__FFFFDF5D_0 -5__7DDC5A0E_AF5F1F0F__FFDF5F0F_0 -5__3A816C57_D31F67CC__FB9F6FDF_0 -5__BCE747D9_1B9A6E2F__BFFF6FFF_0 -5__DFBF0AB0_F9FD9796__FFFF9FB6_0 -5__7FE35662_7E9B3B10__7FFB7F72_0 -5__BF9F2D59_3EF188CC__BFFFADDD_0 -5__6A5F5ACB_EFFFF1BE__EFFFFBFF_0 -5__7F76A9EE_87FD5628__FFFFFFEE_0 -5__7F785609_7FF770BF__7FFF76BF_0 -5__64783BFE_F7711A1E__F7793BFE_0 -5__C3EDFFCB_7AF55810__FBFDFFDB_0 -5__CBFF26A5_32FF9419__FBFFB6BD_0 -5__0FFEA693_FFFFE68A__FFFFE69B_0 -5__7F77BF25_73FF5A1E__7FFFFF3F_0 -5__E8EF3E3F_EFEC9D5A__EFEFBF7F_0 -5__9DF93E55_4DEDEB16__DDFDFF57_0 -5__FE6F68CE_FEDBFB30__FEFFFBFE_0 -5__3F6E866B_E8FF5B94__FFFFDFFF_0 -5__C71715EA_F79FE1B5__F79FF5FF_0 -5__7F3F966A_7F5E898B__7F7F9FEB_0 -5__35DF61C7_6B7F86FE__7FFFE7FF_0 -5__FFFF2191_FB5F6292__FFFF6393_0 -5__CCFF55AD_F6D519A4__FEFF5DAD_0 -5__AF6FB166_FFD6F3E2__FFFFF3E6_0 -5__FEFBC1AB_EFEF4E59__FFFFCFFB_0 -5__DFF53ACB_FDFB3555__FFFF3FDF_0 -5__7F8F32D7_F5E75DB1__FFEF7FF7_0 -5__7BFD9CA3_ABFB15AF__FBFF9DAF_0 -5__BDD9F581_FFF77106__FFFFF587_0 -5__6FFB909C_1F4F01CA__7FFF91DE_0 -5__EA3D481B_5B7D2093__FB7D689B_0 -5__BDF6FF2E_37FD318F__BFFFFFAF_0 -5__BFEE5D1E_7D7E5EF5__FFFE5FFF_0 -5__76FFD78E_F9F7E13B__FFFFF7BF_0 -5__0E3FB95A_F7F5C269__FFFFFB7B_0 -5__BFFB1487_DBDD02C4__FFFF16C7_0 -5__9FFFB51D_B7FF681F__BFFFFD1F_0 -5__FF6B12B8_2EB7DE79__FFFFDEF9_0 -5__FBCDAB54_377F3F45__FFFFBF55_0 -5__F70F2A14_A3BB9754__F7BFBF54_0 -5__1F7DD7C4_8C6B61E1__9F7FF7E5_0 -5__37D3A5D6_A3BF8EF0__B7FFAFF6_0 -5__679DC5EB_FB9E96FD__FF9FD7FF_0 -5__F5FF031A_BFFF5FFF__FFFF5FFF_0 -5__77FF867F_3EBFA52A__7FFFA77F_0 -5__6FAD9898_3EDB61D2__7FFFF9DA_0 -5__757F7A90_C47DE25C__F57FFADC_0 -5__2874DA34_FEF5B56B__FEF5FF7F_0 -5__E0EFBD33_FFFB09E1__FFFFBDF3_0 -5__DE7F7745_5EF9D94D__DEFFFF4D_0 -5__7FFB2628_2C7428A5__7FFF2EAD_0 -5__7AFDFD31_FFFB29E0__FFFFFDF1_0 -5__5FFF87C8_87E79065__DFFF97ED_0 -5__DBF9CEC4_BFE5FEF5__FFFDFEF5_0 -5__FD6FC295_FED911EE__FFFFD3FF_0 -5__3F2D377E_4FFD42DD__7FFD77FF_0 -5__DEFFB557_D27F9ECA__DEFFBFDF_0 -5__4B14E258_2FEAA927__6FFEEB7F_0 -5__77FFBF60_77EFFA80__77FFFFE0_0 -5__3EF878D3_7D7A628D__7FFA7ADF_0 -5__3DFF0823_7D33EA59__7DFFEA7B_0 -5__EE5264B0_97FF2FB6__FFFF6FB6_0 -5__7FABCAF8_7FF67486__7FFFFEFE_0 -5__7FF767C6_3DFF2DD0__7FFF6FD6_0 -5__FB6D000E_B6FFA320__FFFFA32E_0 -5__FDFDD682_3DFB4BDA__FDFFDFDA_0 -5__FDFBBB81_71FA667F__FDFBFFFF_0 -5__7DFDBC0B_DC1A7E0F__FDFFFE0F_0 -5__3BFA7DDE_7F6F1D72__7FFF7DFE_0 -5__7F7EB081_7FFF3856__7FFFB8D7_0 -5__C7376DA5_9677DB93__D777FFB7_0 -5__9F6B9991_65FE013B__FFFF99BB_0 -5__FCFC24E5_7F77C290__FFFFE6F5_0 -5__BFF78FAC_DAB9F7BA__FFFFFFBE_0 -5__4FF1735C_D5BC3D5D__DFFD7F5D_0 -5__D1DE2F27_F3FF6ECB__F3FF6FEF_0 -5__2BFF7DCE_74BFD7E2__7FFFFFEE_0 -5__3EFF8770_D97FF395__FFFFF7F5_0 -5__FFFD4E0A_B1FBA3D5__FFFFEFDF_0 -5__F5F7439F_BFFEB134__FFFFF3BF_0 -5__F9DF876D_6F70A8C3__FFFFAFEF_0 -5__D2CE17DC_6DEEFEE8__FFEEFFFC_0 -5__777F65E2_1F9F18B4__7FFF7DF6_0 -5__DBFFDE91_78916D6D__FBFFFFFD_0 -5__4BDF4A43_9FEF2DCF__DFFF6FCF_0 -5__7F8A2650_7E6F89A3__7FEFAFF3_0 -5__7FFF0FA1_7BFF1F8E__7FFF1FAF_0 -5__EAFF20B9_7FED5D08__FFFF7DB9_0 -5__FEDBC8C5_0DFD356D__FFFFFDED_0 -5__EE2F9913_FFF61B63__FFFF9B73_0 -5__69F5E2A9_FF999540__FFFDF7E9_0 -5__DDEE594E_36F4A25C__FFFEFB5E_0 -5__630717D7_7779AA5E__777FBFDF_0 -5__3FDBBF6F_2BCF2363__3FDFBF6F_0 -5__3DFEA7C3_7EFF0218__7FFFA7DB_0 -5__F7C6F60F_7F9DFBB4__FFDFFFBF_0 -5__3FDFAC98_5DEF340C__7FFFBC9C_0 -5__7FFD82F8_ED700D1F__FFFD8FFF_0 -5__D7DC83BC_DE7D7FBB__DFFDFFBF_0 -5__FEFF894C_EFEA2611__FFFFAF5D_0 -5__7B9A7805_BFBB6539__FFBB7D3D_0 -5__9799A826_7AFE9C8D__FFFFBCAF_0 -5__3FDF5FF3_7F4A9A02__7FDFDFF3_0 -5__2F69A36C_7F7F436B__7F7FE36F_0 -5__677FDF4C_5957D2FD__7F7FDFFD_0 -5__3BEF10D6_7E7E5308__7FFF53DE_0 -5__3FCDD8F6_3D97CB41__3FDFDBF7_0 -5__77FE4B82_7F6E9637__7FFEDFB7_0 -5__6EBE9EC7_557F4164__7FFFDFE7_0 -5__6F5F8E0D_3BD3493B__7FDFCF3F_0 -5__DF6ABDC2_77FFBCE8__FFFFBDEA_0 -5__7FB46637_BEEFA06D__FFFFE67F_0 -5__63F56626_5BDB190C__7BFF7F2E_0 -5__78ED79EE_07F6EDBE__7FFFFDFE_0 -5__77F7E5BD_7BDB3DDD__7FFFFDFD_0 -5__FFFF64C3_6C7EB383__FFFFF7C3_0 -5__D8EF3BF6_BFFF8D75__FFFFBFF7_0 -5__7857737F_F49B9545__FCDFF77F_0 -5__FDFAD370_FA7F842A__FFFFD77A_0 -5__3233CAE1_FF7F5C1A__FF7FDEFB_0 -5__EFF7F838_5EFF9D8A__FFFFFDBA_0 -5__F6F7F880_F7F90FD5__F7FFFFD5_0 -5__FB7F379F_FEDF584D__FFFF7FDF_0 -5__6C7FBA93_2FEF69E5__6FFFFBF7_0 -5__7EF0B3F0_7CFB8994__7EFBBBF4_0 -5__7EFF052D_FCF55BBC__FEFF5FBD_0 -5__DE762685_5FFFDF6A__DFFFFFEF_0 -5__FDFF52C8_2F77DA74__FFFFDAFC_0 -5__4FF9BC9C_DF7F9D34__DFFFBDBC_0 -5__FDFA0E94_323E6EF4__FFFE6EF4_0 -5__7EFDA116_FD26FF5D__FFFFFF5F_0 -5__7FFACFB3_77BD8442__7FFFCFF3_0 -5__7DFD9C39_95FEFC64__FDFFFC7D_0 -5__75F75DDC_6B2B6D70__7FFF7DFC_0 -5__E9EC56A8_7DF7BD0A__FDFFFFAA_0 -5__7F7FEBAE_67375431__7F7FFFBF_0 -5__DFDFFD9C_3BFB612E__FFFFFDBE_0 -5__77FFB11B_B2BF8FDE__F7FFBFDF_0 -5__7B5FD0F3_3F592004__7F5FF0F7_0 -5__5FDD35F1_BA5F6D36__FFDF7DF7_0 -5__31FB4E95_2B2F23E0__3BFF6FF5_0 -5__5D35B94A_BFF80209__FFFDBB4B_0 -5__BEF2588D_B95EF3F7__BFFEFBFF_0 -5__FB7AFBEE_FDFBA27A__FFFBFBFE_0 -5__3CFD46E1_7AF8CD5B__7EFDCFFB_0 -5__3E7F80EB_FDFFE6DA__FFFFE6FB_0 -5__7F7F9FC5_7BDFBB7A__7FFFBFFF_0 -5__7DF761B6_3BFB74FB__7FFF75FF_0 -5__67FFA9E2_67AF09C3__67FFA9E3_0 -5__0DACF8D0_77F21F2A__7FFEFFFA_0 -5__79FFF710_7FC91D25__7FFFFF35_0 -5__775E4771_78DFD193__7FDFD7F3_0 -5__17D9C9B8_5F9EF329__5FDFFBB9_0 -5__79FB824D_F7FE5780__FFFFD7CD_0 -5__FFF9FC57_DDDF152F__FFFFFD7F_0 -5__CFCB04C9_77BDF7FC__FFFFF7FD_0 -5__FDFF0FCF_F7FF95FA__FFFF9FFF_0 -5__D2DBFF16_77FF91B4__F7FFFFB6_0 -5__FD75D37D_EDF460F6__FDF5F3FF_0 -5__DDDEB4DB_CFEF9A9A__DFFFBEDB_0 -5__FFFF4630_FF7DCC6B__FFFFCE7B_0 -5__F6E6461A_B7D13FDD__F7F77FDF_0 -5__FFDFE26D_FA3FA23D__FFFFE27D_0 -5__D73E7DA2_77EA1C05__F7FE7DA7_0 -5__EBDC43C8_7BD63B41__FBDE7BC9_0 -5__77FA4971_DB7F1564__FFFF5D75_0 -5__76E6B358_7DDD3EAA__7FFFBFFA_0 -5__7B74D1B9_F168871A__FB7CD7BB_0 -5__E7C2DC05_297E046C__EFFEDC6D_0 -5__73177917_1FDDE46A__7FDFFD7F_0 -5__FDFFB4EC_7FB380A4__FFFFB4EC_0 -5__F8EA5ED8_FE3DC73E__FEFFDFFE_0 -5__FBB9633C_7F6459C3__FFFD7BFF_0 -5__4BFF01C8_37BDB958__7FFFB9D8_0 -5__56BD347F_A7333D2C__F7BF3D7F_0 -5__3DFFA37D_A6B74EB1__BFFFEFFD_0 -5__7BD1A169_2EDD1CC1__7FDDBDE9_0 -5__DFD7F027_FD4F60E1__FFDFF0E7_0 -5__7EF597FF_2EFFAB3B__7EFFBFFF_0 -5__FF6F7930_5F6FD05F__FF6FF97F_0 -5__1B8F7361_FFF7A74F__FFFFF76F_0 -5__3FBF65E2_FEFD9FA6__FFFFFFE6_0 -5__BF5F5532_6FED3744__FFFF7776_0 -5__79FF0400_F3FB2FBD__FBFF2FBD_0 -5__3AFE056B_BDCEE1D8__BFFEE5FB_0 -5__61DEDF04_FFBFEF25__FFFFFF25_0 -5__BFF7CABF_F5EFCC52__FFFFCEFF_0 -5__57EE4A5B_A8FF6896__FFFF6ADF_0 -5__7D5FE78B_77BFF4DD__7FFFF7DF_0 -5__E5D54722_4CCA6F97__EDDF6FB7_0 -5__5FBF0162_D7FBC1C0__DFFFC1E2_0 -5__71FF849C_3EDDF906__7FFFFD9E_0 -5__18FF25B6_DA69B553__DAFFB5F7_0 -5__777F1DE5_FFDB3A7B__FFFF3FFF_0 -5__9FFB6F82_DE7D5937__DFFF7FB7_0 -5__7DEFE296_1DFFCB05__7DFFEB97_0 -5__BEFCD455_7DDD1292__FFFDD6D7_0 -5__6BB6E8DB_A7A7F63E__EFB7FEFF_0 -5__6EFF4676_37F7DDBE__7FFFDFFE_0 -5__F9F9AB1A_38FF02AF__F9FFABBF_0 -5__7FFD3130_FDFFC4F6__FFFFF5F6_0 -5__38FD14BF_7DFE3795__7DFF37BF_0 -5__5FFF28C5_D33F491E__DFFF69DF_0 -5__7EF1C10C_37FD4D5F__7FFDCD5F_0 -5__FBFF8B4B_7BF38F9D__FBFF8FDF_0 -5__BB7AF5BB_F9AD9F0A__FBFFFFBB_0 -5__7FBF8F3A_63FFB542__7FFFBF7A_0 -5__73FA675E_7E9BE5A5__7FFBE7FF_0 -5__EBFBD1DE_7FAD3E55__FFFFFFDF_0 -5__5EB341D4_DDEE90B9__DFFFD1FD_0 -5__A9D55D33_FDFF5C5F__FDFF5D7F_0 -5__FDFDEE01_C7373662__FFFFFE63_0 -5__F8FB8573_7EEDF499__FEFFF5FB_0 -5__6DEE39EE_6FF9F346__6FFFFBEE_0 -5__9FBBEF42_DCDF1631__DFFFFF73_0 -5__18C74E10_07EB23DB__1FEF6FDB_0 -5__4FF96EF5_BFD7DCB0__FFFFFEF5_0 -5__FFDD5F5F_FFDFF202__FFDFFF5F_0 -5__FBDFB757_FEFDA3D7__FFFFB7D7_0 -5__D9FBFAEC_CFFF51BF__DFFFFBFF_0 -5__F7FAA972_9DF72D06__FFFFAD76_0 -5__FE67B1BE_5B3E6DE1__FF7FFDFF_0 -5__D87D7E61_37BF5223__FFFF7E63_0 -5__FE7751C8_7AAE5D9B__FEFF5DDB_0 -5__7FFA982C_9F97569E__FFFFDEBE_0 -5__A7FD9110_BFB58DA6__BFFD9DB6_0 -5__AFE7124B_51B4B8F0__FFF7BAFB_0 -5__BFF7245D_7D85A265__FFF7A67D_0 -5__DDFAB65F_374BC401__FFFBF65F_0 -5__7FFFCE62_FD972C29__FFFFEE6B_0 -5__7BEFDCC7_DEEED7A8__FFEFDFEF_0 -5__7BFD44C2_5FFE2108__7FFF65CA_0 -5__B7FDD05A_F58D6A29__F7FDFA7B_0 -5__DDDB585D_6BF638CB__FFFF78DF_0 -5__EEF7593E_3EBFC8C7__FEFFD9FF_0 -5__AB6D6E81_FFBF4355__FFFF6FD5_0 -5__B1EF70E1_F7AEF7DE__F7EFF7FF_0 -5__23B88FDF_BBEBFBB3__BBFBFFFF_0 -5__BEDB811B_7BF68E8A__FFFF8F9B_0 -5__67FB9CDD_EF6B797B__EFFBFDFF_0 -5__B3FF629F_EF4F8097__FFFFE29F_0 -5__5FF7E2F4_5BDF9611__5FFFF6F5_0 -5__77B27C16_DFB656F7__FFB67EF7_0 -5__7FF73AF8_BFDFA06E__FFFFBAFE_0 -5__BE7D213C_FDFF062F__FFFF273F_0 -5__5FDD4115_F72F2FE8__FFFF6FFD_0 -5__77FFFEF2_FFFF982A__FFFFFEFA_0 -5__3BFE21C4_FCFB5E8E__FFFF7FCE_0 -5__FEFF5E31_7FDF7EF2__FFFF7EF3_0 -5__DBEE7599_FFEFE35F__FFEFF7DF_0 -5__FF77AEE0_7FF95A35__FFFFFEF5_0 -5__8FAFE2AD_FFBFC8D3__FFBFEAFF_0 -5__F4FB25B7_4EEFE1D2__FEFFE5F7_0 -5__CFADB946_FFFE0F48__FFFFBF4E_0 -5__8DFBA099_A7FEA7D9__AFFFA7D9_0 -5__FB5AA5B3_DFFFCBED__FFFFEFFF_0 -5__65B55FA9_5EDB11F1__7FFF5FF9_0 -5__FEF75832_7BFE249D__FFFF7CBF_0 -5__F2FB4DB4_DFBC01E4__FFFF4DF4_0 -5__7ACF0F81_7CEF4295__7EEF4F95_0 -5__7BCB6220_47F3A6B1__7FFBE6B1_0 -5__AFD0A2F0_7BBF9D67__FFFFBFF7_0 -5__7BF774D1_DF7DEE05__FFFFFED5_0 -5__FF3F8F69_6BD7BC8F__FFFFBFEF_0 -5__73BBDD61_65FF175F__77FFDF7F_0 -5__CFD33257_77EF3255__FFFF3257_0 -5__ADFB71EE_FEF72698__FFFF77FE_0 -5__FC5F04BB_D8D7685A__FCDF6CFB_0 -5__573E7772_59E7F992__5FFFFFF2_0 -5__3F3D2FBD_3ED9C479__3FFDEFFD_0 -5__FBA96486_B77E82B3__FFFFE6B7_0 -5__BEFBCB98_7FFED007__FFFFDB9F_0 -5__DCFC5516_3ABA56C0__FEFE57D6_0 -5__5E7F93D6_F7172246__FF7FB3D6_0 -5__76B59531_DFE251F4__FFF7D5F5_0 -5__DD766F7D_BD6FCFD1__FD7FEFFD_0 -5__B9B55B01_BBFD3E82__BBFD7F83_0 -5__F7FAAACD_BF7F3B00__FFFFBBCD_0 -5__DFF836E4_EBF32472__FFFB36F6_0 -5__FF793357_CFFD72F2__FFFD73F7_0 -5__F5FF139F_77562AD8__F7FF3BDF_0 -5__FFDF4F76_5FD2746D__FFDF7F7F_0 -5__EFCF31BA_FF7FAE57__FFFFBFFF_0 -5__37FEAA4C_7E7DD076__7FFFFA7E_0 -5__FD7AEFB7_F7EFA0CB__FFFFEFFF_0 -5__7D8FA206_47EC93D8__7FEFB3DE_0 -5__4FF7E69C_7DED1E66__7FFFFEFE_0 -5__FB4FDD4B_BFFAFB33__FFFFFF7B_0 -5__FFF7768C_EFCF3C1B__FFFF7E9F_0 -5__51EF27BA_7E4EB5C8__7FEFB7FA_0 -5__7C67375E_0FD62A37__7FF73F7F_0 -5__D4BFC6C8_9FF53019__DFFFF6D9_0 -5__C32E4108_75F359D0__F7FF59D8_0 -5__E5F75240_3BFD45B9__FFFF57F9_0 -5__EFBEB3E5_FCADA2DA__FFBFB3FF_0 -5__D9FF8CCA_2F5DE22A__FFFFEEEA_0 -5__7EFDC22A_DDDF477F__FFFFC77F_0 -5__7BFF1AE9_FF77A6D4__FFFFBEFD_0 -5__DFEF494E_FE374B1F__FFFF4B5F_0 -5__7C73F3B7_B71DC782__FF7FF7B7_0 -5__2BEDCC9B_FBCF0BE2__FBEFCFFB_0 -5__6D7FF5E8_67F3663B__6FFFF7FB_0 -5__BFF6E379_3EF7A9B5__BFF7EBFD_0 -5__FFFCC4BE_B79DC899__FFFDCCBF_0 -5__DBD92858_F8FE3C73__FBFF3C7B_0 -5__E9173E95_CFFFA543__EFFFBFD7_0 -5__FF4D3FCA_F7F25602__FFFF7FCA_0 -5__FF2F6098_FF7D8CAC__FF7FECBC_0 -5__BFFE96C5_6FFF87F6__FFFF97F7_0 -5__787FA8AB_7F8D03DE__7FFFABFF_0 -5__7F59973C_7C3F2E86__7F7FBFBE_0 -5__DF5DE373_EDE1B30F__FFFDF37F_0 -5__F53F05F6_FEF6D61C__FFFFD7FE_0 -5__454F9B3C_EEFFA321__EFFFBB3D_0 -5__1C7F0B83_F3FAC6F3__FFFFCFF3_0 -5__BDFEE32C_7EFB5AF4__FFFFFBFC_0 -5__7FFBCA56_FFDE4555__FFFFCF57_0 -5__DF9DC259_8CFFC655__DFFFC65D_0 -5__FDFB192D_4D5FD0B5__FDFFD9BD_0 -5__50EF8A9C_3F77D751__7FFFDFDD_0 -5__1F7F44D4_CEFEE391__DFFFE7D5_0 -5__7C3FF16B_C7F336E2__FFFFF7EB_0 -5__7FFF5BAD_FC7EB854__FFFFFBFD_0 -5__9DCD62AE_BBF2004C__BFFF62EE_0 -5__FE9E2A61_7FF7DD9B__FFFFFFFB_0 -5__FEFB7ADE_BDE6EDA6__FFFFFFFE_0 -5__7EDFA189_6B7B0F8C__7FFFAF8D_0 -5__F3E5E1C4_5FD77849__FFF7F9CD_0 -5__3DE3C94D_ED4FA51C__FDEFED5D_0 -5__F97D4148_FFFDFE31__FFFDFF79_0 -5__75FF7EA3_16BF9AD9__77FFFEFB_0 -5__28F7DBAD_6FE73C3D__6FF7FFBD_0 -5__FF3F6469_3CB7D848__FFBFFC69_0 -5__7BF54AFC_2F7DD6B4__7FFDDEFC_0 -5__FBD71E8B_7FE5DB46__FFF7DFCF_0 -5__FFFB16AA_F7FAE1B0__FFFBF7BA_0 -5__23EB3C93_EBFF74C3__EBFF7CD3_0 -5__F9DFD95F_7A773A5A__FBFFFB5F_0 -5__7C6F8DD6_3AD96F4A__7EFFEFDE_0 -5__7F3929F2_FE7D064C__FF7D2FFE_0 -5__ED6882EC_FBE81C3A__FFE89EFE_0 -5__77EF393A_7F7BB0F1__7FFFB9FB_0 -5__FB9DCABA_FBDF321B__FBDFFABB_0 -5__CDECC435_7AEF518B__FFEFD5BF_0 -5__EBC735D8_3F0FE260__FFCFF7F8_0 -5__577F923B_DA97CB21__DFFFDB3B_0 -5__73FE550C_BBF9A040__FBFFF54C_0 -5__FFCD9B44_BFEF3808__FFEFBB4C_0 -5__F1E63E01_D5FF5F3D__F5FF7F3D_0 -5__C9EDE278_5F9FCAE3__DFFFEAFB_0 -5__3F5D68DC_69FEA16B__7FFFE9FF_0 -5__DFFDEDE1_7DA7599C__FFFFFDFD_0 -5__3FFDFFAC_7FFF3D44__7FFFFFEC_0 -5__5BFE34DA_F5EC774F__FFFE77DF_0 -5__F6F992A1_FF6DA6E8__FFFDB6E9_0 -5__2FF73003_6FBD197A__6FFF397B_0 -5__D77E45E5_E9FFE53A__FFFFE5FF_0 -5__7D6DB36A_76690905__7F6DBB6F_0 -5__736BBF8E_3FFE7FDC__7FFFFFDE_0 -5__7FB3E35B_AB2924E7__FFBBE7FF_0 -5__EF7A6F5B_FCBB549E__FFFB7FDF_0 -5__53FEB11D_F30F3DBC__F3FFBDBD_0 -5__63796BDA_FD3F9683__FF7FFFDB_0 -5__F7BBA1C9_BF9FC99F__FFBFE9DF_0 -5__FEFF3FC1_DBD731C1__FFFF3FC1_0 -5__A5FF0F82_3BFF1927__BFFF1FA7_0 -5__5DBBC956_5EEE8D17__5FFFCD57_0 -5__EFEAB7F5_7DDFBC54__FFFFBFF5_0 -5__3FEE6E55_FFAB8A3D__FFEFEE7D_0 -5__FFDF2CA1_3BB6C21E__FFFFEEBF_0 -5__7FEC7C0A_DF3F2A9C__FFFF7E9E_0 -5__9C7709CD_AECE8FDD__BEFF8FDD_0 -5__3FAF3ED1_38FF6976__3FFF7FF7_0 -5__DBFB4462_EEFD999E__FFFFDDFE_0 -5__7F7DEB71_6E4C429C__7F7DEBFD_0 -5__35D71CDC_EF1CA506__FFDFBDDE_0 -5__67EE6B02_A5FFE355__E7FFEB57_0 -5__F4EF0484_BBEF455C__FFEF45DC_0 -5__FFB7F839_B77F91CD__FFFFF9FD_0 -5__4F9FA708_076EBE02__4FFFBF0A_0 -5__7F984B77_BE3F5F95__FFBF5FF7_0 -5__7F3F947C_79F78275__7FFF967D_0 -5__FE7E5092_E1CFADB7__FFFFFDB7_0 -5__FEB6E367_F3CFB68C__FFFFF7EF_0 -5__DFFFB23A_1CFF6E87__DFFFFEBF_0 -5__6FFED65C_5F77DF9B__7FFFDFDF_0 -5__5DF7FB0A_7DF9C2E0__7DFFFBEA_0 -5__74FF985A_77EE6A1B__77FFFA5B_0 -5__7FB052F2_EFFCA9C7__FFFCFBF7_0 -5__7FFF74C1_6F8449B8__7FFF7DF9_0 -5__3F75C143_73F6461C__7FF7C75F_0 -5__FDFF1C1F_7FA55A5A__FFFF5E5F_0 -5__F9770212_77FD981D__FFFF9A1F_0 -5__CCFF2F59_F9A59AFF__FDFFBFFF_0 -5__7FFD6D95_FFF838C3__FFFD7DD7_0 -5__EAF9441D_F1FF6D6C__FBFF6D7D_0 -5__F77E6FFE_BC77A146__FF7FEFFE_0 -5__377F64B0_7BFA2ECB__7FFF6EFB_0 -5__54FFB58A_9BFF936F__DFFFB7EF_0 -5__6ABF499B_7FFDA27F__7FFFEBFF_0 -5__7FEFBEC6_DE6B22CD__FFEFBECF_0 -5__EDFFAC21_BF76D65E__FFFFFE7F_0 -5__FB3FDA84_759F9F51__FFBFDFD5_0 -5__EA7F226F_CFDE9CF6__EFFFBEFF_0 -5__FEFDFF03_2FFE5954__FFFFFF57_0 -5__A53F1261_E17F01AB__E57F13EB_0 -5__AB6B3B01_DFFA8BE8__FFFBBBE9_0 -5__7BF3432E_BFFF40A7__FFFF43AF_0 -5__A3ED70D8_C7F4B804__E7FDF8DC_0 -5__78CFB329_7FBD33D4__7FFFB3FD_0 -5__DD7F3828_EFF7B60D__FFFFBE2D_0 -5__36379E09_7A37FE40__7E37FE49_0 -5__5FEF66A2_3EA9D53D__7FEFF7BF_0 -5__E76AB974_EE6DDAF0__EF6FFBF4_0 -5__EEEBE700_F3F76067__FFFFE767_0 -5__2FAD05F4_FBAFAA69__FFAFAFFD_0 -5__37FE03BE_CAD77A52__FFFF7BFE_0 -5__ABA74FEE_9EF22BBC__BFF76FFE_0 -5__5BF6F26F_5FFF85E5__5FFFF7EF_0 -5__DB9F887B_6F3F97B6__FFBF9FFF_0 -5__7B7F6E7C_EEFBA617__FFFFEE7F_0 -5__43EB29BC_FFADC4AF__FFEFEDBF_0 -5__FFCDF852_0FFFA3DB__FFFFFBDB_0 -5__8AF63427_F3B30DE7__FBF73DE7_0 -5__DBF87338_7A7B6627__FBFB773F_0 -5__F7732610_3DBB3C08__FFFB3E18_0 -5__6E8F0B84_7EFC6B9F__7EFF6B9F_0 -5__EDFF4A28_D455F7E0__FDFFFFE8_0 -5__FD6FCE77_22FB3CF8__FFFFFEFF_0 -5__FFFB3050_0FAC1EB7__FFFF3EF7_0 -5__CFFB8B3C_7EBF0714__FFFF8F3C_0 -5__FF3B0C48_7FF7ECB6__FFFFECFE_0 -5__F5FFE17B_6FD7934F__FFFFF37F_0 -5__7FDB03DB_6AD716CC__7FDF17DF_0 -5__B3D34A60_EEF6BE74__FFF7FE74_0 -5__CABD258B_EFEB40DB__EFFF65DB_0 -5__0D73147E_5FF290D9__5FF394FF_0 -5__E9D05E7A_D1D73710__F9D77F7A_0 -5__05BF2E63_76F6DE0B__77FFFE6B_0 -5__BFEAAE74_7F7FB502__FFFFBF76_0 -5__F6DEA63F_BEFFFFA9__FEFFFFBF_0 -5__FF99B90D_57FDD35A__FFFDFB5F_0 -5__E7FFC4DA_FF7A9915__FFFFDDDF_0 -5__5F5DCE95_B9DB53B2__FFDFDFB7_0 -5__DEE64A44_F6EE418D__FEEE4BCD_0 -5__EFEFAE1E_5DEFEED6__FFEFEEDE_0 -5__34F5CEAE_1C99692D__3CFDEFAF_0 -5__31DF2AA3_43C75B8D__73DF7BAF_0 -5__865AEFBA_9F6EB612__9F7EFFBA_0 -5__7FF13B6E_DF23AE9D__FFF3BFFF_0 -5__FFF741F7_FCF9C066__FFFFC1F7_0 -5__77591F74_757E6209__777F7F7D_0 -5__7708EC1E_FDEE4B73__FFEEEF7F_0 -5__AFEEB91A_6EBD49D2__EFFFF9DA_0 -5__9F761ED7_EFBB842C__FFFF9EFF_0 -5__7DFFE332_0BFF85C2__7FFFE7F2_0 -5__896F46CF_DC5F30A0__DD7F76EF_0 -5__6FFF4BF4_BFD7BAAE__FFFFFBFE_0 -5__11DE5A54_5F6FFD1A__5FFFFF5E_0 -5__FBBA081A_3BFB23C8__FBFB2BDA_0 -5__7FFF4A85_E2FFDC32__FFFFDEB7_0 -5__77FE644A_697E57F5__7FFE77FF_0 -5__FBCE0E52_7F7E7260__FFFE7E72_0 -5__37EF2BC2_FBFAD8A4__FFFFFBE6_0 -5__7796708F_FFBEF2F9__FFBEF2FF_0 -5__BFFE6A16_CFDAC140__FFFEEB56_0 -5__53EFD29A_7DFEC842__7FFFDADA_0 -5__EF7DAD44_9773DA89__FF7FFFCD_0 -5__665F6600_BE779AEC__FE7FFEEC_0 -5__6E7D7D7E_5BFF963A__7FFFFF7E_0 -5__DFF3AD19_77EDDBED__FFFFFFFD_0 -5__FFF72334_77BDCF68__FFFFEF7C_0 -5__E7F86493_F7B303C9__F7FB67DB_0 -5__FFFFD17C_6EFFF8F8__FFFFF9FC_0 -5__FBFFE73F_1EDF45B6__FFFFE7BF_0 -5__F9E79F2B_FFF5E44B__FFF7FF6B_0 -5__357D1832_BBFE1BEC__BFFF1BFE_0 -5__7FF7666E_E1BFCEBD__FFFFEEFF_0 -5__5FFEB4B8_FFF65D0F__FFFEFDBF_0 -5__E1FD7669_0F4DC252__EFFDF67B_0 -5__FADA5D46_DF1E8589__FFDEDDCF_0 -5__FDF9F98F_79F7C301__FDFFFB8F_0 -5__A97D4727_66F9B59B__EFFDF7BF_0 -5__F4AF4678_B7FF6B1B__F7FF6F7B_0 -5__FE0FA79C_4ADEBBC8__FEDFBFDC_0 -5__7BBF027F_FA1D4569__FBBF477F_0 -5__6B4C4150_78FD2541__7BFD6551_0 -5__5E7B476B_F2EFB3EF__FEFFF7EF_0 -5__77B93753_7FCF6DEA__7FFF7FFB_0 -5__7BEDED30_5FF7F733__7FFFFF33_0 -5__76BA20B9_7CF42130__7EFE21B9_0 -5__36DE6058_6FAFBD3E__7FFFFD7E_0 -5__6BBA781A_3EBBE9D1__7FBBF9DB_0 -5__FE838F03_7ED7A9AD__FED7AFAF_0 -5__FC3E744D_DEBDCF2E__FEBFFF6F_0 -5__FCC9E044_EE2AD7A7__FEEBF7E7_0 -5__7DDF5494_FEFB1997__FFFF5D97_0 -5__67FD33CF_7FFD9943__7FFDBBCF_0 -5__559D150F_FDDDFC40__FDDDFD4F_0 -5__27FFE48C_BF7F8D42__BFFFEDCE_0 -5__586E869B_577DD973__5F7FDFFB_0 -5__756ED7D5_73FD9FC4__77FFDFD5_0 -5__77FBBCB9_6FF6E811__7FFFFCB9_0 -5__FBBDD5BC_5FEB1309__FFFFD7BD_0 -5__DD7B8996_FC774FA6__FD7FCFB6_0 -5__3FFD67D2_1DFD0817__3FFD6FD7_0 -5__6A2D6AFA_7B7F98BA__7B7FFAFA_0 -5__374743CA_DDDBBEFC__FFDFFFFE_0 -5__BFFC077F_753F23F5__FFFF27FF_0 -5__DDC64B7B_BC5D6596__FDDF6FFF_0 -5__7DB6B8DD_F72BAC13__FFBFBCDF_0 -5__2FB8E242_7EAF44D3__7FBFE6D3_0 -5__9B761D1E_FBFFBCA4__FBFFBDBE_0 -5__F3D3707F_FFC80849__FFDB787F_0 -5__7B7D578E_6FFD2ACE__7FFD7FCE_0 -5__4FBFBB39_FE1DCC78__FFBFFF79_0 -5__3DF364E5_1BEE1552__3FFF75F7_0 -5__CFB38EED_6FD94EA9__EFFBCEED_0 -5__7FFE4E33_35B723EE__7FFF6FFF_0 -5__EBEB2580_6DBC0B50__EFFF2FD0_0 -5__EBFDF0A4_F7570696__FFFFF6B6_0 -5__7BF1F959_7FD7BBF7__7FF7FBFF_0 -5__7F8E1633_D7FDF949__FFFFFF7B_0 -5__57BFA025_0FEFAFAA__5FFFAFAF_0 -5__EBFD524E_FFEF426E__FFFF526E_0 -5__7FC109C1_6FEB8585__7FEB8DC5_0 -5__36EF353A_AFD7707E__BFFF757E_0 -5__7C363FBD_CAB76715__FEB77FBD_0 -5__BDFFF0FE_F93DE487__FDFFF4FF_0 -5__B9D760F6_FBEE5ABE__FBFF7AFE_0 -5__A6FB8797_DFD704AF__FFFF87BF_0 -5__87FEBE71_F1FA1BC4__F7FEBFF5_0 -5__E5DD47D1_ED9F298C__EDDF6FDD_0 -5__BFF56372_FF7E1FB2__FFFF7FF2_0 -5__595AD444_DFC18D62__DFDBDD66_0 -5__2B5F4177_FDF91818__FFFF597F_0 -5__EF1F852A_D5EF465B__FFFFC77B_0 -5__6BFB70B4_6FF5C524__6FFFF5B4_0 -5__FEFFE70D_36BFAA6E__FEFFEF6F_0 -5__677F16A3_7BD7D752__7FFFD7F3_0 -5__97FE7E69_7BF67872__FFFE7E7B_0 -5__BFF9E649_C4942190__FFFDE7D9_0 -5__962D4B75_F1FFE2C7__F7FFEBF7_0 -5__E4B1D4F3_F1D3BA53__F5F3FEF3_0 -5__F8FB125A_3B17E02D__FBFFF27F_0 -5__FEFF3AB1_57B63339__FFFF3BB9_0 -5__EC570723_EB6FDA3A__EF7FDF3B_0 -5__4EBE7C56_F7BC3829__FFBE7C7F_0 -5__7B7FA4AD_BFFD6D4F__FFFFEDEF_0 -5__75EB99AD_3FFB19A9__7FFB99AD_0 -5__FC15BF9C_D7F1DEBB__FFF5FFBF_0 -5__F2FF318A_F79F035D__F7FF33DF_0 -5__3BE9D34E_EBEF28E2__FBEFFBEE_0 -5__4DF9E42F_F6FF335F__FFFFF77F_0 -5__BDF7436F_7FF7ECF3__FFF7EFFF_0 -5__CDFFA1C0_6FFF7AA6__EFFFFBE6_0 -5__5CDF8DEE_6F5FA4D0__7FDFADFE_0 -5__7B7F8DAF_FDFE952D__FFFF9DAF_0 -5__FFFD56BB_57BFD82A__FFFFDEBB_0 -5__F7DB7003_2FEDBCAB__FFFFFCAB_0 -5__FFEF4A19_FFBF7C46__FFFF7E5F_0 -5__FFED95EE_43E7B27E__FFEFB7FE_0 -5__AFCEDB0C_37E1D2F5__BFEFDBFD_0 -5__FF7F08C7_7DEA58A1__FFFF58E7_0 -5__DDEF66C3_AFDCCE19__FFFFEEDB_0 -5__DEDCC59D_FEB7BE5F__FEFFFFDF_0 -5__7F9CF8B1_7959FFD8__7FDDFFF9_0 -5__FBBB7443_F3DFCDB5__FBFFFDF7_0 -5__4FC7119A_FB6D1C68__FFEF1DFA_0 -5__6DE78EDB_518C2EF8__7DEFAEFB_0 -5__D5BF6942_FFFE31F2__FFFF79F2_0 -5__677FE9FA_6DFF6CC8__6FFFEDFA_0 -5__F2FED29C_7B8B26CD__FBFFF6DD_0 -5__9F715AC5_6BD66D18__FFF77FDD_0 -5__7FFDCBE8_0EE78A8B__7FFFCBEB_0 -5__B7F3F7EA_377F895D__B7FFFFFF_0 -5__7379065F_79FDB05D__7BFDB65F_0 -5__FED98FC1_FDA9F2AF__FFF9FFEF_0 -5__EA10B425_1FEB941E__FFFBB43F_0 -5__5FFDE166_FFFB9462__FFFFF566_0 -5__EEAE0CE9_AFBE3393__EFBE3FFB_0 -5__2CFF0B59_16ED6997__3EFF6BDF_0 -5__3ABFB41D_FF9F6242__FFBFF65F_0 -5__EE7E9BB1_FEFDE9DC__FEFFFBFD_0 -5__BF6B7988_DFBEAFE5__FFFFFFED_0 -5__2AEFF2E4_688ED671__6AEFF6F5_0 -5__FAB77DE8_2C5B6294__FEFF7FFC_0 -5__FFAB93BE_D7FBE7DD__FFFBF7FF_0 -5__D97F65DC_7FF95583__FFFF75DF_0 -5__6FFDF0BB_AEEF594A__EFFFF9FB_0 -5__55FC009C_65E72F8D__75FF2F9D_0 -5__6FF10C29_9F334A5F__FFF34E7F_0 -5__EFDF054E_6DFD3393__EFFF37DF_0 -5__78EF111B_31BED253__79FFD35B_0 -5__623DDFC7_AFF88DED__EFFDDFEF_0 -5__FEFB6826_B6F7CFA7__FEFFEFA7_0 -5__F6FF9990_EBAFA45F__FFFFBDDF_0 -5__5F3F7A47_F95DA9EA__FF7FFBEF_0 -5__77F7F77F_C7FD96FD__F7FFF7FF_0 -5__BF7BA915_FFBF2D6D__FFFFAD7D_0 -5__7DCF5298_F6F61677__FFFF56FF_0 -5__0FBA1075_7AEAEC76__7FFAFC77_0 -5__75FA9BEC_FF6C7483__FFFEFFEF_0 -5__7F0B0A37_DFBFEDC3__FFBFEFF7_0 -5__E7D300D1_EFFE8199__EFFF81D9_0 -5__BAD64A42_6FED9ACD__FFFFDACF_0 -5__725DD29D_7F73D9FA__7F7FDBFF_0 -5__FCAFBB51_FA9FAA8D__FEBFBBDD_0 -5__3F977D46_BFAEA3CD__BFBFFFCF_0 -5__FFA3968D_3E4F66F5__FFEFF6FD_0 -5__77DB14D6_7D373A4D__7FFF3EDF_0 -5__D57ECE2B_BFDCE14C__FFFEEF6F_0 -5__66FB957C_2BFEEB28__6FFFFF7C_0 -5__FD7703A3_6ABCAD44__FFFFAFE7_0 -5__F7EC3E2D_7D763E79__FFFE3E7D_0 -5__BBB4E59B_3EFD30AB__BFFDF5BB_0 -5__49FE5D0C_C3D3F1C6__CBFFFDCE_0 -5__FFFC4626_A9EFA17C__FFFFE77E_0 -5__FBFBFEEA_DF2FDBC7__FFFFFFEF_0 -5__ED97A8F8_359E77F1__FD9FFFF9_0 -5__EE5FA39B_6FF52A85__EFFFAB9F_0 -5__BBA768B9_7C7CE7AE__FFFFEFBF_0 -5__7FF78B7E_3CEB442E__7FFFCF7E_0 -5__777304E1_A4D6165C__F7F716FD_0 -5__7DA5C999_9BF11D0F__FFF5DD9F_0 -5__EECA235F_67B9790F__EFFB7B5F_0 -5__EB8F1168_FFB75C8A__FFBF5DEA_0 -5__F8EFC0D7_F93952F8__F9FFD2FF_0 -5__49DDF1FF_DF6CEE89__DFFDFFFF_0 -5__F7BE07DA_BFBBFB89__FFBFFFDB_0 -5__ED1CD0A9_19ECC3FC__FDFCD3FD_0 -5__F9BF4A74_3A792AC0__FBFF6AF4_0 -5__FFDDF33E_BFF676F4__FFFFF7FE_0 -5__F53FCCA3_3D7F6510__FD7FEDB3_0 -5__1A5B28D6_1F97EF4F__1FDFEFDF_0 -5__3BBE9A26_5DEB546C__7FFFDE6E_0 -5__BEED8CEA_642363AB__FEEFEFEB_0 -5__B6FF6E67_3FD7BA3B__BFFFFE7F_0 -5__7E7F35A5_EEDFD7A2__FEFFF7A7_0 -5__EFD925E1_FFF35F99__FFFB7FF9_0 -5__5C391E38_FEFD9B96__FEFD9FBE_0 -5__BABF0CB0_15F714BA__BFFF1CBA_0 -5__FBEFF2AF_DFEF31EE__FFEFF3EF_0 -5__0FDD7FDE_EB7FDCF8__EFFFFFFE_0 -5__7CDF31FB_BDFFB76B__FDFFB7FB_0 -5__FFDE3DEF_6D7F31E4__FFFF3DEF_0 -5__9FD1F812_7FBEEB2A__FFFFFB3A_0 -5__7577B53F_6F3DE5C8__7F7FF5FF_0 -5__DFFCB2E5_EEFF8D96__FFFFBFF7_0 -5__BF6DF6A2_2FB6338D__BFFFF7AF_0 -5__77750958_7BDDBA7C__7FFDBB7C_0 -5__6FBCF643_DF5DF39D__FFFDF7DF_0 -5__FFBF4F42_D5F7E79A__FFFFEFDA_0 -5__5FFDE93B_5CABBF08__5FFFFF3B_0 -5__FFFA3B4B_FFB74EC2__FFFF7FCB_0 -5__BACDB96C_7EFFAE47__FEFFBF6F_0 -5__DDB196A1_6FEEA79D__FFFFB7BD_0 -5__7BFFF46F_FBF99214__FBFFF67F_0 -5__9F3F9191_F7F7C972__FFFFD9F3_0 -5__7F7FDA6B_F77FAC18__FF7FFE7B_0 -5__C1CA92AE_FFBFA060__FFFFB2EE_0 -5__0FBE7B92_F7D4696F__FFFE7BFF_0 -5__7896B0D9_E3F41FA5__FBF6BFFD_0 -5__BBF298F4_FD3A47A0__FFFADFF4_0 -5__BF5747D9_37F7801B__BFF7C7DB_0 -5__CDEEDF90_FFE71E69__FFEFDFF9_0 -5__BFFEFB49_B7DF45B3__BFFFFFFB_0 -5__FFB976F2_35DB9045__FFFBF6F7_0 -5__FEF5C049_35CF39D6__FFFFF9DF_0 -5__71D7DCFA_F3FFFA84__F3FFFEFE_0 -5__27DFD8A5_7F6F6011__7FFFF8B5_0 -5__57F4B6B4_5FFEF77A__5FFEF7FE_0 -5__9DC324AF_7D7D634A__FDFF67EF_0 -5__BF1DBE1B_F4FFF6C2__FFFFFEDB_0 -5__76B7EABA_77F753C4__77F7FBFE_0 -5__B4FDB418_FDAF806A__FDFFB47A_0 -5__EFF7A21F_FACE85F0__FFFFA7FF_0 -5__7DED3840_1DEF7344__7DEF7B44_0 -5__7EDBDD6F_FFFD946B__FFFFDD6F_0 -5__35FB4AAC_6CFFCC84__7DFFCEAC_0 -5__37FF439A_3CF6516E__3FFF53FE_0 -5__0DB75984_F032510E__FDB7598E_0 -5__DFEED7DE_7F7D4CD1__FFFFDFDF_0 -5__3EFBBFCA_77FF5F2C__7FFFFFEE_0 -5__75E7D020_7DD918F9__7DFFD8F9_0 -5__BFFF1451_EFEE36C2__FFFF36D3_0 -5__73425026_3BE4E43F__7BE6F43F_0 -5__FAFD032C_67BF8656__FFFF877E_0 -5__AB7F6130_ED764887__EF7F69B7_0 -5__FECEC4E2_17DF8DFA__FFDFCDFA_0 -5__7BFFC510_CF77122E__FFFFD73E_0 -5__B4FF6910_B7EFD170__B7FFF970_0 -5__5EB5F3E9_7E7A1AE2__7EFFFBEB_0 -5__FEFDB854_FA3F86FA__FEFFBEFE_0 -5__E7CFA475_777BD09B__F7FFF4FF_0 -5__F5BB3D3D_ABDF3433__FFFF3D3F_0 -5__3F7AC78E_7F9FD6E2__7FFFD7EE_0 -5__E6E93563_5EFB2074__FEFB3577_0 -5__FB7E66DA_B6FB159B__FFFF77DB_0 -5__EFE7DE51_CFFDA280__EFFFFED1_0 -5__DF5F756C_7EFFC6D1__FFFFF7FD_0 -5__7F45BA7C_756B613A__7F6FFB7E_0 -5__52BF058A_DB3F5A79__DBBF5FFB_0 -5__EE1FF903_795EF049__FF5FF94B_0 -5__AABF76DE_FFDF20C3__FFFF76DF_0 -5__F41FE8A4_BB25B035__FF3FF8B5_0 -5__FFD8629B_EFFE7431__FFFE76BB_0 -5__7EF93319_FF5120C2__FFF933DB_0 -5__DFDFBF08_B0C725C9__FFDFBFC9_0 -5__B7BF457C_F2F3DC88__F7FFDDFC_0 -5__7DFF79B8_6EF5E586__7FFFFDBE_0 -5__FFFF8674_5CBFD306__FFFFD776_0 -5__3FDE7B7F_FEF9504C__FFFF7B7F_0 -5__DE5B17E8_FDBB5560__FFFB57E8_0 -5__7FBF0C1A_BE4EE5C5__FFFFEDDF_0 -5__FFBF2E1E_FBFFB8B0__FFFFBEBE_0 -5__6BFE0A40_37ED9033__7FFF9A73_0 -5__1EFBCFE8_983C9EA9__9EFFDFE9_0 -5__6D7CE94B_7BFEBB7D__7FFEFB7F_0 -5__57F810CD_6EF7F7BE__7FFFF7FF_0 -5__6FCE51C7_1E7F3B00__7FFF7BC7_0 -5__FFFAFCD7_E73FC5E9__FFFFFDFF_0 -5__5DBB8E39_773D208D__7FBFAEBD_0 -5__67F613AF_7BF7E90C__7FF7FBAF_0 -5__40FFD71A_FF3DADA1__FFFFFFBB_0 -5__7C134EE5_FBB34DFF__FFB34FFF_0 -5__FD6567E9_77BFDB7B__FFFFFFFB_0 -5__B5E4D6BE_5F776AFF__FFF7FEFF_0 -5__CFB6D759_3FFFD6C8__FFFFD7D9_0 -5__19EF45A3_DF7FB38D__DFFFF7AF_0 -5__FBFD8B3B_EF0F7928__FFFFFB3B_0 -5__6FFFBCFE_F46CA9A0__FFFFBDFE_0 -5__7BCB58DE_DFEEF4F3__FFEFFCFF_0 -5__AD4B4BA5_7FDE1EE0__FFDF5FE5_0 -5__7BD36CA0_9FAE64C4__FFFF6CE4_0 -5__BFEF5407_BC7E8338__BFFFD73F_0 -5__DFFFB693_BDF61BFA__FFFFBFFB_0 -5__D1BFADAE_A39F6816__F3BFEDBE_0 -5__BDDA7B3F_85F6C4C5__BDFEFFFF_0 -5__7FDFDF89_EEBF7D74__FFFFFFFD_0 -5__7FD7D5A5_67DFF399__7FDFF7BD_0 -5__F7B79263_CEFD9F35__FFFF9F77_0 -5__7FFBB6C1_71380DAA__7FFBBFEB_0 -5__4FEE195B_EFD9FF61__EFFFFF7B_0 -5__7DFF3561_D3EE2244__FFFF3765_0 -5__CBFFB7ED_6FDBE428__EFFFF7ED_0 -5__37A720F7_74BE17E2__77BF37F7_0 -5__37FE1A28_65FF2352__77FF3B7A_0 -5__EF6B1DE9_BF7F5059__FF7F5DF9_0 -5__1EDD04BC_E7570948__FFDF0DFC_0 -5__7BFF40D2_C1E59847__FBFFD8D7_0 -5__5F7E509A_F3F3077D__FFFF57FF_0 -5__DF9FC0C8_B6F53CAA__FFFFFCEA_0 -5__F8F7CB28_CE6C6D68__FEFFEF68_0 -5__EFFDF56A_7BD5B4ED__FFFDF5EF_0 -5__75FCE0B1_EF6DAB9B__FFFDEBBB_0 -5__73FA87FE_7F965710__7FFED7FE_0 -5__3B56E5AA_753B6081__7F7FE5AB_0 -5__E9BF338A_FE7DE310__FFFFF39A_0 -5__7F5FD990_BFF91992__FFFFD992_0 -5__DC6233B7_3FF70598__FFF737BF_0 -5__66DC5F60_BBDE04D9__FFDE5FF9_0 -5__7EFFA1A6_BFE70BC2__FFFFABE6_0 -5__FDD7BDFE_BEBDE7B3__FFFFFFFF_0 -5__FEFD3453_5FDAB4D1__FFFFB4D3_0 -5__3FD9419E_5EB62B74__7FFF6BFE_0 -5__FF3B9269_73FDBDAE__FFFFBFEF_0 -5__FFF96E00_FEDF2E06__FFFF6E06_0 -5__AFD791BD_6F58F818__EFDFF9BD_0 -5__37F85415_3AFBCF8B__3FFBDF9F_0 -5__EFFFDC65_EE396B44__EFFFFF65_0 -5__6CDFBEE6_33EFD035__7FFFFEF7_0 -5__7CFB5F5E_4BEFF4DA__7FFFFFDE_0 -5__3F5F0EC5_FFF9D8A5__FFFFDEE5_0 -5__5DFB11E7_7FDFE726__7FFFF7E7_0 -5__EFDF4A81_CCDF2ACE__EFDF6ACF_0 -5__5FFEABC6_7FBFDDBE__7FFFFFFE_0 -5__1FFE5408_E5DBC127__FFFFD52F_0 -5__7BB78362_D5BFD3B7__FFBFD3F7_0 -5__FFDF2243_D3F70FF2__FFFF2FF3_0 -5__0A6F92C9_DFF922E9__DFFFB2E9_0 -5__6817D240_9DB9CE35__FDBFDE75_0 -5__27FF577A_7BFF6439__7FFF777B_0 -5__0F3F816E_7E3FE519__7F3FE57F_0 -5__EAFF06C7_F7FE5950__FFFF5FD7_0 -5__F5AB0DE1_6B7345DA__FFFB4DFB_0 -5__15EEBBA5_6FED4AF5__7FEFFBF5_0 -5__7BE7F719_FD3B90B8__FFFFF7B9_0 -5__3F5B4F3D_7D1DA51A__7F5FEF3F_0 -5__DEFE5FE6_66BB0992__FEFF5FF6_0 -5__FB878F3D_3F7C1737__FFFF9F3F_0 -5__6DAB7840_DD7A7AB1__FDFB7AF1_0 -5__FEEC0D40_FFAD3F51__FFED3F51_0 -5__FFFF12EC_6FFEFC85__FFFFFEED_0 -5__BEB7EC43_77EF72EA__FFFFFEEB_0 -5__7EFD7E31_FFD6854E__FFFFFF7F_0 -5__E7AFBC6D_CDFF4696__EFFFFEFF_0 -5__27EB027B_EF7E8D29__EFFF8F7B_0 -5__BCF76A5E_7F7B3306__FFFF7B5E_0 -5__0C1D373B_6FDDA9BB__6FDDBFBB_0 -5__6D7F165D_58D847AA__7DFF57FF_0 -5__3FDF52D1_3F3E13E1__3FFF53F1_0 -5__3FBF64CF_7F770E12__7FFF6EDF_0 -5__ECB6E095_BDFDA006__FDFFE097_0 -5__4BED35AE_7FDF38F5__7FFF3DFF_0 -5__D5EE3F2F_5B1B6082__DFFF7FAF_0 -5__3DFFF435_DD4B474E__FDFFF77F_0 -5__5DF7C8DC_F7FADAB9__FFFFDAFD_0 -5__FFEF4113_7DD110EF__FFFF51FF_0 -5__FBF7679D_E7FFB462__FFFFF7FF_0 -5__CFEF7BB9_BFEBA5D4__FFEFFFFD_0 -5__1FFAC4A2_7F9F029A__7FFFC6BA_0 -5__1F3F4D39_F9F31648__FFFF5F79_0 -5__FFEFB5E7_9F3C81DF__FFFFB5FF_0 -5__67FEA0B6_5F1E5BF6__7FFEFBF6_0 -5__E3EE44DA_7F7F3E34__FFFF7EFE_0 -5__FE4ED363_33C6E759__FFCEF77B_0 -5__9DFDE0A3_FECDAE39__FFFDEEBB_0 -5__6FFFCDCF_F5FFB54F__FFFFFDCF_0 -5__5FEEDD42_7FBEDC5D__7FFEDD5F_0 -5__D3FFBB69_6D9764C2__FFFFFFEB_0 -5__7A75637A_7F76C942__7F77EB7A_0 -5__7DEDFB4F_1FEF9FD5__7FEFFFDF_0 -5__62FD4DAB_4FFCF16B__6FFDFDEB_0 -5__FEA97D45_6FECF75F__FFEDFF5F_0 -5__CFF60C31_9D97E87D__DFF7EC7D_0 -5__74EBD384_BF9D50DF__FFFFD3DF_0 -5__71ECDEB0_FFFE2DFC__FFFEFFFC_0 -5__2BFF4840_77ADBC50__7FFFFC50_0 -5__77FE31BD_FECF5AEC__FFFF7BFD_0 -5__7FFF672D_D7FB7327__FFFF772F_0 -5__3FFE4775_5FA44720__7FFE4775_0 -5__FFFF711F_FDBF2910__FFFF791F_0 -5__FEBF4B4F_77956B9A__FFBF6BDF_0 -5__A67C5F74_CFD2049E__EFFE5FFE_0 -5__78F88CB3_7F8DA1C5__7FFDADF7_0 -5__9E7E322B_B4FF4115__BEFF733F_0 -5__FFEFB979_F7FF2C63__FFFFBD7B_0 -5__7B6AEC5D_57B26B29__7FFAEF7D_0 -5__7EFF52E3_D7FECFFC__FFFFDFFF_0 -5__FE7EFA0D_EF6A4FEB__FF7EFFEF_0 -5__067A3D44_3FF5E3B0__3FFFFFF4_0 -5__7F3BC67E_9BA458C2__FFBFDEFE_0 -5__2BEB4FF1_7FBA91BD__7FFBDFFD_0 -5__7D36AA0D_7EDEED63__7FFEEF6F_0 -5__3C998B8B_5B78BADF__7FF9BBDF_0 -5__D7FD201A_B93E5BD5__FFFF7BDF_0 -5__4FF75809_FF5E3553__FFFF7D5B_0 -5__F54EE225_4FEB7688__FFEFF6AD_0 -5__4B3E1305_F3D5266D__FBFF376D_0 -5__F6A36764_7BFE18F0__FFFF7FF4_0 -5__6EFF3E32_6EFAB4DF__6EFFBEFF_0 -5__FF904A6B_F5EF956E__FFFFDF6F_0 -5__DEFF1E03_7FE7B923__FFFFBF23_0 -5__EDB76275_0EBD8752__EFBFE777_0 -5__1DFF0ED7_5B7F9CD2__5FFF9ED7_0 -5__EEF2F443_7BE68EB8__FFF6FEFB_0 -5__565BBB49_FDEF1105__FFFFBB4D_0 -5__AF7340C7_F3A73783__FFF777C7_0 -5__FDF6E163_6B2FC46F__FFFFE56F_0 -5__7F6CD1CA_7D9E434E__7FFED3CE_0 -5__F5F7A905_ABF987BE__FFFFAFBF_0 -5__F1F784BE_FFDB5EBB__FFFFDEBF_0 -5__56CED6D0_76F750C4__76FFD6D4_0 -5__BBDF5F2B_7E77205D__FFFF7F7F_0 -5__FF971BCE_FBB6D958__FFB7DBDE_0 -5__4DF75ADE_F77F4841__FFFF5ADF_0 -5__FDCA9C48_6F6E9E3D__FFEE9E7D_0 -5__F878ADA9_6BEF195B__FBFFBDFB_0 -5__E6EB2DC0_CAC501EA__EEEF2DEA_0 -5__CEFE940A_7FEF2FAE__FFFFBFAE_0 -5__8BADA894_F27E4EA6__FBFFEEB6_0 -5__AFEFF59E_6F7717FB__EFFFF7FF_0 -5__77FABD9D_DA9FF1D4__FFFFFDDD_0 -5__FBE3E988_1D773996__FFF7F99E_0 -5__A91AE455_ED7F8C5D__ED7FEC5D_0 -5__7F2E9778_7DDFB311__7FFFB779_0 -5__7FEF89FD_7F47CE0A__7FEFCFFF_0 -5__6FAD665B_7BFBCDCD__7FFFEFDF_0 -5__FFD833E2_797EAC46__FFFEBFE6_0 -5__6579D3FC_7F7EDC04__7F7FDFFC_0 -5__BEE77F7C_B9F18C5A__BFF7FF7E_0 -5__7BB8E824_F7F75E95__FFFFFEB5_0 -5__FCBD1026_6CFBEC1A__FCFFFC3E_0 -5__FFDF899F_717A2C79__FFFFADFF_0 -5__EFF9479C_77FCA9D0__FFFDEFDC_0 -5__B7FF8C64_4DFF3443__FFFFBC67_0 -5__3EE9410C_8F5F847A__BFFFC57E_0 -5__6EDD34C2_B456A08B__FEDFB4CB_0 -5__36FB3BC5_5FAFF526__7FFFFFE7_0 -5__FEEB646D_69CC69C5__FFEF6DED_0 -5__7D6B302C_FFDD407F__FFFF707F_0 -5__7F66930E_DDAF47E6__FFEFD7EE_0 -5__7FDF052C_BC9E342C__FFDF352C_0 -5__5FE46793_15CF2EEB__5FEF6FFB_0 -5__57F77EC9_CDBFDAB6__DFFFFEFF_0 -5__3FF7124F_217B4029__3FFF526F_0 -5__44EFFFAD_FFDE7580__FFFFFFAD_0 -5__5FDB21B2_5DFF9DF0__5FFFBDF2_0 -5__FADFAFE0_BFE7EE43__FFFFEFE3_0 -5__91F71937_7EDD01D3__FFFF19F7_0 -5__DFA73496_BEBEC076__FFBFF4F6_0 -5__FAAE4487_78FEDC94__FAFEDC97_0 -5__B9FB3B18_E9B714E6__F9FF3FFE_0 -5__5E9AC42F_1DC55FA7__5FDFDFAF_0 -5__FFFF61DD_2FBE10AB__FFFF71FF_0 -5__5EDFB587_FADAA866__FEDFBDE7_0 -5__DDAF060B_D77E9102__DFFF970B_0 -5__3ECFCB18_A7CA7359__BFCFFB59_0 -5__DB8B6CCD_77B96FCA__FFBB6FCF_0 -5__67F78DDC_7DFDBF87__7FFFBFDF_0 -5__7FAF009F_0FE4235A__7FEF23DF_0 -5__FF6F11A8_4FFBF83B__FFFFF9BB_0 -5__F6F4A3EF_FD6D6DE5__FFFDEFEF_0 -5__F3B38789_54FF184F__F7FF9FCF_0 -5__5DEBE452_FFAFCFAE__FFEFEFFE_0 -5__D8CFB8A4_657F67EC__FDFFFFEC_0 -5__EEFDA77F_BA7E5C0E__FEFFFF7F_0 -5__B93F0DF9_77E32828__FFFF2DF9_0 -5__7FAF2B27_5FDD4F88__7FFF6FAF_0 -5__B8FE8E17_F7561D18__FFFE9F1F_0 -5__3F77C36B_B7B77331__BFF7F37B_0 -5__F9FEAE6D_B079D83E__F9FFFE7F_0 -5__FEDF1889_EB6E748F__FFFF7C8F_0 -5__6E7F2EC8_FFFF5284__FFFF7ECC_0 -5__DFE72486_1FB3DB42__DFF7FFC6_0 -5__DF55E7D6_BDFF6785__FFFFE7D7_0 -5__6FFE8A24_6AFE6F0C__6FFEEF2C_0 -5__9AFFD033_F7DEC725__FFFFD737_0 -5__EAF99B54_DBB5F5D0__FBFDFFD4_0 -5__5AFBCB97_75473F0C__7FFFFF9F_0 -5__5EFF6511_637F6849__7FFF6D59_0 -5__FBBD9C9D_57FBDFF5__FFFFDFFD_0 -5__7DFF3BEF_DEF75774__FFFF7FFF_0 -5__9CFFC73C_7F9BBAA2__FFFFFFBE_0 -5__5F1FEB99_BF877F4F__FF9FFFDF_0 -5__7DDE0312_CEF4D849__FFFEDB5B_0 -5__F7B7C681_7AF5CE4A__FFF7CECB_0 -5__BEFFE6DC_97BF5015__BFFFF6DD_0 -5__F74D3C2D_FBDD0EFD__FFDD3EFD_0 -5__7393B64C_75D56397__77D7F7DF_0 -5__5FBB0CBD_7FD9884E__7FFB8CFF_0 -5__DB3E0505_FFBFE169__FFBFE56D_0 -5__F5B3BDDC_FF5F2887__FFFFBDDF_0 -5__D7A74C1E_7FCD3B96__FFEF7F9E_0 -5__00000000_00000000__00000000_1 -5__FFFFFFFF_00000000__FFFFFFFF_0 -5__00000000_FFFFFFFF__FFFFFFFF_0 -5__FFFFFFFF_FFFFFFFF__FFFFFFFF_0 -4__7F76F943_F3EE46E5__73664041_0 -4__7DAFEE04_7BF79311__79A78200_0 -4__6FBA285B_A6FF35BC__26BA2018_0 -4__DD70DABD_BB776DA9__997048A9_0 -4__FFF6DE1F_4CFFE247__4CF6C207_0 -4__FA850560_7F3A43A7__7A000120_0 -4__E9FFCEDC_FF7FF39C__E97FC29C_0 -4__FF3F21A4_FF67A6AA__FF2720A0_0 -4__FDF50075_2DFF1F55__2DF50055_0 -4__3EDEB0D7_3DBA7459__3C9A3051_0 -4__DE76A405_7FD7A4C0__5E56A400_0 -4__7C72B985_F9FF81CB__78728181_0 -4__7EFD8BDF_4DD792CB__4CD582CB_0 -4__7BCB52D9_7DED596D__79C95049_0 -4__6B4B00DC_EDDBB71C__694B001C_0 -4__F7DB8E5F_7DEF95D2__75CB8452_0 -4__67FFAEFC_7EB8F678__66B8A678_0 -4__7EF8CA83_B13D68E7__30384883_0 -4__77F33E62_FFBEDF7B__77B21E62_0 -4__25FA9FD3_FEF7A631__24F28611_0 -4__F9FB6A0D_BD5F6EA7__B95B6A05_0 -4__B37F94B6_4A7B7234__027B1034_0 -4__F6C3F0D6_FF8D79D7__F68170D6_0 -4__6FBE5099_7FEF9C0D__6FAE1009_0 -4__5DEFA3F6_FBEF214F__59EF2146_0 -4__6CDD6D08_6E6CCFCA__6C4C4D08_0 -4__2F7DBE84_FFFF6257__2F7D2204_0 -4__7FDF50AE_FBFF8902__7BDF0002_0 -4__FFBFD5D0_7F7B76E8__7F3B54C0_0 -4__97CB4785_73D5C8EB__13C14081_0 -4__FF3DA500_F1E3CC59__F1218400_0 -4__F47B7B83_FFF1A0ED__F4712081_0 -4__7F66C7AA_AC2FDCB4__2C26C4A0_0 -4__F71FC7A6_7F9EDF07__771EC706_0 -4__794FB1FA_9FD7B4B2__1947B0B2_0 -4__1FE0297A_3DFA4824__1DE00820_0 -4__77EF7309_7A9AE457__728A6001_0 -4__29FB5706_7FFBFCD0__29FB5400_0 -4__FEDEA400_57F4EB2C__56D4A000_0 -4__BEFEA257_1D7F6CC2__1C7E2042_0 -4__7D6314D1_CFC0671E__4D400410_0 -4__6E3B3760_B92BC60F__282B0600_0 -4__77FE550D_6FDFDE4C__67DE540C_0 -4__8FEC5901_557774EF__05645001_0 -4__47ED68C0_79FF9BCD__41ED08C0_0 -4__FDDFB50D_FEF749FD__FCD7010D_0 -4__FDFEC7CD_DFEFFD6E__DDEEC54C_0 -4__75FB652F_FBB1C344__71B14104_0 -4__B6FB3EE5_39DF702F__30DB3025_0 -4__23F9B660_7BEDD170__23E99060_0 -4__5B7F129B_F1E9BF85__51691281_0 -4__FECBDB0B_DF660E8F__DE420A0B_0 -4__FE649F7B_7FFFFEDE__7E649E5A_0 -4__D5BBD708_7F1B26F7__551B0600_0 -4__7E2E1EAC_FDFE54D4__7C2E1484_0 -4__60BBDEA4_7EE757AB__60A356A0_0 -4__DF9D1B8E_3FBE6F5E__1F9C0B0E_0 -4__B7F72F0D_3AE53F6A__32E52F08_0 -4__65F13B43_CFBF2EFF__45B12A43_0 -4__FDDF199B_5FEFDE29__5DCF1809_0 -4__96D96255_EFE7C3AD__86C14205_0 -4__E9CFA676_BF5FA7B0__A94FA630_0 -4__7E5F3C27_7BCFC8ED__7A4F0825_0 -4__7EE7605F_5BBF037E__5AA7005E_0 -4__7D3F3799_5F6F313F__5D2F3119_0 -4__3F953307_793FB0B0__39153000_0 -4__3BFF3D4A_FFFFDE4D__3BFF1C48_0 -4__FF55E41E_AFD6A3D8__AF54A018_0 -4__FBEA3A24_6FB7C5E2__6BA20020_0 -4__AFADB110_D77E7885__872C3000_0 -4__FFFFBE6D_33FF4CF7__33FF0C65_0 -4__F76FB29C_7BBD8C6E__732D800C_0 -4__CB7DEAFD_BEBD1B79__8A3D0A79_0 -4__B77F790B_D6E7F844__96677800_0 -4__7EFDBCA9_3D6F3EE2__3C6D3CA0_0 -4__7F3F3BFE_DBDF2F07__5B1F2B06_0 -4__7DFA1D61_D6CFD80A__54CA1800_0 -4__7AD813F2_7D676AA4__784002A0_0 -4__3FFF0921_5BE766AB__1BE70021_0 -4__9BFE4CC7_F7BFFF7A__93BE4C42_0 -4__EF3B5721_5B37E7C5__4B334701_0 -4__77EFCE70_35B332F8__35A30270_0 -4__67E9E36E_7DF7E13E__65E1E12E_0 -4__EBFF5E63_EBFB8499__EBFB0401_0 -4__7FDE9C4E_FFFF8273__7FDE8042_0 -4__7F2D3501_48FF01D4__482D0100_0 -4__3FBA1E4C_3FDDC3CA__3F980248_0 -4__335F3336_7F9D6965__331D2124_0 -4__D5EFC913_27E759B8__05E74910_0 -4__7F5FBDA3_79BB9ADF__791B9883_0 -4__DF474C29_6FFFB906__4F470800_0 -4__79FE215E_E7FDCF48__61FC0148_0 -4__DFFF29B0_7AFF2C69__5AFF2820_0 -4__FD5FF0D0_FFFB000F__FD5B0000_0 -4__7B7B4784_636E141B__636A0400_0 -4__7BE3A3C7_FFFB63FB__7BE323C3_0 -4__7E9F4F2D_717F642F__701F442D_0 -4__8BDF5152_F6BA1060__829A1040_0 -4__5BAD5C62_6FD8048F__4B880402_0 -4__5ADFFF30_F9E90C49__58C90C00_0 -4__CBE304AD_3F7E691A__0B620008_0 -4__7EC73ABB_7FFF2294__7EC72290_0 -4__778F400B_FDCFF372__758F4002_0 -4__FBFB9E98_5FEED3BE__5BEA9298_0 -4__7EAB2040_56D818AB__56880000_0 -4__BF3FBD5D_3FEE4523__3F2E0501_0 -4__36F79E4F_663EACCC__26368C4C_0 -4__E97FF7BF_5AAF77B9__482F77B9_0 -4__7FFBC112_ED662DDC__6D620110_0 -4__FFFF8583_8FFFF16F__8FFF8103_0 -4__BBFD39E3_947F9ECC__907D18C0_0 -4__6BE7550C_FFEF9745__6BE71504_0 -4__722FB0A7_F73FD507__722F9007_0 -4__EF472DEC_79C30905__69430904_0 -4__5CBE5F3F_67BF1A31__44BE1A31_0 -4__BB37552D_4B970C4D__0B17040D_0 -4__FFBFC700_769F686C__769F4000_0 -4__EFFBF882_5F7F4AE4__4F7B4880_0 -4__FEE75556_BBFB6830__BAE34010_0 -4__6F0D6D3B_72AF4619__620D4419_0 -4__6DF75F2E_DDEFB9EF__4DE7192E_0 -4__27711D5D_F6F7C08A__26710008_0 -4__FDDC355B_3756C388__35540108_0 -4__3FD95F9E_9FFD0A62__1FD90A02_0 -4__FFDE072F_FFFDF1E9__FFDC0129_0 -4__3D6B4105_FE9AEAC2__3C0A4000_0 -4__C3EF57FF_67E7C8FE__43E740FE_0 -4__FFDD7A8B_7CEF516C__7CCD5008_0 -4__F89BCFDC_9DDEF1CE__989AC1CC_0 -4__5FEFDDCE_6FE5E384__4FE5C184_0 -4__7FDF5228_FFBF8776__7F9F0220_0 -4__73CF26F4_DF99AAF1__538922F0_0 -4__FBB7605A_FFBF871D__FBB70018_0 -4__BFF5A8D0_FFD74670__BFD50050_0 -4__7AAFCC92_BFAF36A9__3AAF0480_0 -4__E71B78F6_BBF1CA08__A3114800_0 -4__FFEF7BF2_6EFD5D5E__6EED5952_0 -4__FBDB0078_66DB0379__62DB0078_0 -4__3FE5F63D_7DB77E94__3DA57614_0 -4__75BCB90B_CF5F9CA0__451C9800_0 -4__EFCF7744_6DF7A0A4__6DC72004_0 -4__AF7C3F42_1EDFA89F__0E5C2802_0 -4__7AFE455F_69BFE044__68BE4044_0 -4__F3FF14B8_7FFEDB8F__73FE1088_0 -4__EDDE4719_CDFF575D__CDDE4719_0 -4__D7BAC3B5_BBFD78CD__93B84085_0 -4__FCF713AD_17EF20AD__14E700AD_0 -4__4BDD9706_11661776__01441706_0 -4__7E3E3FE2_6F7F3464__6E3E3460_0 -4__BC9F2AC9_1DF9BB85__1C992A81_0 -4__3F9B531F_7DD2795D__3D92511D_0 -4__FFFF2FBA_B7CEEFAF__B7CE2FAA_0 -4__EEE74E08_F5EF2BAB__E4E70A08_0 -4__29FE7DF5_7EEB84B0__28EA04B0_0 -4__7FCF4374_BFFFBD1D__3FCF0114_0 -4__FDF5982A_5FFD29B1__5DF50820_0 -4__6F8DDB03_D5FFAF83__458D8B03_0 -4__FFEE5420_765B24D9__764A0400_0 -4__7FFF2387_BFFEA17D__3FFE2105_0 -4__6BFA1D63_C7DBDFCC__43DA1D40_0 -4__FC7A213E_7DFF7833__7C7A2032_0 -4__7730FBA1_18C74A6A__10004A20_0 -4__7DC327A1_F6FEEB5A__74C22300_0 -4__7DFFFD0C_7F357742__7D357500_0 -4__FABE3C1C_CFF51078__CAB41018_0 -4__7CDB5C25_B5D70A49__34D30801_0 -4__7ABFA988_3B7FE3E5__3A3FA180_0 -4__EFEBE3E7_CFFC76F4__CFE862E4_0 -4__BFA4638F_5EFBE626__1EA06206_0 -4__FDF7CA6A_0CBFFC12__0CB7C802_0 -4__4FFCD27A_7E7F22BE__4E7C023A_0 -4__18E71ED1_3FF79A52__18E71A50_0 -4__DF7F5BFA_EFFFDA97__CF7F5A92_0 -4__3FFD02F2_7FFF0EA5__3FFD02A0_0 -4__A2DB444A_67FC8B7E__22D8004A_0 -4__FFFB44E1_5FB92853__5FB90041_0 -4__7DCFB4BF_F79FBFD3__758FB493_0 -4__F1FF2E45_EFE7730E__E1E72204_0 -4__F1EFCAAA_F9E189EE__F1E188AA_0 -4__BEBF2056_7CC65C39__3C860010_0 -4__E5686716_5B7E9848__41680000_0 -4__CFBF0254_F1DB883F__C19B0014_0 -4__F9E5EE87_3FFE71A0__39E46080_0 -4__FDF70556_B6EF39B8__B4E70110_0 -4__797F98C2_FFFF087D__797F0840_0 -4__562A3601_9F9E75D6__160A3400_0 -4__3CBFB0D9_7F97D7F7__3C9790D1_0 -4__AEFFBD61_79BF1022__28BF1020_0 -4__7E67D66F_45FF2D36__44670426_0 -4__57FF7B6B_FFFC0A20__57FC0A20_0 -4__FF35F89C_ED4ED7C0__ED04D080_0 -4__A74AFD6E_77FF9E51__274A9C40_0 -4__2F9B0C5F_77FF02EC__279B004C_0 -4__F55FA468_FFFB2AFF__F55B2068_0 -4__F67FD1F3_D5EF0AA5__D46F00A1_0 -4__7B8F5BEF_EFEB4863__6B8B4863_0 -4__D2FB8DEA_2EFF1AB0__02FB08A0_0 -4__7F6F5A3C_54FB0EE8__546B0A28_0 -4__CDDDDDE8_F56C253A__C54C0528_0 -4__77DB73C3_7BAB48F2__738B40C2_0 -4__37C98FE1_7E5F1DF4__36490DE0_0 -4__FDF29B06_FE6F2806__FC620806_0 -4__BDDB1DD7_357CFF93__35581D93_0 -4__57AE1351_5FBD416F__57AC0141_0 -4__FEEFAE4B_CDA5352D__CCA52409_0 -4__1FFDFDE4_B37E22EC__137C20E4_0 -4__FF6F671C_FFFFD52F__FF6F450C_0 -4__3C7124B1_FAF74DF2__387104B0_0 -4__7BF7D738_EF6305B6__6B630530_0 -4__3FDF10F9_5EDF0EDE__1EDF00D8_0 -4__7A7FF70B_2DC7541D__28475409_0 -4__5BFDC99A_FBBCC7DC__5BBCC198_0 -4__7FDFDC4F_CFDFE44C__4FDFC44C_0 -4__3F6F29A4_D37B8E98__136B0880_0 -4__BFFCA940_EFDFF73C__AFDCA100_0 -4__BFBF9459_779F1934__379F1010_0 -4__DFF93AAD_7DCF1310__5DC91200_0 -4__FF7FDF9D_FE7B381A__FE7B1818_0 -4__3F7FBBD9_BFAB0E10__3F2B0A10_0 -4__6FFA20C9_9FFF97B1__0FFA0081_0 -4__FFBBA49B_E6F51386__E6B10082_0 -4__F5F6BD4A_7BF6AFA0__71F6AD00_0 -4__71F3A1BE_F3DE839C__71D2819C_0 -4__FDFF9EC1_CD3F142F__CD3F1401_0 -4__7DBBA412_D74F4A26__550B0002_0 -4__5EAFFC20_FF75E4B7__5E25E420_0 -4__FECB925C_F5F755EF__F4C3104C_0 -4__F3EBAE32_7FFE8DE9__73EA8C20_0 -4__F5F7B1AE_7EEE8AA9__74E680A8_0 -4__73F89696_77F34091__73F00090_0 -4__7FB6CA0F_F63FD88E__7636C80E_0 -4__97BA3ADC_6EDA8785__069A0284_0 -4__77FF03B1_9E6EF612__166E0210_0 -4__3EFF304F_FBD9B60F__3AD9300F_0 -4__F7FB5DB0_5AEF35EC__52EB15A0_0 -4__6773E34E_5FDABBC0__4752A340_0 -4__7F5E480C_1BBFC7C9__1B1E4008_0 -4__6BDAF21A_AEFE6AAC__2ADA6208_0 -4__7E8B5B21_F7EF30CE__768B1000_0 -4__557E29B9_5CF996D7__54780091_0 -4__37FFB4E9_596700D1__116700C1_0 -4__F7AF35A0_FDFB16D8__F5AB1480_0 -4__FEF36EDB_FF7EDB52__FE724A52_0 -4__F97FCF67_F27B2FEC__F07B0F64_0 -4__79EB2AB7_7FFD50FC__79E900B4_0 -4__FDBF78E1_5F390329__5D390021_0 -4__BB838036_76D79D40__32838000_0 -4__E5CE3202_577F1DA9__454E1000_0 -4__6E4B3943_DBEFB388__4A4B3100_0 -4__F76F3EF4_7ADF0153__724F0050_0 -4__3F05F88A_5EDD77FC__1E057088_0 -4__7F1F17B1_CBD95E2E__4B191620_0 -4__57E73B83_25FE3857__05E63803_0 -4__EBFD1D76_67CB40E5__63C90064_0 -4__9CF5BF26_5FF4BEF4__1CF4BE24_0 -4__B6D7558B_7E7F0E1E__3657040A_0 -4__36F66B54_7FFF4C2D__36F64804_0 -4__2DFFB496_F8476ADB__28472092_0 -4__FB7B0EA0_678FF802__630B0800_0 -4__5FC99BBA_DFFD9C9E__5FC9989A_0 -4__7D66D47E_F7379BEB__7526906A_0 -4__BFFB66CF_BFBC148A__BFB8048A_0 -4__7F78F938_F9FEC916__7978C910_0 -4__F5751365_7E7BEBF5__74710365_0 -4__3BBE4171_6FE87FAF__2BA84121_0 -4__FFF7D827_64EF1A0E__64E71806_0 -4__AEDFDF77_1F5E5063__0E5E5063_0 -4__FFF714A2_F9FF58DE__F9F71082_0 -4__8FAB3A6E_4DCEF286__0D8A3206_0 -4__7FDF4197_716FC6C4__714F4084_0 -4__C68EEFDE_FEAEED19__C68EED18_0 -4__1EB5377E_43F9B3FE__02B1337E_0 -4__FADE1992_EFF9B781__EAD81180_0 -4__7F77831D_5AFF8C6C__5A77800C_0 -4__7BF2AE81_EEFF85C5__6AF28481_0 -4__7F7F04E1_6FD32FC6__6F5304C0_0 -4__B7FF8854_5BF0080F__13F00804_0 -4__5717EE30_FDFF15D5__55170410_0 -4__EFDF9B31_FFFD4D05__EFDD0901_0 -4__BFFF4890_FF6F00EE__BF6F0080_0 -4__7DFFECFC_FBFEC04B__79FEC048_0 -4__BB6173D8_7FFB8C88__3B610088_0 -4__6FF7F8B8_7B7F5066__6B775020_0 -4__F317954D_6D371EE0__61171440_0 -4__3BBE69C0_CFDFEC5D__0B9E6840_0 -4__F266301A_7F9EFE7A__7206301A_0 -4__3CEC3C2C_FFEF0A34__3CEC0824_0 -4__7BF62276_EF3D377F__6B342276_0 -4__A7F710C3_7FFD045E__27F50042_0 -4__BEFF727E_B1978E83__B0970202_0 -4__4AF78E29_4BC6A9F4__4AC68820_0 -4__FD7FEEFB_FBF5852A__F975842A_0 -4__BEFF12A2_5DEB459E__1CEB0082_0 -4__F8ADB97A_3E7F99D2__382D9952_0 -4__FFD46C82_FF2F0887__FF040882_0 -4__FFBD92D7_EF3FFE7C__EF3D9254_0 -4__BFF7BE4B_7E8A0751__3E820641_0 -4__DFFD955C_FBF7DD6E__DBF5954C_0 -4__EACB72CC_E7DE1CCB__E2CA10C8_0 -4__5D6ECF22_FEFD8524__5C6C8520_0 -4__3AE747B3_7BF32446__3AE30402_0 -4__EBFB8B69_FDFF575C__E9FB0348_0 -4__7FF72BC2_FF4FF15B__7F472142_0 -4__FFE70BD8_D6F33F95__D6E30B90_0 -4__7BEF3EAC_DDC74CD0__59C70C80_0 -4__FEB40CB4_73F76721__72B40420_0 -4__EDB7BC77_3FF7C4B3__2DB78433_0 -4__ECFFC59C_BBBF5890__A8BF4090_0 -4__7B334332_ADFB136F__29330322_0 -4__FF1354C4_7FF77559__7F135440_0 -4__7F67CD17_BEFD822E__3E658006_0 -4__BAFCEBD0_C2F10B30__82F00B10_0 -4__FF9F1FB4_9D6FD356__9D0F1314_0 -4__FFFF5163_7EBF14D3__7EBF1043_0 -4__3F45785B_BECEF94C__3E447848_0 -4__4DFC260E_FFFF3A9B__4DFC220A_0 -4__F79ACA38_7FFE8B46__779A8A00_0 -4__BBE57183_6E7FF100__2A657100_0 -4__7F7E9D68_4FFAC217__4F7A8000_0 -4__9BFBD940_FFFF82CB__9BFB8040_0 -4__1D5FC1A6_AE7F3F47__0C5F0106_0 -4__7D98A2AB_F77DCEFA__751882AA_0 -4__BB33417C_74EB629D__3023401C_0 -4__75296B65_DFDF5ABD__55094A25_0 -4__7FD77138_3D7D4E22__3D554020_0 -4__FB7BEB11_DFFCAADE__DB78AA10_0 -4__F7EE3425_AADECAB2__A2CE0020_0 -4__825D386F_FFF75A82__82551802_0 -4__0D5C16E8_F08F0D92__000C0480_0 -4__2FF511C0_7BF96DC5__2BF101C0_0 -4__FF607FB2_E7FFB061__E7603020_0 -4__EFCF1C59_7F3E9458__6F0E1458_0 -4__7DD3CC91_7FEE3B7D__7DC20811_0 -4__9FFFBBC8_5FF19D0B__1FF19908_0 -4__5FF75290_9F7CAC02__1F740000_0 -4__FDF76C9F_7AF35C78__78F34C18_0 -4__EFFF250E_DFF3132C__CFF3010C_0 -4__DF7F3627_67FC5005__477C1005_0 -4__FFFF3365_5FFFEC32__5FFF2020_0 -4__EFFF2630_2FFCDC33__2FFC0430_0 -4__DFBD5C09_7BD7C1E4__5B954000_0 -4__75FD7135_7BBB81E7__71B90125_0 -4__9FF71C74_3EED9151__1EE51050_0 -4__7CCD8BB5_7E7567C4__7C450384_0 -4__FFAF2E8D_71F37B2A__71A32A08_0 -4__3D5EB490_7F7777AF__3D563480_0 -4__BBCD9351_BC3ED66D__B80C9241_0 -4__D476E81C_F79F1510__D4160010_0 -4__F9ECBDF0_DDB3B697__D9A0B490_0 -4__BFEE4F0E_48770766__08660706_0 -4__FFDCEBFB_EA6D6965__EA4C6961_0 -4__7A8A0C2C_49DFFAE4__488A0824_0 -4__F47377FE_EFC4238F__E440238E_0 -4__DFFD7A5E_DB530622__DB510202_0 -4__BE8ED45A_77F34C22__36824402_0 -4__7B0DFFDC_FB376BB0__7B056B90_0 -4__1DFE7FE3_7EFF3884__1CFE3880_0 -4__BFBCE825_FDC6E918__BD84E800_0 -4__7BFFFC0F_77BB2CB4__73BB2C04_0 -4__5DFF3CC2_35F3B978__15F33840_0 -4__86F5A926_FF7B2912__86712902_0 -4__E89E3459_F1934BD8__E0920058_0 -4__7AFCEEED_3FD71678__3AD40668_0 -4__34BFAB45_7FFB53C1__34BB0341_0 -4__FFFB33EE_F9EDC5A7__F9E901A6_0 -4__F1FFC21E_79D25413__71D24012_0 -4__EFFB8219_7FEF7778__6FEB0218_0 -4__7E7B582C_FAA82F51__7A280800_0 -4__B9FF6DA8_5F736192__19736180_0 -4__FBFC8D04_736BA2F0__73688000_0 -4__75B5CAD4_5F3FF4E0__5535C0C0_0 -4__BFDDBB98_1FBF308D__1F9D3088_0 -4__ACE6029C_77EFB268__24E60208_0 -4__FFBF784A_7B6799F8__7B271848_0 -4__7ED6F38A_7BF1978E__7AD0938A_0 -4__67FF9B20_F7BF20FF__67BF0020_0 -4__E12F9E65_7BFB4403__612B0401_0 -4__7FFFA03D_1DEE2622__1DEE2020_0 -4__B1CF335D_377B2DA1__314B2101_0 -4__BED2011E_7F5F3C2D__3E52000C_0 -4__BBFFE340_975B6FD3__935B6340_0 -4__9E7D93DC_57F37685__16711284_0 -4__7DBE2262_EFB83AA8__6DB82220_0 -4__5D770643_4F5A7CE4__4D520440_0 -4__AB7B64E3_9B31D849__8B314041_0 -4__AF571E60_63CE3452__23461440_0 -4__756DF098_BF3FA956__352DA010_0 -4__7DD22546_7FDCBCDD__7DD02444_0 -4__79FBABA6_757747F2__717303A2_0 -4__BE3F65C3_7C1CF2FC__3C1C60C0_0 -4__FEAE06FD_F567563B__F4260639_0 -4__77D8263C_7B9D2FD3__73982610_0 -4__EEE58A35_EF57AA36__EE458A34_0 -4__EEDFB748_FFFDF490__EEDDB400_0 -4__3F4A8785_4EFBC269__0E4A8201_0 -4__BFF4C696_FB0BF472__BB00C412_0 -4__B77E6286_757CE811__357C6000_0 -4__DDBD4169_6BFFC057__49BD4041_0 -4__BE751700_33667979__32641100_0 -4__574F57BD_5DFFD1DB__554F5199_0 -4__D71EABA7_FD163E6F__D5162A27_0 -4__67ABB0C9_C0E3615E__40A32048_0 -4__F77798AC_E377AC40__E3778800_0 -4__D09511F8_B0EAC533__90800130_0 -4__AFFEE600_7B7755F3__2B764400_0 -4__F7CFFD35_EDBF04CB__E58F0401_0 -4__DF07B987_7F7931CF__5F013187_0 -4__77DEF350_7E6F3375__764E3350_0 -4__AFF9F422_FB7E54E0__AB785420_0 -4__7D1FB985_F7FDAE47__751DA805_0 -4__FAAF6E14_EFBC23E7__EAAC2204_0 -4__3FDB7CBF_EB7F4A03__2B5B4803_0 -4__7DDF9A01_EBCF4764__69CF0200_0 -4__FFFF9D0A_6FBEB1D1__6FBE9100_0 -4__4DF72B95_487E0550__48760110_0 -4__FF7F17FA_7DFC4ECA__7D7C06CA_0 -4__FBFFFA0C_5DFF2712__59FF2200_0 -4__11BB5F0F_DF9FFF81__119B5F01_0 -4__7D4FF964_6FEF1C8A__6D4F1800_0 -4__E2FB1BD8_5B5D5502__42591100_0 -4__5FFFC044_EBDFF911__4BDFC000_0 -4__E1F67D72_F9FF49B3__E1F64932_0 -4__97D3F726_F3FF59C1__93D35100_0 -4__C9EFC18B_B5FBB6C8__81EB8088_0 -4__7FB7AA6F_DFED3F88__5FA52A08_0 -4__77DD4E6F_F77B3586__77590406_0 -4__6F5FD580_EFFBDF26__6F5BD500_0 -4__7E7F889E_7FFE1F39__7E7E0818_0 -4__E973966B_5FFF764F__4973164B_0 -4__7FDF05D6_7EFF210D__7EDF0104_0 -4__FEFF1CFF_FCAA9482__FCAA1482_0 -4__77FF3B8A_2DCF15AE__25CF118A_0 -4__76F2B720_DB6F99AD__52629120_0 -4__3FEF07EA_BBFABBC0__3BEA03C0_0 -4__6EDDAB7D_FFDA46D2__6ED80250_0 -4__FFF68DD5_BFDF0A98__BFD60890_0 -4__87BBC2B2_7C35F9FD__0431C0B0_0 -4__B04EA259_BBBF6B3E__B00E2218_0 -4__F4EFFD9F_1FD7551F__14C7551F_0 -4__76CD8743_7FDC5220__76CC0200_0 -4__F45FAE80_7EAE4DA3__740E0C80_0 -4__78EC7068_E97DFA8F__686C7008_0 -4__D7740C8D_6BEF3A8E__4364088C_0 -4__6FBF49ED_6DF81154__6DB80144_0 -4__D3BF10C0_AEFDB228__82BD1000_0 -4__7FF48A36_787EC6E2__78748222_0 -4__5EF7397B_EADFB5F7__4AD73173_0 -4__ED1F9702_3E4D1126__2C0D1102_0 -4__DF8F1261_BF6F368A__9F0F1200_0 -4__F3BEB4B0_DDDDB6DA__D19CB490_0 -4__DA764A98_66EFC7BE__42664298_0 -4__AE7F44B7_6BBE94D3__2A3E0493_0 -4__FFC7548A_772E13EE__7706108A_0 -4__B9CE65AE_9FF6DAF9__99C640A8_0 -4__7DFF6AEC_FEFFD3CD__7CFF42CC_0 -4__7CFF37B0_3BFB9E3D__38FB1630_0 -4__F0EF9629_6FB4CD60__60A48420_0 -4__7F577EC9_EFFFDE07__6F575E01_0 -4__C7719540_FE56350A__C6501500_0 -4__5F5F55DE_8EBFE4AA__0E1F448A_0 -4__7717F5AF_CEAF3C5B__4607340B_0 -4__B5B714B7_5F7FA26B__15370023_0 -4__6FB9BCC1_7F4D3374__6F093040_0 -4__35F7AA7B_756E7DC8__35662848_0 -4__D73FAB71_F37FC19B__D33F8111_0 -4__D936113F_7FF27928__59321128_0 -4__6D7D2074_EFED3926__6D6D2024_0 -4__3EF06C29_1767CBC1__16604801_0 -4__FCD4A5B5_3F55206B__3C542021_0 -4__77FF2BF7_97DFD472__17DF0072_0 -4__BAF21A0D_77BE4CE6__32B20804_0 -4__BEF7073A_D6D535EE__96D5052A_0 -4__DCBFD603_7DF8BA2E__5CB89202_0 -4__71FE114A_53F39B90__51F21100_0 -4__5FABC8CD_7B8E6B12__5B8A4800_0 -4__97FD4BFF_7F8F1AFD__178D0AFD_0 -4__7D6D2672_429FDB74__400D0270_0 -4__9CBE10F4_77B70D87__14B60084_0 -4__5FDF3456_05AF718B__058F3002_0 -4__5F7498BC_76C39997__56409894_0 -4__E5DF125B_7EFCA91D__64DC0019_0 -4__FFF6457C_FFEF3730__FFE60530_0 -4__6F3FEFA5_4FC7E965__4F07E925_0 -4__6DBF075B_3B7F6BF9__293F0359_0 -4__F7CB7AB2_7A7D003A__72490032_0 -4__FD6DEF34_4D7C3D5A__4D6C2D10_0 -4__E6BA09C2_DFF7FA44__C6B20840_0 -4__EFD776E4_B753EA95__A7536284_0 -4__EFD9BBBD_88BDFE2C__8899BA2C_0 -4__FEFA6625_7FF90D92__7EF80400_0 -4__3BFE415D_5B976959__1B964159_0 -4__5E7F1E5B_FFFB6199__5E7B0019_0 -4__F2F7CC24_D97E0A7B__D0760820_0 -4__7F171CDE_77BB2BB7__77130896_0 -4__2F5A09F9_BC563F9E__2C520998_0 -4__BBF67E7C_1979B13C__1970303C_0 -4__F30FB195_FE7712A1__F2071081_0 -4__6FF51B81_DFEC446E__4FE40000_0 -4__BD76DD52_63F6FF60__2176DD40_0 -4__49F659FD_3FBFCF8D__09B6498D_0 -4__76673262_F76D2834__76652020_0 -4__EE2447DA_5FDFC09B__4E04409A_0 -4__0F59FB08_EEFC75E7__0E587100_0 -4__D9DB1DB2_BFCFB735__99CB1530_0 -4__7F3F1D94_33FA9A96__333A1894_0 -4__1B5D422A_FFE91B7E__1B49022A_0 -4__6BFFD6E1_7FC84BA1__6BC842A1_0 -4__5CEF2CE8_7DBE715F__5CAE2048_0 -4__49FFC926_FFCEFF3C__49CEC924_0 -4__F3FB1C55_43570ED9__43530C51_0 -4__FB7DA31F_B77F8937__B37D8117_0 -4__FCBFF8D2_FD711CB1__FC311890_0 -4__DFF6FAD6_3FD7D332__1FD6D212_0 -4__BFEFAC05_9AFEC46D__9AEE8405_0 -4__DDEB5466_6BF5A892__49E10002_0 -4__3413FB88_F0793925__30113900_0 -4__FF79CF24_CB5D2FC4__CB590F04_0 -4__37FFF8EC_7F2DB801__372DB800_0 -4__79A9AC46_7CFFA30E__78A9A006_0 -4__7F0F38C1_52FE51C5__520E10C1_0 -4__7EFB88F5_AEFF31B9__2EFB00B1_0 -4__E6BC640C_DCFF8FD8__C4BC0408_0 -4__FDFBF26A_2FEF10D6__2DEB1042_0 -4__5FD73326_3EFB6D6F__1ED32126_0 -4__FDEF6643_FB7F6C4C__F96F6440_0 -4__5C67D891_3E932BA9__1C030881_0 -4__7BDDABC1_73FF24A7__73DD2081_0 -4__9FE3379C_FEDF83DF__9EC3039C_0 -4__DFFD9D6D_7DFFEDE6__5DFD8D64_0 -4__C1FA0A5B_FF7FFCE6__C17A0842_0 -4__7EFF03B4_3B5FDABF__3A5F02B4_0 -4__7FFC4011_5DBFEEE9__5DBC4001_0 -4__4DFAD0F2_E7FF818F__45FA8082_0 -4__FDD53219_3EE39487__3CC11001_0 -4__4EF58BF4_7E7A9CC1__4E7088C0_0 -4__7FBF77D2_AEE5F017__2EA57012_0 -4__7E8E35D1_7B7DF37B__7A0C3151_0 -4__6FBAD635_7F7B0A40__6F3A0200_0 -4__3FF312A0_EAFFB586__2AF31080_0 -4__EFFE35D9_7FFE8123__6FFE0101_0 -4__2EBB47E3_FF9F442D__2E9B4421_0 -4__6BBCCC66_6B3C007A__6B3C0062_0 -4__5EDF9D29_FA6A1F6B__5A4A1D29_0 -4__C7FE6EDD_97B786E2__87B606C0_0 -4__6DEFFDDB_7D5F502C__6D4F5008_0 -4__BF9ED051_9F63AEF3__9F028051_0 -4__F1B70DE6_F6FD00B3__F0B500A2_0 -4__7CCCE199_63B51C1F__60840019_0 -4__6DFF3060_670A065E__650A0040_0 -4__3A2ABC26_6B5FD172__2A0A9022_0 -4__FDF64B4F_5EF75FF2__5CF64B42_0 -4__5428DDF0_DE7548D5__542048D0_0 -4__FFFFE8F1_76F758C0__76F748C0_0 -4__E77D566A_5FF9ED2B__4779442A_0 -4__AE9F8BC8_0F5A612A__0E1A0108_0 -4__737DD550_BFFEC0FA__337CC050_0 -4__7DFF716E_6B577ABF__6957702E_0 -4__C3FAEF93_FDFF797A__C1FA6912_0 -4__7AD2EED4_FF4FCCA4__7A42CC84_0 -4__76466CF2_FF5FD5B0__764644B0_0 -4__77F3E9C4_7FFEA230__77F2A000_0 -4__5FFEF5C5_F6F53F95__56F43585_0 -4__DFF71CAC_D0FFBDC9__D0F71C88_0 -4__155E88DB_EEBB9E0C__041A8808_0 -4__5FF36D20_7EE7388F__5EE32800_0 -4__5BFDCA84_EB5C08EF__4B5C0884_0 -4__F6DB02D0_EF7F14A7__E65B0080_0 -4__5FEDD965_FFD55064__5FC55064_0 -4__67FFBD62_A9FEEF03__21FEAD02_0 -4__B316052C_AFE71768__A3060528_0 -4__E5E5258E_BFD30FDB__A5C1058A_0 -4__053DE284_69AC2CF9__012C2080_0 -4__B7DEF7B0_5DBF5757__159E5710_0 -4__39E3513D_937F313E__1163113C_0 -4__EFEF6874_FEEB5C89__EEEB4800_0 -4__57FF4248_9FADAA85__17AD0200_0 -4__3DE045EC_B79F4F80__35804580_0 -4__7DB7EDAE_75F6B1AF__75B6A1AE_0 -4__6BFF678E_E7BF313F__63BF210E_0 -4__7F9CC06D_57F7E43F__5794C02D_0 -4__6CEEB97F_7B75680E__6864280E_0 -4__BFBF579F_DFFFE7C9__9FBF4789_0 -4__7ABF244D_79DE5059__789E0049_0 -4__7CFF7F7B_9DFFBC1A__1CFF3C1A_0 -4__7DEF3C72_7FA32DD5__7DA32C50_0 -4__2DA2DE1A_BC7FB78C__2C229608_0 -4__7F77018C_FEF9BA88__7E710088_0 -4__6FA75DF7_4ED71A83__4E871883_0 -4__B7FF1C71_7B5C37B5__335C1431_0 -4__6FFD9A04_B5674992__25650800_0 -4__727F333C_E1B6973D__6036133C_0 -4__77BC9F18_5EFEF4E6__56BC9400_0 -4__78E341C9_7FAFEF6D__78A34149_0 -4__1DFB9B79_39FDFEB7__19F99A31_0 -4__BE0A2427_F327D877__B2020027_0 -4__AF25A54E_BB470820__AB050000_0 -4__9E3DDB91_5BC357D0__1A015390_0 -4__67BF1E2C_EFDF0CC4__679F0C04_0 -4__6F2F5200_969D17F1__060D1200_0 -4__FAFE9289_73F5B95C__72F49008_0 -4__6BFDC11B_DDFFCEB4__49FDC010_0 -4__97BF8388_7FDE3A71__179E0200_0 -4__FDBE2B18_76F7A9E8__74B62908_0 -4__EDFB4902_7DEFFFE9__6DEB4900_0 -4__3BD75E1B_7FB74372__3B974212_0 -4__5DF22920_3F9D2E54__1D902800_0 -4__FF7A1A94_7FF77202__7F721200_0 -4__1EBE6B97_D7FFF5B2__16BE6192_0 -4__FFFF3774_DF9F432E__DF9F0324_0 -4__B39F9940_5E3E82BD__121E8000_0 -4__7C8BBB82_99FD5BB7__18891B82_0 -4__7CBFF84A_7E3E3050__7C3E3040_0 -4__3EE74D54_E7FB297E__26E30954_0 -4__353A4ACC_BACB34BE__300A008C_0 -4__BFFA89D6_684909C1__284809C0_0 -4__7677C629_DF9E00BC__56160028_0 -4__6FEF2C72_555FAE92__454F2C12_0 -4__1EF388B2_6DFDA7CD__0CF18080_0 -4__95AE02B2_FD2B2795__952A0290_0 -4__F75EF8D9_BCAEF199__B40EF099_0 -4__9E7E0CCD_7F928B56__1E120844_0 -4__F46B57A2_4CD0D99D__44405180_0 -4__D7C22E46_7A9C279F__52802606_0 -4__7777A2DA_AFECEC7C__2764A058_0 -4__CFF96C47_FFDC2BCF__CFD82847_0 -4__75FDD476_BFDF3968__35DD1060_0 -4__FB754FEB_FEF94D6C__FA714D68_0 -4__36D68E69_ABFE097F__22D60869_0 -4__96E6B7D7_BFDF878B__96C68783_0 -4__D75FD27A_6AEC64B7__424C4032_0 -4__3BD481E0_B6EFACF3__32C480E0_0 -4__BFF9615D_39FFB221__39F92001_0 -4__B9FFC2A6_7DBD7004__39BD4004_0 -4__3FE63AF7_7DFDB907__3DE43807_0 -4__BB4EAAF9_DFFB1DB1__9B4A08B1_0 -4__7D676D02_DFEFE392__5D676102_0 -4__DDB6CA24_73EDE290__51A4C200_0 -4__97B3500E_FBBD24FB__93B1000A_0 -4__7F7CF84A_172F838B__172C800A_0 -4__7F8BB97D_FEF5979C__7E81911C_0 -4__F30FA9CE_3CFF3BF4__300F29C4_0 -4__1FFA0EB1_D3FF50FE__13FA00B0_0 -4__76DB8A4E_5FFE2EA6__56DA0A06_0 -4__F5EBC78A_71DE51AD__71CA4188_0 -4__7EF4CDE2_FC1D46ED__7C1444E0_0 -4__B4FF143D_FBFFBF0F__B0FF140D_0 -4__EE7BC286_3F6F8BED__2E6B8284_0 -4__CFFD9C59_BBBFF11B__8BBD9019_0 -4__74BB3A28_57BFA4B0__54BB2020_0 -4__7B735114_77EF2C8E__73630004_0 -4__5FDE052B_749E2963__549E0123_0 -4__7FFEB9E6_F7CFB529__77CEB120_0 -4__FB5F98EF_F8E7F4CF__F84790CF_0 -4__7BFA5343_97ABAB9F__13AA0303_0 -4__7FD65120_27B10CAA__27900020_0 -4__6DBF30D9_FF5FFCE3__6D1F30C1_0 -4__BEFE790F_D97ECCCE__987E480E_0 -4__F5F252CD_7BDD5C3A__71D05008_0 -4__77BA798C_3BFB78C2__33BA7880_0 -4__677C17A6_F7BF7062__673C1022_0 -4__7DF5D353_0B5BB3FE__09519352_0 -4__ED672DC4_FFFF1918__ED670900_0 -4__9E7DD0F3_5FCE6ECE__1E4C40C2_0 -4__E79A58FC_5BBF2288__439A0088_0 -4__3DBEF649_6FBF3CE7__2DBE3441_0 -4__7EBF9F00_FD5D62D9__7C1D0200_0 -4__FFFFC4F9_F91F7780__F91F4480_0 -4__F3FE6CF8_3AD819BF__32D808B8_0 -4__7FE3EC81_358BB8A6__3583A880_0 -4__FBAEA4B3_22FF5675__22AE0431_0 -4__1F7ADCD5_6F5B767F__0F5A5455_0 -4__B1F71ABF_FBFDAC4C__B1F5080C_0 -4__EFDB35AA_3A95AD6E__2A91252A_0 -4__3EDDFB8C_E4EF2164__24CD2104_0 -4__3F2FCC1E_DFF0C331__1F20C010_0 -4__FFAF87C4_7CFF665B__7CAF0640_0 -4__FF3F3FBF_57D9AC7C__57192C3C_0 -4__7D6FE889_BFA72125__3D272001_0 -4__1A1E6534_7EFF7957__1A1E6114_0 -4__7FFA8A2F_5FEF58F2__5FEA0822_0 -4__BEAEFADD_E77B2132__A62A2010_0 -4__9FEB16F5_7ADE0997__1ACA0095_0 -4__DF7D1369_F856C9BA__D8540128_0 -4__731B83C9_33EF9999__330B8189_0 -4__7F9A1ADF_7BDF4202__7B9A0202_0 -4__DF13DC0B_6AFB01BF__4A13000B_0 -4__DAED0D5A_BF6F1786__9A6D0502_0 -4__77DBBB90_F5BF0630__759B0210_0 -4__7AF7C75F_67FE1C00__62F60400_0 -4__57FEFD54_EAFAEBA1__42FAE900_0 -4__BFFDCF22_F1FF20EF__B1FD0022_0 -4__66FFFBD3_4DEE9FAA__44EE9B82_0 -4__7FC32E6E_7FEE2F6E__7FC22E6E_0 -4__0B98A794_FFDC495F__0B980114_0 -4__0DC959CA_FFBF08BA__0D89088A_0 -4__7D479C31_DFDF4A84__5D470800_0 -4__4FBD3899_C98FD344__498D1000_0 -4__5FFF7826_6ED7ABA9__4ED72820_0 -4__37F5A8A9_5EEE479A__16E40088_0 -4__FFDB5B25_325208E8__32520820_0 -4__FDEF6BD7_2CFEAEE2__2CEE2AC2_0 -4__7E37290D_7BE726DD__7A27200D_0 -4__A77D0CDC_7BFF78D0__237D08D0_0 -4__FDA7B0BF_0D1DEE1F__0D05A01F_0 -4__79FCAA7D_3FBB2484__39B82004_0 -4__6FF79714_FB7E0ED8__6B760610_0 -4__B9F2E03B_73FF887B__31F2803B_0 -4__7EFF4952_FBFEDDB2__7AFE4912_0 -4__16FC9EBC_7F77B608__16749608_0 -4__515226F7_4FBC55BB__411004B3_0 -4__7F6FF2B9_7FD7A6CE__7F47A288_0 -4__2B733F7A_7D7B77F0__29733770_0 -4__6BFDD5F5_FEDD2527__6ADD0525_0 -4__7FDF4AC6_5FFF0E68__5FDF0A40_0 -4__FED756D7_55FF1670__54D71650_0 -4__1FDD6F1F_6FB39C5F__0F910C1F_0 -4__3C7D474A_766DC285__346D4200_0 -4__EF7F24CC_F5FFE7DA__E57F24C8_0 -4__D53541C5_ED1F98CC__C51500C4_0 -4__ED77B141_5EDE79C8__4C563140_0 -4__5FDC8C3C_DF4F3A0C__5F4C080C_0 -4__F3774112_6FC796F8__63470010_0 -4__BFB5C8D0_EAFE9D21__AAB48800_0 -4__7FCF174A_3FF81C71__3FC81440_0 -4__5BF76274_FE7EDA7D__5A764274_0 -4__7FDFF23A_FED97063__7ED97022_0 -4__FBDD7EF0_FFFD29FB__FBDD28F0_0 -4__3F3F88B0_FDFFAE06__3D3F8800_0 -4__7F761477_B5CF538F__35461007_0 -4__FCEB99BB_FBAD8B04__F8A98900_0 -4__F3FB5612_FEE77DF2__F2E35412_0 -4__FB9FE432_E6B84361__E2984020_0 -4__EFFB8F7B_5D9C63C1__4D980341_0 -4__63FD5509_FFAFD93F__63AD5109_0 -4__EF86A1B8_6DEB9F75__6D828130_0 -4__FFE5A02F_AF9FC643__AF858003_0 -4__7AF687DC_CADEF439__4AD68418_0 -4__FFAF1469_0FEE553D__0FAE1429_0 -4__1EDF6251_FB5D5CBC__1A5D4010_0 -4__7BF9C8ED_76FFE78A__72F9C088_0 -4__3FBEE40B_AEB727F3__2EB62403_0 -4__DFB2351E_7DFE86B6__5DB20416_0 -4__69F343A3_9FFBCDD0__09F34180_0 -4__33FFF2D8_6E9D17D1__229D12D0_0 -4__BE7FE624_5BDFFBFE__1A5FE224_0 -4__FFFE9150_797337E6__79721140_0 -4__FEDF77B8_5DDB0EF2__5CDB06B0_0 -4__6E4F3B78_7EDF59BE__6E4F1938_0 -4__E9DF7AF7_3735C799__21154291_0 -4__2B93433A_9EDF358A__0A93010A_0 -4__7EE1D950_7432BEB4__74209810_0 -4__5CF76514_7F71DE03__5C714400_0 -4__E5CA1509_77726970__65420100_0 -4__77DFBF1F_FEEE00A8__76CE0008_0 -4__65FFAB3B_EB954B5A__61950B1A_0 -4__7D95EED0_FEBDE029__7C95E000_0 -4__D77E8049_7FFF386C__577E0048_0 -4__7FFBB6DF_45F664E8__45F224C8_0 -4__FCFC3EA4_B5E74154__B4E40004_0 -4__7DF9BF0D_7F4F2AD4__7D492A04_0 -4__7714A573_7DD37E23__75102423_0 -4__6DFF1298_FCFF269D__6CFF0298_0 -4__6F7BA543_EEFE52B4__6E7A0000_0 -4__BB7F1045_37DF5E8E__335F1004_0 -4__E7DB9825_FF39DC6C__E7199824_0 -4__6FD25D2D_7FFBC3FC__6FD2412C_0 -4__3FCFC96A_6DD76574__2DC74160_0 -4__BDFF4D48_DBFD49FE__99FD4948_0 -4__EFCF621E_D9DDF208__C9CD6208_0 -4__1EFF41A0_7F2E611F__1E2E4100_0 -4__5FFBD949_7F6F10AF__5F6B1009_0 -4__A6F6ADBC_67DF1747__26D60504_0 -4__79FDDD75_7FD75E2E__79D55C24_0 -4__7FB194FE_E3FD7FEE__63B114EE_0 -4__FEBC72CF_EBED7EDB__EAAC72CB_0 -4__D3F5B053_3EFFEF68__12F5A040_0 -4__EFDD46BD_4DD776C3__4DD54681_0 -4__5FEE63B1_6EF6B099__4EE62091_0 -4__37DFB25F_7DADFAAE__358DB20E_0 -4__DF71C177_9BBDC784__9B31C104_0 -4__BBFF9434_FFFFAFE1__BBFF8420_0 -4__FCF78B84_C771664E__C4710204_0 -4__AD9DD09D_27F95B34__25995014_0 -4__C7D7D5A9_4D6FBCA5__454794A1_0 -4__FD4FDF7A_FEFFC099__FC4FC018_0 -4__AFA6F60B_75BF88A2__25A68002_0 -4__7FFD42A7_F79FA7FA__779D02A2_0 -4__57A93A63_6FFC8C91__47A80801_0 -4__ADFFFDAA_E7FF41EA__A5FF41AA_0 -4__BEE67183_7FB1CB98__3EA04180_0 -4__79F922EF_AFE61F92__29E00282_0 -4__CF7F5CE2_4D7EF9BE__4D7E58A2_0 -4__79FBA114_4FAFD520__49AB8100_0 -4__3F77B08D_3BFFFEE8__3B77B088_0 -4__5F7F71BC_7B7F5B19__5B7F5118_0 -4__FF58B58E_EC7D0FBF__EC58058E_0 -4__F9FFD7AF_4F7F0EF1__497F06A1_0 -4__1BFF2EB6_BEDE593B__1ADE0832_0 -4__7BFFF6C1_7FB336CC__7BB336C0_0 -4__B9BCBEF0_CFF92182__89B82080_0 -4__6FD126AE_A2DFE35A__22D1220A_0 -4__6FBDA268_F7BF6869__67BD2068_0 -4__7BD78F1F_7FD3303F__7BD3001F_0 -4__F67F2A89_D5FE0AEA__D47E0A88_0 -4__97EDDA82_CFFFFAA8__87EDDA80_0 -4__73788AB2_FEFB17B8__727802B0_0 -4__6EFB4CC2_8CFFCDCB__0CFB4CC2_0 -4__CBD7750C_77F788F9__43D70008_0 -4__BFAF7ADD_5FFEA1E4__1FAE20C4_0 -4__9BFF96CF_FFD9AA3C__9BD9820C_0 -4__6B564F9A_3DFF3911__29560910_0 -4__FFEF8A07_7EFFF68F__7EEF8207_0 -4__6FFD70CA_FFF7066B__6FF5004A_0 -4__DD76E371_732B86A0__51228220_0 -4__FBBC4AAC_DBE8CFBB__DBA84AA8_0 -4__77EF6E2A_FE7B54E6__766B4422_0 -4__FFFF0E3D_F7AF465D__F7AF061D_0 -4__B6DF66EB_DDB30764__94930660_0 -4__65FFC061_53E4D2F6__41E4C060_0 -4__7FACA0E7_C6FFB117__46ACA007_0 -4__7F79C22D_3FFB695F__3F79400D_0 -4__FF7CB311_38BF09E8__383C0100_0 -4__7BFBCD28_3EFF4868__3AFB4828_0 -4__BFED99A7_F8F11F62__B8E11922_0 -4__8F7B5426_EFFF7330__8F7B5020_0 -4__AFF57753_FEBF4A20__AEB54200_0 -4__FFFF4992_5BF3CD1D__5BF34910_0 -4__3BBF814D_FFF2AA95__3BB28005_0 -4__6BFDC14D_DA5FE76C__4A5DC14C_0 -4__7FF9CDB7_B9BF7FA1__39B94DA1_0 -4__427E104E_B7FFA555__027E0044_0 -4__EFCF93FD_FFEE9AAC__EFCE92AC_0 -4__DAB3F28C_FBF9390E__DAB1300C_0 -4__27EFBA89_DB7FB5D8__036FB088_0 -4__A5F31919_FED3B9ED__A4D31909_0 -4__F7FFA5A7_ADBF9DF7__A5BF85A7_0 -4__FFED6D8D_7FFB65B4__7FE96584_0 -4__39BB8393_75F9C303__31B98303_0 -4__15BF824A_F2ECA345__10AC8240_0 -4__47F9EC65_FFBD662A__47B96420_0 -4__5FFF3384_7D3EB15B__5D3E3100_0 -4__6D95C660_FEBF9345__6C958240_0 -4__C577B6C2_FF933ED0__C51336C0_0 -4__5EFF2689_7BF7539B__5AF70289_0 -4__3B7982E8_7AEF2A70__3A690260_0 -4__3CF4664E_DFFB9CC7__1CF00446_0 -4__FBAE5FCF_3DBAF4C7__39AA54C7_0 -4__4EF1ABC0_F3AF500E__42A10000_0 -4__F5DBAB4D_7FD1C41E__75D1800C_0 -4__EB771129_DDEB95B7__C9631121_0 -4__2FFFA394_7EFD752C__2EFD2104_0 -4__DAFDFFFD_6B7F7C9B__4A7D7C99_0 -4__F9DAD37D_EFE72109__E9C20109_0 -4__DFAFA558_7F7210C9__5F220048_0 -4__2C66E246_67FED6DF__2466C246_0 -4__CDBF5516_7EBEB06C__4CBE1004_0 -4__FFA79C4F_7BFD92D2__7BA59042_0 -4__4EF7D1B7_7FD98789__4ED18181_0 -4__7AFFA552_E55F757F__605F2552_0 -4__FFFF658B_BF6F52C6__BF6F4082_0 -4__737B0F6B_7EF92CD0__72790C40_0 -4__7EEBA9BC_BBBBDFF6__3AAB89B4_0 -4__DF3DA64C_FB599E50__DB198640_0 -4__B4FF2579_37AB774A__34AB2548_0 -4__BCFC5B4B_AFFC2C66__ACFC0842_0 -4__FFDD7AC5_5BF95DE5__5BD958C5_0 -4__CFFD39DC_BFFDBA01__8FFD3800_0 -4__9D4B49D2_7D8FFD4F__1D0B4942_0 -4__6D5FDF6B_5BFFBF8B__495F9F0B_0 -4__6DE716E3_C8EE4AD4__48E602C0_0 -4__ED7B6FB0_EF5F8A77__ED5B0A30_0 -4__F3BEEC80_EB9E2D48__E39E2C00_0 -4__FBFE2C22_BBBDCF50__BBBC0C00_0 -4__BFB6DFDB_FFFBCA22__BFB2CA02_0 -4__FBFF2F61_BEFB56F4__BAFB0660_0 -4__E6BF53C7_65FF323C__64BF1204_0 -4__37DE4F6F_1D1FA4BE__151E042E_0 -4__FFFF7CE6_58DF935D__58DF1044_0 -4__DFE7E71E_C7CBAD92__C7C3A512_0 -4__6F3702DD_FF6020D0__6F2000D0_0 -4__72DB754B_CCDE77CB__40DA754B_0 -4__D7F5E9AD_EC7F1D54__C4750904_0 -4__AFB50C14_F9EF3904__A9A50804_0 -4__57F72E3C_DEDB7A36__56D32A34_0 -4__DFF96534_6BFB3A77__4BF92034_0 -4__79F7E8D4_FFDCE0D1__79D4E0D0_0 -4__CFF6D1DA_7DFDD29E__4DF4D09A_0 -4__F2F76902_6FD3383C__62D32800_0 -4__1AE61DCD_C75B524E__0242104C_0 -4__B3F5A655_35DCA3D1__31D4A251_0 -4__79FA69C4_09FBDD7C__09FA4944_0 -4__FEBCBF51_6E7B037F__6E380351_0 -4__CD7739A0_5F3F94EC__4D3710A0_0 -4__7C5EF439_FB5F0DC7__785E0401_0 -4__BB756FFA_ABF1287E__AB71287A_0 -4__7DFEA2D9_FFFD2DCD__7DFC20C9_0 -4__7F3BF36D_B2FADAB9__323AD229_0 -4__AFF1D2F3_65F7E548__25F1C040_0 -4__FFE90FAB_F9A7A065__F9A10021_0 -4__5F2F13A8_FFE75426__5F271020_0 -4__D67EC53A_6DCBC548__444AC508_0 -4__7E364219_EFF72142__6E360000_0 -4__BB3B7D40_3FF6B2E9__3B323040_0 -4__F75F1FE4_FFE7F031__F7471020_0 -4__7EDCC0E4_F9CD2A3E__78CC0024_0 -4__6CFFCD06_7DF7EF81__6CF7CD00_0 -4__1BFFB8AA_AF3F5F03__0B3F1802_0 -4__DEF770D0_DF7B1F7F__DE731050_0 -4__F2716C8A_DB4EB63C__D2402408_0 -4__7BF72071_FAEF410C__7AE70000_0 -4__DEFF1EF5_B7C561D2__96C500D0_0 -4__3FF73CD1_E65D7F1E__26553C10_0 -4__9DBC09CC_7FF50FB5__1DB40984_0 -4__7DEEC54B_FFE7E956__7DE6C142_0 -4__7F7BF785_7DFB69DD__7D7B6185_0 -4__7E7B575E_FFFD2460__7E790440_0 -4__1DFFA11E_EBCFF8F7__09CFA016_0 -4__3FF7A024_B9FFACC9__39F7A000_0 -4__E86BB949_7EFF6E90__686B2800_0 -4__FFC39283_377FC7EB__37438283_0 -4__3AB76F98_A6C5B1C3__22852180_0 -4__ADBD9A79_DEDFE41E__8C9D8018_0 -4__BFED608D_EBAEB777__ABAC2005_0 -4__597F4063_79DF3750__595F0040_0 -4__F7BBC2B5_77FFB814__77BB8014_0 -4__7FFFB1FC_3ECE1F2D__3ECE112C_0 -4__D7DF81E2_66B7DB7B__46978162_0 -4__9BFED85A_4FB22BEF__0BB2084A_0 -4__7FBD7305_57FFC8FD__57BD4005_0 -4__676F0A84_F5FD8244__656D0204_0 -4__78CF538F_5EDB88C3__58CB0083_0 -4__FEFF43DB_5F7FD354__5E7F4350_0 -4__5BB794AB_70DFDE54__50979400_0 -4__5DFBBA29_7BBF6BDA__59BB2A08_0 -4__F6BD7DD1_56BF16FD__56BD14D1_0 -4__3F7CF492_BBFFA20C__3B7CA000_0 -4__DF3F98B1_AF7F0DC3__8F3F0881_0 -4__BEFBC0FF_C7F1556B__86F1406B_0 -4__59EB203C_7EFFCDBA__58EB0038_0 -4__7F07FDB3_5B5F874D__5B078501_0 -4__FDF7F5B8_7BA664BE__79A664B8_0 -4__7BE31DBB_7FDBDE50__7BC31C10_0 -4__9AD87F45_95F7869B__90D00601_0 -4__37BD2DC4_EAF909D2__22B909C0_0 -4__DF37929E_E59A0195__C5120094_0 -4__1F3ECC80_F7FD68E5__173C4880_0 -4__FF4D485D_3B717EBB__3B414819_0 -4__7FD791A3_FEFF6EB8__7ED700A0_0 -4__3F7F6764_FCF74E20__3C774620_0 -4__5E9738F1_75D797DC__549710D0_0 -4__57F8586F_7BF761BA__53F0402A_0 -4__752B82F1_D7C74B13__55030211_0 -4__FFEAC3E1_6FFBDAEF__6FEAC2E1_0 -4__FB5F0753_FDE62DC8__F9460540_0 -4__F9BF6FC4_FAEF8AC4__F8AF0AC4_0 -4__7CBE4A99_FFDCBE0A__7C9C0A08_0 -4__B37FCEDB_9DDFE801__915FC801_0 -4__A57FE7AA_7FDD258C__255D2588_0 -4__26EF4C08_EDFEBBDD__24EE0808_0 -4__E5FE24D1_4F4E63A4__454E2080_0 -4__CCED7D6C_7FFBBA70__4CE93860_0 -4__4FECE526_68DE3419__48CC2400_0 -4__EFFB0810_EB8BEA26__EB8B0800_0 -4__BEFF2845_F7FF0C6F__B6FF0845_0 -4__67DF8C88_5E77846F__46578408_0 -4__BF9A4A61_7DEF13AB__3D8A0221_0 -4__7E8F9DB7_6EFAFD1E__6E8A9D16_0 -4__F7DE25A4_6DDA947E__65DA0424_0 -4__5F5E6249_F5760D07__55560001_0 -4__57FE9BFE_6DEE4929__45EE0928_0 -4__54EFC10B_FDF85C82__54E84002_0 -4__B57DA798_FF57502C__B5550008_0 -4__B77CA2AC_FDFF8E46__B57C8204_0 -4__D56F95E3_7CDDD83E__544D9022_0 -4__DB5DF88B_D96F38B9__D94D3889_0 -4__67EBF492_FFF2AFA5__67E2A480_0 -4__BFFE1560_5FF760F4__1FF60060_0 -4__67BF64C1_DFDA0693__479A0481_0 -4__AEFF85BD_3ECD0FBB__2ECD05B9_0 -4__FF7777B4_F9FF3047__F9773004_0 -4__B37BF42E_59FFD64C__117BD40C_0 -4__6F7750F5_7BF506D3__6B7500D1_0 -4__3D7F3DB9_4AF64A92__08760890_0 -4__DDA5517F_6B3D07E0__49250160_0 -4__3FBD7855_3EB5022F__3EB50005_0 -4__7FDBD451_7D781BCB__7D581041_0 -4__EF271296_67E3330B__67231202_0 -4__497F819F_1BF6AF2B__0976810B_0 -4__7E76DDF6_71FE4DA3__70764DA2_0 -4__53FE97CF_A5E7D85B__01E6904B_0 -4__5BDD3116_CF7D98C1__4B5D1000_0 -4__AFBD63DD_5FDBBF3D__0F99231D_0 -4__7FBFDFB6_773F6C5B__773F4C12_0 -4__F3FE316E_3FFD90C2__33FC1042_0 -4__FFFF24DF_DDF74CDA__DDF704DA_0 -4__FFF73DD0_7DF7B9CB__7DF739C0_0 -4__DDFF71BD_5D9F94B6__5D9F10B4_0 -4__5EFC2A2C_D2FF93DA__52FC0208_0 -4__FF764B20_5F7F5D52__5F764900_0 -4__FDEF6226_FDBEF1E7__FDAE6026_0 -4__00000000_00000000__00000000_1 -4__FFFFFFFF_00000000__00000000_1 -4__00000000_FFFFFFFF__00000000_1 -4__FFFFFFFF_FFFFFFFF__FFFFFFFF_0 -6__AEEFFDFB_6FF3E86F__C11C1594_0 -6__7DF70C2E_FF8F5F53__8278537D_0 -6__A6EFC657_AFBC626F__0953A438_0 -6__7EFB362C_9FBF9AAA__E144AC86_0 -6__7F2D827C_F79B698C__88B6EBF0_0 -6__B5FFFF0E_FF73D18B__4A8C2E85_0 -6__2FE7DD59_6FBFECE3__405831BA_0 -6__736B8E3E_F33F32F4__8054BCCA_0 -6__7FAF1E9D_FEFC0BE7__8153157A_0 -6__99FA6308_B779C72C__2E83A424_0 -6__765BD89E_9F4ABA90__E911620E_0 -6__799E77A2_76AB175C__0F3560FE_0 -6__DF8FC529_1EAA328B__C125F7A2_0 -6__5FFC0E3E_3F30F6B9__60CCF887_0 -6__F6FDD7D6_7F9BBB78__89666CAE_0 -6__76EDC156_728EDDC4__04631C92_0 -6__B99FE53A_6FFFADB4__D660488E_0 -6__7DDA9081_3ACF9AB2__47150A33_0 -6__3FFF13D5_177E6800__28817BD5_0 -6__ECEA8075_AFBDBC72__43573C07_0 -6__FDD5489E_B1DB70B3__4C0E382D_0 -6__3FEF2A4F_E8F76F45__D718450A_0 -6__51F79ADC_2BFE8E9E__7A091442_0 -6__3BF72CEC_7FFBDFBE__440CF352_0 -6__7EBBB072_45BC5523__3B07E551_0 -6__9FFC9657_7F754190__E089D7C7_0 -6__D7BF48B9_9FF76EAF__48482616_0 -6__B3FFFFF0_FFFB3A2F__4C04C5DF_0 -6__3F319D95_F1AEDD75__CE9F40E0_0 -6__75DF06C0_73CB3980__06143F40_0 -6__6D3F4726_71BBEB02__1C84AC24_0 -6__F9B68A84_19EFC4AB__E0594E2F_0 -6__BFF70D42_7C5796A2__C3A09BE0_0 -6__CEDC6769_47FFDC26__8923BB4F_0 -6__6FAF7337_2FFBC480__4054B7B7_0 -6__EFFB0824_BAF8D770__5503DF54_0 -6__16BFCB0C_D7FBE1B1__C1442ABD_0 -6__57CFB200_373F7212__60F0C012_0 -6__1BFB466C_7FFD4602__6406006E_0 -6__7FADAF30_BF5FED63__C0F24253_0 -6__1EFA87CB_6AEFA553__74152298_0 -6__5DDF3E13_7CB6565F__2169684C_0 -6__E6D6CBC4_D7B7615F__3161AA9B_0 -6__B7DF3A20_75DE70BD__C2014A9D_0 -6__B2FDC79C_DBF6F26B__690B35F7_0 -6__576510AD_FBCF729F__ACAA6232_0 -6__7AFE9169_D37DE2F6__A983739F_0 -6__BF73C9B2_F9BC9009__46CF59BB_0 -6__759BD118_F37FCBBB__86E41AA3_0 -6__EBBEEAE5_9F735221__74CDB8C4_0 -6__519ECDDA_EFCF461E__BE518BC4_0 -6__7665DAA7_7D9BAB39__0BFE719E_0 -6__63E60AE4_FFBF2B04__9C5921E0_0 -6__7DDDFBB8_5AEB08D3__2736F36B_0 -6__7BFFFD2A_FE4BDB79__85B42653_0 -6__55F78A98_3EFE9D43__6B0917DB_0 -6__B9F3DBC0_337D627E__8A8EB9BE_0 -6__5F1DF736_0FFB01CC__50E6F6FA_0 -6__FFFF0CAF_EFE57B82__101A772D_0 -6__DFFD5C76_7FBB6DF9__A046318F_0 -6__FFFFDC8D_DE3F3AA6__21C0E62B_0 -6__77EC15E5_FF17EB43__88FBFEA6_0 -6__FA7F3112_FEF74602__04887710_0 -6__6FF1E7EB_29B64CED__4647AB06_0 -6__7A7F69FC_57EF75DC__2D901C20_0 -6__C9DBA2F0_7BEF0562__B234A792_0 -6__733F3E09_FBFD0017__88C23E1E_0 -6__F5FB586B_3FFFF7B5__CA04AFDE_0 -6__AAD87C42_EFFF842E__4527F86C_0 -6__EFA2A417_FD7ECBDA__12DC6FCD_0 -6__5BFF406D_FFAC5E19__A4531E74_0 -6__FF6F82B8_75A73C1D__8AC8BEA5_0 -6__BFF5A47C_F6F58518__49002164_0 -6__77CFF8B2_BBCCA8CB__CC035079_0 -6__CEEE4338_3ED79777__F039D44F_0 -6__6DBD573C_5FFF1C6D__32424B51_0 -6__47F79F93_CFFDDFB3__880A4020_0 -6__BDFDDE55_BD6FC076__00921E23_0 -6__CFDDE030_F67D37FA__39A0D7CA_0 -6__73A785E4_77FE9B30__04591ED4_0 -6__77CF809A_7BFBD9AE__0C345934_0 -6__7FFF7C1E_B796387C__C8694462_0 -6__6AF95C2A_DB3D1871__B1C4445B_0 -6__FD6F2C39_7D926928__80FD4511_0 -6__DFBFB717_7EF7E6B7__A14851A0_0 -6__2BFB5385_6EF520C5__450E7340_0 -6__DF5E2451_6FCDA979__B0938D28_0 -6__7FBF9708_B7FDB27C__C8422574_0 -6__077D992B_FFB60F1A__F8CB9631_0 -6__F6ECA3DE_C86FED86__3E834E58_0 -6__5D7BAB07_8F7F295D__D204825A_0 -6__EBDDD774_7FBDAF49__9460783D_0 -6__0F3C2827_3FFF79C6__30C351E1_0 -6__EFF6F8DF_7F6323B2__9095DB6D_0 -6__FFEA3A4E_1FDFDBCB__E035E185_0 -6__FBBFE667_7BBF440C__8000A26B_0 -6__8F5FE7FC_571E7EF2__D841990E_0 -6__4BF20D5F_FDDFD080__B62DDDDF_0 -6__CEF7E70E_737DBE4F__BD8A5941_0 -6__57AEF72C_777A6D1D__20D49A31_0 -6__B6EE5A31_53F4DDB8__E51A8789_0 -6__7ED5F1FC_5D5D5DD7__2388AC2B_0 -6__FB1D9889_97E385EF__6CFE1D66_0 -6__7EBD64C7_E4B72512__9A0A41D5_0 -6__F317C568_6F7D8B75__9C6A4E1D_0 -6__F37F4928_FB78F325__0807BA0D_0 -6__6CFF0D7C_6DFBD3E4__0104DE98_0 -6__75FFA402_F97FBDA9__8C8019AB_0 -6__F97FA3B3_6DEFA168__949002DB_0 -6__F7BFCBE6_FCE44876__0B5B8390_0 -6__79FDFB3E_AE7F2571__D782DE4F_0 -6__2F6D7A84_C57ED893__EA13A217_0 -6__FD6F2364_BFF84670__42976514_0 -6__82FE6FDD_17E7F542__95199A9F_0 -6__CE2E0877_E3B98578__2D978D0F_0 -6__EEFB295B_6E63CD3B__8098E460_0 -6__1EF77503_7F9DE852__616A9D51_0 -6__FE7B3DBA_BFD37415__41A849AF_0 -6__6B74A429_F9F1E387__928547AE_0 -6__E9BB6106_DDB384E9__3408E5EF_0 -6__66FB7E79_6DFBA40B__0B00DA72_0 -6__FFE275C7_7EF9D525__811BA0E2_0 -6__7EFC0B21_BA7F377C__C4833C5D_0 -6__FFD5D7B5_FF36193A__00E3CE8F_0 -6__6D77FC4D_3BFF0F90__5688F3DD_0 -6__FFFEAEF1_93595CB0__6CA7F241_0 -6__32BB0320_2BFFE6FB__1944E5DB_0 -6__7FE3D153_FEDF0634__813CD767_0 -6__7CFA3838_FB3FE972__87C5D14A_0 -6__6897C931_BFFFB65F__D7687F6E_0 -6__25DC00F2_6BDB9530__4E0795C2_0 -6__BF9ABCD4_7FE4F84A__C07E449E_0 -6__FF7F014D_6F9F1CD2__90E01D9F_0 -6__2FFF37D0_507FEE55__7F80D985_0 -6__77B41C4F_CE5F1E72__B9EB023D_0 -6__F7BF2738_EFFCEA35__1843CD0D_0 -6__7BBB35F9_BDAC527D__C6176784_0 -6__EA7FFD41_FFB761B9__15C89CF8_0 -6__FFFFEBED_66F6C8ED__99092300_0 -6__74EB64C3_77E15C64__030A38A7_0 -6__FC7BE998_3FFD28E9__C386C171_0 -6__F0DDD01C_FFE50E7C__0F38DE60_0 -6__79AFB698_FF5F4D8B__86F0FB13_0 -6__34AA4785_D78C0DDA__E3264A5F_0 -6__DD7FE8F8_1FF92CB2__C286C44A_0 -6__5DFF62BA_AEEBBD6B__F314DFD1_0 -6__D7DD5995_7A7F99EE__ADA2C07B_0 -6__3F3E2C90_DB5F5296__E4617E06_0 -6__03D705E6_78FD71A0__7B2A7446_0 -6__FDB9EBAC_8AAD3156__7714DAFA_0 -6__4DCFF801_70DB6AC7__3D1492C6_0 -6__7A7BE8DF_F7672F37__8D1CC7E8_0 -6__FFC361A5_DFE7BDE4__2024DC41_0 -6__FECCE1A6_6FD73232__911BD394_0 -6__FDFF341F_0EDA1D4C__F3252953_0 -6__7D9F7D42_FC696840__81F61502_0 -6__6FF15D76_63F8CF60__0C099216_0 -6__CBFFDE95_3ADAD50A__F1250B9F_0 -6__FFFF6B81_775BFD1A__88A4969B_0 -6__F6FFEBE4_FA26DD3A__0CD936DE_0 -6__1AFD8194_273DC78B__3DC0461F_0 -6__79FF4003_9BFEBA99__E201FA9A_0 -6__AFA759AA_C35E646C__6CF93DC6_0 -6__F36F3C6E_6BFEF802__9891C46C_0 -6__5BF7ECC6_EE7D5C82__B58AB044_0 -6__7BFF8933_BEFF3CBA__C500B589_0 -6__F77D7061_4D76C8EB__BA0BB88A_0 -6__E1FF30C4_BCEBB68C__5D148648_0 -6__A7FF00AE_EF53DF67__48ACDFC9_0 -6__3C34AC23_4DF55753__71C1FB70_0 -6__6FFE9929_F9752B4F__968BB266_0 -6__D9DBC9CE_5DDE0687__8405CF49_0 -6__FFCECD2E_C5DD0A71__3A13C75F_0 -6__E797C0F4_FFDF306E__1848F09A_0 -6__F63AC16D_7DF7509F__8BCD91F2_0 -6__2FBA0978_FD7EE777__D2C4EE0F_0 -6__79EF9F24_667FE0BB__1F907F9F_0 -6__39FAEFB0_6D4DFD12__54B712A2_0 -6__F5EEF4E8_EFBFA2FA__1A515612_0 -6__77893379_99BF47A3__EE3674DA_0 -6__7BFE7D09_27DF64C6__5C2119CF_0 -6__76BEEE90_6F97E1BD__19290F2D_0 -6__7D76B988_E7EF03F7__9A99BA7F_0 -6__75C399D3_2EEBBC6F__5B2825BC_0 -6__3BECAAEC_7DF84FC4__4614E528_0 -6__FDFF0E7E_9FB7B464__6248BA1A_0 -6__37FFE228_C677AED0__F1884CF8_0 -6__FDEDB964_7F37CFED__82DA7689_0 -6__73EF0717_F3DD6024__80326733_0 -6__1FFF0E38_DE7D67C4__C18269FC_0 -6__BFBF77A6_7FBA362D__C005418B_0 -6__2F5BBC95_FBFDEA3E__D4A656AB_0 -6__FDEE237C_F3BF500C__0E517370_0 -6__7E6EDCBA_77F310C4__099DCC7E_0 -6__CF1F7E5F_FFAF8994__30B0F7CB_0 -6__FEF7C8C1_37FE900B__C90958CA_0 -6__E36FACCA_37F7D042__D4987C88_0 -6__A8D910CD_17EEC2CE__BF37D203_0 -6__67DF8012_5BAF5DD3__3C70DDC1_0 -6__FFD3CF38_7B1F66C4__84CCA9FC_0 -6__02A73244_0FE7B9D2__0D408B96_0 -6__B79BCFEF_7DFBAAB9__CA606556_0 -6__8FFEF186_BD532D35__32ADDCB3_0 -6__2DB745B0_7CBEE32A__5109A69A_0 -6__15CFD72F_79F993FF__6C3644D0_0 -6__7F6513C6_79B78CC2__06D29F04_0 -6__7EAB25F6_5B9BB2F0__25309706_0 -6__BFF44837_375C2F33__88A86704_0 -6__FDDD025B_FFFE336C__02233137_0 -6__7DCF2DD3_BFF7539D__C2387E4E_0 -6__D7FB3370_7DFC6C5F__AA075F2F_0 -6__5DFF6DF7_BF3E57EB__E2C13A1C_0 -6__762BFFB7_DDFCB9FC__ABD7464B_0 -6__7FA349A5_6F3B99AF__1098D00A_0 -6__E9ED93B2_B1BE7FBD__5853EC0F_0 -6__7BDE244A_D4AF7EFE__AF715AB4_0 -6__7FFD138D_F57F630B__8A827086_0 -6__EFDE385A_DDBB379C__32650FC6_0 -6__3AE7B607_B7FF7FDC__8D18C9DB_0 -6__6FC780E1_6B7802D4__04BF8235_0 -6__EFBDEF63_EFD5C231__00682D52_0 -6__75F7BA6A_E3750590__9682BFFA_0 -6__56AFB6C2_EFF3F396__B95C4554_0 -6__3FFFAF79_7EEA98FB__41153782_0 -6__FEF711C9_F56FEDBA__0B98FC73_0 -6__6BBE5D8E_5FA60596__34185818_0 -6__E7F575E6_7E163AB0__99E34F56_0 -6__57FFF129_FFFB1AF4__A804EBDD_0 -6__A1FFEDDE_9F5E275C__3EA1CA82_0 -6__7F4C52DF_EDF670AC__92BA2273_0 -6__3EED07C8_EE6BF0AB__D086F763_0 -6__EFDEF81C_7B7F5C0D__94A1A411_0 -6__BDFFCED4_DBFF2CA9__6600E27D_0 -6__1AFF85B6_7DF71CBF__67089909_0 -6__72DE9CA8_FBC679F5__8918E55D_0 -6__FB7F4CA0_FE7E4FF2__05010352_0 -6__F17D8BEC_7EFDA277__8F80299B_0 -6__6FD75330_9EF440DB__F12313EB_0 -6__FDFF7469_BFEEDC32__4211A85B_0 -6__757F2281_7E593DD9__0B261F58_0 -6__FF7D3315_E1BF495D__1EC27A48_0 -6__D9FFC0F9_6CF95C12__B5069CEB_0 -6__F75FBA12_4FEB0AE5__B8B4B0F7_0 -6__796FA608_CFFF08AE__B690AEA6_0 -6__FEF731C3_3FEF9C7F__C118ADBC_0 -6__7F9F0BD4_FDFD2FD7__82622403_0 -6__5AEF8376_E77B8740__BD940436_0 -6__DC4DA061_7953FCA3__A51E5CC2_0 -6__6EFAF864_7F9C1210__1166EA74_0 -6__794F8AAD_F71E5405__8E51DEA8_0 -6__7FE21CFB_FDBAE668__8258FA93_0 -6__D7FB263B_EFED011B__38162720_0 -6__FFB7CA45_DFE5F903__20523346_0 -6__79DF2A8A_DFF72ED7__A628045D_0 -6__7DBF74D5_BFFE4E4A__C2413A9F_0 -6__FFFF0F66_5F7F1FE4__A0801082_0 -6__F5FBDE27_FDF7A3C6__080C7DE1_0 -6__7FFFEA62_F8F94246__8706A824_0 -6__36FEBBB7_27EFA5A2__11111E15_0 -6__56FF607F_3FB28079__694DE006_0 -6__BA7B3235_71FFC164__CB84F351_0 -6__AF27395D_66F728C2__C9D0119F_0 -6__FFFBBA47_97D73BB6__682C81F1_0 -6__7DFF7940_D77EF203__AA818B43_0 -6__FFFF22BD_EBC7485F__14386AE2_0 -6__9ED7F349_6BF2126B__F525E122_0 -6__757ECD9C_E7FEE4D3__9280294F_0 -6__7ABFA0CF_F2F9A8B7__88460878_0 -6__A8EF0C6B_7FFF96F0__D7109A9B_0 -6__FFFD546E_57EF1984__A8124DEA_0 -6__5EABB3F6_25FFEE90__7B545D66_0 -6__5FBFC0FA_FDFB38ED__A244F817_0 -6__D3AFFD10_5CE317B4__8F4CEAA4_0 -6__75E41545_77FFB35F__021BA61A_0 -6__75FD93B9_D7FC3C97__A201AF2E_0 -6__2DFF0F6D_F4EDE1FE__D912EE93_0 -6__76BC0629_D9AE332E__AF123507_0 -6__7FBE4E2F_3ABF634F__45012D60_0 -6__FD66C78F_B75FF6CF__4A393140_0 -6__EB6E39D1_FB3F5860__105161B1_0 -6__7FBED604_CFEF8FE7__B05159E3_0 -6__FF7F8465_51A8CF2C__AED74B49_0 -6__5FF7A8AD_5FDF857A__00282DD7_0 -6__3B7B31CA_FB3F1E3D__C0442FF7_0 -6__6FB491B8_FF7F484A__90CBD9F2_0 -6__17FFD416_FDFE95A1__EA0141B7_0 -6__FC5FBB3D_FF7DB4C6__03220FFB_0 -6__57F2EAA4_F97F434B__AE8DA9EF_0 -6__7FFFF219_F5FB68F6__8A049AEF_0 -6__3EBF9921_76FED798__48414EB9_0 -6__5F5BC5BC_FEF9EC8F__A1A22933_0 -6__C9B9AFA4_7FC32ABA__B67A851E_0 -6__7FB4E064_FDFFE98D__824B09E9_0 -6__7FE7F504_3FBFE57D__40581079_0 -6__99FF42E3_6FC7E11F__F638A3FC_0 -6__F9E110C7_F7DF7D26__0E3E6DE1_0 -6__769F54F2_7FB53F71__092A6B83_0 -6__57F342C6_FB77A5DD__AC84E71B_0 -6__E7D5197F_17B975E5__F06C6C9A_0 -6__0FA5366B_ABA5E081__A400D6EA_0 -6__7DFF21FD_F7DFDC0D__8A20FDF0_0 -6__15BFB36E_2FF1BE82__3A4E0DEC_0 -6__77FAEE69_6BDB2362__1C21CD0B_0 -6__2D9B3278_68EF0634__4574344C_0 -6__7E7685BC_1B75DD18__650358A4_0 -6__5D771272_254FA95A__7838BB28_0 -6__775F8CCC_FF7B7599__8824F955_0 -6__CEBB5128_3FA6B06F__F11DE147_0 -6__7AFBEECD_EDBFA47E__97444AB3_0 -6__5FFEDE56_EDCB37DE__B235E988_0 -6__5829A844_77D7934A__2FFE3B0E_0 -6__737F5EAF_6EFF3293__1D806C3C_0 -6__79EF15EF_677D5F39__1E924AD6_0 -6__FFFFDB40_67F7FFE3__980824A3_0 -6__9FFB6DBA_DEBF2F58__414442E2_0 -6__4BF3358C_B07BD20D__FB88E781_0 -6__6FB4D754_F1BDBB2B__9E096C7F_0 -6__3E3716EC_FEA7EF80__C090F96C_0 -6__D7F55335_DFC54B8B__083018BE_0 -6__BFB72B91_7D73745D__C2C45FCC_0 -6__A1F53E63_E67F737F__478A4D1C_0 -6__DF9BC8C6_7FFF949A__A0645C5C_0 -6__5BFD6950_6CFFCDFA__3702A4AA_0 -6__FDEF4845_DFFF527C__22101A39_0 -6__771BA9B4_DFD53344__A8CE9AF0_0 -6__EFDF65E2_7AFF7E50__95201BB2_0 -6__FFB591F2_FEADDEC1__01184F33_0 -6__CEF68632_66FDBB5D__A80B3D6F_0 -6__FEDBFDB9_FDF6085A__032DF5E3_0 -6__7BFB276A_FDFAF67B__8601D111_0 -6__3773CA02_7FDD4794__48AE8D96_0 -6__77FE1B14_EBDECCD1__9C20D7C5_0 -6__DFEE5969_6EFFC39C__B1119AF5_0 -6__6FFE33F4_5CBF8163__3341B297_0 -6__FFAB1DC5_A8FF7717__57546AD2_0 -6__DFFF50C9_E9F319D5__360C491C_0 -6__63A4FD5F_BB56B594__D8F248CB_0 -6__36DF3640_FFED63C3__C9325583_0 -6__DE7F7208_7EBF1DBE__A0C06FB6_0 -6__FAF97B0C_7DEE9A8D__8717E181_0 -6__EDFFBA87_5EFF0AD6__B300B051_0 -6__FFFBE61B_759FEFCB__8A6409D0_0 -6__73BF87AB_0D2FC976__7E904EDD_0 -6__64BD55CB_A7EF9C53__C352C998_0 -6__65BFC2AA_6FBB9912__0A045BB8_0 -6__852D5C88_FFDF782D__7AF224A5_0 -6__236340A4_DFEEAB1C__FC8DEBB8_0 -6__FEB975EE_9EBAA2D8__6003D736_0 -6__5FDF288B_FEFF54B3__A1207C38_0 -6__F5D99E7E_D77F8A41__22A6143F_0 -6__DF9FCAC9_6657FE13__B9C834DA_0 -6__BFACE05F_BDFFEDD4__02530D8B_0 -6__5BF3A9C3_6BEB6A3D__3018C3FE_0 -6__EBFF0C44_7BF148CE__900E448A_0 -6__7FFFB4B8_D8DEE720__A7215398_0 -6__77F53729_FF758206__8880B52F_0 -6__B9EA4C75_AEFFDA93__171596E6_0 -6__F9DFE506_FBB7FB8C__02681E8A_0 -6__DB6F462E_BEFE6B09__65912D27_0 -6__7BFD412C_E6F5787F__9D083953_0 -6__FE9F658B_BF571D37__41C878BC_0 -6__3C2E6228_3FAB2B1A__03854932_0 -6__FF15F07A_F5DFF6F5__0ACA068F_0 -6__5FE7073D_7FD78319__20308424_0 -6__FFCA322B_FB7D3839__04B70A12_0 -6__7FFF37B8_4FFF325B__300005E3_0 -6__1EFFB4A3_77FC814B__690335E8_0 -6__9DED4CF5_6F3F49D9__F2D2052C_0 -6__77F2E5E4_172E787B__60DC9D9F_0 -6__DF3F5E86_FEBF8B07__2180D581_0 -6__AFFF6609_E2F0D9C7__4D0FBFCE_0 -6__BB5B5433_EAF33706__51A86335_0 -6__8D409CFB_677F3633__EA3FAAC8_0 -6__7BFEE28E_6FDB0346__1425E1C8_0 -6__3BFF8BD4_397F1089__02809B5D_0 -6__FBE33F3C_BF8F31E7__446C0EDB_0 -6__5DCF1E42_AF4C6394__F2837DD6_0 -6__EFDF6826_CEFF4897__212020B1_0 -6__B8EF3202_3F48555F__87A7675D_0 -6__EEF70A61_6FCF1348__81381929_0 -6__17FF5D59_D3FD1DD2__C402408B_0 -6__FC7F6D78_2E605293__D21F3FEB_0 -6__78FEB31A_ECFFAE25__94011D3F_0 -6__7FEB3EB0_FD7BCC47__8290F2F7_0 -6__7EF81BF4_7EBC8C8E__0044977A_0 -6__FB7B1A4A_3FBE4149__C4C55B03_0 -6__526F33F8_1FCE95D2__4DA1A62A_0 -6__3E75C313_7BA7DF11__45D21C02_0 -6__1FFF76FF_7FEDB750__6012C1AF_0 -6__150B2BD0_677D8372__7276A8A2_0 -6__CFED79DF_5FAFDA64__9042A3BB_0 -6__FF6727E0_3F7726A4__C0100144_0 -6__F96F11F7_BE6CDA36__4703CBC1_0 -6__3EFD5BF6_8FFFC756__B1029CA0_0 -6__F79E8BFA_F77B5530__00E5DECA_0 -6__EF7B6105_E7D795C8__08ACF4CD_0 -6__FDF3E531_1FFD1930__E20EFC01_0 -6__BD7ADFC7_FFBF38CF__42C5E708_0 -6__DEFB11A8_7ECC80DF__A0379177_0 -6__CEFFECB2_DEFCC953__100325E1_0 -6__77ED60E2_FBB61538__8C5B75DA_0 -6__6EEB4433_BBFCEAC6__D517AEF5_0 -6__9FF9B6AA_5EA7FFFA__C15E4950_0 -6__7FFD2605_3F9F822E__4062A42B_0 -6__F7AF6F08_EEFF9D60__1950F268_0 -6__756F2F04_EE9EB0FF__9BF19FFB_0 -6__6BFFFCC0_FFFB0C30__9404F0F0_0 -6__7F65A2C6_AF7DD2D9__D018701F_0 -6__BDD64006_7EFFF608__C329B60E_0 -6__C6B2A1D4_7FBC76D7__B90ED703_0 -6__7FD8BC85_FCDFC892__83077417_0 -6__FFFE9464_7BBF1FBE__84418BDA_0 -6__3D4DC691_7DDD3F65__4090F9F4_0 -6__6FB7FCF8_66DDB59D__096A4965_0 -6__CB6DDEC0_77EF4D66__BC8293A6_0 -6__B17F5B1E_5FFD9D2B__EE82C635_0 -6__9BF7414D_7BF17EF3__E0063FBE_0 -6__BFFDCDC5_5F8EA3E6__E0736E23_0 -6__E1DB251B_47EF3880__A6341D9B_0 -6__5FAB106D_FADF28BD__A57438D0_0 -6__DF5A1A6C_DB69B5B0__0433AFDC_0 -6__7EDF9F84_F2FFECFF__8C20737B_0 -6__B476B025_6FEF2AFA__DB999ADF_0 -6__9D4D595A_7F757123__E2382879_0 -6__E9CBE265_7A5D7026__93969243_0 -6__F5F1FEC2_8FFF334E__7A0ECD8C_0 -6__6DFD1464_FD1BF9B9__90E6EDDD_0 -6__FFFFAC82_AFFA6A3E__5005C6BC_0 -6__27B78610_AFF78E87__88400897_0 -6__7F7E5C34_7AD6B390__05A8EFA4_0 -6__E3DD0318_4FFE2591__AC232689_0 -6__7FE7DCE4_73D64D91__0C319175_0 -6__EDDF8643_DEFA2A53__3325AC10_0 -6__F9B38888_DFFFA39A__264C2B12_0 -6__EB9D798C_FE4D1C40__15D065CC_0 -6__FFEF0F10_4F9DFEE6__B072F1F6_0 -6__F7EDAF83_DDFF7D75__2A12D2F6_0 -6__BFDF6543_3F1F4BB8__80C02EFB_0 -6__7FD9EAFE_3FEE849D__40376E63_0 -6__7E0BA159_DDBAA12E__A3B10077_0 -6__FE3F76BB_35E7B5B4__CBD8C30F_0 -6__6FFFD8FD_DFAF7AE0__B050A21D_0 -6__7FFD4BDC_BF9D14CF__C0605F13_0 -6__6F5FA660_2EEF39E1__41B09F81_0 -6__FE9AC4A7_FEAF88B0__00354C17_0 -6__77CD727C_777D5DDA__00B02FA6_0 -6__DFDB7BBD_E6772D7B__39AC56C6_0 -6__7F7F328C_F66BC2DA__8914F056_0 -6__F2D267D0_BEAC0D52__4C7E6A82_0 -6__A1B6975D_6FBA4D93__CE0CDACE_0 -6__FDF77E19_FFFF1D62__0208637B_0 -6__5BAFC527_DBF902B8__8056C79F_0 -6__FDF377FE_F68F493A__0B7C3EC4_0 -6__FF7B2E5D_7FFF2606__8084085B_0 -6__97FD67FE_7E7734D7__E98A5329_0 -6__6EFF61C2_73FB47C3__1D042601_0 -6__FEFF2AF4_7DA89B31__8357B1C5_0 -6__F5DB402D_5CFB0029__A9204004_0 -6__D23D02DE_7DDFF198__AFE2F346_0 -6__7FDFB4A7_7F7D533B__00A2E79C_0 -6__6BF73CC6_F5FB5465__9E0C68A3_0 -6__BDFECAAC_31F3C250__8C0D08FC_0 -6__7BCEFE76_3C784732__47B6B944_0 -6__3FEFB5C2_FFFE1623__C011A3E1_0 -6__AAFD636D_DFF7EB78__750A8815_0 -6__3E4C0B54_B7DE3A43__89923117_0 -6__3B97A6A2_FCFF4451__C768E2F3_0 -6__579FF031_7F7F7FFA__28E08FCB_0 -6__3EFD8CA8_76F2FFF3__480F735B_0 -6__FFD554B5_6FFD1C8C__90284839_0 -6__53F6F0C4_FEEEA39C__AD185358_0 -6__DF9E97F2_BFEF70EF__6071E71D_0 -6__6F52A13D_BF53A942__D001087F_0 -6__43BF9B08_3FF906E0__7C469DE8_0 -6__69BEC428_3FF98E66__56474A4E_0 -6__EFCC6462_97FE7D03__78321961_0 -6__7BD69D98_FBCD3A14__801BA78C_0 -6__3DFAC992_BDBFAC38__804565AA_0 -6__FF4FE3EF_BD7C64FE__42338711_0 -6__7E85B38B_BEF7106E__C072A3E5_0 -6__DBDEB580_F6740AA0__2DAABF20_0 -6__E2AC61ED_47FB3711__A55756FC_0 -6__7BED4FB0_FFFF6A3E__8412258E_0 -6__7DAF43DA_EAFA7A5D__97553987_0 -6__EE2E2792_3ACDEFFA__D4E3C868_0 -6__2C3E960E_7FDD1F23__53E3892D_0 -6__793359EA_5FDFCC84__26EC956E_0 -6__1CEFF5DA_DDED03FE__C102F624_0 -6__69FF2220_9EFECF90__F701EDB0_0 -6__FF7F4AF6_7ED73411__81A87EE7_0 -6__7EDCDFF1_7F7F3B46__01A3E4B7_0 -6__DE0F19B0_DEFE53B1__00F14A01_0 -6__FDF5DC3C_151FF657__E8EA2A6B_0 -6__FD9A63C6_7B815A20__861B39E6_0 -6__57EE08D1_F5D99A30__A23792E1_0 -6__FFFF0578_78F7EF2D__8708EA55_0 -6__F9EB728D_F6EF4125__0F0433A8_0 -6__FFFCFCCA_7F775129__808BADE3_0 -6__9F0FC57C_5FEDA65A__C0E26326_0 -6__AF5FB4F0_5EF1CD5E__F1AE79AE_0 -6__66BEB076_74CD7455__1273C423_0 -6__D773B836_1E6BFBCD__C91843FB_0 -6__5AF62B67_7FD7BC5D__2521973A_0 -6__F57C0134_3DFF225C__C8832368_0 -6__E3DF7FCC_7BC62722__981958EE_0 -6__76ED6809_7376246B__059B4C62_0 -6__267F0496_375F877F__112083E9_0 -6__EDC59759_8B0D8887__66C81FDE_0 -6__77BA6F97_766AE3D5__01D08C42_0 -6__F7726BB6_F7F7812D__0085EA9B_0 -6__FF7E2BA0_3BDB73DB__C4A5587B_0 -6__5EFD4CD2_BDFE1C6E__E30350BC_0 -6__ADFD692C_DFFF3E55__72025779_0 -6__6EFD29D4_62A7D20D__0C5AFBD9_0 -6__A5BFF2AB_CDCB8533__68747798_0 -6__FE6FD1B5_DC5A75EF__2235A45A_0 -6__F9EFA8D1_6F4FDA95__96A07244_0 -6__EFBFF6EF_FBFF21CB__1440D724_0 -6__FEAB6544_7F69B08F__81C2D5CB_0 -6__DE7F22E8_FFFEA552__218187BA_0 -6__EBDFC0D8_FBE54578__103A85A0_0 -6__FF5F765F_7FBD58E3__80E22EBC_0 -6__BFFCD5E1_A8BA2FC8__1746FA29_0 -6__0E3BA1B6_FF4F8FBC__F1742E0A_0 -6__FC1D1614_EAB32833__16AE3E27_0 -6__73FF44EB_FFBFBF6E__8C40FB85_0 -6__FFBE3C8D_7E4F1CD5__81F12058_0 -6__7B7D3757_7FFFEDFB__0482DAAC_0 -6__7DADE820_EFFE798B__925391AB_0 -6__D790C5CF_EFFF7856__386FBD99_0 -6__8F3F8D50_8BD39BC8__04EC1698_0 -6__6C1780F0_1EFF515C__72E8D1AC_0 -6__7EA349E7_F2552643__8CF66FA4_0 -6__1B31E2E9_77C43579__6CF5D790_0 -6__EC6F65F5_EB6F83AE__0700E65B_0 -6__5EFEE3F7_BEB56487__E04B8770_0 -6__FFF9BDBC_BFCFB833__4036058F_0 -6__7FF7B869_1EEEDE5D__61196634_0 -6__F9F6FD41_E5AE52DD__1C58AF9C_0 -6__3BC7D866_2F4F9D6C__1488450A_0 -6__7CBD20F8_BB7E38EA__C7C31812_0 -6__E5ECF4E6_5D7F5789__B893A36F_0 -6__174FA9CE_7E3F9A5D__69703393_0 -6__EEFFF179_77FE6AC2__99019BBB_0 -6__33DE405B_3FBFE852__0C61A809_0 -6__76F2A915_FDFF7FB5__8B0DD6A0_0 -6__ECBFC997_563C1440__BA83DDD7_0 -6__B9EFC889_FFAF09C1__4640C148_0 -6__A6E3D682_11EF1507__B70CC385_0 -6__AFBD56C8_7AEDDC87__D5508A4F_0 -6__6FE97040_DFEFDF88__B006AFC8_0 -6__7F379BC1_ADFF3F59__D2C8A498_0 -6__FC799C38_6FD715A7__93AE899F_0 -6__BFBFB360_77BFB049__C8000329_0 -6__FFFECDDC_6BF94BAA__94078676_0 -6__BF3F3B53_CF7EC032__7041FB61_0 -6__7F59C3ED_2BFA6F52__54A3ACBF_0 -6__7384493F_FDEF8B16__8E6BC229_0 -6__7DFE0A86_F37F4831__8E8142B7_0 -6__FBF535E8_7BFF0458__800A31B0_0 -6__5FFF5B34_CBFBB7FD__9404ECC9_0 -6__6F7EFDBE_FD5B7313__92258EAD_0 -6__3FF31AD9_FFCFD7D6__C03CCD0F_0 -6__6FFFA2D6_EDFD16A0__8202B476_0 -6__DCAAD723_7BFF4B89__A7559CAA_0 -6__6FDF150B_FCAB5499__93744192_0 -6__7C5FC2DC_7BFCAEFC__07A36C20_0 -6__7F6DA6F4_FD77FB9C__821A5D68_0 -6__FE7DB9D2_F7A34762__09DEFEB0_0 -6__AFEBEB17_577B6FD3__F89084C4_0 -6__FFFDA373_1FF35687__E00EF5F4_0 -6__EE17F797_15E7C03F__FBF037A8_0 -6__7B4F484E_17D76FBC__6C9827F2_0 -6__F7AE0BB3_773F1A5F__809111EC_0 -6__6EF79E7F_BFF52CE8__D102B297_0 -6__5FFF4607_CDFFBD9C__9200FB9B_0 -6__77AFA99A_DBFF8A6D__AC5023F7_0 -6__2F6E8FC9_ED6F0D7E__C20182B7_0 -6__DFFB87A9_DBAC784F__0457FFE6_0 -6__EFFF7439_7F6B7A22__90940E1B_0 -6__5EBFBD93_6BFB66E6__3544DB75_0 -6__BF76BDBD_FE9BFF5C__41ED42E1_0 -6__5F5A98DF_9FEBFEEB__C0B16634_0 -6__37856250_FDFFBE18__CA7ADC48_0 -6__5F747C22_CAFFD777__958BAB55_0 -6__CBFF450B_F91D3413__32E27118_0 -6__FDF6B085_F37EC9E2__0E887967_0 -6__57FF124E_C8FF63D9__9F007197_0 -6__7FF61F98_7EEB2B0F__011D3497_0 -6__03F52563_CFD761DB__CC2244B8_0 -6__D7DA5511_F3B74536__246D1027_0 -6__4FDFAE1E_5EDDD9B6__110277A8_0 -6__6AEB67CA_34D272A1__5E39156B_0 -6__F6DBE5B4_B7BF7674__416493C0_0 -6__DF3D8D30_5F7F3123__8042BC13_0 -6__31EFDD53_9FFBBDA0__AE1460F3_0 -6__7FFF60DA_FB1EE36F__84E183B5_0 -6__BDF57722_6FE3A26C__D216D54E_0 -6__7C573BFF_EEBF978C__92E8AC73_0 -6__FFF44982_3FEBAC79__C01FE5FB_0 -6__5EF34FE1_4E3F1466__10CC5B87_0 -6__5F9BAF29_F9FBCA85__A66065AC_0 -6__7FD55706_BFD72308__C002740E_0 -6__377BDB87_9E7E7D60__A905A6E7_0 -6__3FDF9B68_DEED0746__E1329C2E_0 -6__B75E31BB_722D6DC0__C5735C7B_0 -6__FEFDEFA0_6EE7CB3F__901A249F_0 -6__CCFA3306_FE3E137B__32C4207D_0 -6__75F7E06B_CF7F1650__BA88F63B_0 -6__2FCE7D25_3FFFDFBF__1031A29A_0 -6__F37F035F_E4F5301B__178A3344_0 -6__73C71408_6EDBDE5A__1D1CCA52_0 -6__F876BA07_3F53E6F9__C7255CFE_0 -6__EFF7A540_7FFC64E1__900BC1A1_0 -6__37FFBCD0_5E7F52EE__6980EE3E_0 -6__AD7F7CD1_9F9D7D1E__32E201CF_0 -6__7D7FCC85_79DDA2D6__04A26E53_0 -6__BCD789D2_7EFFF6B6__C2287F64_0 -6__6FB3987F_EFFEBDDA__804D25A5_0 -6__796DA0CF_A37BC76B__DA1667A4_0 -6__736D28FD_3BDD9D77__48B0B58A_0 -6__FFCDFE33_5733EC31__A8FE1202_0 -6__F39CA478_FFFA91F4__0C66358C_0 -6__6DFF470B_5F7E4276__3281057D_0 -6__CDECCDAD_78676075__B58BADD8_0 -6__FE65A301_FF7BEE71__011E4D70_0 -6__73FE9FC2_D5F9333E__A607ACFC_0 -6__77C63936_75E77F50__02214666_0 -6__DB37716B_5DF66DDC__86C11CB7_0 -6__F3F744F6_ADFD2C6A__5E0A689C_0 -6__FFFDA0AD_FFF7559A__000AF537_0 -6__7DB773E6_32539178__4FE4E29E_0 -6__7FEE2587_FD1FF0E2__82F1D565_0 -6__FF7D97F4_7BF7A11B__848A36EF_0 -6__37ABD985_F673FDEA__C1D8246F_0 -6__3DDDB8DE_0FD3C687__320E7E59_0 -6__DAFE1F47_76EF79E3__AC1166A4_0 -6__79FEDE74_AF8C5117__D6728F63_0 -6__DFEFB8DB_5F9FA3A6__80701B7D_0 -6__5EDF092F_35DD0BED__6B0202C2_0 -6__2FEBDF57_DDF7FDF0__F21C22A7_0 -6__E7F71132_3DDDFACF__DA2AEBFD_0 -6__779B199C_BC6F539A__CBF44A06_0 -6__FCFF4F81_F7F2F858__0B0DB7D9_0 -6__6FF5F67F_3A2B7857__55DE8E28_0 -6__9CFF3EBF_7FF639F9__E3090746_0 -6__7FEF25FF_C5F5237A__BA1A0685_0 -6__477F1714_47FF02C8__008015DC_0 -6__FF1F907F_EA6E2CD3__1571BCAC_0 -6__0FFFE077_ABC62D8C__A439CDFB_0 -6__7FF79EBD_AF772DA3__D080B31E_0 -6__4FFDD08C_DFCB7C2F__9036ACA3_0 -6__5E7ABC94_C6FDC5CC__98877958_0 -6__DF5B8E0C_E3DCE57A__3C876B76_0 -6__DFBD4BAC_1C2F20EF__C3926B43_0 -6__799F2C91_5BBDD95B__2222F5CA_0 -6__67DF74D0_77F663F3__10291723_0 -6__7BA7AE0A_521B4CE9__29BCE2E3_0 -6__7EF7FBB2_D0DF7F22__AE288490_0 -6__BFB19305_38FBC647__874A5542_0 -6__7CDFFE39_5DFF58AF__2120A696_0 -6__77B739FE_7FFB9AF1__084CA30F_0 -6__865B87E2_5DEFBC31__DBB43BD3_0 -6__77BE54D8_4BBD3596__3C03614E_0 -6__34F9C2F2_FAF55888__CE0C9A7A_0 -6__7AFF0DE3_EF3B12AD__95C41F4E_0 -6__5ECF34B5_9FF6618C__C1395539_0 -6__7FEF01CB_FE1B47CF__81F44604_0 -6__7A94B001_56ECBEB2__2C780EB3_0 -6__FBFF61D6_77BD0A69__8C426BBF_0 -6__C6CE0641_F7F36925__313D6F64_0 -6__232BBC77_2FFFC325__0CD47F52_0 -6__EFBB6CD3_B7EFA781__5854CB52_0 -6__33FB32D2_AF67774E__9C9C459C_0 -6__B5FA89A4_77B423BE__C24EAA1A_0 -6__BFF73942_36BEF4B5__8949CDF7_0 -6__E9FFD4D3_DEFFEBE5__37003F36_0 -6__2FBFC59B_5EFFED5B__714028C0_0 -6__7DFA5F8B_DF693646__A29369CD_0 -6__55BA92A7_7BFF9597__2E450730_0 -6__17FB1AAB_DC3F4798__CBC45D33_0 -6__736752E0_6FFF990C__1C98CBEC_0 -6__7DFDDB18_6F7DC4B7__12801FAF_0 -6__73FF787F_1DFF01B6__6E0079C9_0 -6__7ADD83DC_F5F6FC45__8F2B7F99_0 -6__7D7F795D_477BE541__3A049C1C_0 -6__3B51ACE7_7FF3E888__44A2446F_0 -6__77B762EB_67BCE6C2__100B8429_0 -6__FFEDC0D4_FAFF9E82__05125E56_0 -6__D7E7A8E1_7F9E0153__A879A9B2_0 -6__68FE9A92_7FFBF70D__17056D9F_0 -6__B9BF02C0_BAF3A946__034CAB86_0 -6__46DF0B0D_CFDC2766__89032C6B_0 -6__EBFBD8B8_6EA7980F__855C40B7_0 -6__7E6FD56E_79BB706D__07D4A503_0 -6__29FDAEEA_AF73A5FA__868E0B10_0 -6__D6FA027D_79BFE60C__AF45E471_0 -6__3AFC0782_117F8B56__2B838CD4_0 -6__CFFF12F0_73FF46BF__BC00544F_0 -6__FCD7EFEE_53CBFE9C__AF1C1172_0 -6__DF3F1BDD_77ADA14B__A892BA96_0 -6__7FFED0A6_B7FF5145__C80181E3_0 -6__A7BDC3C8_83FDA5BA__24406672_0 -6__7FDF0406_6F3EA701__10E1A307_0 -6__6DE7B00F_F6FED0D6__9B1960D9_0 -6__FFEFF103_1BE633EE__E409C2ED_0 -6__77EF9AD9_72E6F8E0__05096239_0 -6__4DCD10DD_7B9E709E__36536043_0 -6__BBB523D1_7FFF15D5__C44A3604_0 -6__67FFF14B_9FFB10F7__F804E1BC_0 -6__FEC42AAA_5EF4F22D__A030D887_0 -6__F7FA2B66_6FBFA30F__98458869_0 -6__5DFD12C9_5F3E0C95__02C31E5C_0 -6__366E78A4_7BFADBDC__4D94A378_0 -6__735FE570_7DCE2161__0E91C411_0 -6__BBBF746A_FBFCCC51__4043B83B_0 -6__765EC1CA_EF7FEFC7__99212E0D_0 -6__25BF2228_7BB763EA__5E0841C2_0 -6__EEAFF9EC_4E9F5A0C__A030A3E0_0 -6__EECF79D0_7D76F967__93B980B7_0 -6__DBEBFD33_7FF68538__A41D780B_0 -6__7CFEFA96_EF2CE71A__93D21D8C_0 -6__FE7FC453_65F7A892__9B886CC1_0 -6__09FE3273_2B035DB1__22FD6FC2_0 -6__2D87B6C6_B6F30857__9B74BE91_0 -6__9FFEF674_6FFE68F2__F0009E86_0 -6__FF9B3119_BEF7139D__416C2284_0 -6__BF57D0EA_D7DDBC19__688A6CF3_0 -6__79F7EE3E_77EF3D4F__0E18D371_0 -6__18E94202_FE9D4FE8__E6740DEA_0 -6__3EED6508_07BB6976__39560C7E_0 -6__EDF62DCD_6FFFBA62__820997AF_0 -6__BE7F5170_BF3F6C95__01403DE5_0 -6__BF643DD6_DE57FA0D__6133C7DB_0 -6__7DFE6EB6_7BEDC258__0613ACEE_0 -6__7DFF1401_7D97CD5A__0068D95B_0 -6__FD77F5FC_E3FF00E4__1E88F518_0 -6__BFFB05C6_667C6DC4__D9876802_0 -6__BB7CD275_5DE58995__E6995BE0_0 -6__DFFF5E24_DDF7C8D4__020896F0_0 -6__167F14DF_5DF70386__4B881759_0 -6__5FFA9F80_B47FFE5B__EB8561DB_0 -6__73FD0714_EDFA9BEC__9E079CF8_0 -6__B6FDACA2_DDC2E584__6B3F4926_0 -6__6D19DF4A_7B7F7567__1666AA2D_0 -6__DB96F10D_FBFDC48D__206B3580_0 -6__2FEF2EAD_67FDE067__4812CECA_0 -6__DF4D9178_ADFE86EC__72B31794_0 -6__1ED6C510_AC7F7700__B2A9B210_0 -6__B7BF6FDF_BF9BCAA5__0824A57A_0 -6__2FFCEA07_B79E8979__9862637E_0 -6__A33CCBC0_FFEDAFEE__5CD1642E_0 -6__6DF99163_6FDEEFB9__02277EDA_0 -6__CFFF4DAD_D5DAF018__1A25BDB5_0 -6__EFEF26F5_D7F40093__381B2666_0 -6__FD775AA4_DBC6B8F6__26B1E252_0 -6__73BF3258_6FFF74B1__1C4046E9_0 -6__7BB697FD_EF7DCF82__94CB587F_0 -6__BECFEC3E_FEC74F18__4008A326_0 -6__FDFFA9A2_FAAF2AF0__07508352_0 -6__7DF65392_DE3D5AC4__A3CB0956_0 -6__61FD6B13_DF7FE02A__BE828B39_0 -6__EEFDAD28_37FDBD03__D900102B_0 -6__6E732E53_7F8F7E6E__11FC503D_0 -6__7D73FB3C_6FED9918__129E6224_0 -6__1F78EBD0_FEDDF1FA__E1A51A2A_0 -6__BF7811BA_EEFFCB28__5187DA92_0 -6__FBB7C983_FFFD6BC0__044AA243_0 -6__7BF67872_FB5FD080__80A9A8F2_0 -6__EFBB66D5_57FD0D62__B8466BB7_0 -6__E8F774C2_95FFEC8B__7D089849_0 -6__BCF79596_FFE7756B__4310E0FD_0 -6__E7F37D57_0FFDB468__E80EC93F_0 -6__7F67A6BB_7FB6D30C__00D175B7_0 -6__FEB792BF_7C9FCF27__82285D98_0 -6__59FF3546_FCF7E14E__A508D408_0 -6__FDCFA9FC_FEAFC7DC__03606E20_0 -6__7BFF6DE8_F95F072F__82A06AC7_0 -6__DD3E7083_AF3A4124__720431A7_0 -6__EABF09E1_F6FDA1FB__1C42A81A_0 -6__A2B6196B_DFCF8FA2__7D7996C9_0 -6__FD9FEA88_CCD44318__314BA990_0 -6__F6FDF7E9_7E7BC1A6__8886364F_0 -6__FBD63AC1_7F6B11A9__84BD2B68_0 -6__B9AE9C55_FFCF1F96__466183C3_0 -6__EB7BED14_FFF3BFAB__148852BF_0 -6__FF5FD72F_A7F75088__58A887A7_0 -6__7FFEE0B3_7BB6639F__0448832C_0 -6__7F3EE488_FF77AB38__80494FB0_0 -6__6FDF74D7_FFD22674__900D52A3_0 -6__FA18684D_FD3F8A48__0727E205_0 -6__FF569380_F7E71B7A__08B188FA_0 -6__FF7FE1FB_6D7FC723__920026D8_0 -6__FDFFB69F_FDA1E5B3__005E532C_0 -6__7E61538F_3AED5088__448C0307_0 -6__2DC977A1_5F675CA4__72AE2B05_0 -6__43FF69EC_3DFEDA2C__7E01B3C0_0 -6__BD9984D2_78FFB705__C56633D7_0 -6__E7FC03CE_FEDF543B__192357F5_0 -6__7F99337E_FFCF8E81__8056BDFF_0 -6__F13D4EC8_7FFF54AB__8EC21A63_0 -6__F55E5B67_F3FEC3DD__06A098BA_0 -6__3FEF2025_79C66A24__46294A01_0 -6__E3BCF72B_7BBF0AD2__9803FDF9_0 -6__D6EC8111_FFF39524__291F1435_0 -6__FBF33BEF_FFDFD3E6__042CE809_0 -6__B76FA380_7CB87BB8__CBD7D838_0 -6__BFBFD074_ADFC9C3E__12434C4A_0 -6__CBEF424A_7F37B205__B4D8F04F_0 -6__F3DF91A1_3D2BC37F__CEF452DE_0 -6__ECA7EB19_FF990D6C__133EE675_0 -6__5DF7C353_ADFBB4CD__F00C779E_0 -6__3B9440B1_67E3938C__5C77D33D_0 -6__01DDF18F_EFFED581__EE23240E_0 -6__51FFD5E3_5FFF1D4C__0E00C8AF_0 -6__D9AC435B_3AFAFAFF__E356B9A4_0 -6__D9EF7CAF_F6F6AE67__2F19D2C8_0 -6__6D701525_7A7B0B24__170B1E01_0 -6__B67F6E05_63FF6469__D5800A6C_0 -6__7BFBF677_3FFD8D10__44067B67_0 -6__36FE763C_69EBAE76__5F15D84A_0 -6__FE58097D_6FF7FA9F__91AFF3E2_0 -6__73ACDE5A_7B4758B7__08EB86ED_0 -6__D57B23FC_FF7FBC20__2A049FDC_0 -6__57EEC385_7F9A01C9__2874C24C_0 -6__7C2F18E0_7CFFA6C3__00D0BE23_0 -6__FBB796EC_FFFF7D76__0448EB9A_0 -6__7FF73621_FB12F396__84E5C5B7_0 -6__3EF4F039_59DE34CD__672AC4F4_0 -6__C779A2F6_F75F2038__302682CE_0 -6__757FD0AB_B5F9C395__C086133E_0 -6__75778181_6F7CF8DB__1A0B795A_0 -6__3FCE820D_5CBFAA65__63712868_0 -6__FFDF2EFF_7FDF12CF__80003C30_0 -6__EFF79FD2_DF73B8BC__3084276E_0 -6__7F6D71CC_7AFF4DE2__05923C2E_0 -6__7FB8F4F0_E77F9B27__98C76FD7_0 -6__351D8BED_77780BE2__4265800F_0 -6__7B4A8443_C5D57ED2__BE9FFA91_0 -6__31D597A2_7F9E1EC5__4E4B8967_0 -6__5FEED176_D96FDB4E__86810A38_0 -6__0BADCC70_FFFF6517__F452A967_0 -6__6FF6B0FC_EDFEB9D7__8208092B_0 -6__FFF141FA_FF77D79C__00869666_0 -6__C1DF9369_FC7F6445__3DA0F72C_0 -6__1B5E54F9_FFAB1262__E4F5469B_0 -6__5FFFD125_FFFF6B3B__A000BA1E_0 -6__2EDD6C0A_5F6D58CB__71B034C1_0 -6__9FFF8BF1_ADF72126__3208AAD7_0 -6__5FF74E6C_F9EF81E9__A618CF85_0 -6__561FA11C_7DFB54AB__2BE4F5B7_0 -6__FDFB42FC_DFFB6275__22002089_0 -6__FFBB9016_D9832816__2638B800_0 -6__DD7F6D10_61FFCEE6__BC80A3F6_0 -6__BFFBAE09_EF1F00C6__50E4AECF_0 -6__EDAFC684_2DF31749__C05CD1CD_0 -6__FBFF336B_EDEB92B3__1614A1D8_0 -6__7EADC293_7AF71742__045AD5D1_0 -6__FFFF4452_7BFF054F__8400411D_0 -6__BBBC6EEF_6A3E9F64__D182F18B_0 -6__AFF322B1_FD9F6227__526C4096_0 -6__7F5EBCD6_DFFF6C18__A0A1D0CE_0 -6__CEED6E6B_7CDFD684__B232B8EF_0 -6__FFFFFF61_77BBDEB4__884421D5_0 -6__667FFB0E_DEACD446__B8D32F48_0 -6__7DFF04B9_3DFFD224__4000D69D_0 -6__3E3A711E_73DE2A40__4DE45B5E_0 -6__F1BD48E4_AF3B31CF__5E86792B_0 -6__2F2FF446_3DFF187E__12D0EC38_0 -6__7FFF2C15_7F97402F__00686C3A_0 -6__2F9E52BD_6D7F7D0B__42E12FB6_0 -6__9CCF2802_DFFBC214__4334EA16_0 -6__DEB720BA_BAFE1B03__64493BB9_0 -6__6A3F1E2D_33CFE820__59F0F60D_0 -6__FF71A45C_F2E65E4D__0D97FA11_0 -6__3FBCD33A_BFE7F0AC__805B2396_0 -6__FFFF5B88_7ED693E9__8129C861_0 -6__BF7C6F12_52FF5883__ED833791_0 -6__32BFA986_F6B7530C__C408FA8A_0 -6__7CED2BFE_7FF70924__031A22DA_0 -6__7F7F9691_363F7C49__4940EAD8_0 -6__FCDDBF15_7FDD0BD0__8300B4C5_0 -6__FFFCDBAA_F6BD1798__0941CC32_0 -6__5EFECCD9_FFB7F2A1__A1493E78_0 -6__3CFFD65D_DFD41C11__E32BCA4C_0 -6__EF6A5FA3_FBD90F8A__14B35029_0 -6__7BBF3776_49BB68BE__32045FC8_0 -6__F27E9D8E_1FF77D5A__ED89E0D4_0 -6__ED7B7DFD_B3BC361E__5EC74BE3_0 -6__059F06DE_FFEE5276__FA7154A8_0 -6__FF7B7587_36CFB1DD__C9B4C45A_0 -6__3EEF4D6F_FF2AD2D0__C1C59FBF_0 -6__FFFFA3B8_DF7D9983__20823A3B_0 -6__76DB0306_EB5FDE68__9D84DD6E_0 -6__F3FF1972_FFE7B8B0__0C18A1C2_0 -6__7BFEF605_FD9F2E41__8661D844_0 -6__FFF542E4_B76F4104__489A03E0_0 -6__7F7F9D03_7DFD61E0__0282FCE3_0 -6__FF7CC579_FAE728BD__059BEDC4_0 -6__CFBB58F7_EF77C57F__20CC9D88_0 -6__7EF5E80B_5EDDD887__2028308C_0 -6__F7F7C704_1CCF0F82__EB38C886_0 -6__6F772EDC_E7EE0B6D__889925B1_0 -6__75FF68FE_778D0D44__027265BA_0 -6__3777897C_4779571F__700EDE63_0 -6__D8C169BC_FFD7786A__271611D6_0 -6__F75ED1B3_7BFF8070__8CA151C3_0 -6__5DCF432E_ECF7E355__B138A07B_0 -6__3DB5CBD2_5F6D06CB__62D8CD19_0 -6__0EFE0527_4A9F2F08__44612A2F_0 -6__BAF3272B_7DF97FB5__C70A589E_0 -6__73FD66A1_DEBDF76B__AD4091CA_0 -6__ED7670CF_5F8FE63D__B2F996F2_0 -6__BFEF99F4_6FABD94B__D04440BF_0 -6__47F2215D_FD3F1CDF__BACD3D82_0 -6__F696ED4A_EDFF0BB5__1B69E6FF_0 -6__EFD52C31_7D773B9E__92A217AF_0 -6__3F2F7243_EAFF3BF5__D5D049B6_0 -6__753F9198_EF2F621F__9A10F387_0 -6__5AFAE192_597E05B6__0384E424_0 -6__FADC2DB2_5EFFB7FB__A4239A49_0 -6__EEC8432D_5F7F606E__B1B72343_0 -6__06BFBAB1_FFFDAF86__F9421537_0 -6__F6BC2E17_737EC8E0__85C2E6F7_0 -6__1D3FC0DD_FBDE0A6B__E6E1CAB6_0 -6__6EEF4D1F_6EFF50F8__00101DE7_0 -6__D26F8059_7CFF7AAB__AE90FAF2_0 -6__F9B95D00_FDE5B4C8__045CE9C8_0 -6__EF6E080D_C39F09E9__2CF101E4_0 -6__BF764103_3B7EDC71__84089D72_0 -6__D7F6DE14_6C7FE3C8__BB893DDC_0 -6__EEE7E6DE_796BEDCE__978C0B10_0 -6__D4F73CD8_BDEFBA0C__691886D4_0 -6__7F63F9F1_74997AE5__0BFA8314_0 -6__6F1FF588_FFBF223D__90A0D7B5_0 -6__FF576208_3EDD546F__C18A3667_0 -6__6FFFFD87_F3BF2EA4__9C40D323_0 -6__7B198000_FD732625__866AA625_0 -6__7FBFFADA_33E921EF__4C56DB35_0 -6__FFA57173_3F3B6B17__C09E1A64_0 -6__F7E7C216_7FFBCDFE__881C0FE8_0 -6__7EFCEAD8_7BB9E7B2__05450D6A_0 -6__71FDFF36_6BED6969__1A10965F_0 -6__7BC544BA_7F6D7356__04A837EC_0 -6__77FF329E_3EF0EF39__490FDDA7_0 -6__ECF73C13_751F8D97__99E8B184_0 -6__EE6E3649_FFF83A4B__11960C02_0 -6__29F7FE1B_E376B53F__CA814B24_0 -6__7F67200E_F63ED2AA__8959F2A4_0 -6__FFFE8D17_FDFFC2DA__02014FCD_0 -6__793F19B1_FF070D5E__863814EF_0 -6__EEBE4140_F6F120D6__184F6196_0 -6__ED56D47C_4ECDCA82__A39B1EFE_0 -6__67DF5C07_F47D4CF1__93A210F6_0 -6__FFFCD61B_FFFE58DC__00028EC7_0 -6__7FFB8D33_4FFE9AFA__300517C9_0 -6__9B5E9B10_3C774D21__A729D631_0 -6__E13DA445_DFD385C4__3EEE2181_0 -6__75F7FAE6_37EEC739__42193DDF_0 -6__7FF61A71_57FF7CB7__280966C6_0 -6__FFBD421A_FD6990E2__02D4D2F8_0 -6__3F3D9989_FF5BD14E__C06648C7_0 -6__7FF7626D_F1E9CBA3__8E1EA9CE_0 -6__7BBFDA1A_2BF80489__5047DE93_0 -6__D7C62267_CEF68EB5__1930ACD2_0 -6__7BFCB1F4_4D9D9A74__36612B80_0 -6__3EAF0A99_DD71FDC2__E3DEF75B_0 -6__DFFE6F04_BDFCE61A__6202891E_0 -6__FDFD4D96_FF756E47__028823D1_0 -6__75DB0EBF_7FB78DB4__0A6C830B_0 -6__74EF88EF_7EAEAD3E__0A4125D1_0 -6__FBF0DF1B_7FFF3401__840FEB1A_0 -6__5F3F2C49_7FAEE6E8__2091CAA1_0 -6__9FDEBC2B_BFBD9B5C__20632777_0 -6__FA5BDE3A_FDFB0B91__07A0D5AB_0 -6__9BF56E42_BD7F4137__268A2F75_0 -6__EFAEC609_FC6D4810__13C38E19_0 -6__7F1B1202_7DAF9E74__02B48C76_0 -6__73BBC27F_73FFC91C__00440B63_0 -6__C0DFB858_FBEC2F8F__3B3397D7_0 -6__FCB7CB70_5FEF5797__A3589CE7_0 -6__FEFF7F71_1C774CA8__E28833D9_0 -6__FF0FAB57_5FADC2CB__A0A2699C_0 -6__FFFC5800_8BCF7304__74332B04_0 -6__71771F76_FF77D84A__8E00C73C_0 -6__271BB6F7_263A1FBE__0121A949_0 -6__FBB77856_7DCF6F22__86781774_0 -6__67FDD1A3_7FFBC537__18061494_0 -6__DF1DE3A2_D9EEF356__06F310F4_0 -6__BAD3048D_FFFEEFD8__452DEB55_0 -6__7FF3ACA1_BD776927__C284C586_0 -6__EDFDF398_BFC5FA4C__523809D4_0 -6__EFA84719_E6A39A85__090BDD9C_0 -6__B7FFEDA7_EFB9CDB4__58462013_0 -6__3FAB70B2_FC73BAD5__C3D8CA67_0 -6__6FFB9B95_7E9D74AD__1166EF38_0 -6__7F9BAD31_DF7F1C6E__A0E4B15F_0 -6__BEBC4CD1_4F5B271E__F1E76BCF_0 -6__7E7D4C7B_7BFD7E7A__05803201_0 -6__BA99DB16_9F7823CE__25E1F8D8_0 -6__00000000_00000000__00000000_1 -6__FFFFFFFF_00000000__FFFFFFFF_0 -6__00000000_FFFFFFFF__FFFFFFFF_0 -6__FFFFFFFF_FFFFFFFF__00000000_1 -8__B75FD5B0_1FFFAE8E__F56C0000_0 -8__F9BFE491_FAF5B9CE__F9244000_0 -8__E7FFFC20_DFF6E110__FC200000_0 -8__3FEF7DE0_3DEB3173__EF000000_0 -8__93E41A85_7FFEE70A__906A1400_0 -8__7FDB1447_7A77F065__FB6288E0_0 -8__B5BBD66C_6ED39B95__CD800000_0 -8__BDBEE62F_77DF3BDF__80000000_0 -8__FE6F37AE_DFFEBB05__CDE6F5C0_0 -8__73E2FEBE_EFEB37FC__E0000000_0 -8__BFFD9CA0_DCB38C52__72800000_0 -8__7FFB8EE4_3F7BD3A2__FFEE3B90_0 -8__7F3BD4D7_B9FF0F37__6B800000_0 -8__157606DB_1E4BDC37__6D800000_0 -8__FFBB229D_E7F1C162__FEEC8A74_0 -8__7D6DB063_7FFF8526__5B6C18C0_0 -8__BFEFB32C_7CE76211__66580000_0 -8__79FD95E2_7738233E__80000000_0 -8__FF84AE5F_7FEC98F7__2F800000_0 -8__DFFAEBDE_6E6D0627__FD75EF00_0 -8__FF9F5BE5_2793E9BE__40000000_0 -8__FCFEEAE3_7AF6C29E__C0000000_0 -8__6FBD256C_6FED12CA__F495B000_0 -8__FFEFC667_EAB7CEEF__E3338000_0 -8__FF5FCAB3_EE788792__2ACC0000_0 -8__F9EFC843_FEFB3F85__3DF90860_0 -8__7FB7F9F3_FD79C088__B7F9F300_0 -8__4FFD46DE_F53F4CFC__E0000000_0 -8__7F98F4C9_EFE47416__32400000_0 -8__7E7C3877_6FADCE6C__C3877000_0 -8__4F7F282E_EFBFF484__F7F282E0_0 -8__2CFF079B_73679389__FE0F3600_0 -8__7FF2184C_7FDE758A__C8613000_0 -8__FA7F86E2_79FC9D19__C4000000_0 -8__F74F6809_3F718D5F__80000000_0 -8__7FFDA2B4_F8FB9922__FFF68AD0_0 -8__EDFF900C_1F7B0C32__40300000_0 -8__AFF761BB_7FF71DC5__FEEC3760_0 -8__EBCDA23C_534F2382__AF3688F0_0 -8__57E17075_3EFF6E87__F0B83A80_0 -8__7EFE1896_5FF70441__FDFC312C_0 -8__57AFA73C_776FBD58__3C000000_0 -8__097EF7E5_DFFDA8E4__97EF7E50_0 -8__3FDF1CA4_7BFEC61B__20000000_0 -8__3073827B_5B7EE56D__704F6000_0 -8__9DFF26AF_BBF5F8D2__9ABC0000_0 -8__CCEF3365_37502250__33650000_0 -8__EFFF263B_FFCF67E6__FFC98EC0_0 -8__5EEFCC9A_EEE3C8CE__F3268000_0 -8__7FDD9BEA_7FDFA75D__40000000_0 -8__2BFDCEDB_DDDFBF40__2BFDCEDB_0 -8__77FB0A84_FBBFFEE7__FD854200_0 -8__7FABF0B5_3C76650D__7E16A000_0 -8__5B7B2746_73EE34AA__EC9D1800_0 -8__F975D944_7DFB6C8B__AECA2000_0 -8__5DD72730_E5B67CF6__CC000000_0 -8__0BBB8240_3DA0C85C__00000000_1 -8__17755EBD_CF975088__755EBD00_0 -8__7D7FC688_7E9F709C__80000000_0 -8__7EFEA467_8BFC380A__FA919C00_0 -8__1BA7328D_DFEF57C7__D3994680_0 -8__FFF78593_F7D52CE2__FFDE164C_0 -8__DF74C24B_EFFBF504__F74C24B0_0 -8__EFDFBF14_F6E74A79__28000000_0 -8__CDF633B8_BC5F1927__FB19DC00_0 -8__7FF69E3B_5FF732A7__FB4F1D80_0 -8__A2EE8454_EEFFE89F__00000000_1 -8__77F784C6_FF9F3B55__98C00000_0 -8__EEE74CAE_7FF1CBFA__B8000000_0 -8__BDBB3673_FEFD6A25__B766CE60_0 -8__FB876538_7FED6685__70ECA700_0 -8__EFCD42F9_FFEA60BB__C8000000_0 -8__D7EDF44C_CDCFDB3E__00000000_1 -8__CDCF0F62_EFDF835F__00000000_1 -8__FD99CAEF_5BFF868B__CE577800_0 -8__7DFD7FF1_FFEFEE24__DFD7FF10_0 -8__BDFDF6CD_7BD7BD51__ED9A0000_0 -8__6FEF4AA7_3D7AAE40__6FEF4AA7_0 -8__FCBF0668_B6FA8FF7__34000000_0 -8__ADEAE6E3_77CFFACA__AB9B8C00_0 -8__5FDEE884_7FCFC4A7__EF744200_0 -8__EFDDB9E0_377FD756__78000000_0 -8__5DF72521_FF7F7437__90800000_0 -8__EDD76BE7_79EFAC2A__5DAF9C00_0 -8__2FB7AB7B_1FAF8727__DBD5BD80_0 -8__62FE664B_FCF3C64D__CCC96000_0 -8__FBFF97CD_29FFBC19__9A000000_0 -8__227D3102_8BFF4CF0__31020000_0 -8__357ECCC2_DFE99B1C__20000000_0 -8__7F0F5B88_7DFFED26__C3D6E200_0 -8__ABFDE4F0_5B77DBEA__F793C000_0 -8__62A736C8_FECB6B85__54E6D900_0 -8__7DD661DB_EADBA538__DB000000_0 -8__2FFF0028_5F6FF94C__F0028000_0 -8__F79A933A_F3D3D88B__D499D000_0 -8__FD3B1E15_2CB7E3A5__A763C2A0_0 -8__6D9ED4CF_B5FF824C__ED4CF000_0 -8__77BEB8F9_EFF37FDB__C8000000_0 -8__1FD5DA54_F5FF2972__69500000_0 -8__3FFA559D_DFEA9265__FF4AB3A0_0 -8__35F6C698_5ED3C54D__D8D30000_0 -8__77DD1A86_5EBA25AD__A350C000_0 -8__D77FAD37_327B12A8__7FAD3700_0 -8__DFF6118B_EA77C035__31600000_0 -8__FDBE3F05_7574158A__F8FC1400_0 -8__77FD844C_FF6735A1__EFFB0898_0 -8__45F89954_7BAADD3F__00000000_1 -8__8DBF0D10_9BFF3B94__D1000000_0 -8__7FFD2845_FFEF158C__D2845000_0 -8__BBFECFE0_FB5A1807__FF67F000_0 -8__BE1D7731_6FE2858A__75DCC400_0 -8__7A4C22BA_FFBDEB93__15D00000_0 -8__6F5D5F73_BFAD4C1F__80000000_0 -8__BAB876A4_2717D5BD__80000000_0 -8__7FDAF6E6_AB7FBBAC__AF6E6000_0 -8__2E76E8E0_FBEF6BE0__2E76E8E0_0 -8__7FFFFF0F_7F7F0990__FF0F0000_0 -8__6E57A182_F73F4CE2__B95E8608_0 -8__BDDE465C_77DBAEF4__65C00000_0 -8__7DBBBBB1_AEBB22F7__D8800000_0 -8__D9FEF5ED_D4936F41__B3FDEBDA_0 -8__3FFE7189_FBAEBB98__89000000_0 -8__6BFF0C96_AFF3109D__C0000000_0 -8__CBE6EBBA_757948A3__5F375DD0_0 -8__41AF5B8B_6BFD96E9__5EB71600_0 -8__D1AF9192_1FCF454B__7C8C9000_0 -8__47F7EB9E_FCF2C034__B9E00000_0 -8__EBF922C7_7F3F25F2__8B1C0000_0 -8__4D74B00B_EF56D567__BA580580_0 -8__DF6B67B3_7F76916C__B67B3000_0 -8__7F4DD492_FF7C8A5D__40000000_0 -8__1FAAF0BD_3E7B9CD5__17A00000_0 -8__C7FFED8F_6FE71ABE__C0000000_0 -8__DFED4B4E_FBBCF3BC__E0000000_0 -8__EFFC7C59_F97D60CA__F1F16400_0 -8__EB7FAB92_7BBE231F__00000000_1 -8__FF3FA244_7FDF619E__00000000_1 -8__1AFF8AD0_6FEC9E01__35FF15A0_0 -8__7BFA824A_EBEF90A9__F5049400_0 -8__7F5FE3F1_1EFA0892__8FC40000_0 -8__BF97DCC5_353F44CF__EE628000_0 -8__AB76B197_67B64D64__B76B1970_0 -8__34DFFF57_FF3A97C2__D37FFD5C_0 -8__7AA3FD71_6DFBDA20__7AA3FD71_0 -8__A47F070D_FBE63411__0E1A0000_0 -8__7EF9CFBE_FBFB1844__EF9CFBE0_0 -8__2DDFB3B2_99B65729__BF676400_0 -8__75EF2B2E_3DC93681__EBDE565C_0 -8__6DCE4597_FB7F7077__CB800000_0 -8__B2F352DA_7FBF8651__A5B40000_0 -8__3FFF95F0_EEFFA620__3FFF95F0_0 -8__FDCFDEC6_D13544EA__3F7B1800_0 -8__77F13F1B_74FF69F2__FC6C0000_0 -8__FB4B2CF0_7F5D527D__00000000_1 -8__BDB800C7_2FEE956A__E0031C00_0 -8__78BBC3A1_BFFB6210__C3A10000_0 -8__75FEC7A0_5BDEBA41__EBFD8F40_0 -8__6BEB4FB2_7E7D563F__00000000_1 -8__7B5D0500_697F578E__41400000_0 -8__79FF1B40_3DFD5380__79FF1B40_0 -8__5FEB1A41_DEAD7CC2__7FAC6904_0 -8__F6DB4CBA_7F691B2E__D32E8000_0 -8__94CE0B5E_5BE3614A__382D7800_0 -8__6FE8385E_BF699A4C__8385E000_0 -8__4FEF9364_FE3DBE9A__90000000_0 -8__BCD7FE0F_9DFD2261__79AFFC1E_0 -8__154DBEA2_BDDF036E__6FA88000_0 -8__7B7F6542_2E6A281B__10000000_0 -8__3E7E7A69_AFD7B528__7E7A6900_0 -8__7FB7F38E_776349C3__FDBF9C70_0 -8__DD7B6803_CAEFBEC3__EBDB4018_0 -8__5AF70513_6CFB9B27__7B828980_0 -8__35F15357_96EB9DA0__35F15357_0 -8__7FDD6669_AB7EDFB6__9A400000_0 -8__7EF06945_6EDAE7A9__E0D28A00_0 -8__6BD9DA11_D7FF6AB1__B4220000_0 -8__FFBB9B8B_FFBFEE4E__E6E2C000_0 -8__7FDF3360_7AFA03F9__C0000000_0 -8__FF5F9104_E773F434__10400000_0 -8__B5FB33C3_7FE89A7D__60000000_0 -8__BFFB247A_D7FE9547__FD923D00_0 -8__9CAE2692_3F7ED931__4D240000_0 -8__7FFFA777_B61EFEA5__FFF4EEE0_0 -8__4FED0BFA_7BEB452D__A17F4000_0 -8__FF77C2ED_C79D5916__BB400000_0 -8__DD555620_7EA7C0B6__88000000_0 -8__4FED4433_FB7B4A4F__A2198000_0 -8__BBAE803F_DEFE8D99__7E000000_0 -8__77AB2FAE_BDE7FE12__BEB80000_0 -8__6FBACF98_04DF46EF__67CC0000_0 -8__FF6F9248_CBBF8D05__EDF24900_0 -8__4FF6079A_767D111B__D0000000_0 -8__7F7B120F_DFFF2E68__7B120F00_0 -8__FFD7FC89_DFBFCD80__FFD7FC89_0 -8__BEF780CC_DADE8533__06600000_0 -8__FC4A7F54_7E751E7F__00000000_1 -8__58AF9D6F_E6CDA339__DE000000_0 -8__1E5D3771_FAFD6485__CBA6EE20_0 -8__AF33EA83_5FE5930B__9F541800_0 -8__FFDBA631_1FBFB5CB__DD318800_0 -8__7F7368E5_C3F78277__72800000_0 -8__5FBACD7D_DD753D8F__66BE8000_0 -8__8DE980C2_57FEB86E__60308000_0 -8__5BDCD144_FFFF1E6C__CD144000_0 -8__E7F74721_FF5F823D__20000000_0 -8__EFD7821F_E56F4256__87C00000_0 -8__2F5F78CF_5EFEDF06__D7DE33C0_0 -8__70B9B998_DFFF5EB9__30000000_0 -8__F7772A7D_AFBE7619__FA000000_0 -8__6F3F05FB_BD65B035__BF600000_0 -8__7F9D40AD_6FFF3D30__40AD0000_0 -8__7F5F617B_3FEF7DE3__FAFB0BD8_0 -8__FFFF47BE_5FFA21BB__F0000000_0 -8__E9FFD450_FFFF2F46__7FF51400_0 -8__FED79790_EDE79ECC__79790000_0 -8__FB5F6696_7379EA62__ED7D9A58_0 -8__E9470112_7BFB7CCE__C0448000_0 -8__F763AFC0_A3E347DC__00000000_1 -8__BF61FDC0_6FFF3BE3__FB0FEE00_0 -8__EBBD6577_7BEE3EF7__BB800000_0 -8__F5BE834D_728A24FE__40000000_0 -8__A5FFD67B_3FD27EB4__67B00000_0 -8__7FADCCEA_7FFF8FE6__EB733A80_0 -8__95DB4D9F_3E775AAB__DA6CF800_0 -8__BFFE2582_CADFF953__2C100000_0 -8__FA75E45D_E65F7D78__5D000000_0 -8__E4FF20BD_CBEE1C7A__F4000000_0 -8__BFFDF860_A2BE9B68__FDF86000_0 -8__FAA70CF5_FA8721D3__67A80000_0 -8__F1DA373E_FCFF0295__E7C00000_0 -8__DBEB7C74_F67DDCDD__80000000_0 -8__6CFF7613_FFCABDD8__13000000_0 -8__BBFD2700_FFF7C886__FF49C000_0 -8__16F53DD1_7FDBC9D5__BA200000_0 -8__FF77D438_FF0D1EAF__EA1C0000_0 -8__FEF3A367_FD1DA24D__746CE000_0 -8__EEFEC26E_FBFD20F0__C26E0000_0 -8__AA4E6BCA_BC7B6E1D__40000000_0 -8__60FF2C5F_6BFFA1F3__62F80000_0 -8__7EFFFDED_FBBDDFDB__68000000_0 -8__F7FD6371_BDDD2E1C__10000000_0 -8__3D7744B4_8FFF542F__A25A0000_0 -8__E7DB9F1C_EB822BF7__8E000000_0 -8__7EE94189_F3DFC769__D2831200_0 -8__FFEF5AC7_FD7D24B2__6B1C0000_0 -8__7FF7A653_FEFF691D__60000000_0 -8__7F270EB4_CF9F24B4__EB400000_0 -8__CDDF7386_79FF94B2__CE180000_0 -8__7BBF4404_B1F9DAA5__77E88080_0 -8__EF7A4751_4FDF2F97__A8800000_0 -8__6FCFE6E3_7FF36E76__B8C00000_0 -8__7FDF339A_FA77D1B0__339A0000_0 -8__9FD6A1E7_476FFD4E__A879C000_0 -8__0FEF5C9D_5F958EDB__E8000000_0 -8__F7EDCD39_6A57F30D__B9A72000_0 -8__DFAFABBD_5D36A6DA__F4000000_0 -8__59C05173_B76FE4BA__CC000000_0 -8__DFCD13A2_7DAF5EF1__27440000_0 -8__5EA16E4E_DD5AFEB2__B9380000_0 -8__7F5F0F34_3EED8F5E__00000000_1 -8__7FDF5A42_B37E0540__7FDF5A42_0 -8__FFBEEAE0_503F775A__80000000_0 -8__FEDF4C26_7C5A0929__BE984C00_0 -8__B7890286_FDDF26D3__14300000_0 -8__1E6EE984_FD59FBEA__BBA61000_0 -8__FFDCBE70_B6F559DB__80000000_0 -8__75FAB489_9FED0D5E__40000000_0 -8__97F768D5_79D326F6__35400000_0 -8__3FEE8079_EFFE1293__03C80000_0 -8__DFF79F85_3FED8A20__DFF79F85_0 -8__7B771C70_3D73ACFC__00000000_1 -8__DBF8856D_FCFE4255__ADA00000_0 -8__146F1AF8_BA6E3EE3__A378D7C0_0 -8__CF9FF16F_F7FFA45B__78000000_0 -8__6EFB3E08_F5F7A4B2__F8200000_0 -8__DBBBF565_DFFB9A32__D5940000_0 -8__7B75C70E_DBD38977__87000000_0 -8__556EF2E1_B77712F8__E1000000_0 -8__5B97D8AB_FBE651A6__E5F62AC0_0 -8__7FE58190_7EFBF4F3__0C800000_0 -8__BFFF1CF9_3F4C1877__7C800000_0 -8__6F973E4C_77EF5A79__98000000_0 -8__FBD58E27_FBDF575A__9C000000_0 -8__17F60D01_FFA9A353__68080000_0 -8__FCFE9EA3_2CFAF12C__E9EA3000_0 -8__96FF9480_6EEDB33C__00000000_1 -8__4F8B9529_BADE0F3B__48000000_0 -8__7F8E3AFF_7CF593F6__BFC00000_0 -8__4FFF7FD0_7F672ED0__7FD00000_0 -8__7DF74E10_6A7D6811__9C200000_0 -8__67D27EFF_BE272716__BFC00000_0 -8__2FEF197B_F9EE0ACA__BC65EC00_0 -8__6B76C138_55BCC8BE__00000000_1 -8__7F6E4C09_07678433__60480000_0 -8__3BCDEC9C_76C6D6D2__B2700000_0 -8__B5E7EEFB_FEFF5A98__FB000000_0 -8__DF9FA32D_3777F08B__FD196800_0 -8__7F7F3682_C9FE4739__04000000_0 -8__F3FE6282_7FC71606__FF98A080_0 -8__F7BFA1E7_6FDE9084__7BFA1E70_0 -8__FEF7610F_5EF32156__43C00000_0 -8__BC6F647A_DF3F5BC2__F1BD91E8_0 -8__6FD8D910_E5FF27C5__FB1B2200_0 -8__3BFF4C2A_7B1F33ED__E9854000_0 -8__479E3D67_7ADF730E__8F59C000_0 -8__D6FF12DC_33FFC811__25B80000_0 -8__FEFFDB03_FEF5C54D__FB606000_0 -8__77FF86CA_73FA46BB__50000000_0 -8__F3DEB3BD_6F5F618C__EB3BD000_0 -8__51FE0460_4BDB8655__8C000000_0 -8__7EB31760_BBFEB0E7__598BB000_0 -8__3FF1DAC9_F62D1E00__3FF1DAC9_0 -8__FFA7C5A1_FEDD1EE8__A7C5A100_0 -8__57FAB234_DDFFE08D__56468000_0 -8__7AEE8C4F_BDBFB9C4__AEE8C4F0_0 -8__7F5E0AF9_ABFE9E45__EBC15F20_0 -8__DB579198_FECD409C__80000000_0 -8__FAF24D4E_73FE599E__80000000_0 -8__F6E3F5B5_5EFBAE81__EDC7EB6A_0 -8__9FBDBA99_9FFEE5A9__7B753200_0 -8__FFFD87CA_FBFDFFCA__F61F2800_0 -8__7175D27F_FEBA8F19__FE000000_0 -8__FF375B9B_771E72B7__CD800000_0 -8__F9FE8015_653F38AB__F400A800_0 -8__B7FF8853_5FD7A603__BFFC4298_0 -8__71BF3E1A_6F7AD312__F8680000_0 -8__57FEC589_BDB2303C__90000000_0 -8__FFF95C7F_FEFB21EC__95C7F000_0 -8__6CBD7655_F79F4CC2__B2F5D954_0 -8__7FDF03A3_CEF2C3A2__FF7C0E8C_0 -8__DF76B37D_4C71B1F7__BE800000_0 -8__FFFE7180_D7F6BDF0__71800000_0 -8__FBFF542C_7F1BB5C1__F7FEA858_0 -8__DFFD21FB_17DF2DE4__FFD21FB0_0 -8__F6FFDEA6_881F8B75__D4C00000_0 -8__FF7EF008_DEA9C585__EFDE0100_0 -8__F79F431D_616C2C7C__D0000000_0 -8__B5CDB4B0_BD6E513B__80000000_0 -8__3F63FEAA_7BDDC2AA__8FFAA800_0 -8__50F9F417_5AFEEC6B__CFA0B800_0 -8__FFEC0705_3FDF5819__0A000000_0 -8__E4F345EA_77853927__79A2F500_0 -8__54BE9EEF_7FDF89BD__E0000000_0 -8__3FF95D3A_EEF7A487__FCAE9D00_0 -8__EFFBA851_DFFFD609__F750A200_0 -8__5DEFAE34_0FBDC1F2__B8D00000_0 -8__FDE9CFD1_AFF19691__9FA20000_0 -8__1FCB7D8B_3E35DDFE__C0000000_0 -8__5FF70052_57EF5982__7FDC0148_0 -8__90BAB245_D64799CB__D5922800_0 -8__1EBF7866_7C3FEF5E__80000000_0 -8__7CF734CB_7FFBD3A1__F9EE6996_0 -8__36BFE145_D1EFB4E1__6D7FC28A_0 -8__71FFDF64_37DB6CB8__64000000_0 -8__BFFEC073_FA1B6685__FFD80E60_0 -8__E37F09C0_7D9BAF1E__00000000_1 -8__75DE067A_67DF49ED__C0CF4000_0 -8__7BDFD035_7FF2C26A__7F40D400_0 -8__FB370241_3FEBAC7A__04000000_0 -8__DFBF3710_7DF6415B__80000000_0 -8__FDAB2114_FD7D46F6__45000000_0 -8__FBED94CF_F2BB57DA__3C000000_0 -8__FBD98C54_F3FF7E9C__40000000_0 -8__4EFF895A_FD2AA4F0__895A0000_0 -8__BFEF9A98_7BFB11A6__FBE6A600_0 -8__FFABC3A8_D6D79AD2__0EA00000_0 -8__7CFF836E_F8CF4FD8__6E000000_0 -8__1BFFE3ED_FBEB6928__FFE3ED00_0 -8__FFBD6149_1EF73C08__BD614900_0 -8__79FF7E07_7FDBCF8E__DF81C000_0 -8__FDBEA428_7C7F1107__DF521400_0 -8__37F7906A_7BFF3895__0D400000_0 -8__FC5B7583_4BEB5699__06000000_0 -8__7DBBE148_79E39612__85200000_0 -8__F77D33BF_77BFF0DA__FC000000_0 -8__BD753805_EF9DC8BE__40000000_0 -8__5E9E79C7_26738550__79C70000_0 -8__59B37239_6FEF7E90__72390000_0 -8__76AC7A3D_76DFC0AF__3D1E8000_0 -8__7BEFB939_7FF71837__9C800000_0 -8__EFCF6B3C_77FE37CF__B59E0000_0 -8__353C4315_7EBFE0E7__9E218A80_0 -8__CDFEFE71_DEDD1B58__71000000_0 -8__3E7EEBBF_7FFBC91A__FC000000_0 -8__FAFF916B_364F935A__AC000000_0 -8__33FB3AF8_AFDE834C__B3AF8000_0 -8__7AB74D50_FBC77856__54000000_0 -8__93F6EC12_DFFFA8A9__EDD82400_0 -8__1CBB5E0F_7DFF5995__C1E00000_0 -8__78FF5621_BBDB4754__62100000_0 -8__FDF749E7_FA6B947C__70000000_0 -8__6B9BEF01_54D39B05__737DE020_0 -8__92EFF93B_9B3F8DF3__C9D80000_0 -8__6D69B855_1BFF3E2D__370AA000_0 -8__09FFCC02_97CF5146__7FF30080_0 -8__D63D2D87_3FB91108__3D2D8700_0 -8__67DD3DBA_D77FD805__FBA7B740_0 -8__73F995E4_FFF63AD3__AF200000_0 -8__7E73B43A_CFC209FC__A0000000_0 -8__F7440D77_2FFFA698__77000000_0 -8__7DFAFA34_E7FF60EB__D7D1A000_0 -8__17AF554A_7FF76A36__52800000_0 -8__5BD32937_39FE573F__80000000_0 -8__3EFFE705_1F7E24D5__E0A00000_0 -8__3F7F0236_3FFE3B7F__00000000_1 -8__6F3B945B_7FFD20BF__80000000_0 -8__7CB9FEAB_CBFFAA0D__3FD56000_0 -8__73BF7A7B_5EDF0D4A__FDE9EC00_0 -8__B7DF96B7_F762F522__DF7E5ADC_0 -8__E75FF63A_6FFFDB8D__FEC74000_0 -8__7EDF4A0B_7ECF5E7B__58000000_0 -8__1F3B1DCB_FDBE60F0__1DCB0000_0 -8__7F77B762_F27D150F__DBB10000_0 -8__BDAB4EBC_25F9945C__C0000000_0 -8__7EFF8A0E_F4965F70__8A0E0000_0 -8__EFFB12CC_7F7EDA0B__D8966000_0 -8__7C77FE5D_BEFF3E7D__A0000000_0 -8__CF4EA526_9B7F9808__4EA52600_0 -8__57AF047F_79BB14A0__57AF047F_0 -8__7DBD833D_774F8725__B7B067A0_0 -8__FCFF1C93_327EEE7F__80000000_0 -8__EDFF0B5D_4DFFD8E7__FF85AE80_0 -8__79EF9093_ECD6287D__60000000_0 -8__5F5FBCA0_FB3B067F__00000000_1 -8__97FF6967_FD5F3C17__B3800000_0 -8__6F1F7195_5EFF3316__65400000_0 -8__3DF34C50_3FEFB204__DF34C500_0 -8__77FFE22B_EA6C5785__FFFC4560_0 -8__1EEFA08D_FFCFF3C0__1EEFA08D_0 -8__FBB55156_FD9B7967__DAA8AB00_0 -8__BFFD7DAF_EFD15452__F6BC0000_0 -8__6DB6B868_F7FFE05A__A0000000_0 -8__7BE93FF6_3D4724F0__3FF60000_0 -8__5FCFC7BA_B6DFEB1C__A0000000_0 -8__D7FFFA73_BFFF6A36__9CC00000_0 -8__1FBA484E_20DE1634__84E00000_0 -8__FBAFD3E4_7D5FBE39__C8000000_0 -8__CE4FA0E5_1EF7EAA1__9C9F41CA_0 -8__FFF2D43B_7D725F4B__96A1D800_0 -8__57D3587C_59FB4B16__1F000000_0 -8__FFFF6B50_AFFF92B3__5A800000_0 -8__7FFEBF15_76BEC34D__D7E2A000_0 -8__1FFAFBDB_F7EAF4EF__7DED8000_0 -8__7BF46AAB_1FAD4A85__7E8D5560_0 -8__6FF7E2FE_FA6D8203__7FBF17F0_0 -8__DCFD519D_3D7F6DB3__8CE80000_0 -8__5EBE87C1_FFEB2AA5__D7D0F820_0 -8__FF7F4621_27F638E2__FDFD1884_0 -8__F8532EFB_967F1947__29977D80_0 -8__CDEDBA02_FFFC3A95__40400000_0 -8__4FAF0092_7DB21142__3EBC0248_0 -8__7FEF9352_15BF8574__35200000_0 -8__D5EBC17B_DE5D7277__BD800000_0 -8__69E72857_9FFE0B79__AE000000_0 -8__3DFB8A7C_F85BC0CE__E29F0000_0 -8__3D738A41_EE7D0B4A__CE290400_0 -8__3F3370DB_7EF56BBB__D8000000_0 -8__73FF3FED_3ECDAFB9__DA000000_0 -8__EDAF1F42_7DFFECAB__78FA1000_0 -8__FFBFE94D_EFC33052__A5340000_0 -8__7BFF63A8_FFFE67C1__F7FEC750_0 -8__EABFFB2E_BE7E0830__FB2E0000_0 -8__A767240E_7C5FE981__4ECE481C_0 -8__49FD8DA8_55EE70C0__49FD8DA8_0 -8__7FCF553F_E6C7C100__7FCF553F_0 -8__7FFBDAE6_67FF6FE4__FFBDAE60_0 -8__F77B8C5E_770AC6F7__2F000000_0 -8__F77F6667_1DCC6F9E__C0000000_0 -8__FFFF9969_DBEFECFF__80000000_0 -8__87F67A82_EEDB8D24__7F67A820_0 -8__5D7611A8_7FB77CFA__A0000000_0 -8__7F7D067D_2B59D2E5__EFA0CFA0_0 -8__DDFF1C81_6F5F95A6__7FC72040_0 -8__FDDBAC35_5F7EFB64__DDBAC350_0 -8__D73FF772_CFDEDFA3__B9FFBB90_0 -8__7EFEB1DD_6D77B471__63BA0000_0 -8__77361E72_5BFEEA16__9C800000_0 -8__75B76285_37B78A1B__28000000_0 -8__0FEF386A_F9B78F35__0D400000_0 -8__6F518448_DFED20A9__A3089000_0 -8__77FE090C_FEFB96B6__43000000_0 -8__A6FD961E_BF3F0723__37ECB0F0_0 -8__AFB2B4ED_4BDD5A3D__A0000000_0 -8__5AD3870D_D19BC9C8__D3870D00_0 -8__BBBF5E1C_BE47BE0B__FAF0E000_0 -8__E3FF9359_FF3E31BE__40000000_0 -8__FFFC4F06_5BF7348F__27830000_0 -8__BEF9955D_69F3E57F__80000000_0 -8__5B5C689A_77FE4EA5__6B8D1340_0 -8__3FFEB38C_BFFA933E__00000000_1 -8__DB7E3D81_FA2F8829__FC7B0200_0 -8__7DFDE965_5D7E8F78__65000000_0 -8__3F6B41B0_E5FBDB61__7ED68360_0 -8__E5F3AB07_6F2E2CCE__EAC1C000_0 -8__9EDE1A92_75EF4102__7B786A48_0 -8__1FFB8663_F3A5E9BD__60000000_0 -8__BA3F588A_EDD9C2C3__D1FAC450_0 -8__BB35BD4B_FBD7E790__BD4B0000_0 -8__FE7B54B6_EF7BEDAF__AA5B0000_0 -8__F79D2978_F7BF5A15__2F000000_0 -8__7FE78F18_F37B66A7__F3C78C00_0 -8__B8566FFE_B3634B34__FFE00000_0 -8__7CDD807A_0FEEDBEF__C03D0000_0 -8__2FFF4D0D_F7BFB39E__40000000_0 -8__F6EF6FD4_46EE29F5__FA800000_0 -8__FFDD8BEB_7EDD6C8B__EC5F5800_0 -8__7BE76B57_5E71043A__5C000000_0 -8__EFF73218_FFB5AE22__BFDCC860_0 -8__7DFB0B90_5FD5A2F5__72000000_0 -8__B7FB4133_BDEFD145__FF682660_0 -8__3FFEC4FC_DDFB9872__13F00000_0 -8__F2F7FFD3_E77FD41C__30000000_0 -8__ACEF0DCF_EDCF7B50__0DCF0000_0 -8__F9BE84DC_BDD71ACF__426E0000_0 -8__1F9F1365_53DF037C__50000000_0 -8__E3BE15D7_FFDF8A05__77C2BAE0_0 -8__7DBF551C_FFA5E2FF__00000000_1 -8__EF7FD4B5_DFFFF36A__FF52D400_0 -8__F7BFAD33_7BFF8887__DFD69980_0 -8__8FEBDE19_3F7E39F0__DE190000_0 -8__F8DFC631_F8AE629A__C4000000_0 -8__BBFD880C_F7BBC1E8__FD880C00_0 -8__FBFEDF1B_A4EF7EEE__B7C6C000_0 -8__5DDF1228_2EB7B11C__80000000_0 -8__EBFF6B10_1BEF422A__FDAC4000_0 -8__FBFFDA6A_77FD00D5__4D400000_0 -8__FE7DBEB8_7FFFEC9E__00000000_1 -8__B3F95B01_BFFC5020__B3F95B01_0 -8__7FEFCDDE_F3722176__77800000_0 -8__FB5F2712_3FBF55FA__48000000_0 -8__E8BCBC83_6E9FA4FA__0C000000_0 -8__7EEE6AFD_36BF4BC6__BB9ABF40_0 -8__C6BE8CD9_FFFF2BBB__C8000000_0 -8__2BBD8122_F2FEA7F6__48800000_0 -8__53FF500E_FB3F6C72__40380000_0 -8__FED88A90_3E7F4476__A4000000_0 -8__FFF5D345_7E9FDBF3__9A280000_0 -8__6F3D0DF8_37FB4B70__0DF80000_0 -8__BB5D40E4_579F7351__81C80000_0 -8__D3F93B96_F5F38E0F__9DCB0000_0 -8__7D7F633E_F6F310DB__F0000000_0 -8__DF7ED0F5_BBD74899__EA000000_0 -8__73918350_4FBDA027__C8C1A800_0 -8__9BBCD4B9_EB5F6BFC__90000000_0 -8__D77D9DAB_725CF6C2__5DF676AC_0 -8__5C9F6AC4_77CDBBBF__00000000_1 -8__26FCFF1D_DDC7249E__40000000_0 -8__5DFCF9F9_7DCDAB1B__C8000000_0 -8__D1F50203_3EEF0E1A__0C000000_0 -8__F62B65C1_97BD99C8__2B65C100_0 -8__35BBC82C_EFF795F0__C82C0000_0 -8__FFEF516D_EAFF0A67__F7A8B680_0 -8__11FB6BB8_6F2F0A9F__00000000_1 -8__BDFEB982_354FDB28__FEB98200_0 -8__7A4D80AD_19FF5328__4D80AD00_0 -8__777F0325_D5F4EC4E__C0C94000_0 -8__28D75AAF_FFFEECCE__D6ABC000_0 -8__F79F2CBF_F66F397E__C0000000_0 -8__FB3DADD2_EFFEBB2C__DADD2000_0 -8__7FBD7544_FA1B9AE1__FF7AEA88_0 -8__77FB6AAF_37F5CDE3__BFDB5578_0 -8__FF7F5062_9FFE81AE__D4188000_0 -8__F77F1602_7BEB4182__DDFC5808_0 -8__FDFF505A_7CFB60E3__EFFA82D0_0 -8__FFE51886_77FED745__FCA310C0_0 -8__6DF91809_5EFAC87A__24000000_0 -8__E4DEBFD6_9DF27583__26F5FEB0_0 -8__FAFF0D65_D27DAD46__BFC35940_0 -8__FBBDECB3_5BFC2D38__B3000000_0 -8__FE7DA3A1_DEFDC599__42000000_0 -8__F7EFBCC4_5BFEA525__FDF79880_0 -8__4FF76C08_A7E3FC6F__B6040000_0 -8__DF6FAB55_7F7FF7EF__D5AA8000_0 -8__E3FF9938_7EB5D321__C7FF3270_0 -8__5EF7AB07_7F70A4BD__E0000000_0 -8__F77F3513_9F2BE721__EEFE6A26_0 -8__6DF69B39_FF6EFD3C__90000000_0 -8__EEFEBCAA_7F8EF8A2__BBFAF2A8_0 -8__61CE19A6_F7FFBBB1__334C0000_0 -8__BDFFA6CD_FCEB63AB__FD366800_0 -8__EFCFA31A_7BA5DAD5__63400000_0 -8__3DCFF0D1_76AF470E__FC344000_0 -8__369FEF84_DE1974D4__F8400000_0 -8__7FFF6358_67F754F6__D6000000_0 -8__17FBE823_5B9F3A10__E8230000_0 -8__1ECB0212_FEDD1F5C__20000000_0 -8__6DFDDFDA_F7C72163__6FEEFED0_0 -8__5EBBB9DB_6BDF3C26__AEEE76C0_0 -8__5FFF2165_FFE19178__65000000_0 -8__FB5FBA34_FB29E59C__40000000_0 -8__7E7FB1B4_FFF4EBA5__CFF63680_0 -8__DBE713CE_6D3F35EC__713CE000_0 -8__392BBAC1_DBDE176C__BBAC1000_0 -8__FFFF206A_7EF38D37__35000000_0 -8__7FCFB757_F97F34C1__FF9F6EAE_0 -8__7F7DEA3D_7BFFC1D9__7A000000_0 -8__E9FBAA25_F5BA2BBD__A0000000_0 -8__B76A914D_7DF7B48B__548A6800_0 -8__7CF4A2E1_DFEFA308__F4A2E100_0 -8__8AFE8BC4_F9BCA0C8__FE8BC400_0 -8__FF9F287A_DCFFCE79__F4000000_0 -8__DF6B78CF_C6DF620B__5BC67800_0 -8__EDFF12F8_7FFE6F05__BFE25F00_0 -8__CFFEE767_3FD50567__FF73B380_0 -8__EF6B35B7_BFDB1C8B__59ADB800_0 -8__FFFDE507_6FDF88D6__41C00000_0 -8__DBFFCA0C_16BFC1F7__06000000_0 -8__5BEFF9C5_1B7DDDB2__E7140000_0 -8__DEF7562A_FFDF4D73__B1500000_0 -8__C5BE69C1_01BF1F38__C1000000_0 -8__FFFFDEA9_7FFDFBFA__A4000000_0 -8__7F7BFB2F_FEBF7975__65E00000_0 -8__3FD72240_B7FA92B1__44800000_0 -8__FFFF3028_DFBBF613__81400000_0 -8__6DE7ADE3_7DDDF10F__D6F18000_0 -8__7F6B7670_FF6A9094__67000000_0 -8__5DFF9A1C_F9DE3C3C__C0000000_0 -8__BC7BA6E8_FFF78DC4__C7BA6E80_0 -8__7EFFA86B_6FF81822__FBFEA1AC_0 -8__7C7E7231_5E7269C6__1F9C8C40_0 -8__7EFF285D_499BD596__17400000_0 -8__FFF676D6_1CED1B62__FFD9DB58_0 -8__DF3E2DD3_A8EF62ED__C5BA6000_0 -8__B63FC970_F3FE4CA5__C7F92E00_0 -8__6FF5A566_4CF747CE__69598000_0 -8__4CBA78E0_FEBDA829__74F1C000_0 -8__5DF23781_17CF09E5__BE46F020_0 -8__B70D8E9F_B3FFC69B__F8000000_0 -8__4FED08EC_E5E2A0BB__60000000_0 -8__7FB722FA_6BC3F792__8BE80000_0 -8__FD941A78_FFFB7866__65069E00_0 -8__7EDBDE60_7C3B6537__30000000_0 -8__7DDFF584_FF629EEB__FFAC2000_0 -8__7EFFE4DC_78BF5B91__C9B80000_0 -8__D2BAF8C6_BFFDABEF__7C630000_0 -8__FC4FF8BF_FEEF5D07__27FC5F80_0 -8__AE3C10EF_CFFFCA85__C7821DE0_0 -8__5EEF4871_69FF38E5__DDE90E20_0 -8__7B4EF503_DFB3953D__60000000_0 -8__DCBF86E2_7FF7D867__5FC37100_0 -8__72FDE57B_FED526FF__80000000_0 -8__662572F1_7FD733BF__80000000_0 -8__6F5B9EC9_FF5F0A53__F6480000_0 -8__07BE4188_5FDD1954__18800000_0 -8__17D67693_BDFF37A0__17D67693_0 -8__FAFFE2DA_6F2FFE26__BFF8B680_0 -8__BDFF1D8C_FF7E1206__7FC76300_0 -8__7AB74CD3_FF5B31F3__66980000_0 -8__7F6F0AFF_7DFFAEF9__FE000000_0 -8__9E4D7314_79539E01__3C9AE628_0 -8__7D5D89F0_5DB9E3BA__C0000000_0 -8__FFBDF045_57DF8219__8A000000_0 -8__7A777D10_CEE7BF24__A777D100_0 -8__DBFE1F14_7BB63105__7FC3E280_0 -8__7FD80507_3DFFC28E__0141C000_0 -8__37DF0161_B0FFF5EC__F0161000_0 -8__7147118C_77EE0982__C51C4630_0 -8__5BFE7416_5ED77C74__41600000_0 -8__EF95FC9B_5FCE6841__DF2BF936_0 -8__D1FF424D_EFB1F6FB__68000000_0 -8__DFB82752_E6DFFB49__704EA400_0 -8__BFFFEE32_3CAEA2D0__EE320000_0 -8__7D97F551_FEF71FC6__65FD5440_0 -8__7BFF2A3E_7F7EB55F__00000000_1 -8__BFAF5AEE_F7FE117C__E0000000_0 -8__69BFDA03_3769A712__680C0000_0 -8__9BFF9E93_FFFEC8DD__60000000_0 -8__6F97FF86_FFBB992A__5FFE1800_0 -8__F97FD29C_7DC95F89__FFA53800_0 -8__75B7119F_1FBB8329__6E233E00_0 -8__FFEFCC21_D7F783DB__08000000_0 -8__F97FB54F_777FD1B8__4F000000_0 -8__FAF3C3B6_77DF6898__B6000000_0 -8__723F995A_3E4D86D0__995A0000_0 -8__DF3C6ACD_E72DD1F4__ACD00000_0 -8__3CFB71AA_6DDE401F__00000000_1 -8__DAFE2281_7EDE6B9D__20000000_0 -8__7BFFBC9E_6FFF2A59__3C000000_0 -8__7BBBC1C9_A9CFB17B__48000000_0 -8__53BF1AC3_1FFF7143__9DF8D618_0 -8__FFD5FC48_6FDE6D21__FFABF890_0 -8__DEBBEA65_FDF21B94__A6500000_0 -8__FFDC0BE7_BF4FFB70__0BE70000_0 -8__FCFFA056_E643ACE5__9FF40AC0_0 -8__5C5FE12D_BDDE087E__40000000_0 -8__B7BA371B_FFDFF4D5__E3600000_0 -8__FE647945_DBFFC502__F991E514_0 -8__0FFFF8A6_7B5F4869__FFF14C00_0 -8__06CA3528_DCEDDADF__00000000_1 -8__D9E172DD_75DE68AD__2E5BA000_0 -8__6BDFD457_B73F2F7D__E0000000_0 -8__EBF13CC7_77E78996__31C00000_0 -8__F33106A8_0DFF052F__83540000_0 -8__7F7DF17B_D9DF99E4__F7DF17B0_0 -8__7BBA8269_D5EF0F1B__48000000_0 -8__FD83AE35_59FC700B__1D71A800_0 -8__FFFFA3E3_DC564B42__FFFE8F8C_0 -8__EF748566_F345F841__DEE90ACC_0 -8__BFFD75F9_6AB77F64__FFD75F90_0 -8__AFCDFF90_7F7F615F__00000000_1 -8__D0FF56C1_CE715A08__FF56C100_0 -8__EFFFB614_FF7D71F5__C2800000_0 -8__DF1BA2C3_DFDB8B74__2C300000_0 -8__BDDF3C78_29FF6B46__77CF1E00_0 -8__DBCBEB72_9FDF5BCE__FADC8000_0 -8__7FFD3AF8_A7FFE595__5F000000_0 -8__BD7EAE81_F4BCDD1A__04000000_0 -8__57F67FEE_3FDC5AF4__FEE00000_0 -8__7CDF90D9_B7F75A32__43640000_0 -8__BEF85728_1F6C1DBE__00000000_1 -8__F7DD7A59_BC6FCE6C__D7A59000_0 -8__7FE95002_FFEF444C__95002000_0 -8__5B336958_7FBFAE7F__00000000_1 -8__5F7F0C25_C5E76F8E__C3094000_0 -8__FFFF2BEF_79FD8392__AFBC0000_0 -8__4FC78E07_976317AD__F1C0E000_0 -8__79FFF32A_7E7D2ED2__CCA80000_0 -8__FDE74A5F_BDE6A49A__7C000000_0 -8__EF7EB397_FFFBB8C5__EFD672E0_0 -8__BF7EA7E9_D1FF93C3__FBF53F48_0 -8__3FFA20DD_ADFF0977__6E800000_0 -8__7D3F9108_F78F8059__10000000_0 -8__BFB752F4_7CB3FE25__F6EA5E80_0 -8__5FFED834_4DFFA341__BFFDB068_0 -8__67F5AAC3_7DFF020A__D6AB0C00_0 -8__3CCF5859_7F0F63D5__0B200000_0 -8__5DDFC90D_79995209__BF921A00_0 -8__F5DF9660_DF39F757__30000000_0 -8__E977ECBF_5F5D9309__EFD97E00_0 -8__5EFB3784_DFFAE5FD__80000000_0 -8__57CFEEB4_7FD5168C__FEEB4000_0 -8__5FA7706A_6FFD7D01__BF4EE0D4_0 -8__FFFF2346_5E63F93F__00000000_1 -8__F77F0B26_2B08D36D__E164C000_0 -8__BA2F3058_FDD9010B__7982C000_0 -8__7FFE48DE_FE3DBED5__1BC00000_0 -8__BCE2A178_FFAF1DF3__0BC00000_0 -8__7FB69FF8_93FFB316__FE000000_0 -8__779DA9E1_3FF7BC0B__ED4F0800_0 -8__ECB98B1C_7BED7704__CB98B1C0_0 -8__EFBFFE54_7FE6EED6__95000000_0 -8__57FF37AF_E7AF1822__5FFCDEBC_0 -8__797EF304_D9730663__CBF79820_0 -8__7F7C07B1_77AF9D6A__F01EC400_0 -8__BFDF1601_EFFB424A__7C580400_0 -8__7BF143C0_7EC79550__43C00000_0 -8__FF375726_A7DF9830__57260000_0 -8__9B2BB20E_DF3FAD9C__E0000000_0 -8__3D9F6E08_FFCE49F2__B8200000_0 -8__FBDFB380_F7DEBC64__BDFB3800_0 -8__7DF625D4_F7FD5CA9__EC4BA800_0 -8__FFBD95F8_2FDDDD00__FFBD95F8_0 -8__7F3ED0DE_FDDAA9C7__9F686F00_0 -8__BDFD77FA_FDE3EC38__FA000000_0 -8__6F97A88B_5FB71D06__E5EA22C0_0 -8__EC2EE914_7FFEABA6__0BBA4500_0 -8__7212C63F_DCDB2C01__E4258C7E_0 -8__3DFC558E_FDFABE71__AB1C0000_0 -8__2D7CA533_CEFA3D25__AF94A660_0 -8__76F6FD18_76FC829C__80000000_0 -8__25EF3B93_7F7FF821__4BDE7726_0 -8__7F67FE3D_7FFF6A57__1E800000_0 -8__B79F67F4_FF7E9C8A__7D9FD000_0 -8__3CFB9B22_F856C4AC__B9B22000_0 -8__FD7DA810_EDBE85FE__00000000_1 -8__1FBF1B9C_FEFB0B19__38000000_0 -8__DFAB5377_D1EB6890__53770000_0 -8__E7FE7FD3_FBFF3A02__9FF9FF4C_0 -8__7FF9268B_DFFE238A__E49A2C00_0 -8__6A72AD8B_9CDFA325__4E55B160_0 -8__FCF991C0_43BD8C20__FCF991C0_0 -8__ABCF56D3_7BAEB196__B4C00000_0 -8__3CFED55A_37FF6BD7__AD000000_0 -8__3FF9504A_4FF354A2__FFE54128_0 -8__7C7E0993_BEF9AA20__7C7E0993_0 -8__3FFFE6D7_79FE9A75__DAE00000_0 -8__B5E7EB4B_EBEFBECD__FD696000_0 -8__B7F587A5_7D8FB7E3__BFAC3D28_0 -8__FFFB4F19_6FCE64A3__FFDA78C8_0 -8__3EFF2781_9F7FD4BF__80000000_0 -8__5BFE6208_7FEF9161__B7FCC410_0 -8__FEBB3C44_EF5EA31E__00000000_1 -8__7FBEBDB4_FE7F2770__BDB40000_0 -8__5EFB9529_F3754251__2A520000_0 -8__DFB322BB_7EDBCFA7__D9915D80_0 -8__0D3FDAD7_666F5BEB__FED6B800_0 -8__F5FAD254_F75FDB43__AFD692A0_0 -8__49E79578_1BD7C941__93CF2AF0_0 -8__F77FC272_FE363EE1__EEFF84E4_0 -8__3FDF6EC1_7F4F33D7__60800000_0 -8__ADAF9135_7BBB54F5__26A00000_0 -8__CFF7323F_EBB34243__7FB991F8_0 -8__5F3B2CA7_FBE65F0E__CB29C000_0 -8__EF7931FE_5FCB6D5E__80000000_0 -8__75F3DF20_DFBF51CD__7BE40000_0 -8__FFCA7F34_7C7D482C__A7F34000_0 -8__7ED5D495_FDB69E43__F6AEA4A8_0 -8__7D550260_2BBD5A20__7D550260_0 -8__FFCDF2B9_5EFBB5B7__5C800000_0 -8__3F5D4339_7E57AA3F__80000000_0 -8__7DF1D963_74BF99BB__18000000_0 -8__FFBF80D0_1E5D4CE7__DFC06800_0 -8__E05D659F_FDDDD188__5D659F00_0 -8__6FB3F44A_3CAFFC2A__CFD12800_0 -8__37FF8736_EDBEEA39__6C000000_0 -8__5B8F0D8C_7FD9218F__86C60000_0 -8__7FFC1A8C_3BEFA752__6A300000_0 -8__3FF752AA_7FF71D80__3FF752AA_0 -8__FFFCE2E3_F7DE2C62__FFF38B8C_0 -8__FEEFD730_CFFCF36F__EB980000_0 -8__767DA599_5FDBD8E9__FB4B3200_0 -8__FFD380A7_913CF82D__7014E000_0 -8__7F7E17B2_FECD286D__C2F64000_0 -8__7BD5760D_7DD6E78E__5D834000_0 -8__31FE35F4_7F7A7F09__FC6BE800_0 -8__5BFD0C52_0675192C__D0C52000_0 -8__DBFDA1B8_7F6D1937__DC000000_0 -8__E4AFC4EF_4FFF7E9F__80000000_0 -8__3FFBE689_7D16DB28__FBE68900_0 -8__3FFF473D_FD7B583D__A0000000_0 -8__3D779EC1_F7FE035B__08000000_0 -8__92FF0CF5_F6B42BDD__A0000000_0 -8__F5D55F44_CFBF8EF3__FA200000_0 -8__1BBD1951_7EFE4627__DE8CA880_0 -8__E5D30D01_FF820C4F__86808000_0 -8__39FF3D1C_366F41FE__00000000_1 -8__BD5F0875_4DFAD8B4__87500000_0 -8__35FB4426_7EBCA316__09800000_0 -8__3CEF3366_7BEF186F__99B30000_0 -8__78CFDF5B_EEDF677F__80000000_0 -8__DD7AA58B_57F74552__962C0000_0 -8__3DFA0161_DE9FE6FA__84000000_0 -8__57BE0D73_FFBF9805__F7C1AE60_0 -8__BD70F565_F6BE6612__D5940000_0 -8__5CFE09B8_3FDCCFD7__DC000000_0 -8__6CBA71A5_7B2D59BD__A0000000_0 -8__FB4F8771_79FF5D7B__88000000_0 -8__74FD2290_3D9785AF__91480000_0 -8__BD9EC840_EDC7A530__C8400000_0 -8__554C2B8F_F7F7F075__71E00000_0 -8__FE9EAF51_5F7F1158__51000000_0 -8__FF4D7674_FEDDD895__CE800000_0 -8__377F0149_6F9507B9__92000000_0 -8__3AD3C6BC_75FE5B04__AD3C6BC0_0 -8__6FEBAAD3_277FA601__DFD755A6_0 -8__FDBB7455_FFFAFABC__50000000_0 -8__C4DD5A53_22FF9426__375694C0_0 -8__5DF7495E_FFDF6233__4AF00000_0 -8__3FDF5F53_77FE1554__F5300000_0 -8__7BE9BE4A_7673EA81__F7D37C94_0 -8__EF7D631E_7F47B791__C63C0000_0 -8__DF9F16E3_FFCB1DF3__B7180000_0 -8__7E83732A_15F22F34__32A00000_0 -8__07FFB0CA_FFFF990A__FEC32800_0 -8__BC75121F_D84F98F4__21F00000_0 -8__C3BB8569_FD7FAB71__0AD20000_0 -8__FFBD8FB1_D6FB0089__7B1F6200_0 -8__5FE3B81F_1AEFD8AE__EE07C000_0 -8__D5DE1F5D_7FF7A841__ABBC3EBA_0 -8__DF6F4133_AF2ADF45__EDE82660_0 -8__2DEDD3EC_7FB7999A__B0000000_0 -8__7C7ED796_ECBE0093__BCB00000_0 -8__F97FB66D_FFFF6D00__F97FB66D_0 -8__36A7DDF1_FADF78E6__A9F77C40_0 -8__467F879D_7DFB5C1D__A0000000_0 -8__FB7FEDC7_F77FAC91__DB8E0000_0 -8__9DF83A3E_BF7C01C8__F83A3E00_0 -8__637F01C6_F7EF23BB__30000000_0 -8__4FFF19DA_5E7FCAC5__FFE33B40_0 -8__50E503E6_5B7F9696__F9800000_0 -8__7FAAEFC4_7E5553D4__FC400000_0 -8__9C3FD99A_15A86CF2__66680000_0 -8__DFB42E4E_3FDF0C26__ED0B9380_0 -8__BF751D3E_FF5FD534__D3E00000_0 -8__6FBBF68C_7EFF4D30__F68C0000_0 -8__BFFF9BC8_77B7AF97__E4000000_0 -8__5EF967E7_BFFBB09C__70000000_0 -8__EC2FEC2C_5F6AF97C__C0000000_0 -8__4BFFDCD8_5FFFFC54__CD800000_0 -8__3FEDD5BC_49378E2D__BAB78000_0 -8__31FF361B_7FBF657C__B0000000_0 -8__F2F31CB1_F5FCF60C__31CB1000_0 -8__FD3CE80B_5BFF4C0F__74058000_0 -8__97FE98C2_FF7B3C02__5FFA6308_0 -8__FEEF07A8_BBF7D28B__783D4000_0 -8__FDB7EAD3_FEBFB6A1__FB6FD5A6_0 -8__7F794FFF_7FBE6304__F794FFF0_0 -8__FCDFAC7B_FBC30092__B1EC0000_0 -8__ADFF377C_F79142C8__FF377C00_0 -8__F733FB76_3D4BDCBD__C0000000_0 -8__17BFE4A2_72BF0780__17BFE4A2_0 -8__B7F31BB5_7DEBD608__F31BB500_0 -8__FBB37869_765DBAE5__766F0D20_0 -8__3BEFDFF8_697D4ECB__7EFFC000_0 -8__1EFA937C_D19B0698__7C000000_0 -8__EFFF9996_7EBEFA73__CCB00000_0 -8__FFF7AA44_9FFB389D__80000000_0 -8__FAAAECCC_7F7F3259__98000000_0 -8__76E6F7C7_6BFFD173__BE380000_0 -8__7CB3AE3A_FDB3AD2C__3AE3A000_0 -8__5DF9DECE_A7569F36__B3800000_0 -8__BEC51799_74E506FF__80000000_0 -8__F8CB5239_7B693906__32D48E40_0 -8__FBF7E03E_5F0744FA__F8000000_0 -8__F9F787E2_42DDBD95__FC400000_0 -8__FB9F752A_7EC826D0__752A0000_0 -8__71BF2DD4_F3697A68__BF2DD400_0 -8__6BEDCE3C_D77E001F__00000000_1 -8__1FD35538_DF3602D8__38000000_0 -8__E1FFE0A2_DF7B1195__14400000_0 -8__7ED75C46_6FF30B0E__D7118000_0 -8__FFB34247_D8BB5107__D9A12380_0 -8__FA568666_F6FE1658__66000000_0 -8__5FFE8D87_DDF5E8DB__38000000_0 -8__91FB74DB_DFFF3673__A6D80000_0 -8__E9EFC47C_FE6E3977__3E000000_0 -8__FDF50268_35FBCAC6__7D409A00_0 -8__B1317147_F7F2AE94__14700000_0 -8__7A8BA286_78CFB1F3__14300000_0 -8__067DDF5A_94F62D98__5A000000_0 -8__61CB6EFC_631DE878__FC000000_0 -8__7F931D98_36F36ECB__98ECC000_0 -8__EC2F9E13_5F773D1B__98000000_0 -8__DE6406A4_3F63F21B__20000000_0 -8__F6F36C25_7F23E3F5__84A00000_0 -8__6CFE4BD3_34F6F1B3__5E980000_0 -8__73A68344_7E7D35B3__1A200000_0 -8__EB7FBEE6_6FFD93C9__FF7DCC00_0 -8__F9A993AD_72DA7B1F__80000000_0 -8__6DEEFF9C_FBEC96A9__DDFF3800_0 -8__6793FA40_F7FBAA9C__00000000_1 -8__75490B20_BD1FEB72__2C800000_0 -8__ACFFA397_71FF6EEB__FD1CB800_0 -8__3EFF0E7C_FFECC163__F7F873E0_0 -8__7A6BA9D9_1BFF2904__A6BA9D90_0 -8__D6EF5315_9BFFF7C6__BBD4C540_0 -8__FDE70883_EFDEA370__08830000_0 -8__17E7A935_DEFFF64C__7A935000_0 -8__1FFF900B_DEEF8C93__80580000_0 -8__2ED8D330_FEFB7C34__33000000_0 -8__7EC7A61C_EFFD8AB7__0E000000_0 -8__7D7F2149_7F573DBC__90000000_0 -8__35F7A20C_E7FF2FD1__44180000_0 -8__5FED3F99_7F9F4565__FDA7F320_0 -8__3B4EA51F_B4E74C45__69D4A3E0_0 -8__7EEE25EC_EAF35749__DC4BD800_0 -8__EFD78673_70FF6407__EBC33980_0 -8__7FFF2AA2_13FD1E10__2AA20000_0 -8__FFF7A563_77FDB318__63000000_0 -8__67FFBA7D_B7A5D329__FF74FA00_0 -8__7D3FAEE4_2EBB7F0F__D7720000_0 -8__BBD9F44A_6CF10D5F__00000000_1 -8__5F7BA017_7EE33EE9__F7402E00_0 -8__7EFFA787_CCFB0022__FBFE9E1C_0 -8__FF759E5B_C5AE2011__3CB60000_0 -8__5FEF37A8_39BDD6E7__F79BD400_0 -8__FDFB57D8_3F955D1D__00000000_1 -8__777F2B5C_F7B76CA5__EFE56B80_0 -8__4B7B7269_79F37429__F6E4D200_0 -8__7DFB854B_2FDB9219__96000000_0 -8__BFFFBEEE_FD7F5356__BB800000_0 -8__5BFD0595_EBFFFE86__FF416540_0 -8__7737CA47_3F9D08E6__CDF291C0_0 -8__5F25ED1C_DCAF8CBB__E0000000_0 -8__7FB75D44_3FFFFD56__51000000_0 -8__3DFDF209_37FDBC76__82400000_0 -8__FEB67C72_6BFBFEB4__C7200000_0 -8__FDE90355_F77E05C9__D206AA00_0 -8__763E87C7_7776EEDC__70000000_0 -8__EAEB19B4_9F6F0356__6D000000_0 -8__2D9E5A77_5AA1F45A__DC000000_0 -8__6FDF1CA0_9DB4E79E__00000000_1 -8__75CE2CD2_FFFF6581__EB9C59A4_0 -8__7FDFA95B_FE63105A__6C000000_0 -8__BE6462A3_FFFC0C24__E6462A30_0 -8__2FF78E64_7FBF809D__80000000_0 -8__67B50ABF_F7B96207__DA855F80_0 -8__DBEDC2CE_77FF319A__38000000_0 -8__7F7FDC76_CF6F81E2__FDFF71D8_0 -8__7BDFB82A_7DEFA1A4__BDFB82A0_0 -8__5EF71908_6FF257C3__F7B8C840_0 -8__FBFF7306_DFEFF9FA__18000000_0 -8__BEAF572B_D7FFFA7A__AC000000_0 -8__7FFF1DC9_FB8BEE54__DC900000_0 -8__0D3AA9B5_B6FF3163__69D54DA8_0 -8__6FFFF37D_BF7B8176__DF400000_0 -8__8F7B6647_EA7FE292__991C0000_0 -8__F6FFB3E7_7EBE7782__DBFECF9C_0 -8__7FFBA1DE_6BBB3C78__DE000000_0 -8__135F22C3_3BF70BA2__4D7C8B0C_0 -8__DEEE3924_D9FED8CA__B8E49000_0 -8__FB9F3D78_FCFFBB22__EE7CF5E0_0 -8__DBFE430D_7FFD71E7__FF218680_0 -8__776DB91D_4BFBE124__76DB91D0_0 -8__3D591C1A_FB6FFFBA__68000000_0 -8__3EFFD946_FEBD2B57__A3000000_0 -8__916FB195_BFFF0327__B7D8CA80_0 -8__53BD2C6C_F3E7F462__4EF4B1B0_0 -8__95FF0DE5_55B65209__FE1BCA00_0 -8__FFB82231_FFFF49B2__88C40000_0 -8__0000000F_00000004__000000F0_0 -8__FFFFFFFF_0000001C__F0000000_0 -8__F0000000_00000002__C0000000_0 -8__01234567_00000001__02468ACE_0 -9__7FFE5C86_DEB4B358__0000007F_0 -9__ABD244BD_F9BF6434__00000ABD_0 -9__BBEE36F0_DF56DE9A__0000002E_0 -9__7EDF81A2_F5AEE18E__0001FB7E_0 -9__7DFBE37D_7D9E4AD3__00000FBF_0 -9__8CB3E19C_DFCF7AA6__0232CF86_0 -9__75F5CD64_F737215E__00000001_0 -9__FFF7EB2C_BDF7CE91__00007FFB_0 -9__EFCF8D5F_BFAE0C5D__00000007_0 -9__F9E79BEF_99EB9FF5__000007CF_0 -9__FBBEE01E_11F97D03__1F77DC03_0 -9__79F5C751_A7FF94D0__000079F5_0 -9__FF5DBBEA_26B0078A__003FD76E_0 -9__679FEED1_5F6EA769__0033CFF7_0 -9__7D59D990_FBEF5ABD__00000003_0 -9__7EFB7245_337ABC4B__000FDF6E_0 -9__E9DBFCC0_D7BFE5C5__074EDFE6_0 -9__F7B9DB1E_93E00DC4__0F7B9DB1_0 -9__CCDEF684_7FE7AB03__199BDED0_0 -9__75FDAEE6_EFFFDC09__003AFED7_0 -9__FFDFB074_A6FF5B20__FFDFB074_0 -9__B4FDE0EE_7F6D778D__0005A7EF_0 -9__F974E0B7_7FFE648B__001F2E9C_0 -9__0FCF5BD2_7FFD9C22__03F3D6F4_0 -9__FDB36A83_EE257B5E__00000003_0 -9__FDFFC52A_D255A526__03F7FF14_0 -9__CFFF1BEC_6ED7DA65__067FF8DF_0 -9__7FBE3315_E3D1CE5E__00000001_0 -9__BD77E51E_76F95AA2__2F5DF947_0 -9__7EFF441F_5EF9103D__00000003_0 -9__7FD7ED00_CF599CB6__000001FF_0 -9__D9FF9638_77A9F465__06CFFCB1_0 -9__523F4BD0_76B3F848__00523F4B_0 -9__677F8E62_FFFF3CB6__0000019D_0 -9__FFDF03D5_DFCFEBDD__00000007_0 -9__7FEFED1B_ED7C8661__3FF7F68D_0 -9__7D75A165_67BE0881__3EBAD0B2_0 -9__9BFD93CD_87DE304B__00137FB2_0 -9__AF7D4E29_76E77A81__57BEA714_0 -9__BFEB8DE2_0F3FAD63__17FD71BC_0 -9__4BD53E5B_EF9B9A2B__00097AA7_0 -9__7EF75D8F_73EFEB49__003F7BAE_0 -9__37FF4AC7_69BC79E8__0037FF4A_0 -9__ECB153BF_6F980035__00000765_0 -9__D2C7D144_EDBF3A63__1A58FA28_0 -9__4DF54408_6A3C956E__000137D5_0 -9__BFAEC3E2_477BB643__17F5D87C_0 -9__FF745955_3B5D34F6__000003FD_0 -9__1FB73533_F7EF2047__003F6E6A_0 -9__6F2C282D_FF532954__000006F2_0 -9__6FEFF884_D6F923EE__0001BFBF_0 -9__6FDF4B61_1EFF90AE__0001BF7D_0 -9__FFCFA34C_37DFCFAB__001FF9F4_0 -9__FF7C18F6_D7F35B9A__0000003F_0 -9__FF3FED79_F7FFE188__00FF3FED_0 -9__FCFD9C08_FEFF7D42__3F3F6702_0 -9__FFF75C6A_5FB70AEF__0001FFEE_0 -9__DFFFC002_CB6780A5__06FFFE00_0 -9__D7DBF6EB_FE7F0EDD__00000006_0 -9__1FFB07E9_7BF642E5__00FFD83F_0 -9__1BF75AEF_1FA60FAC__0001BF75_0 -9__F25B6853_36DA2894__00000F25_0 -9__3EBA696D_A7FE1048__003EBA69_0 -9__FF5FA6EE_EF7E0926__03FD7E9B_0 -9__D57DFA82_6DBF57F0__0000D57D_0 -9__CBCD4264_D9F71455__0000065E_0 -9__57A652B0_EEFFFFEC__00057A65_0 -9__F6EF6057_13FF41CC__000F6EF6_0 -9__EBAEF928_E6FE83AC__000EBAEF_0 -9__DEAE32EB_73F99F69__006F5719_0 -9__F7D982F2_1FFF12E5__07BECC17_0 -9__C75F087E_FFFB1AFD__00000006_0 -9__47FD8EB8_F77BBDED__00023FEC_0 -9__4FB72FA2_7F5C7692__000013ED_0 -9__EAEE2DBD_4EE25ABD__00000007_0 -9__778F9DDD_7DFE117C__00000007_0 -9__34B90824_7FCD4A91__00001A5C_0 -9__FDF90A88_B35F603B__0000001F_0 -9__FFFD56CA_1B3EBDE1__7FFEAB65_0 -9__3FAD8523_FFDF3FB0__00003FAD_0 -9__5BA796A0_7BE2442B__000B74F2_0 -9__E38F54C7_F67B4669__0071C7AA_0 -9__A5FCFF25_DFAD1EAE__000297F3_0 -9__7EBE5D5F_7A9EFFF4__000007EB_0 -9__6F3C4999_2FBB3F2F__0000DE78_0 -9__3F7D573A_B5DF57C8__003F7D57_0 -9__36D243F0_7BFD040E__0000DB49_0 -9__75F0AB66_D4F3FD6D__0003AF85_0 -9__71658ACC_FA9B45CD__00038B2C_0 -9__FE77F81B_FEFF5F2E__0003F9DF_0 -9__F7BFC795_79FB8A44__0F7BFC79_0 -9__B66F1A88_E2D998C1__5B378D44_0 -9__FFFFC5C9_5CF90677__000001FF_0 -9__7DBFB981_FABF69AA__001F6FEE_0 -9__7FDCE1DD_BFAEFF87__00FFB9C3_0 -9__3F7ED952_FFCDC3FA__0000000F_0 -9__8CFFF3AD_F7FBE1DC__00000008_0 -9__7FFBA21C_1736333D__00000003_0 -9__F7EE5536_9B66D3E2__3DFB954D_0 -9__BE5FF7A9_D7AB5A92__00002F97_0 -9__7F1FEF23_77FFD82D__0003F8FF_0 -9__BE3E269F_B75FB1B4__00000BE3_0 -9__BBF5B18A_FFEE2C7F__00000001_0 -9__7E7FF640_16DD9407__00FCFFEC_0 -9__79FEAB88_ABC3272B__000F3FD5_0 -9__8B9F6A9E_ADEF0018__0000008B_0 -9__3CCB400E_7FCFA723__07996801_0 -9__39DFA2F1_FFFFF443__073BF45E_0 -9__DF5BAE48_7F998522__37D6EB92_0 -9__FEDB0E07_179F26E3__1FDB61C0_0 -9__5BBE6CC1_6EEB0F96__0000016E_0 -9__6DBF716E_FB7C0676__000001B6_0 -9__4B7FC8EF_EC1747E8__004B7FC8_0 -9__FFAC7B2B_7BBF1CD0__0000FFAC_0 -9__FD8FF25C_F57F0691__00007EC7_0 -9__55EFD8E5_FEF65A90__000055EF_0 -9__EBFFB785_ABFBA644__0EBFFB78_0 -9__F7FF7497_BF772D97__000001EF_0 -9__33F7B0D9_B4FDD289__0019FBD8_0 -9__7BF68EDF_F9F7E035__000003DF_0 -9__EF4DB88F_EFBA0402__3BD36E23_0 -9__5CCF50A8_75760FDA__00000017_0 -9__F77E40D7_EEFEA740__F77E40D7_0 -9__5F6BE7AA_7BAFB04D__0002FB5F_0 -9__EF6F405B_7BBF5257__000001DE_0 -9__FFDBC5D8_2DFB1A98__000000FF_0 -9__3CF93412_FCFF3163__079F2682_0 -9__EDFD3619_FB6F487E__00000003_0 -9__7FBFF8D0_7FEBCCA9__003FDFFC_0 -9__FDFDDF73_273E74EB__001FBFBB_0 -9__F7FB080B_0FEF1147__01EFF610_0 -9__7E7C80C3_BFE577C3__0FCF9018_0 -9__FBB146A4_FE0A832C__000FBB14_0 -9__DE7F420A_EBCD453A__00000037_0 -9__FC37762F_3EB93148__00FC3776_0 -9__EFC6D9AB_E7BB8FBA__0000003B_0 -9__3927D4F4_F77798A1__1C93EA7A_0 -9__7FE73523_F9E5FCB1__00003FF3_0 -9__FFD72655_FF6ABCFD__00000007_0 -9__B3EF3B6D_CFCEB493__0000167D_0 -9__6FFF3AD5_EFD91EF1__000037FF_0 -9__91FE9B90_37BBDF00__91FE9B90_0 -9__FDFAA7EB_7FAAFFA7__01FBF54F_0 -9__7BDF0165_993B3977__000000F7_0 -9__FBDDC7CD_DBBD70C5__07DEEE3E_0 -9__EB7B760A_9FABD491__000075BD_0 -9__D9F71920_BFBBF2E5__06CFB8C9_0 -9__BF5F32D4_3FACC651__00005FAF_0 -9__76FF3738_7A7DAF84__076FF373_0 -9__6FFB1D98_1F76A2B0__00006FFB_0 -9__DCFD223E_3DBFB88A__00373F48_0 -9__F7CDEBDD_7FFFED7A__0000003D_0 -9__5B8F38E5_7FFDC01F__00000000_1 -9__6FEBB3C0_1FE6B082__1BFAECF0_0 -9__6BFF6A6B_CF73D770__00006BFF_0 -9__5FBF0C62_7DF57954__000005FB_0 -9__FFBFD878_FF7FA770__0000FFBF_0 -9__DA90E4CC_3EEB3E1D__00000006_0 -9__FFE948E0_D6C30DE9__007FF4A4_0 -9__5FF74FC2_56FF2816__0000017F_0 -9__F7FD7AD4_7F7BA4AA__003DFF5E_0 -9__DE9B7100_BF7B3C86__037A6DC4_0 -9__9CFE3520_DF7B3B6D__0004E7F1_0 -9__DD9F0D1A_57FFF4B5__000006EC_0 -9__F8BD7034_FBD71DE7__01F17AE0_0 -9__77A7CB69_7EF717FE__00000001_0 -9__4FED0D02_2676EA7B__00000009_0 -9__5AEF27C9_7BEDDDDB__0000000B_0 -9__AFF7C9DE_2BE78A05__057FBE4E_0 -9__7F772A82_FFFB7330__00007F77_0 -9__6AF74074_A31BF52F__0000D5EE_0 -9__FEEFB8CD_E27DC1AE__0003FBBE_0 -9__BF6B91AC_C77C8720__BF6B91AC_0 -9__4B3A7615_6AFFEAA9__00259D3B_0 -9__167D640E_FEAA054F__00002CFA_0 -9__C9FF6802_F891A0DF__00000001_0 -9__CEFEDC41_57BF083C__0000000C_0 -9__CFF86375_FFBF2DAA__0033FE18_0 -9__7FFFCCC5_DDFE7B5F__00000000_1 -9__A5DF5347_7DFB94B4__00000A5D_0 -9__D6F5CA45_BD686F11__00006B7A_0 -9__EC7FD2A6_EFFAD81A__0000003B_0 -9__F9FF5E01_F3FF659A__0000003E_0 -9__CDFBA13B_567D11E9__0066FDD0_0 -9__F7FFDEA7_EBB7AC05__07BFFEF5_0 -9__B9DF37D2_D175CD69__005CEF9B_0 -9__FBBFA47B_EF9FB798__000000FB_0 -9__7CBFE49C_FFFF4F30__00007CBF_0 -9__F9DA9B5E_7DE7994F__0001F3B5_0 -9__6FFFA164_BFD8018B__000DFFF4_0 -9__77CE53B1_BBFF4ACB__000EF9CA_0 -9__6EEE93FA_7FE7F8EC__0006EEE9_0 -9__CFD92AE1_5B7F4ACA__0033F64A_0 -9__639F37F9_DFFEDAF7__000000C7_0 -9__9FFF58C6_3BCFFC74__000009FF_0 -9__3FFFF699_FED79A83__07FFFED3_0 -9__4DF61EEC_1EF759D3__000009BE_0 -9__7FFE01E2_CBB72B66__01FFF807_0 -9__5EFE1E28_1FED4704__05EFE1E2_0 -9__663BFF6E_F65F900F__0000CC77_0 -9__647A505E_7FFBE367__00C8F4A0_0 -9__BFBE7131_6DBB7435__000005FD_0 -9__5AE7B4C9_55FB5492__000016B9_0 -9__6B73C0DB_6F39DF44__06B73C0D_0 -9__EB57392A_FBAF9A77__000001D6_0 -9__FBEB2A30_FFFB9143__1F7D6546_0 -9__EFFD2747_F9AA0A48__00EFFD27_0 -9__3FFAD361_FBBDFECD__0001FFD6_0 -9__7FFE7317_07DFDA51__00003FFF_0 -9__FFBF6F3E_8BFBB440__FFBF6F3E_0 -9__DFFEF114_DAF56486__037FFBC4_0 -9__EDD5E6DD_CFEE9F2E__0003B757_0 -9__9FDF31A6_9DFD149E__00000002_0 -9__BE3F053A_3FB898EC__000BE3F0_0 -9__FD4E66BD_35BFF213__00001FA9_0 -9__DD6FF440_FFF7A497__000001BA_0 -9__FFD7F49A_BFF94CE0__FFD7F49A_0 -9__5E9C5693_FEFDF886__017A715A_0 -9__77AB6D3C_9DEFA111__00003BD5_0 -9__71FEA788_3FFF0E1C__00000007_0 -9__7FFF457D_506F75CB__000FFFE8_0 -9__9BF9A8D9_6FEB7C6A__0026FE6A_0 -9__6FF5B9B8_171031FF__00000000_1 -9__6FABCA8B_8BF9B37D__00000003_0 -9__DCEAEEA0_7FE9EE4B__001B9D5D_0 -9__BBBA59AC_2FB32189__005DDD2C_0 -9__F9FA8D3E_7F9E805B__0000001F_0 -9__4FFE8C08_97FDA867__009FFD18_0 -9__3EBE2DB1_DFE7B876__000000FA_0 -9__5F9BA562_D4FDA470__00005F9B_0 -9__BFFF3718_3DE5DA89__005FFF9B_0 -9__777DBB8B_FE7F0C15__000003BB_0 -9__6DBFD59C_787DD02B__000DB7FA_0 -9__FDCECD9A_6FF4330B__001FB9D9_0 -9__7FE9B7E6_899F905C__00000007_0 -9__AFB7F5A0_F7BF3401__57DBFAD0_0 -9__77BE8486_BDEFFF74__0000077B_0 -9__367EE4A1_1EBD9FDC__00000003_0 -9__757DE408_FF45F392__00001D5F_0 -9__FF69ECE3_7AABB773__00001FED_0 -9__1DFF0A82_FFDFDDD5__000000EF_0 -9__FEFF7D0F_7EBF5DE7__01FDFEFA_0 -9__6EFF0B6D_7BDF2DFF__00000000_1 -9__FFB9B991_FDCB7408__00FFB9B9_0 -9__EAEF5DCC_3E6F7FE1__7577AEE6_0 -9__BBF4EC59_F7EDB29C__0000000B_0 -9__7FF74210_5D772133__00000FFE_0 -9__DFF25754_570D4443__1BFE4AEA_0 -9__F7CF1E1F_ED7FF199__0000007B_0 -9__B6EFB14B_FFD500B0__0000B6EF_0 -9__5F1FF108_5FDB310F__0000BE3F_0 -9__FDDF4D9C_7F9F60D7__000001FB_0 -9__FEFB2670_FCFD6E8F__0001FDF6_0 -9__F77FD31A_7AF38296__000003DD_0 -9__D6F974CE_FB9E6E73__00001ADF_0 -9__7F6727C9_09AFA5B9__0000003F_0 -9__177EDE15_37FE20EF__00002EFD_0 -9__3EFD7F0B_BF6D113C__00000003_0 -9__DEAB8DCD_B7E31A98__000000DE_0 -9__FDFF0FBD_71B78CE0__FDFF0FBD_0 -9__DFDF50B1_BFBF6D99__0000006F_0 -9__6FFC9DAF_7EDD35E7__00DFF93B_0 -9__5FEB502F_7A988D34__000005FE_0 -9__5FF5E736_FBF107FF__00000000_1 -9__76FEF672_F576B2D7__000000ED_0 -9__7FFCEFFF_65D7C79F__00000000_1 -9__FEEFB8FA_6F3EFFAF__0001FDDF_0 -9__7F7B3A3D_BFFD5DC7__00FEF674_0 -9__BBBE872F_2FFF6217__00000177_0 -9__FB7F5380_3FF7F14B__001F6FEA_0 -9__9ACECE3E_55AB9353__00001359_0 -9__2EEF8A6B_ADDC7DA6__00BBBE29_0 -9__6DBE2602_7AD50E31__000036DF_0 -9__F3EBB8F7_7E8DAC49__0079F5DC_0 -9__3FFC8B13_AD7F53E0__3FFC8B13_0 -9__FEFFDADE_6DBFAB7A__0000003F_0 -9__0EEFB6AC_DAFF816E__00003BBE_0 -9__7FEF575B_5149C9BD__00000003_0 -9__D47FC9B0_6D3F2474__00000D47_0 -9__7EDDC2FE_F2B5EC09__003F6EE1_0 -9__7DBE5B5A_7F5F7E03__0FB7CB6B_0 -9__3EFF1F0E_F3DD78A7__007DFE3E_0 -9__3EFA1C89_789C9B39__0000001F_0 -9__CFFF4887_FFE513D1__000067FF_0 -9__323681AE_DFCF8EC9__00191B40_0 -9__5ED5F8CE_DDFF7564__05ED5F8C_0 -9__2C687B2F_7D5D9C16__000000B1_0 -9__BDDC40BB_FD9910CA__002F7710_0 -9__7FE53829_7EFD92BF__00000000_1 -9__7F7D207F_BAF72F0A__001FDF48_0 -9__57FF5292_F7E2DD53__00000AFF_0 -9__8FFDD2E3_3F3B2078__0000008F_0 -9__FCF70A92_34EFD8CC__000FCF70_0 -9__7BDEA32B_FE6EA052__00001EF7_0 -9__FFF65A3D_9665CDBE__00000003_0 -9__7D3FBFF8_609E1E78__0000007D_0 -9__79FDC8E3_DBFBAB39__0000003C_0 -9__5E7B0A46_7DFF4F76__00000179_0 -9__71EED72E_575F7D30__000071EE_0 -9__0F9B734E_5CFD985F__00000000_1 -9__FEFFD61C_7573EDB5__000007F7_0 -9__6AFFDB02_FF0F247F__00000000_1 -9__7FF77D97_7F7644DE__00000001_0 -9__7ED99AEA_BDEB1F55__000003F6_0 -9__7D1F33CB_F6F9CD03__0FA3E679_0 -9__BBBF35F5_A7376B24__0BBBF35F_0 -9__1F7B053A_379537D5__000000FB_0 -9__9CAE445F_F94DB364__09CAE445_0 -9__FE5EDA36_3FFD8C92__00003F97_0 -9__FCF0C8EA_FBE951B5__000007E7_0 -9__F96A5F7A_D2FF8F08__00F96A5F_0 -9__D8FE4B65_178A7CDB__0000001B_0 -9__1BF3DE26_DF3FCC92__000006FC_0 -9__BBCF9722_5FFDBFC7__01779F2E_0 -9__BFEFE42D_57BD0A59__0000005F_0 -9__7B75EB86_F9B7E90E__0001EDD7_0 -9__A7B84A28_DB5B3DC8__00A7B84A_0 -9__7FDFE755_BEEE9CE3__0FFBFCEA_0 -9__3F7E4738_FDFD83B9__0000001F_0 -9__6F3F7069_3C6F9171__0000379F_0 -9__FF7F35F8_76E36915__000007FB_0 -9__7ECDABBC_FBFF1368__007ECDAB_0 -9__77BF13D5_5F6F140D__0003BDF8_0 -9__CC23E05E_7EBF3861__6611F02F_0 -9__BC7DBC80_F6BF65C6__02F1F6F2_0 -9__DEDE1D6A_433D8FB5__000006F6_0 -9__B72AC2EB_D7DA1F99__0000005B_0 -9__AF7F8111_FB4F2981__57BFC088_0 -9__77FBE64C_BBFDB989__003BFDF3_0 -9__FEFE24A0_FC7FC20D__0007F7F1_0 -9__1F7BD1ED_67E68B92__000007DE_0 -9__7F2A7D14_EFFFC11B__0000000F_0 -9__FFBF8C97_5AAB4FCF__0001FF7F_0 -9__F7661369_6FF9E6CA__003DD984_0 -9__FF74DF11_F2FEC545__07FBA6F8_0 -9__7FE5572D_779FAE01__3FF2AB96_0 -9__FADE40FC_65EA82E4__0FADE40F_0 -9__77C9C1F0_A7F5B80D__0003BE4E_0 -9__7FF747C3_5FDFD522__1FFDD1F0_0 -9__FFBFE005_BF9FF320__FFBFE005_0 -9__FBBF7810_FF779035__000007DD_0 -9__EEF520CB_653E6752__00003BBD_0 -9__7BF33E0D_EABD9BCF__0000F7E6_0 -9__3BAF2684_FDFA0911__00001DD7_0 -9__7BA88C30_E6AD9E7F__00000000_1 -9__B67F2EE9_D7FF2149__005B3F97_0 -9__6BEA236F_BA6EAD9F__00000000_1 -9__BFFFF2F7_6FEFDF5F__00000001_0 -9__7BE77BE7_F77CE30B__000F7CEF_0 -9__7BF901DE_FBE6C104__07BF901D_0 -9__3DEFFD82_78F6F525__01EF7FEC_0 -9__7DD34915_61367F1E__00000001_0 -9__BEDFC573_5D6BC78F__00017DBF_0 -9__F9D9FA3E_2AFF0500__F9D9FA3E_0 -9__59F9064A_0B3D1210__000059F9_0 -9__DA9F2838_7F0D21D1__00006D4F_0 -9__6F3C64A2_FEEE985D__00000003_0 -9__61FFDED5_F79B4D6E__000187FF_0 -9__9BB32861_FD7A7CAF__00013766_0 -9__FE6F9D0E_59FFA389__007F37CE_0 -9__BD8D85DD_7F7BB74E__0002F636_0 -9__7FBB6A30_7D5570DF__00000000_1 -9__77F5D68E_3FE76B1E__00000001_0 -9__EF7CD28C_3FEFA70F__0001DEF9_0 -9__DDE5F25E_27EF3670__0000DDE5_0 -9__17DB5F5E_1FFF0EDC__00000001_0 -9__B7DF20A2_7FED8CD0__0000B7DF_0 -9__FFE70F48_7F5B43A3__1FFCE1E9_0 -9__BE851CCA_248E7F74__00000BE8_0 -9__DF97B478_76FD5CB7__000001BF_0 -9__1DB3C4F9_C7B96E05__00ED9E27_0 -9__2F7E771C_EFBF676D__00017BF3_0 -9__2DED1473_CF352A12__00000B7B_0 -9__7E5FE58F_7BFFDEB8__0000007E_0 -9__3D661124_79B9D36C__0003D661_0 -9__C737DB4E_705F7A81__639BEDA7_0 -9__F6CB9020_7F7F5A5D__00000007_0 -9__EFBE7620_55B84EE4__0EFBE762_0 -9__67DE9ECD_B7DD3576__0000019F_0 -9__DFE70E4F_759FD458__000000DF_0 -9__4D5F58A9_FE73DD48__004D5F58_0 -9__B8FF85B2_7F27BF5C__0000000B_0 -9__65DD0D01_CEA21E5C__00000006_0 -9__6D78F2C8_7DEC1599__00000036_0 -9__3FB9A7E6_7BF72757__0000007F_0 -9__FFDDCD49_B573DEB8__000000FF_0 -9__1D732BB8_67EDD09E__00000000_1 -9__7F7BAD35_EFFE43E2__1FDEEB4D_0 -9__CFDF2560_FDFA2E68__00CFDF25_0 -9__FB6D1222_9EDF1EAA__003EDB44_0 -9__7EFED789_9FFE2459__0000003F_0 -9__5BFEB7C8_DF674C3E__00000001_0 -9__7DD65BF8_26F982C7__00FBACB7_0 -9__FA7F3BC4_C9AD7373__00001F4F_0 -9__DFFD0A0D_58E51C65__06FFE850_0 -9__5D7FF520_2FFF4C44__05D7FF52_0 -9__5FB9F61A_75FFE1A8__005FB9F6_0 -9__FF3688E6_ECC7AD0E__0003FCDA_0 -9__EFEFD863_757C7893__00001DFD_0 -9__7FFB2FF9_EF9CA44F__0000FFF6_0 -9__56EC6D07_F97D3DA6__015BB1B4_0 -9__7F7A6F68_5FFEDD08__007F7A6F_0 -9__45FF6865_E63F0B05__022FFB43_0 -9__7FF57E70_175F9D5D__00000003_0 -9__4FBFE287_7FEF107B__00000009_0 -9__39FE71AA_7735756A__000E7F9C_0 -9__EC57963E_FDFEEFF4__00000EC5_0 -9__ABFD5E9B_27EAB3B3__0000157F_0 -9__BF7C1F84_3FB35AC6__02FDF07E_0 -9__FDB8551D_1CFB1DB9__0000007E_0 -9__6B379792_5FFB579F__00000000_1 -9__BA9E5F90_7FD47E47__01753CBF_0 -9__F6791A72_7FDF1105__07B3C8D3_0 -9__7F9F2CFF_7B3B580A__001FE7CB_0 -9__3F2F0924_6F775A6F__00007E5E_0 -9__5DD751EB_2EFB6E28__005DD751_0 -9__7B2A7A7F_7FFB804A__001ECA9E_0 -9__BF978804_DEEF1F09__005FCBC4_0 -9__D96E2619_78CA40DB__0000001B_0 -9__FFED942B_CC75DEDC__0000000F_0 -9__63E71E86_53FB44B5__0000031F_0 -9__F37F8461_7FD33F30__0000F37F_0 -9__FF992C0D_7EBEC5F1__00007FCC_0 -9__96FF0E04_737FFCA4__096FF0E0_0 -9__B79F2CFD_B8C5DA4B__0016F3E5_0 -9__8BEF5471_C96D21F9__00000045_0 -9__67EF6CC5_FD7FB6B3__00000CFD_0 -9__D7568784_FFAE563C__0000000D_0 -9__A7B7655A_3FCE4B96__0000029E_0 -9__7FCDA069_7FF7543D__00000003_0 -9__BFFE4A1D_F6BF8EB6__000002FF_0 -9__7EDFD7E8_FCFF9875__000003F6_0 -9__67BD1F6D_5C7DDF8D__00033DE8_0 -9__B4F5A9E1_F55FC1AF__000169EB_0 -9__FD8FE24D_77FD6D94__00000FD8_0 -9__3EDE8156_55FEE365__01F6F40A_0 -9__779BAE90_FFFFE3B1__00003BCD_0 -9__FEFE0695_63576A0A__003FBF81_0 -9__FC7B15A8_FFC05DF5__000007E3_0 -9__BE7DC89A_76F18EA8__00BE7DC8_0 -9__F772DC93_7ADFCA5F__00000001_0 -9__3FFF9A3C_F1BF8D4E__0000FFFE_0 -9__6DFD2293_07FE8E5A__0000001B_0 -9__BFFE730B_F77EB007__017FFCE6_0 -9__D7FB1A0A_FDED87DE__00000003_0 -9__6B650934_575C73F1__000035B2_0 -9__FD9BB374_FDBD2B23__1FB3766E_0 -9__4FAFFD47_77FF0CE8__004FAFFD_0 -9__7FCF8289_2FF3DEC9__003FE7C1_0 -9__73F7C28D_FCDC237F__00000000_1 -9__DF7FCD30_7FFF4E6D__0006FBFE_0 -9__37F5B871_5CEDEC93__000006FE_0 -9__DEFED206_B6FEEEBF__00000001_0 -9__79FD42BA_F2FE27E2__1E7F50AE_0 -9__6FFF6DDC_DFDD7B33__00000DFF_0 -9__7D5C9551_77A79559__0000003E_0 -9__69DE9E85_4FAEE72B__000D3BD3_0 -9__BF9E3978_3FFD758C__000BF9E3_0 -9__5AFDA2D0_2FF88391__00002D7E_0 -9__B7FE680F_BBFB092F__00016FFC_0 -9__FF7F66C6_FFD91625__07FBFB36_0 -9__73FD1D02_F5B390A9__0039FE8E_0 -9__7BEFD829_FB7D1E31__00003DF7_0 -9__7FFEB803_DFDFC8B3__00000FFF_0 -9__ABFCFC38_DEFFF458__000000AB_0 -9__EBFB80DE_7F7B6A8A__003AFEE0_0 -9__B76B748B_7D8A7AEF__00016ED6_0 -9__12FDC457_3DBDABE9__00097EE2_0 -9__779D071C_FB9D2A04__0779D071_0 -9__E7FB28D9_FFFBF066__039FECA3_0 -9__ED9D6A84_3FF7B51C__0000000E_0 -9__5E6B1A94_FF8F7609__002F358D_0 -9__7FFD89E8_EEEF6CC7__00FFFB13_0 -9__8FDFA611_FE779B34__000008FD_0 -9__9F3F7F2B_F1837C5D__00000004_0 -9__FFEE2C2C_7BBA8615__000007FF_0 -9__FF7489E2_7FFB158F__0001FEE9_0 -9__F6FBC007_7EFF80F9__0000007B_0 -9__7FFFE460_7FF7B2AF__0000FFFF_0 -9__1FF78DD4_6CDF86D9__0000000F_0 -9__3FF714C6_F9DE23CD__0001FFB8_0 -9__CDFCC5D4_17FC9506__0337F317_0 -9__D73E91FC_7EFF1CF2__000035CF_0 -9__737C5A6E_75DFACE4__0737C5A6_0 -9__EDF46524_75BE3669__0076FA32_0 -9__73F807CB_DEFD662C__00073F80_0 -9__FEBBAF4C_FAEC8B27__01FD775E_0 -9__FCDDD832_FDBBEFA9__007E6EEC_0 -9__5FF7A77A_73F29F0F__0000BFEF_0 -9__CBF53C19_DB3FDCC4__0CBF53C1_0 -9__EFEDAEF0_16FF7E0B__001DFDB5_0 -9__6FFF0D8E_7FEF3AFA__0000001B_0 -9__7963C333_7B9ABE55__000003CB_0 -9__F5DED2CB_2DBFAC3A__0000003D_0 -9__3EFBC602_CF35ADCF__00007DF7_0 -9__FCFF29A6_7ADF3869__007E7F94_0 -9__E7FFBE09_75F34B39__00000073_0 -9__FCF82B02_CE7B96A3__1F9F0560_0 -9__FB6FFF30_E6FE0A83__1F6DFFE6_0 -9__77D60B46_3DBB0D9A__0000001D_0 -9__FDEDA309_D7FAE372__00003F7B_0 -9__7EFB668C_FBCFC6F3__00000FDF_0 -9__BFDB1BAB_BFCA60B4__00000BFD_0 -9__FFFFBE65_1A86875A__0000003F_0 -9__7E492628_45A63D00__7E492628_0 -9__FEB73FEE_4EFB03AC__000FEB73_0 -9__3FF8DFF8_1BE720AD__0001FFC6_0 -9__4FF667EB_DF557E71__000027FB_0 -9__59FB246A_ACFC7AD6__00000167_0 -9__DDDF47CD_77DF8FAF__0001BBBE_0 -9__77D79FF2_FDFC5FC2__1DF5E7FC_0 -9__F7FF896F_EBD7E84D__0007BFFC_0 -9__5FAF4232_1DFDE9B6__0000017E_0 -9__BEFF441D_EF71AB78__000000BE_0 -9__7FFC0D1C_CFF72E3C__00000007_0 -9__7D779CEF_7ED72828__007D779C_0 -9__997FD443_BFE7DF05__04CBFEA2_0 -9__FEFF33DE_7DF7E607__01FDFE67_0 -9__13BB660A_5BF7CC70__000013BB_0 -9__F6FBB9B9_7FE82D56__000003DB_0 -9__DDCDE0CE_1E275040__DDCDE0CE_0 -9__F35FAFFF_DFFF5D1B__0000001E_0 -9__CEDDAC28_9B7F6039__00000067_0 -9__7787466E_FCBEF06A__001DE1D1_0 -9__FD96171C_7FDF0A8A__003F6585_0 -9__6DDB5105_55DEE278__0000006D_0 -9__7FBF4B16_D31FB1FC__00000007_0 -9__4EDF7867_BCCA07E2__13B7DE19_0 -9__97DF9744_FDF1213B__00000012_0 -9__FDDE951B_77FF2463__1FBBD2A3_0 -9__54FB51DD_BDCE4D82__153ED477_0 -9__DFFF9A72_DDFD825E__00000003_0 -9__3BFF167F_623DD93B__00000007_0 -9__E3D992A3_2FDD3816__0000038F_0 -9__7FFF2227_7F368306__01FFFC88_0 -9__37F320AE_CEBBF259__0000001B_0 -9__FDEE79C7_1FD51F09__007EF73C_0 -9__DF8EF312_7FF7E1E5__06FC7798_0 -9__C39DF307_7BFD582A__0030E77C_0 -9__73F25D5E_DFB377E5__039F92EA_0 -9__F2AEBB6B_BB7F192C__000F2AEB_0 -9__B1CF9BC1_F6FCFFBB__00000016_0 -9__7F34B673_7F3E1003__0FE696CE_0 -9__57BF2660_7B746B7E__00000001_0 -9__2A575F85_6EF19B44__02A575F8_0 -9__FF7396A1_66F58A5B__0000001F_0 -9__A7DF6057_7C716764__0A7DF605_0 -9__3E273483_FF7F4165__01F139A4_0 -9__BAEFADD9_BFED6CDE__00000002_0 -9__7FFDA8E6_9FCC3188__007FFDA8_0 -9__F86A9C82_7DE906F6__000003E1_0 -9__3CDD5EA9_EFF7B979__0000001E_0 -9__FFEFC87E_67BFCA3C__0000000F_0 -9__7F8E6AB9_EDFFCCD2__00001FE3_0 -9__FFC958D0_FF77F88B__001FF92B_0 -9__DE3E86FA_B77F2CA2__378FA1BE_0 -9__6F9A8669_7BA96C58__0000006F_0 -9__3F7FE305_7DF2058F__00007EFF_0 -9__7EF779F1_FDFAECF5__000003F7_0 -9__6EDBBBA5_B73F8582__1BB6EEE9_0 -9__353CE8F3_FFFEA158__00000035_0 -9__FDFB476B_DECB05C0__FDFB476B_0 -9__BFFB1B5D_F7EF3F5F__00000001_0 -9__BFFE7ABE_6FBF5596__000002FF_0 -9__FBDD9E7D_3F7F5E2F__0001F7BB_0 -9__774C4A14_97FB329C__00000007_0 -9__ECF53C5B_B1FFE4A7__01D9EA78_0 -9__ACFF245F_FFFC6954__00000ACF_0 -9__3F168E97_7BFF2E47__007E2D1D_0 -9__759F832E_733E3E57__000000EB_0 -9__D6DE09D3_6AD7C6F8__000000D6_0 -9__EE6FA0C7_6DBE9073__00001DCD_0 -9__7FF35674_3FD72AFF__00000000_1 -9__E7FF460D_1DEFC7B8__000000E7_0 -9__3EEBA62D_FDDFED2E__0000FBAE_0 -9__6DFC8DCB_33DF9E47__00DBF91B_0 -9__59FF4AF6_A7EF9C80__59FF4AF6_0 -9__FDDFA391_EFD5E5EB__001FBBF4_0 -9__FEEFF888_F7FF32E6__03FBBFE2_0 -9__F7B5C1D3_1F3E898D__0007BDAE_0 -9__F4EE305F_F7D5A225__07A77182_0 -9__5FEC5FCA_D7BBD546__017FB17F_0 -9__37DFB773_F7764584__037DFB77_0 -9__FEFF2166_E96A5A21__7F7F90B3_0 -9__7E7F9B9B_77FBA495__000003F3_0 -9__6FEF3E8F_3CF7EBDC__00000006_0 -9__FFFFD901_FF58E49C__0000000F_0 -9__FF77B139_2EF7B2E7__01FEEF62_0 -9__6FA64853_5EC9625C__00000006_0 -9__ACF7037B_F2EFC9A5__0567B81B_0 -9__FE6FECAF_357F02A7__01FCDFD9_0 -9__7BFFA191_753F7861__3DFFD0C8_0 -9__53FEB42D_FE7FF50D__00029FF5_0 -9__4E4B1715_8FB74F12__00001392_0 -9__3F7D0E46_24DC8CE3__07EFA1C8_0 -9__FBEFA12C_D5DF2C13__00001F7D_0 -9__BEEB60AB_BF6DC007__017DD6C1_0 -9__39EF12F1_EFCD9929__001CF789_0 -9__AFF16857_7FFFF91E__00000002_0 -9__5F5FC0FC_7CAF45C9__002FAFE0_0 -9__E7D9FEA3_BDBF5975__0000073E_0 -9__2FFF17DA_EFFF1D0F__00005FFE_0 -9__FFBBDE4E_FFF6FCFB__0000001F_0 -9__DEFE3069_4CF54B18__000000DE_0 -9__7A8FCBE5_5FEB7320__7A8FCBE5_0 -9__6FDF2A85_D71BD82D__00037EF9_0 -9__9BF78A44_7F7F8A2D__0004DFBC_0 -9__B67EFC39_0B9EDFAC__000B67EF_0 -9__FB7BBD11_FFFDD8B3__00001F6F_0 -9__EFEF15C1_7657A572__00003BFB_0 -9__7FFD9A1F_AA3D774C__0007FFD9_0 -9__7AEFE53C_0DF94D98__0000007A_0 -9__3DBF4D94_7EDF3789__001EDFA6_0 -9__73FED14B_CE5FCDA5__039FF68A_0 -9__2F9BB53B_7DFE52DE__00000000_1 -9__AF3F55B7_76ABBF84__0AF3F55B_0 -9__AD9D6C4A_E3656ECC__000AD9D6_0 -9__7F3F8F3F_EFEA4A8D__0003F9FC_0 -9__97EBCA42_B77F1C14__0000097E_0 -9__77DD2DAB_7D775FFA__0000001D_0 -9__77FF07E2_EFF201F3__00000EFF_0 -9__7C7709C4_7FFF5B54__000007C7_0 -9__3FFBDA25_D7FF49F7__0000007F_0 -9__7B7F3F84_3965FACA__001EDFCF_0 -9__FFFF3CB4_7DFF15D8__000000FF_0 -9__7B5F5FF1_FFFB21A7__00F6BEBF_0 -9__7EEBC58F_5FF0C7D6__000001FB_0 -9__F4DE6D12_F7F70E7C__0000000F_0 -9__FEEF7D60_6BB5F33F__00000001_0 -9__D3BF8D92_7682AC3A__00000034_0 -9__5F7F3E3F_CF3F7A20__5F7F3E3F_0 -9__CDFF7022_CF4F01F9__00000066_0 -9__CFB2AAA4_3EBF6FC7__019F6555_0 -9__EDDF3652_7F94011F__00000001_0 -9__A3FBFA7B_BBF75244__0A3FBFA7_0 -9__5EE56E51_BFC3BA70__00005EE5_0 -9__7F3F197C_5FFF1A1F__00000000_1 -9__F5B78852_F9DDD084__0F5B7885_0 -9__BEFFACDA_351DA9C9__005F7FD6_0 -9__FFFF51FB_7EFE3F3B__0000001F_0 -9__56BFECCC_5F9E6097__000000AD_0 -9__D774E384_5BDFF671__00006BBA_0 -9__9BD52B72_6F6F2D97__00000137_0 -9__46FFCCF3_65CE48C8__0046FFCC_0 -9__6DCB8298_41F73133__00000DB9_0 -9__7D538B56_EAFB9A2F__0000FAA7_0 -9__BB7717DF_6FFF2643__176EE2FB_0 -9__555E3D62_3CF66273__00000AAB_0 -9__9F733270_77522836__0000027D_0 -9__7E6F1029_7EC52098__0000007E_0 -9__23DDC2C8_FDFC80E5__011EEE16_0 -9__7D7F36E6_73D72E7F__00000000_1 -9__F5D5BD38_F3FF0F22__3D756F4E_0 -9__FFBF87FC_FBFB5DBB__0000001F_0 -9__FF9901F9_BE975D70__0000FF99_0 -9__B6B1A5E0_3F1F713E__00000002_0 -9__ED33E50D_FDFD1F62__3B4CF943_0 -9__6FDEF488_57FFB908__006FDEF4_0 -9__F696A3B8_C1DE0590__0000F696_0 -9__7EFFDAC7_7FBF6E5B__0000000F_0 -9__7FFD10B4_FFF29CF7__000000FF_0 -9__35BFBC2A_7FFC01CF__00006B7F_0 -9__EFFE0DC7_BEFB4BE0__EFFE0DC7_0 -9__7F6DBD26_27BEFBA3__0FEDB7A4_0 -9__DFEFEEEB_B9B65627__01BFDFDD_0 -9__5FA7C871_FFF75BAF__0000BF4F_0 -9__7BBEF4A4_6EBC9F4D__0003DDF7_0 -9__7FFE04B0_DFE75AE1__3FFF0258_0 -9__9CEE547C_AFA8F30E__000273B9_0 -9__23BFA3C6_7EFFD2BA__00000008_0 -9__F1ED8D15_67AE6499__00000078_0 -9__DD5F2E22_F7FF538D__0006EAF9_0 -9__7BFD4BB0_3F5F5B05__03DFEA5D_0 -9__BEFB9600_FFFF999C__0000000B_0 -9__FBDCEA48_5FBF3AD6__000003EF_0 -9__E7FDB9E2_ECBFE3A6__039FF6E7_0 -9__FEFDC3CA_5FBEF39A__0000003F_0 -9__3FFBFB8F_8FE3A73F__00000000_1 -9__71FDEEE8_FFDF507F__00000000_1 -9__F71FEEC7_779EA55D__00000007_0 -9__AFFDA7A2_BFEF9DF2__00002BFF_0 -9__FBBEBE5C_BBFBC143__1F77D7CB_0 -9__77376E32_F5FD2D7E__00000001_0 -9__C7DF55A8_EDFB121D__00000006_0 -9__6E7F13F7_F7FFA872__00001B9F_0 -9__6F77A85E_EFDD79B6__000001BD_0 -9__FD2F3EF1_D5EB0408__00FD2F3E_0 -9__FD3F2DEF_E59B0020__FD3F2DEF_0 -9__77EB4F20_F9FF292F__0000EFD6_0 -9__EAB7354F_DEEF9AEF__0001D56E_0 -9__EF7D9B4F_776F0FB6__000003BD_0 -9__FBFF59EA_7DF375E9__007DFFAC_0 -9__6D1F26A9_7FBCD293__00000DA3_0 -9__DBDE68E7_455E58CA__0036F79A_0 -9__3F7F2C48_39EFCE16__000000FD_0 -9__73CDA7E3_7BFDAD65__039E6D3F_0 -9__F6D775AB_3F6FC2F4__00000F6D_0 -9__79FF3B5B_FCEF8AFC__00000007_0 -9__FF9FDDE9_77F6050E__0003FE7F_0 -9__3F2F0F99_67BF8748__003F2F0F_0 -9__BBEF0323_FFD7E540__BBEF0323_0 -9__FEEE9172_5CFF2D93__00001FDD_0 -9__7B8F22F9_7ABFAA29__003DC791_0 -9__B1FFD684_7FBE546C__000B1FFD_0 -9__FDB8BC8D_5D7FE7AA__003F6E2F_0 -9__7B7F686E_65FFC485__03DBFB43_0 -9__4BFAAF96_DFEF485F__00000000_1 -9__977DC67F_EFE77E67__012EFB8C_0 -9__FB279C22_FF660634__00000FB2_0 -9__6B7ED71F_69F64F25__035BF6B8_0 -9__1FFFA6B3_7F5B7A24__01FFFA6B_0 -9__FD3A1146_3E5FA742__3F4E8451_0 -9__FBFFB810_6C6D6DE5__07DFFDC0_0 -9__FFF9E564_37EFA850__0000FFF9_0 -9__70FD741A_789FCE6D__000387EB_0 -9__8FFF5467_7DDFBDD1__000047FF_0 -9__38BFCB48_FEFB98AA__000E2FF2_0 -9__EAF7EC6D_3EDD8137__000001D5_0 -9__7FB7D8C1_EFF7677E__00000001_0 -9__BB0D09E8_77EF51B9__0000005D_0 -9__57EF6203_FFF1C742__15FBD880_0 -9__FF6DD7FB_FFDB64BB__0000001F_0 -9__73DBF7F1_67FFF827__00E7B7EF_0 -9__FD7B7A55_7F4A57CD__0007EBDB_0 -9__7FE929F5_FFD68527__00FFD253_0 -9__FFBB2E8E_D56700ED__0007FDD9_0 -9__F2E32D9C_7D9E7B51__00007971_0 -9__42BBB83C_EC8DC47B__00000008_0 -9__FFFF27D4_71B7CEDA__0000003F_0 -9__CC996CC0_DE674A38__000000CC_0 -9__B7FD8A8C_5DF9E0FF__00000001_0 -9__F7FF3934_F7EBECB4__00000F7F_0 -9__5A9F8F5A_F7FDBC39__0000002D_0 -9__7EF23227_CFFDEF84__07EF2322_0 -9__EF9D8C7C_6F3F188A__003BE763_0 -9__79F7AC14_F6CF5FA2__1E7DEB05_0 -9__BB3F4702_DEBC36DB__00000017_0 -9__6FFDF68C_F9230B3E__00000001_0 -9__51DFC124_7BABF855__0000028E_0 -9__B2EFBB97_E7FCA339__00000059_0 -9__76A92712_5DBDE86E__0001DAA4_0 -9__BDFF14BF_1BFBFE85__05EFF8A5_0 -9__DBB537B0_777D3751__00006DDA_0 -9__E3F8B309_35FFB0CD__00071FC5_0 -9__7E7712DE_DECB2180__7E7712DE_0 -9__5FFEFBB0_FFDE8FEB__000BFFDF_0 -9__BFEBC7ED_FDE6958E__0002FFAF_0 -9__DCEF4852_3F7E430D__0006E77A_0 -9__7B6BDA9A_FDF7B643__0F6D7B53_0 -9__77EE0928_5FF01237__000000EF_0 -9__4DDF3E97_6F7F0031__000026EF_0 -9__FEBF3079_87FA3722__3FAFCC1E_0 -9__DDBFDDC6_75DF0BAD__0006EDFE_0 -9__FCED3F05_DF3F10D7__000001F9_0 -9__BEF52246_3FB9AF67__017DEA44_0 -9__FCDDBD40_EDABD29C__0000000F_0 -9__53F7E073_FFDE16BE__00000001_0 -9__51FC8C48_FE2BF10C__00051FC8_0 -9__6EA2ED93_5DDF9CA9__00375176_0 -9__7AB5B849_FFF933C0__7AB5B849_0 -9__F2FBD1D7_FDD61252__00003CBE_0 -9__07E7EDFC_7F5EEB98__00000007_0 -9__76F54514_59D4B2E7__00EDEA8A_0 -9__7DF72FA4_F6E8C76D__0003EFB9_0 -9__E7D5F60D_DB7F7051__000073EA_0 -9__3D6D02EC_F7F48567__007ADA05_0 -9__6FFB5C9D_6DDF2B0F__0000DFF6_0 -9__5E17AC27_7F77B027__00BC2F58_0 -9__1FCB9774_4DDE1515__000000FE_0 -9__7DBE867E_F9FF298A__001F6FA1_0 -9__776B48A1_7FBDA818__00000077_0 -9__DFFC644A_3EFF42FE__00000003_0 -9__7F7B67F6_7FDB57DA__0000001F_0 -9__F67F6A20_7FFF9626__03D9FDA8_0 -9__FF4A568D_77BFC89B__0000001F_0 -9__7B8EEC00_37EF0A05__03DC7760_0 -9__FBFFD6F7_1DDFA151__00007DFF_0 -9__F7EF5C41_FBF12E2A__003DFBD7_0 -9__7DF71502_7775D907__00FBEE2A_0 -9__7EBFE697_ED56D7E8__007EBFE6_0 -9__FDD81561_F77F5DE6__03F76055_0 -9__C375E4A4_EDD50B23__186EBC94_0 -9__3EF509A1_7FFFAEF8__0000003E_0 -9__76FB8A85_57676136__000001DB_0 -9__3BB79E5A_70773348__003BB79E_0 -9__F5E3831C_FFD6C548__00F5E383_0 -9__397C2589_677738AC__000397C2_0 -9__FFB70D24_FF9729E4__0FFB70D2_0 -9__6DDFD167_F7FF510F__0000DBBF_0 -9__FFBFC9AC_733F25AF__0001FF7F_0 -9__7DFF6F8F_57F35929__003EFFB7_0 -9__FEB3CACF_7EE95C96__000003FA_0 -9__BE7E9810_57DD1D29__005F3F4C_0 -9__FFFA3C38_FDCEED34__00000FFF_0 -9__7AEFD707_6F5FA67B__0000000F_0 -9__1AB38B8A_1FFF88EA__0006ACE2_0 -9__DFEF767C_FE58C7DC__0000000D_0 -9__7DDD8050_737A5EE3__0FBBB00A_0 -9__FFFDEB48_FFBD54F2__00003FFF_0 -9__F4FF82BA_A7DE2CE5__07A7FC15_0 -9__E3F7979C_F5EC7E8E__00038FDE_0 -9__E3BD10DB_BFFDC6A8__00E3BD10_0 -9__6F6F3E5E_EF7FAD71__000037B7_0 -9__FBFC1BB5_3FAFA03B__0000001F_0 -9__BD59A21D_3ED3B35E__00000002_0 -9__FCEF4651_DFF7F8A2__3F3BD194_0 -9__F7F7EB73_6BFFC02C__000F7F7E_0 -9__FFDE889C_E7FEA618__000000FF_0 -9__FED373C9_D7DA2E09__007F69B9_0 -9__7FFDB45D_793DC488__007FFDB4_0 -9__FFEE0C4A_EBFEFBC4__0FFEE0C4_0 -9__7DFB7F31_FD675617__000000FB_0 -9__7FEB0905_5EFE2D6D__0003FF58_0 -9__7FDDE9EC_B3FEA1BD__00000003_0 -9__DBFB43CF_FFF14F6B__001B7F68_0 -9__39BB2736_7FCA4833__00000737_0 -9__1E7F5567_FCCF96CA__00079FD5_0 -9__FCAD55EB_F6ED6A63__1F95AABD_0 -9__BB7B9E22_FAEFCD0C__000BB7B9_0 -9__FFEE716F_46DBDED1__00007FF7_0 -9__CCDBE2B8_DBF7CD7F__00000001_0 -9__7FED2F14_F7FBD8EB__000FFDA5_0 -9__4EF6EF57_3F7F3FEA__0013BDBB_0 -9__68DF75B3_7E4EB689__00346FBA_0 -9__F7CEAAC6_EDDE6A5B__0000001E_0 -9__87FE9D09_FFEFD184__087FE9D0_0 -9__7DD784DA_3D73B6D7__000000FB_0 -9__F6D55801_D638F0F0__0000F6D5_0 -9__5FFE848F_F7DEB465__02FFF424_0 -9__EFBF63CB_D99B291A__0000003B_0 -9__7EFF3858_7E6B06F4__000007EF_0 -9__BDDFFAEC_FB393741__5EEFFD76_0 -9__FD7CF423_5BEBD4F8__000000FD_0 -9__07D952F5_55FF5FFB__00000000_1 -9__E3F5B6EB_31F95341__71FADB75_0 -9__FFBB4AFB_BE7FE7ED__0007FDDA_0 -9__7FFE06AD_7EF247A4__07FFE06A_0 -9__7EDF0286_F55F2C5C__00000007_0 -9__FE4C9103_DFFECD32__00003F93_0 -9__F9FF7048_BD97401C__0000000F_0 -9__1329D818_5D7E1EFF__00000000_1 -9__7BEEF987_7D7FB04E__0001EFBB_0 -9__1FAF390B_7DD85A1F__00000000_1 -9__EFFB6532_EFF6A15C__0000000E_0 -9__F1AE7A3B_F5EB25F3__00001E35_0 -9__7F7B21E3_FF6F163F__00000000_1 -9__FF7F627E_5CFE2F9C__0000000F_0 -9__FD1C271B_7EDDF325__07E8E138_0 -9__337B3E3F_BFEF1E12__00000CDE_0 -9__6B1F61F3_CFFB2910__00006B1F_0 -9__FF9F9CAA_7765DD4D__0007FCFC_0 -9__1DFDB487_2FBB5A26__0077F6D2_0 -9__E77D6F60_7DEF1693__00001CEF_0 -9__4D5D3F28_77753CAA__0013574F_0 -9__FFF5CDEC_5FA6157F__00000001_0 -9__7B4C441F_7D7E7601__3DA6220F_0 -9__7DE90998_F7FDB631__00003EF4_0 -9__7F6C25C4_97DF901B__0000000F_0 -9__F79F5D5E_FB2CFB79__0000007B_0 -9__15FF6793_EF9FB375__000000AF_0 -9__DFFF0FF8_90FDACF3__00001BFF_0 -9__FFE771D5_D5FA8698__000000FF_0 -9__CE9C89D3_E2E7A603__19D3913A_0 -9__31B52353_6FE57C70__000031B5_0 -9__F9B344AD_EFD7539A__0000003E_0 -9__DBB267FF_F7DFD264__0DBB267F_0 -9__E2F7E8BA_3DBED31B__0000001C_0 -9__B7BEE41B_EBFBB1D8__000000B7_0 -9__3F3FB678_9FFECEE6__00FCFED9_0 -9__6E7F0333_7FFC477C__00000006_0 -9__73FF1C19_BEEF83CB__000E7FE3_0 -9__DEFB5F91_4AD9ED71__00006F7D_0 -9__F7DDC464_FDCFB307__01EFBB88_0 -9__BEDF3782_5E9FB7C6__02FB7CDE_0 -9__79EF1964_6FBF04B9__0000003C_0 -9__74FDB925_5DFEAC71__00003A7E_0 -9__7BFF98A5_FFBB18A6__01EFFE62_0 -9__77BB974A_AE7FF459__0000003B_0 -9__37EBF47F_D9663D57__0000006F_0 -9__5BEA5E96_F5FEC583__0B7D4BD2_0 -9__677F70DB_5DFB644B__000CEFEE_0 -9__BBCEBE3B_37BA3629__005DE75F_0 -9__F3BFDB2C_76CE8347__01E77FB6_0 -9__DEEFACB5_F6E3BE08__00DEEFAC_0 -9__1AEFBD35_FC0EFB47__0035DF7A_0 -9__6B9345F3_7FFFF74C__0006B934_0 -9__FFEEC9F3_F8D1D05E__00000003_0 -9__F9FB3B71_ECFFF456__000003E7_0 -9__71FFFBCF_F7F714F0__000071FF_0 -9__BBFB0F4C_7CF34C3E__00000002_0 -9__9BB7E77F_6DCFBBDF__00000001_0 -9__77FD9EEE_7FFDF3B9__0000003B_0 -9__7F275904_57F7504B__000FE4EB_0 -9__7FA7A509_75BA0E2C__0007FA7A_0 -9__FEFEAB0E_6F377340__FEFEAB0E_0 -9__B4FABB91_4FFD5395__000005A7_0 -9__6F5F1B76_67BF785A__0000001B_0 -9__8FEFDAC5_D0FEB9E1__47F7ED62_0 -9__CF95D7B9_FF7DE822__33E575EE_0 -9__67CF0A80_CBE74E07__00CF9E15_0 -9__D373BB5F_FDC71DF6__0000034D_0 -9__41FD5726_9CB49374__0000041F_0 -9__BB632F0F_971F3843__176C65E1_0 -9__FFF72431_5FBF5A4F__0001FFEE_0 -9__68BF162D_5DDF0A20__68BF162D_0 -9__E7FF5348_F3FD3D8A__0039FFD4_0 -9__63BEC8EB_FF7F639A__00000018_0 -9__3D77549F_ED15869E__00000000_1 -9__748F6789_8EEC28E4__0748F678_0 -9__9A611EC2_7FFBADA3__134C23D8_0 -9__DFFFAF6E_7FFF3E34__00000DFF_0 -9__DD77CC8C_7AFB30B7__000001BA_0 -9__7DE3140D_7DB5BEE4__07DE3140_0 -9__B75BFBC8_674D8375__000005BA_0 -9__BB6FD8BD_7FFF4A30__0000BB6F_0 -9__BFDED25D_EF717826__02FF7B49_0 -9__7AFECD31_6FE44D6A__001EBFB3_0 -9__6EF36B41_E8F6CA03__0DDE6D68_0 -9__F27E04E2_DDBF2CC7__01E4FC09_0 -9__CF36705F_73FFF73B__00000019_0 -9__5F9B53E9_F5F7DDD4__000005F9_0 -9__EBFDB19A_7FFAF4AC__000EBFDB_0 -9__9FBF6E82_69F7574E__00027EFD_0 -9__FFF731DA_4FB79B42__3FFDCC76_0 -9__36F3EAEF_FF9F032C__00036F3E_0 -9__7BBF0F7F_FF3FA50F__0000F77E_0 -9__BAEFBAE5_5EFBAA96__000002EB_0 -9__BD7E728C_6AADE55A__0000002F_0 -9__9EBB0784_76EE45B0__00009EBB_0 -9__35BFDC47_DDDE3055__000001AD_0 -9__775BC09C_7FE764CD__0003BADE_0 -9__5D5569A1_F9FDB2C4__05D5569A_0 -9__FBF3414B_F57FCD90__0000FBF3_0 -9__FFFBFF2D_7FDB55B2__00003FFE_0 -9__593F2021_6FF97939__0000002C_0 -9__B65FC164_EEA5B4C2__2D97F059_0 -9__5BFF96DE_CFFF69AE__00016FFE_0 -9__37DFE5AA_4FFFEF37__0000006F_0 -9__7DDFEE29_F1DC3E64__07DDFEE2_0 -9__68E1C2FE_FFB3507F__00000000_1 -9__DDBD4832_DF7DEC3F__00000001_0 -9__3B9A7E9E_F3FEE563__07734FD3_0 -9__F1AD6BF0_76FB7803__1E35AD7E_0 -9__7D8FAB8E_3C1A1262__1F63EAE3_0 -9__EE7789BC_FFFD411D__00000007_0 -9__1FEE95BB_3FD720D8__0000001F_0 -9__5A93705A_76DEC094__000005A9_0 -9__6F6AB5A9_E3FBCB7C__00000006_0 -9__7FFF782E_5DFAB61A__0000001F_0 -9__F2CF0015_FFD75607__01E59E00_0 -9__7FDE5972_7BFE8025__03FEF2CB_0 -9__77E19E08_7EDF2C58__00000077_0 -9__7F3D09C7_79BE0204__07F3D09C_0 -9__BFFAD58F_C01E9067__017FF5AB_0 -9__7BFF4EDC_7FFFB903__0F7FE9DB_0 -9__B7EF243A_6FBE4D46__02DFBC90_0 -9__3D7FAA0B_CFDFC4F5__000001EB_0 -9__DDCE86C5_FFFB8024__0DDCE86C_0 -9__DB9FFB13_A97FDEAD__0006DCFF_0 -9__3D8EF9F0_5FF29190__00003D8E_0 -9__AD7E1CA8_59A43618__000000AD_0 -9__7A7FA2A6_7FF6BACF__0000F4FF_0 -9__8367B516_FB69C811__000041B3_0 -9__62FD69F5_EDAFD9B6__0000018B_0 -9__7F7CD040_7FDEBEAC__0007F7CD_0 -9__3F77EBB8_9FFFAE5E__00000000_1 -9__FFDE368B_7DDB1154__00000FFD_0 -9__DFE2C0DA_795EB8CD__0006FF16_0 -9__BBD0D899_3F580273__0000177A_0 -9__FFF450BB_7CCF8997__000001FF_0 -9__557D0164_7F3BD871__00002ABE_0 -9__71FBFD74_1DB9E452__00001C7E_0 -9__DABF8236_B6EF9499__0000006D_0 -9__DEB77587_66FF81A7__01BD6EEB_0 -9__7EFFDE1A_BB7EBB0C__0007EFFD_0 -9__6F4ECD6F_6BACE6CF__0000DE9D_0 -9__6D6F9087_FF9BF66D__00036B7C_0 -9__AFFD3390_3EFB8102__2BFF4CE4_0 -9__BF4F0D75_B93D6736__000002FD_0 -9__3F7B844D_FF741D66__00FDEE11_0 -9__5EFDA17D_2EEE3486__017BF685_0 -9__69FB99E1_C72D616C__00069FB9_0 -9__1FDDE358_EFF720E9__000FEEF1_0 -9__F6FFBEC9_AFDB2493__00001EDF_0 -9__37FD3824_CFE72A03__06FFA704_0 -9__7B77F7D6_2B5F3BF3__00000F6E_0 -9__F83C46E1_7FCE8450__0000F83C_0 -9__DD772E0F_FE7DC972__0000375D_0 -9__6E070F0C_FFFD540F__0000DC0E_0 -9__FFF2EBAE_7DECF715__000007FF_0 -9__D5E929F5_9E7642B8__000000D5_0 -9__5BFB388A_FFDFC1F8__0000005B_0 -9__BFFFFDB3_FEEBE4DF__00000001_0 -9__3DDE3BB7_74FFFF97__0000007B_0 -9__6DFACE53_D37F27EB__000DBF59_0 -9__F41B5B7A_D3BFF1C1__7A0DADBD_0 -9__BFBE1625_DD9BD85D__00000005_0 -9__FAB7EE04_DB6FA09E__00000003_0 -9__576DB4D2_6BC98220__576DB4D2_0 -9__1F7EBEDB_37CECDB7__0000003E_0 -9__F0000000_0000001C__0000000F_0 -9__0000000F_00000004__00000000_1 -B__FD5B0247_C58FB729__FFFEAD81_0 -B__17E796E8_7F56A5A8__0017E796_0 -B__D7FF0D45_56F2E828__FFD7FF0D_0 -B__C77D1C1D_DB7CCD02__F1DF4707_0 -B__5DDE160C_FDFB5693__00000BBB_0 -B__4BFB94EC_7F7F0D0C__0004BFB9_0 -B__FF97795F_BFBB91CF__FFFFFF2E_0 -B__EFFBC0BE_5BFA7FEA__FFFBFEF0_0 -B__3EF96F17_7FFF7FBE__00000000_1 -B__FFF7E177_7DCEAFE5__FFFFBF0B_0 -B__77ED6A52_7BB70652__00001DFB_0 -B__3FFF84A6_FB79A1F1__00001FFF_0 -B__F7F8B215_EFFEC3EF__FFFFEFF1_0 -B__B7170835_FD7F6E8B__FFF6E2E1_0 -B__7A7BCF62_2D9F62DB__0000000F_0 -B__6FC3F601_FDCE3C71__000037E1_0 -B__2DF7082B_7FFD816B__0005BEE1_0 -B__DA6ECB86_FBFD9D98__FFFFFFDA_0 -B__E23F6647_F7FB0A73__FFFFFC47_0 -B__4F9F1486_9E3F8FFE__00000001_0 -B__7FFD6EF8_BFFCC49E__00000001_0 -B__7F7D11FC_E4F75152__00001FDF_0 -B__EF7D7CC5_FDF35E3C__FFFFFFFE_0 -B__FFFF57DC_6DEB489E__FFFFFFFF_0 -B__AE6F431F_ADFF0A58__FFFFFFAE_0 -B__5AFB759E_27A568EC__0005AFB7_0 -B__72A6E929_B57CC339__00000039_0 -B__FFFD57C6_BF86DD7A__FFFFFFFF_0 -B__DE7372A6_1FFE5B45__FEF39B95_0 -B__17EFD708_7D9706C7__002FDFAE_0 -B__7FFB0156_F76F4F79__0000003F_0 -B__DEB74AB5_BEB7C0EB__FFFBD6E9_0 -B__DFFFE802_2FE5044A__FFF7FFFA_0 -B__EFFEB786_F7FB06A1__F7FF5BC3_0 -B__7FFF0BB7_3FE02274__000007FF_0 -B__2F5F6E17_B8F65264__02F5F6E1_0 -B__783F2421_76E36CF5__000003C1_0 -B__E7FF7D3C_6F3F9275__FFFFFF3F_0 -B__76FFDF1C_AF7B4174__0000076F_0 -B__6FFF605F_777941E2__1BFFD817_0 -B__DAFFFED0_73FE818F__FFFFB5FF_0 -B__6FDABD72_FF9BFC9B__0000000D_0 -B__6BFD9B89_777B62D9__00000035_0 -B__C7D731AF_FFFEA319__FFFFFFE3_0 -B__F33F4472_D2EB3A17__FFFFFFE6_0 -B__FEDBD417_7AF140FD__FFFFFFFF_0 -B__FFD69042_3E9D2612__FFFFFFF5_0 -B__E3BF7CFD_FA5B4202__F8EFDF3F_0 -B__FEDB0BA1_FFDD74E7__FFFDB617_0 -B__366750A4_5AD16FAC__00036675_0 -B__FFF6A134_FFD781B8__FFFFFFFF_0 -B__9F6AC75B_7FD7DDA6__FE7DAB1D_0 -B__FFBF1BCA_F9FFC629__FFFFDF8D_0 -B__F7785C8A_D4DFF0F8__FFFFFFF7_0 -B__7D4FD1BA_1FFBC3C8__007D4FD1_0 -B__A4FD89EC_FFEEC7A8__FFA4FD89_0 -B__F7FC6FD5_46DFF19A__FFFFFFFD_0 -B__FCBBFF84_5FDF5904__FFCBBFF8_0 -B__39FB388E_1DDFFF45__01CFD9C4_0 -B__5D3F7113_FE66F8A8__005D3F71_0 -B__0BFF7368_BFF68896__0000002F_0 -B__5E5FB55B_77F945B8__0000005E_0 -B__57F4AF7B_FB7DC188__0057F4AF_0 -B__3E877F6C_AE3D916E__0000FA1D_0 -B__D7C75AEF_6CF9EF18__FFFFFFD7_0 -B__6B4B514A_F7FF0902__1AD2D452_0 -B__7F6FB62D_7D7F1912__00001FDB_0 -B__FF7FA660_5A7E2B81__FFBFD330_0 -B__5F7EABE2_BFDF34F0__00005F7E_0 -B__9F53BFCB_EBE3803B__FFFFFFF3_0 -B__CFBD8A9B_EEE5DC6E__FFFF3EF6_0 -B__DFEE28F8_F2EFDF61__EFF7147C_0 -B__771745E1_D3FD6C21__3B8BA2F0_0 -B__F4FF4FE7_EB32A00B__FFFE9FE9_0 -B__7AF50458_3FFD3EBE__00000001_0 -B__7EDF27C7_9DF67F0C__0007EDF2_0 -B__F9EF8B87_697E4637__FFFFFFF3_0 -B__DFFD7DA4_BFBF8F76__FFFFFF7F_0 -B__F3D67651_EBF6BA0A__FFFCF59D_0 -B__3BFF87F5_2FFCC0B4__000003BF_0 -B__CF6A1A35_F5C774D1__FFFFE7B5_0 -B__795DB0A5_7F753600__795DB0A5_0 -B__7E333EBF_857B129A__0000001F_0 -B__3F9DD09F_B32F5A50__00003F9D_0 -B__4FED11F4_F9FFB2FE__00000001_0 -B__7F7FDD31_D657AE2E__0001FDFF_0 -B__7F5F54D7_5BF4B60E__0001FD7D_0 -B__7EBD9CE9_9ECFE0C2__1FAF673A_0 -B__EFFF6EDA_BA754470__FFFFEFFF_0 -B__7FDEA5FB_7FD70165__03FEF52F_0 -B__F2BFC8E7_EF6F4EE8__FFF2BFC8_0 -B__7DF275CB_B6E7A144__07DF275C_0 -B__BA342A0E_5AFA2BC1__DD1A1507_0 -B__FCFDAEFD_8FCF6DDA__FFFFFFFF_0 -B__6FDBB2DB_7ED734F9__00000037_0 -B__FEDF8E6B_2FEA1845__FFF6FC73_0 -B__7F7F2C29_7E7F0554__000007F7_0 -B__1F7B7BFD_7FFF6C63__03EF6F7F_0 -B__77BF5B99_F7FF0B1C__00000007_0 -B__CFEDA014_B6EDC941__E7F6D00A_0 -B__E575A7C0_7AFFD8D2__FFFFF95D_0 -B__FBCE33E0_F6E8921D__FFFFFFFF_0 -B__E7EF8F9B_3BBD03A0__E7EF8F9B_0 -B__1FF66BAE_75AD72F6__0000007F_0 -B__97EE363D_FF3A2808__FF97EE36_0 -B__3F6DEFFE_35D94EC5__01FB6F7F_0 -B__7AFE686C_FBFEC888__007AFE68_0 -B__D3E314BB_3FFF7BC3__FA7C6297_0 -B__6FDF2DEA_77D77861__37EF96F5_0 -B__75F25815_F7FF8F34__0000075F_0 -B__AB9FCB20_FFFF9624__FAB9FCB2_0 -B__3BEE458C_FBFF3FDA__0000000E_0 -B__7F9ECA32_DAFEC07A__0000001F_0 -B__9F73A8E6_BFFFF386__FE7DCEA3_0 -B__FDBB77AE_55BF9CFE__FFFFFFFF_0 -B__4BF7C3DD_045A3990__00004BF7_0 -B__7DFD28DE_4FFCE22B__000FBFA5_0 -B__DFEFD5A3_ECA61DD8__FFFFFFDF_0 -B__7ECB1EED_FBFF2C6A__001FB2C7_0 -B__59DF5B9E_7FA95175__000002CE_0 -B__5BBF76B6_DBBDA236__0000016E_0 -B__F6FB083B_E3FFD349__FFFB7D84_0 -B__FEFDDA14_BFF91E0C__FFFFEFDD_0 -B__C5FF0E59_FDE7DF39__FFFFFFE2_0 -B__6FFC83ED_7FDF38BC__00000006_0 -B__DBAC1843_7DEEDA1B__FFFFFFFB_0 -B__631FA6E3_DFAF0C74__00000631_0 -B__C3FF5DD2_FAB7B8F6__FFFFFF0F_0 -B__4CF5A125_B7E9E40E__000133D6_0 -B__7CAFFF15_FFAE50F7__000000F9_0 -B__FDBD06EB_7CF9E777__FFFFFFFB_0 -B__739FD43E_7D7F9396__000001CE_0 -B__EEFD58D3_FFFFA07F__FFFFFFFF_0 -B__7BFF39DA_5EF3FB54__000007BF_0 -B__7FF72849_EFBFE017__000000FF_0 -B__19E20D99_FEFFFFDE__00000000_1 -B__EB9DE506_33DE73E3__FD73BCA0_0 -B__47D9138E_F6FD6F0F__00008FB2_0 -B__7FD57C84_EF774A81__3FEABE42_0 -B__5FDFC0A6_FF463648__005FDFC0_0 -B__FDED1244_6ADDCCD2__FFFFFF7B_0 -B__47FF885D_F73F1408__0047FF88_0 -B__4DFF5737_1B6BD9D5__0000026F_0 -B__7F5535CD_7CC71CA3__0FEAA6B9_0 -B__4FF8B2FF_BC67E6B7__0000009F_0 -B__79371C53_EEEF2A26__01E4DC71_0 -B__7DFD2B90_377AA4F0__00007DFD_0 -B__BB8D1EFF_2BB54A1B__FFFFFFF7_0 -B__7CF5654D_F767DD9D__00000003_0 -B__AFAEAE37_BD57D835__FFFFFD7D_0 -B__AFBFD6AD_6FFF44A8__FFAFBFD6_0 -B__FB851D47_6BFFE35B__FFFFFFFF_0 -B__FFDE0BD8_EEFCCA98__FFFFFFFF_0 -B__D7FDF497_0FF57CDA__FFFFFFF5_0 -B__CCDCA2D0_7FD7A616__FFFFFF33_0 -B__5F7FF232_D37BA3F8__0000005F_0 -B__EFFF3C37_5FFF4D25__FF7FF9E1_0 -B__E7AF698F_7CFE65FF__FFFFFFFF_0 -B__7FFB0665_FF27D15D__00000003_0 -B__4CFF74B0_FE67C3AC__0004CFF7_0 -B__5FE66C98_7FE3717B__0000000B_0 -B__6CF71E7C_A5FE6067__00D9EE3C_0 -B__1F6D89FD_77F650F8__0000001F_0 -B__5FEE369A_E98F045F__00000000_1 -B__FFF9A134_C9FF2DD4__FFFFFFFF_0 -B__DEE38F6B_F5EF4432__FFFFF7B8_0 -B__6EFB7181_4DEFE3FD__00000003_0 -B__5FB2533D_FF7F8820__5FB2533D_0 -B__7F4E758E_F76F4E27__00FE9CEB_0 -B__EE74F0C9_1C7B2DED__FFFF73A7_0 -B__6EEF34F6_7FACDE89__0037779A_0 -B__7FEFE8EF_F3F70C26__01FFBFA3_0 -B__FDFF8E46_FDD758FE__FFFFFFFF_0 -B__FF7B01A8_FB836EAD__FFFFFBD8_0 -B__5FB9DCDA_6D421C07__00BF73B9_0 -B__47DF18EE_DF3B6BB7__0000008F_0 -B__EBFE2B72_7FBBF994__FFFFFEBF_0 -B__9DEE008A_7FA91451__FFFFCEF7_0 -B__77F7B785_B9DE0CAD__0003BFBD_0 -B__32FCF528_7EFFC6FA__0000000C_0 -B__EE7A2519_CEFBC241__F73D128C_0 -B__71DFDE21_87CEE834__0000071D_0 -B__F8FF6FE1_6FBDAB38__FFFFFFF8_0 -B__73BE62D4_97EEE912__00001CEF_0 -B__FEF7B7AF_7FFF884A__FFFFBDED_0 -B__F7FEBE50_F3FF116F__FFFFEFFD_0 -B__FBBA09DE_3CBE23FE__FFFFFFFF_0 -B__17D792FF_BFEF853A__00000005_0 -B__EBEE54AE_A5FFB2EF__FFFFD7DC_0 -B__7B0C0A57_66F7427A__0000001E_0 -B__CF271909_CF67C2DC__FFFFFFFC_0 -B__FFF7865E_FCE301EA__FFFFFDE1_0 -B__E9BF559E_7DFC88BB__FFFFFFFD_0 -B__E2FDC906_AF773B79__FFFFFFF1_0 -B__8BFF4B19_77CFB0BE__FFFFFFFE_0 -B__A7BE12AA_6FBF5711__FFFFD3DF_0 -B__777F209D_3FEEE49B__0000000E_0 -B__8EFDF222_BBF60F40__8EFDF222_0 -B__74EDB585_57D91827__00E9DB6B_0 -B__BA5F1933_7AFCD02C__FFFBA5F1_0 -B__6E7152DB_E78FA0D8__0000006E_0 -B__DBFF6C37_FFF7ED4D__FFFEDFFB_0 -B__7F7FAB53_DFEF37CF__0000FEFF_0 -B__1EFD7747_DEB9F643__03DFAEE8_0 -B__DFFA6A4C_FFFFC2CA__FFF7FE9A_0 -B__72FF7D06_6FC7A26B__000E5FEF_0 -B__3E7F0F82_7EBF94B9__0000001F_0 -B__2BFF0876_999A9013__0000057F_0 -B__DEE61C18_ADFFC765__FEF730E0_0 -B__DD7DEB5E_7FED472C__FFFDD7DE_0 -B__FFFF228D_F796D665__FFFFF914_0 -B__FDBE0CAB_F4BBFE48__FFFDBE0C_0 -B__47FED992_7F8CD05F__00000000_1 -B__4DDF40F2_FBDFBC1A__00000013_0 -B__7DCF3DD9_BD973E06__01F73CF7_0 -B__38FB96F3_48B566D0__000038FB_0 -B__E616D2B9_B32DFF60__E616D2B9_0 -B__3F7E5E9C_7BBE619F__00000000_1 -B__7BEB2292_DE97BBE2__1EFAC8A4_0 -B__FFF7A2CA_8FDAA2E2__FFFDE8B2_0 -B__FDC68C56_BDFFDC39__FFFFFFFE_0 -B__77B4318E_9BB68ECA__001DED0C_0 -B__7D369DF3_CEFFC6A7__00FA6D3B_0 -B__333F784D_21EFFA6F__0000667E_0 -B__AFF18BBA_76DF49F6__FFFFFEBF_0 -B__FEBFCA26_D7E78641__FF5FE513_0 -B__3FEB6A35_DBF3DE86__00FFADA8_0 -B__3DAFAA8B_EDF15B02__0F6BEAA2_0 -B__1EFD11F3_6DF61943__03DFA23E_0 -B__D6E7C3CA_6F7FB718__FFFFFFD6_0 -B__AFEAC75C_E3DF03B9__FFFFFFD7_0 -B__DFEF82A8_5D9C43F6__FFFFFF7F_0 -B__FBD616AF_7B3F982F__FFFFF7AC_0 -B__6F752A9A_5F3F830D__00037BA9_0 -B__F7EB88BF_DF9FF7EC__FFFF7EB8_0 -B__FFFF0DA9_55EFAFC2__FFFFC36A_0 -B__37DADCAB_7BAAF3E4__037DADCA_0 -B__747F525C_57CA9D0C__000747F5_0 -B__A1EF0074_D15BAEB6__FFFFFE87_0 -B__51BF8E8D_EDE72EE1__28DFC746_0 -B__FAFB086A_AB4D4B17__FFFFFFF5_0 -B__FBFD60D5_7EFE6023__FF7FAC1A_0 -B__FA7C61C3_7F5F54FC__FFFFFFFF_0 -B__BFBB9DCF_BE7D9BCA__FFEFEEE7_0 -B__1DCF0900_EFF70028__001DCF09_0 -B__67D71FDB_76CDD43C__00000006_0 -B__FFFB7E28_FDBC76D2__FFFFFFFE_0 -B__56EF8D84_FFDF7D63__0ADDF1B0_0 -B__FBEF8E60_7FFF5FD8__FFFFFFFB_0 -B__9DFC284A_7FBE4B4D__FFFCEFE1_0 -B__ECFF692E_7B5FC0D7__FFFFFFD9_0 -B__78FDC953_A7D92B4C__00078FDC_0 -B__FBBF8839_9FF3B33F__FFFFFFFF_0 -B__FA46A8C1_3FFC6F0F__FFFFF48D_0 -B__542757ED_F7BFE466__01509D5F_0 -B__185F41AE_1BFC664E__0000617D_0 -B__9D7F2F22_6CAFB6FB__FFFFFFF3_0 -B__3FA790C7_79B506AA__000FE9E4_0 -B__FFFD5633_7EB89AF0__FFFFFFFD_0 -B__36BF3B6F_FEED5D45__01B5F9DB_0 -B__6DEB68D2_DBFFFF13__00000DBD_0 -B__7FF7DE9D_6BE79A36__000001FF_0 -B__7BFFED6B_57EB7B94__000007BF_0 -B__5FCEC57F_77F20044__05FCEC57_0 -B__FB5F586F_7F7F8BEE__FFFFED7D_0 -B__EEEA6080_9FF5315E__FFFFFFFF_0 -B__55DE8E1B_BB9F5407__00ABBD1C_0 -B__A4FF8B0F_CFCFCA86__FE93FE2C_0 -B__59574381_FFDD25C4__05957438_0 -B__FEBB4CC8_5EF5FAF5__FFFFFFF5_0 -B__37F3E6BD_9E7B6511__00001BF9_0 -B__7F369F0B_BFFE00A2__1FCDA7C2_0 -B__97FFEB91_7FEB9134__FFFFF97F_0 -B__3FEFB261_3DFF2FA5__01FF7D93_0 -B__1FFBE4E6_BDF27C22__07FEF939_0 -B__EF55DDB5_EBFF87EB__FFFDEABB_0 -B__8B96878E_7BE77AC8__FF8B9687_0 -B__FE139900_2DFA47CB__FFFFC273_0 -B__7E9FD0B0_FEDEBC1E__00000001_0 -B__7BFE2A9D_F7BFCC01__3DFF154E_0 -B__D37F5D05_35F7178D__FFFE9BFA_0 -B__3BFAF69C_BDD93E93__0000077F_0 -B__460FEB97_2A6D3217__0000008C_0 -B__D5BD5F61_FDEF1F93__FFFFFAB7_0 -B__FAE9B0BE_CFF351F2__FFFFFEBA_0 -B__EFFDAB4B_FFB7F6A6__FFBFF6AD_0 -B__7BDF341F_1B9FFF38__0000007B_0 -B__BF3FB42D_7F796A12__FFFFEFCF_0 -B__33FF6185_7D9F5E36__000000CF_0 -B__4F7BF36C_7F1DEFBB__00000009_0 -B__F7E5284B_7FFFA6B9__FFFFFFFB_0 -B__FFF7ABF0_5D2FAD97__FFFFFFFF_0 -B__BEFF074A_BDED849C__FFFFFFFB_0 -B__76B3426C_FDCD9288__0076B342_0 -B__5EAD5DB5_3FCD452D__0002F56A_0 -B__DCFBA3C2_5FFEA98E__FFFF73EE_0 -B__FEB52346_EBF6EC6B__FFFFD6A4_0 -B__6AF9B29E_F7BB9CFB__0000000D_0 -B__5FDC7955_EF7CA4B4__000005FD_0 -B__37B9ACC0_FAFD8246__00DEE6B3_0 -B__FFFA23BE_BFCBFD0E__FFFFFFE8_0 -B__BEFE7251_7FFED1AB__FFF7DFCE_0 -B__7BEB345E_7E5A922D__0003DF59_0 -B__ED7ED5B1_7F2B27CE__FFFFB5FB_0 -B__CE71C75F_56FD9EEC__FFFCE71C_0 -B__B3B355A4_ABFE3E63__F6766AB4_0 -B__EEFF1A43_7FE7CAD7__FFFFFFDD_0 -B__2DFBF503_FFFDFE3E__00000000_1 -B__FFCB8D27_7B3FD4A7__FFFF971A_0 -B__6FDEC002_DFF7D36A__001BF7B0_0 -B__FDFF68AC_579FE468__FFFDFF68_0 -B__ECB45115_6D77A60C__FFFECB45_0 -B__8FE99914_F7D73082__E3FA6645_0 -B__F3EDD19D_DDFDA7FD__FFFFFFFF_0 -B__E7DD7C0C_4F5BAFCE__FFFF9F75_0 -B__70FDB821_FDBF25B3__00000E1F_0 -B__7FDA8A3D_F98B61F5__000003FE_0 -B__7EBF3635_6E7E3F8F__0000FD7E_0 -B__FFED47DC_C5EF34F3__FFFFFFFD_0 -B__735FAA71_7B3F4207__00E6BF54_0 -B__0FFD65B1_6B97D2FD__00000000_1 -B__F1174F9E_3FFB13F2__FFFFFC45_0 -B__CB75437D_FDFE74FC__FFFFFFFC_0 -B__BF7BF7E3_7498C179__FFFFFFDF_0 -B__F77795E5_FDDD660E__FFFFDDDE_0 -B__75FC7786_3997A697__000000EB_0 -B__7A9FB985_1FDAFC81__3D4FDCC2_0 -B__E6F7C667_E9DB6B6D__FFFF37BE_0 -B__FF664AF4_717D6D7A__FFFFFFFF_0 -B__BFDB31D2_FFFA945B__FFFFFFF7_0 -B__FC76B711_FDFB9412__FFFFFF1D_0 -B__56DFEEB6_1F073FFD__00000002_0 -B__3EFE6B0F_CDD6A66E__0000FBF9_0 -B__E6CFE5C1_5F7FA3EC__FFFE6CFE_0 -B__6AAF2D38_EDFED1C0__6AAF2D38_0 -B__B9FFF12A_19AF44EB__FFF73FFE_0 -B__BFDF1A52_7D9FEBA5__FDFEF8D2_0 -B__FFDE5EE5_7BFB5442__FFF797B9_0 -B__F3FF44C9_236E6BCF__FFFFE7FE_0 -B__FCFE4179_FEDF942D__FFFFE7F2_0 -B__4E7F10C6_3777F88A__00139FC4_0 -B__4FEF81D1_FAFE8EF7__0000009F_0 -B__67D507B9_3FDE956E__00019F54_0 -B__F7BFADEC_9FDF2A84__FF7BFADE_0 -B__5E7EC7AD_7BF20CC2__179FB1EB_0 -B__E7DFB7B0_FEBB7AA7__FFCFBF6F_0 -B__FFBFE9F6_67774B31__FFFFFFDF_0 -B__FEFFBB29_F5EE3C96__FFFFFFFB_0 -B__BFBE6242_EF6B1338__FFFFFFBF_0 -B__DDFAFA2F_36BF8DE0__DDFAFA2F_0 -B__7EFF6E8A_6FEDB36E__0001FBFD_0 -B__7FFE3534_5D18FA7E__00000001_0 -B__9FF74C8F_53BA0058__FFFFFF9F_0 -B__BC3F7187_75EC5545__FDE1FB8C_0 -B__5F3BD3E9_CDFBF113__00000BE7_0 -B__3FFEE210_F5F4E045__01FFF710_0 -B__1F7618DE_B7BD2C0B__0003EEC3_0 -B__F4DFA338_EDFF6123__FE9BF467_0 -B__67F336D5_FDFB817C__00000006_0 -B__6FF7954B_9DFFE6ED__00037FBC_0 -B__DFCBFD9C_4E7F3E99__FFFFFFEF_0 -B__F6FB9E32_FFAF5A88__FFF6FB9E_0 -B__FAFF272E_6B377D11__FFFFFD7F_0 -B__5FF631F0_EFFEEDA3__0BFEC63E_0 -B__F7DF2FE5_B99B5ED6__FFFFFFDF_0 -B__517219DB_BB9B2A8A__00145C86_0 -B__E76F2745_5F6E1258__FFFFFFE7_0 -B__5CEF87F2_27AFD0C6__0173BE1F_0 -B__B9757280_6EEB6204__FB975728_0 -B__67FFFD53_CB77B11B__0000000C_0 -B__CFDA65B4_3F5C6BAE__FFFF3F69_0 -B__7E7B5CB7_3AEF092B__000FCF6B_0 -B__FBF86D92_EDDF49F2__FFFFFEFE_0 -B__E577EAA7_AF793ABA__FFFFFFF9_0 -B__AFBFFB98_FFB8C3BF__FFFFFFFF_0 -B__7F37948E_37FFC648__007F3794_0 -B__FBFDA136_4FF88617__FFFFFFF7_0 -B__7F7ED912_6FDD9428__007F7ED9_0 -B__F5FB9F63_793E1F7E__FFFFFFFF_0 -B__BAAF2775_9BFF80FD__FFFFFFFD_0 -B__7A9EFBC0_37BF2869__003D4F7D_0 -B__13E9CBF7_DFFF5802__04FA72FD_0 -B__F7B304B6_4D77EC19__FFFFFFFB_0 -B__9BEFA8D6_8FDDAB9B__FFFFFFF3_0 -B__5F7AD152_C7F64B3F__00000000_1 -B__77FF2BE0_ADF26F13__00000EFF_0 -B__7F7A8190_7EAFE12A__001FDEA0_0 -B__F7DDE62F_5BDF0C59__FFFFFFFB_0 -B__BEF7A49C_5FEC4627__FF7DEF49_0 -B__FABFAA9D_6CDF52BF__FFFFFFFF_0 -B__7BFDE6EE_6FF50CA9__003DFEF3_0 -B__62FBDC50_1E1C60FA__00000018_0 -B__DBF75FB2_AEFF3DD0__FFFFDBF7_0 -B__6BFF1C9E_EAEB1539__00000035_0 -B__7F3F0BE7_EFF63E75__000003F9_0 -B__DD47718E_5B57D135__FFFFFEEA_0 -B__7F7B2176_0FA68700__7F7B2176_0 -B__17FE39E1_F53F144A__0005FF8E_0 -B__3BFBC074_1FE68A8A__000EFEF0_0 -B__6FFD2D34_CFFFDC7C__00000006_0 -B__57FBDAC6_55FF5FD9__0000002B_0 -B__DB6FD5E8_7EBF9C8D__FFFEDB7E_0 -B__1DD729ED_B9ED59CA__000775CA_0 -B__FB947561_6E965FA3__FF728EAC_0 -B__D7EC3762_2FEF771D__FFFFFFFE_0 -B__39FF921A_FFDFFCE6__00E7FE48_0 -B__7F7A207F_FB7BFC80__7F7A207F_0 -B__7DD5EE5F_FFFD13F0__00007DD5_0 -B__5FF5DD49_11FEA52C__0005FF5D_0 -B__F4EF4152_BF9B9029__FFFA77A0_0 -B__9F5B99E1_F77FA0AB__FFF3EB73_0 -B__7FCF3737_7FB5B7C4__07FCF373_0 -B__DDEB930B_E59F8FDC__FFFFFFFD_0 -B__EFBF2037_53F784A5__FF7DF901_0 -B__BFFA7F54_F3579ED1__FFFFDFFD_0 -B__FE7E4F6B_69B7E32D__FFFFF3F2_0 -B__DF2B29CB_FD1F0E87__FFBE5653_0 -B__C9FF895D_5FFF1572__FFFFF27F_0 -B__FFFF9542_7EFA4E06__FFFFFE55_0 -B__BFF36BEA_FF5D0E87__FF7FE6D7_0 -B__F5FFC68C_7D59ADF8__FFFFFFF5_0 -B__F5B3F7DE_3FBE1A3E__FFFFFFFF_0 -B__FF776E43_FECBE12D__FFFFFBBB_0 -B__7FFF3272_18FACB02__1FFFCC9C_0 -B__FDF4255F_977D709B__FFFFFFFF_0 -B__D9778DBE_FBFDBF8B__FFFB2EF1_0 -B__7E43866F_F6F7768A__001F90E1_0 -B__76B1481A_EF5E921A__0000001D_0 -B__FFFBD50A_D09E484C__FFFFFFBD_0 -B__7E4F3F64_CFEF7A57__000000FC_0 -B__FFA655F1_5FFFE8AB__FFFFF4CA_0 -B__77DFAFCD_EC4B2C45__03BEFD7E_0 -B__7ECF3A71_DDC94788__007ECF3A_0 -B__60FAB1B2_5FDA6C56__00000183_0 -B__BFDF8E63_327C6897__FFFFFF7F_0 -B__9BFEA30A_FFE7EC2F__FFFF37FD_0 -B__73F7A00F_77DF07F3__00000E7E_0 -B__36FB6D8C_7F7A216A__000DBEDB_0 -B__FD5EFAE5_FFFD1671__FFFFFEAF_0 -B__7D7FAF78_32DB6F3E__00000001_0 -B__7B1FA692_CDFB83D2__00001EC7_0 -B__7FFBCB5A_EF770834__000007FF_0 -B__D7ABFB1F_FFAACDF3__FFFFFAF5_0 -B__3FBF0B93_FAFFE0DF__00000000_1 -B__7AFEC6D3_B4FC8D85__03D7F636_0 -B__75FDC580_79E7FC8E__0001D7F7_0 -B__FDFF847B_DFD748F7__FFFFFFFB_0 -B__7C1EBB4E_7F9ACD4B__000F83D7_0 -B__754FDEB9_7F7D6CF4__00000754_0 -B__7CFC3080_6FF69236__000001F3_0 -B__6EDFCA48_775E8D19__00000037_0 -B__7FFE956F_DBFBFB7E__00000001_0 -B__7E494F9E_7AFC779D__00000003_0 -B__1B5BA462_6FDF1B0A__0006D6E9_0 -B__6DA30422_5F9F5271__000036D1_0 -B__7D9FD52B_3E352098__0000007D_0 -B__FEFD2462_BDD705D3__FFFFFFDF_0 -B__6E4BF8C5_5FBE4033__00000DC9_0 -B__FF1DA20D_3DBA851F__FFFFFFFF_0 -B__D7CE2047_7FFF2B73__FFFFFAF9_0 -B__CE87135D_5E7D1A78__FFFFFFCE_0 -B__DBFCEA2C_7FE7FDE4__FDBFCEA2_0 -B__BFFD31B5_7F7EAAAF__FFFF7FFA_0 -B__FEFB6AA7_6EF72014__FFFFFFEF_0 -B__7EF7C1B9_7F5B8194__000007EF_0 -B__336A4390_FFE7019B__00000006_0 -B__9BF17259_3FADB172__FFFFE6FC_0 -B__FCFD3BE5_6EFE3DED__FFFFE7E9_0 -B__BDF7D1BE_7DBFAA3F__FFFFFFFF_0 -B__3F9F6CE0_EF1C4FA3__07F3ED9C_0 -B__7FCE747B_5B7F1D9E__00000001_0 -B__FFDF05A2_3FFB026F__FFFFFFBE_0 -B__E4AFF0BA_7CFC4320__E4AFF0BA_0 -B__2FFF1B6E_E66FEEF1__000017FF_0 -B__4E9FD0B5_732A6D9B__00000009_0 -B__FFDFE431_6BBD03C3__FFFBFC86_0 -B__1AEB8918_94FBAE2D__0000D75C_0 -B__6FF9D588_6FE55141__37FCEAC4_0 -B__FF7F7F84_F37BBB8B__FFFFEFEF_0 -B__FF9A86D0_FA7A77F1__FFFFFFCD_0 -B__A7F6543C_32FF2C48__FFA7F654_0 -B__6F7DCE0B_6F372F9A__0000001B_0 -B__3F9F8A68_FDF1D837__0000007F_0 -B__7FFA4980_FB9FBC42__1FFE9260_0 -B__BFEE2777_7F7D88BC__FFFFFFFB_0 -B__6AF6707F_DE9FA238__0000006A_0 -B__3FBDA9C6_25FF20E7__007F7B53_0 -B__3FFA6974_55F69ADB__00000007_0 -B__CBEB46C6_97FF7033__FFFFF97D_0 -B__2FF71C4A_7FFEC93B__00000005_0 -B__797A1C71_7FF535CD__0003CBD0_0 -B__6DEF9F33_55FFF158__0000006D_0 -B__FDB5AE7F_3BF4D0E7__FFFB6B5C_0 -B__0F7FD233_79A760BA__00000003_0 -B__F3E7B75A_F15DF3D1__FFFFF9F3_0 -B__4E1BCEC6_73FF755B__00000009_0 -B__BFFF5E18_9FFFFA9F__FFFFFFFF_0 -B__F6EB35A6_3ED65B7B__FFFFFFFE_0 -B__57C32C2C_7DF72732__000015F0_0 -B__77C59C35_7EBEEBA8__0077C59C_0 -B__E7FEBD43_ECBF30BE__FFFFFFFF_0 -B__3F8F83C4_DF6D1788__003F8F83_0 -B__FFF69BFE_5FF78DB6__FFFFFFFF_0 -B__F3FC62A0_39F7D683__FE7F8C54_0 -B__523C9F2F_FBEDA0A9__00291E4F_0 -B__FF7F75F7_CEFFAF06__FFFDFDD7_0 -B__D7514252_61BAFB85__FEBA8A12_0 -B__FFD27C0B_D76F4D94__FFFFFFFD_0 -B__7057BF18_FA47AB8B__000E0AF7_0 -B__5EA5D836_EAEFD86A__0017A976_0 -B__6FCB0703_7A3CB5AC__0006FCB0_0 -B__3FBAC29B_77CD57ED__0001FDD6_0 -B__F7F88CB6_3EA12499__FFFFFFFB_0 -B__FB7793F6_7F7FB23F__FFFFFFFF_0 -B__9EFA3AA3_B3BC260A__FFE7BE8E_0 -B__77DFBC32_EB7F8071__00003BEF_0 -B__E9DAAB66_FBBF7E46__FFA76AAD_0 -B__7DE6AFD5_E45F8081__3EF357EA_0 -B__E7F61901_B5D7C87F__FFFFFFFF_0 -B__7F3EE917_37DDF083__0FE7DD22_0 -B__753F7B7F_7BFB5631__00003A9F_0 -B__E9E7D95F_6D78B1A1__F4F3ECAF_0 -B__F8B95481_FFBFCDE1__FC5CAA40_0 -B__EBD615B2_ABEF167C__FFFFFFFE_0 -B__3ABB24DA_7BAE3A19__0000001D_0 -B__7AAF90DA_1EE4EFC6__01EABE43_0 -B__BFEF7172_1ECF50F7__FFFFFF7F_0 -B__F5DA9EAD_ABFEE4BA__FFFFFFFD_0 -B__7C7F44CD_5FFDB34E__0001F1FD_0 -B__FECFBE05_7EDEC04A__FFFFB3EF_0 -B__5E595B20_F7F7D0A0__5E595B20_0 -B__FFEFFC2A_6DD7894F__FFFFFFDF_0 -B__DF3FC68A_BC5030EA__FFF7CFF1_0 -B__58FF975D_67FB687D__00000002_0 -B__FBFB2D75_DFEBCED5__FFFFFFDF_0 -B__7F7FBE83_BF7C12E0__7F7FBE83_0 -B__45E7C950_A63F1499__00000022_0 -B__5B5ECE24_FFE96811__00002DAF_0 -B__7FFD6740_FFF60962__1FFF59D0_0 -B__5BB59892_F7793633__00000B76_0 -B__6DDFE9B9_B58DD384__06DDFE9B_0 -B__DE3EA435_F3F71B28__FFDE3EA4_0 -B__FB7D7152_FD676AEB__FFFF6FAE_0 -B__DFFB1506_7FBBBDC7__FFBFF62A_0 -B__FF3D8F9B_485622DE__FFFFFFFF_0 -B__6DD793D9_C7FD07FC__00000006_0 -B__577B233E_DFF7D4DE__00000001_0 -B__7DDED944_3FFF131F__00000000_1 -B__6A9E685F_7F07C22A__001AA79A_0 -B__BF4F189E_4D7F4322__EFD3C627_0 -B__6DD9E5BD_FF6F0992__00001B76_0 -B__7FEF4E58_F5F38A1D__00000003_0 -B__AF9622BC_7EFF16C7__FF5F2C45_0 -B__76FF63A2_3ADB096D__0003B7FB_0 -B__FFFB8C70_3FFE103F__FFFFFFFF_0 -B__BBFD6DF8_FABCD34A__FFEEFF5B_0 -B__FFDF0606_CFBFBDF5__FFFFFFFE_0 -B__496F8D08_1CFF88B3__0000092D_0 -B__AB6D491A_4FFE7E96__FFFFFEAD_0 -B__DFFAE503_3EFDC4F5__FFFFFEFF_0 -B__FE665152_1ADDA330__FFFFFE66_0 -B__BBF3CE95_DFF0E913__FFFFF77E_0 -B__0BEB6270_FF7FF9B0__00000BEB_0 -B__CFFBEEE2_7BFA3A56__FFFFFF3F_0 -B__0AF5565F_7CDFBCAB__00015EAA_0 -B__ADF6F40E_FFDF207C__FFFFFFFA_0 -B__DBF79E70_F55FA313__FFFFFB7E_0 -B__7FF0C562_FBEFF3C9__003FF862_0 -B__7FCBE08F_F9EE545A__0000001F_0 -B__5F6DE03B_7FDE555A__00000017_0 -B__BB7B91FD_7FFD4B25__FDDBDC8F_0 -B__7E3F7691_68697B06__01F8FDDA_0 -B__FFED1537_FFB5A8ED__FFFFFF68_0 -B__F6FB6874_FFE1D623__FEDF6D0E_0 -B__D56DA680_3C7786DC__FFFFFFFD_0 -B__DFF3214C_6FBF93D4__FFFFFDFF_0 -B__7CFFD7FA_B9FF5A1F__00000000_1 -B__BEDCC3F8_ECA99E1C__FFFFFFFB_0 -B__5FFF1EF9_FECD728D__0002FFF8_0 -B__CFF7DD6A_63EEBBCF__FFFF9FEF_0 -B__7FF6DCB0_F75FB538__0000007F_0 -B__897714FC_EFDFAFD2__FFFFE25D_0 -B__7A7F9281_DF6CF488__007A7F92_0 -B__7D77694A_B6BB1395__000003EB_0 -B__ADEEFC1A_36BB69F5__FFFFFD6F_0 -B__65DE93C3_FB9C1E7A__00000019_0 -B__F2B38BD3_DB8744DD__FFFFFFFF_0 -B__7BE58CB4_BEBD1E69__003DF2C6_0 -B__EBB5EFEF_6F9FCD4F__FFFFD76B_0 -B__7FBE8F64_7F5CD0EC__0007FBE8_0 -B__6FFBE4D3_27B52CE5__037FDF26_0 -B__F3EF3497_F9FDF992__FFFFFCFB_0 -B__FFF6CE85_B7F7C14F__FFFFFFED_0 -B__75FFD90F_EDE76E1E__00000001_0 -B__BF7F6F92_FEBD64CD__FFFDFBFB_0 -B__FFB725C3_7F67CCD3__FFFFFFF6_0 -B__6D53BA40_EFDF65A1__36A9DD20_0 -B__7DBB38F4_6CED8B58__0000007D_0 -B__726E342F_345D8B6A__001C9B8D_0 -B__FFCE4AA9_FFD7D4A3__FFF9C955_0 -B__4B5EB7A3_353F41E1__25AF5BD1_0 -B__2FFF6A72_7DA995F5__0000017F_0 -B__6FFF77EE_C6FE967B__0000000D_0 -B__EBFFCECE_7D7CF9A8__FFEBFFCE_0 -B__FBE7DB53_5F3D7EF3__FFFFFF7C_0 -B__FFBB0468_7FFF9F0E__FFFFFEEC_0 -B__7BEFC7BE_D74EB56B__000F7DF8_0 -B__F9EFFF00_9FA213DC__FFFFFFFF_0 -B__D97E1A20_73FD46B1__FFFFECBF_0 -B__7DAE403D_AD7D29B5__000003ED_0 -B__7FFCC6E2_6DF4388D__0003FFE6_0 -B__CFFFB0AB_F1DFEE4C__FFFCFFFB_0 -B__FDE7AE99_FFCBD7C3__FFBCF5D3_0 -B__73FF70C3_3D7D212A__001CFFDC_0 -B__6B9C65E0_7BBF7047__00D738CB_0 -B__7F7B416B_FF663E89__003FBDA0_0 -B__CFDF4E2C_7FDD66B3__FFFFF9FB_0 -B__FAFB3088_7FAE55B8__FFFFFFFA_0 -B__FDFC2319_7FB9854B__FFFFBF84_0 -B__76CF9B00_57FA30E7__00ED9F36_0 -B__BB7E542B_FFEFFCF3__FFFFF76F_0 -B__F6ED397E_9A17118E__FFFFDBB4_0 -B__8BFEFC28_A7B8E9BE__FFFFFFFE_0 -B__CBF7AB25_3B79FC0E__FFFF2FDE_0 -B__7618D300_FFFB8B3F__00000000_1 -B__31703D9C_DFDB210D__00018B81_0 -B__35A5E624_7E7F500D__0001AD2F_0 -B__FAF32254_F7FE4120__FAF32254_0 -B__FFF7B9FF_7DF5AE92__FFFFFFFD_0 -B__371F0369_FFFB5A44__0371F036_0 -B__5FA7BF23_E3EF41E1__2FD3DF91_0 -B__2BABE48D_FF7CE4CE__0000AEAF_0 -B__ECBCF3A5_FFFF4755__FFFFFF65_0 -B__3BBD084C_FBA76C02__0EEF4213_0 -B__3DFB174A_17DE1EB1__00001EFD_0 -B__FA7F66C0_DBF84620__FA7F66C0_0 -B__7FF9D6A3_E5384614__000007FF_0 -B__FEFED780_3FFDC399__FFFFFFFF_0 -B__BDD601BE_F4FF4CE0__BDD601BE_0 -B__5FB2742B_D737E778__0000005F_0 -B__A7F44399_45D2FE6A__FFE9FD10_0 -B__6DFF1609_F34DA992__00001B7F_0 -B__CF6FDDFE_57FD10F6__FFFFFF3D_0 -B__91AE870F_EAE6E938__FFFFFF91_0 -B__5BEE67C8_9CD41E36__0000016F_0 -B__7FFB716B_33FD662E__0001FFED_0 -B__7853F18B_49BFE0E8__007853F1_0 -B__DCE7ABE4_5DFF1382__F739EAF9_0 -B__EBCE3CB8_77FECA10__FFFFEBCE_0 -B__FDAF8005_FE7D090D__FFFFED7C_0 -B__6BBB5273_FDFE5F29__0035DDA9_0 -B__EDF33202_5D5FFDFD__FFFFFFFF_0 -B__36BD9F1B_DDBFE14E__0000DAF6_0 -B__D6EA9530_C77C7A95__FFFFFEB7_0 -B__FF7FC328_9DFFDD8C__FFFFF7FC_0 -B__7AFEEB78_7FBFE5C5__03D7F75B_0 -B__6B2B7731_67DD0BE7__00D656EE_0 -B__7DE366D7_F69D9C2F__0000FBC6_0 -B__4DEED920_F7BDD18A__00137BB6_0 -B__DD3FC11B_696FFD4B__FFFBA7F8_0 -B__7DFEB9FD_F73FD2BA__0000001F_0 -B__E6FFECFC_F8FFC014__FFFFFE6F_0 -B__D3BF2A8A_FFFB15F5__FFFFFE9D_0 -B__BAE3A116_CDFF41D9__FFFFFFDD_0 -B__7EDF9551_7AFB1A13__00000FDB_0 -B__FFFF9946_F9EFA0BC__FFFFFFFF_0 -B__DCED67DE_76FD6BEF__FFFFB9DA_0 -B__74D723F5_FF399279__0000003A_0 -B__6F7FECF7_774F69A6__01BDFFB3_0 -B__3F567924_7FFC9009__001FAB3C_0 -B__7FFF29EC_59F2BF7B__0000000F_0 -B__3BAEF8B7_BC5F6701__1DD77C5B_0 -B__95EFBBB8_77BFB45E__FFFFFFFE_0 -B__C4BFB3D9_6FE70F23__F897F67B_0 -B__FF776DF4_FDEEE411__FFFFFFBB_0 -B__7BFAA260_FBDFF97C__00000007_0 -B__7E9EC270_7E755837__000000FD_0 -B__E3EE739A_BFEF5CCA__FFF8FB9C_0 -B__F93D5E4F_BFFA5016__FFFFFFE4_0 -B__FDFD185C_7FFB0E46__FFF7F461_0 -B__7FFFB3F5_F7F6655C__00000007_0 -B__CE9F04D1_7D7FA392__FFFFF3A7_0 -B__FF7D79E9_C3E5B9AF__FFFFFEFA_0 -B__BE9F75E2_747E5A84__FBE9F75E_0 -B__FBDF61EC_1BF69D31__FFFFFDEF_0 -B__2A3665A0_FBF65EC2__0A8D9968_0 -B__677F7773_7377D4BB__0000000C_0 -B__59BF4949_5DF70BD2__0000166F_0 -B__177D8477_A3EF9DF5__000000BB_0 -B__53AE9E65_FB37D726__014EBA79_0 -B__7FF70B6D_7FEBA680__7FF70B6D_0 -B__5FFBBF68_75DB37E3__0BFF77ED_0 -B__5BCF3EA2_76F7CC23__0B79E7D4_0 -B__7A7A523B_FBFF2FD8__0000007A_0 -B__77DF657F_BA3FAF18__00000077_0 -B__77D7275D_7727B6DB__0000000E_0 -B__5FFB1BED_BDD5E1F3__00000BFF_0 -B__36EFC654_BFBF7D09__001B77E3_0 -B__FF6FD1DD_3F3B9BED__FFFFFB7E_0 -B__FFFCEF9F_FFFDD700__FFFCEF9F_0 -B__79FD745C_7FFC2CED__0003CFEB_0 -B__BFFA10F5_7FFFBE55__FFFFFDFF_0 -B__FF9327BA_6FBDDA63__FFF264F7_0 -B__BFEF8D56_3F3370CE__FFFEFFBE_0 -B__F7D3DB24_7FDFC288__FFF7D3DB_0 -B__EBFFDB5D_DDDD649A__FFFFFFFA_0 -B__EEF38637_BFEEAB92__FFFFFBBC_0 -B__5EF69820_9FD5DC38__0000005E_0 -B__FFDFF933_B4D73915__FFFFFFFE_0 -B__D5CB0E59_5F9FD10D__FFFEAE58_0 -B__DDC6C498_1E7D93F0__FFFFDDC6_0 -B__7EFE78D2_3FFF5BBE__00000001_0 -B__379B313E_E736AD01__1BCD989F_0 -B__1FB6FE1F_FF7FBC48__001FB6FE_0 -B__7F7FA21C_FEB79668__007F7FA2_0 -B__F26E22CB_67D30E6E__FFFFC9B8_0 -B__6B7B5E1E_366C8AD5__0000035B_0 -B__B26CB4BF_E56FD547__FF64D969_0 -B__7FFCAEC5_63FBB565__03FFE576_0 -B__7FFC2AE4_6EDFCF15__000003FF_0 -B__EB678A0B_FFF2D366__FFAD9E28_0 -B__1C6D8D38_73FFC7AE__000071B6_0 -B__DAFFD11C_FFFB30C3__FB5FFA23_0 -B__EFEFCD9D_73ABBA0D__FFFF7F7E_0 -B__DF2FA139_DBF320C2__F7CBE84E_0 -B__F65C3902_CF2F93D6__FFFFFFD9_0 -B__FFFA746B_7D6F92D7__FFFFFFFF_0 -B__AD7F6F35_5FCF1856__FFFFFEB5_0 -B__DEC38E42_99DFDFF0__FFFFDEC3_0 -B__72FD6DBE_1FFC5C90__000072FD_0 -B__0AA9EEC7_7E4FE8D0__00000AA9_0 -B__CFF7EAD6_BAF7FF77__FFFFFF9F_0 -B__F74ABB69_3EDF19AD__FFFFBA55_0 -B__FFB6BD13_67CB2309__FFFFDB5E_0 -B__4F32C91D_DFFA566C__0004F32C_0 -B__FDD908F4_F6EFA196__FFFFFFF7_0 -B__BFDF4CC9_27FF1235__FFFFFDFE_0 -B__77EF91BF_EDB72A68__0077EF91_0 -B__7DF1C059_3E17BA9E__00000001_0 -B__FEFB1AFC_BEFFAF1C__FFFFFFFF_0 -B__7FB36B65_DABB67DF__00000000_1 -B__7EFB5AF2_F5BB74FF__00000000_1 -B__5FBB644D_4EE79D1D__00000002_0 -B__57F7DCD4_0F7BA92E__00015FDF_0 -B__4FBD4F9B_6FD48A16__0000013E_0 -B__E9BB6A2F_58FA1C08__FFE9BB6A_0 -B__5FF388D6_FFEFB163__0BFE711A_0 -B__5FCF8ED5_6BFE97C5__02FE7C76_0 -B__79FBEAEF_2BBFF35A__0000001E_0 -B__EFBE16F0_5FFD419A__FFFFFFFB_0 -B__7BEB8BCB_FFDDEDE4__07BEB8BC_0 -B__6C9FAF16_0B615A0D__000364FD_0 -B__7CCD4308_FFE52D95__000003E6_0 -B__CDD74629_3FFFC961__E6EBA314_0 -B__7DBD18B5_495E37F3__00000FB7_0 -B__73FB7D43_DC555E23__0E7F6FA8_0 -B__7F3CBCCD_3F87E0D7__000000FE_0 -B__5E9A2903_95AE5080__5E9A2903_0 -B__3FDF98A1_967FF133__000007FB_0 -B__56EFBEBB_F1B2ED0F__0000ADDF_0 -B__DCFF2ED8_9E579D27__FFB9FE5D_0 -B__7BBC6223_EAB8C4F8__0000007B_0 -B__7FFD8EC2_FFF3C69B__0000000F_0 -B__7FFD46D3_FE7F6D30__00007FFD_0 -B__7AEF9B89_679DF0E5__03D77CDC_0 -B__7C672088_DFECD316__000001F1_0 -B__5FF7E299_D7E7960E__00017FDF_0 -B__7FFC6420_97EC060A__001FFF19_0 -B__F5C51779_5EDDBEE5__FFAE28BB_0 -B__DAF5493D_4E4EB7A3__FB5EA927_0 -B__3FAD0383_EFDF2BA6__00FEB40E_0 -B__D971A104_1FAC4695__FFFFFECB_0 -B__EFF714B6_DF8D6B29__FFF7FB8A_0 -B__AFC1C56D_8F5FBC57__FFFFFF5F_0 -B__F7FEAF6C_EFDFC225__FFBFF57B_0 -B__21FDC95D_FB9FD9E8__0021FDC9_0 -B__33DE5C02_BFB2BF38__00000033_0 -B__3DF9D5B6_FF5EE8C6__00F7E756_0 -B__773F7007_1AFB26B9__0000003B_0 -B__79E55123_1A9F825A__0000001E_0 -B__FBFF30FB_DFBB6361__FDFF987D_0 -B__B7A85ECC_BFBFFC8F__FFFF6F50_0 -B__33DFB7B4_ADC7896D__00019EFD_0 -B__7FFE2797_7BE7450D__0003FFF1_0 -B__BBD3277C_7DFFF302__EEF4C9DF_0 -B__7FFFE175_FAFFCECF__0000FFFF_0 -B__FBFF8EF5_EFC4238A__FFFEFFE3_0 -B__7FFDA10C_5F8EFCC6__01FFF684_0 -B__3BCE3ADB_6D990519__0000001D_0 -B__FBFE7563_FD4792BF__FFFFFFFF_0 -B__7DFBC827_B8DABE1E__00000001_0 -B__AD3F38B3_779F375B__FFFFFFF5_0 -B__37EB533B_7AF3F387__006FD6A6_0 -B__66FFA81A_7774FE4F__0000CDFF_0 -B__7FDD1E55_DFFE37CA__001FF747_0 -B__FFE755AD_F8D84643__FFFCEAB5_0 -B__F5BFEC55_FFBFB596__FFFFFFD6_0 -B__EFFD084C_FDEB5A96__FFFFFFBF_0 -B__7C69D5B9_BEDD035F__00000000_1 -B__7FB767A2_E57D9C33__00000FF6_0 -B__FFFF06B5_B71EFC0C__FFFFFFF0_0 -B__F6D796B6_BAF3D70C__FFFF6D79_0 -B__7FFD9497_759F499F__00000000_1 -B__DBF73969_7B6CD278__FFFFFFDB_0 -B__E377BC86_77973E83__FC6EF790_0 -B__7E576C31_6FABF3C9__003F2BB6_0 -B__77FAEC13_1CDB0535__000003BF_0 -B__FFFF879E_FEFEBA30__FFFFFFFF_0 -B__FCD9E7B4_EFDF62DD__FFFFFFFF_0 -B__ABFF831F_6FFDEF4B__FFF57FF0_0 -B__7FDB0B83_6EFE7FD5__000003FE_0 -B__BFBE9B9E_BFDD0D54__FFFFFBFB_0 -B__3FFEF53B_5EFD96BF__00000000_1 -B__6FF15192_7E842352__00001BFC_0 -B__1EFFBEF6_77DF8295__000000F7_0 -B__37FF0C69_DFFF027F__00000000_1 -B__EF4EF031_38D271D4__FFFFFEF4_0 -B__3FDF3520_F9FF0694__000003FD_0 -B__3FAE1BD6_9EFE2522__0FEB86F5_0 -B__DE85C1DD_EFDB60F6__FFFFFF7A_0 -B__FE6DF224_7F7F8B80__FE6DF224_0 -B__F9F79A35_6F5E3A1B__FFFFFFFF_0 -B__EEFFC24D_9D375773__FFFFFDDF_0 -B__5EE3322B_D2E72386__017B8CC8_0 -B__5EEFEB81_7FF54525__02F77F5C_0 -B__BCFDFCC7_BCBFE1A8__FFBCFDFC_0 -B__FFF12823_3DB3C4EB__FFFFFE25_0 -B__37FF9571_7FDE6C54__0000037F_0 -B__3DEDBB36_E98F33A7__007BDB76_0 -B__5EFF979F_5E6B8341__2F7FCBCF_0 -B__72F35CCD_DDFE78E6__01CBCD73_0 -B__4F9DCFC2_DFEFAA07__009F3B9F_0 -B__FE7EA1A8_7FFD5601__FF3F50D4_0 -B__AFFF08D8_F33F6EE2__EBFFC236_0 -B__F2FA4611_279F148F__FFFFE5F4_0 -B__DFFBE8B9_FCFE1F6D__FFFEFFDF_0 -B__6EBA98EE_5FCBD504__06EBA98E_0 -B__7F7F2FA0_EFBFC07B__0000000F_0 -B__FAA7DC81_BF2BD65E__FFFFFFFF_0 -B__FDBFCA4F_2CF70460__FDBFCA4F_0 -B__7F5BD8A1_7B9B7E3D__00000003_0 -B__FD57764E_3BA7D73F__FFFFFFFF_0 -B__6ECFEA98_E36E84B5__00000376_0 -B__BF3ED29F_ED8B255E__FFFFFFFE_0 -B__7DED3426_D7FFA114__000007DE_0 -B__5B5656B2_E57F0983__0B6ACAD6_0 -B__EBDF4F8E_3FF7BFBE__FFFFFFFF_0 -B__6BFCC821_478F5F1B__0000000D_0 -B__1A9B0E51_F7DF4C74__000001A9_0 -B__F2BF0D7C_F3AB530D__FFFF95F8_0 -B__BF7E1787_F7FF16B6__FFFFFEFD_0 -B__7FFE977D_CFF252F1__00003FFF_0 -B__7FBE207F_AEDF1F56__000001FE_0 -B__5DC9A241_7E7D32E5__02EE4D12_0 -B__DDAD89A3_7FFD8CEC__FFFDDAD8_0 -B__197F108C_7FBF448A__00065FC4_0 -B__FEDE6989_F1F92D6B__FFFFDBCD_0 -B__F7ED3C39_FFFD3F4C__FFFF7ED3_0 -B__FDF58D24_77DF8911__FFFFFEFA_0 -B__FDA7450E_DFFB61CD__FFFFED3A_0 -B__4B6EABB0_D5FFB3E4__04B6EABB_0 -B__6FFD2AFA_E73F31C0__6FFD2AFA_0 -B__66FB5A86_67BCBED9__00000033_0 -B__EC74F133_777FC8C4__FEC74F13_0 -B__F7BABDC4_EAAF8757__FFFFFFEF_0 -B__977F0A53_D89C36F9__FFFFFFCB_0 -B__7EF54D82_BF3E0724__07EF54D8_0 -B__FDB7BE19_7FBF265A__FFFFFFFF_0 -B__17ECB533_3B9FCAED__0000BF65_0 -B__D7AF3BEE_F1B3FA82__F5EBCEFB_0 -B__AFBF2C0B_ED3E5C01__D7DF9605_0 -B__78FC1885_0B7E2BAB__000F1F83_0 -B__FF7F3A99_3F6D014F__FFFFFEFE_0 -B__17EB3F99_7DD94CD8__00000017_0 -B__77EB9EC0_FBEDA9A4__077EB9EC_0 -B__DCD78C32_55F79854__FFFFFDCD_0 -B__BFF7854B_34FDDFEB__FFF7FEF0_0 -B__FAB16462_DF9E3CF4__FFFFFFAB_0 -B__EEB9AD49_BBBB637C__FFFFFFFE_0 -B__7FFF6B1D_7BF672AB__000FFFED_0 -B__52BFB361_FFCDA164__052BFB36_0 -B__DBD7DA9A_FFEF90EB__FFFB7AFB_0 -B__7FDA6EC6_AFBE3E1E__00000001_0 -B__6BAFC63E_7EEF778A__001AEBF1_0 -B__7D5FD5BE_FEF74D4D__0003EAFE_0 -B__1F7FFABB_0EDFE76A__0007DFFE_0 -B__E13FD4A9_F7CFC554__FFFFFE13_0 -B__7BBE523B_F2EE7352__00001EEF_0 -B__668F311F_6EAF6BFB__0000000C_0 -B__75FA7D7C_67DBD96C__00075FA7_0 -B__773F6569_FBFF27CF__0000EE7E_0 -B__FFF7685C_9FFEF112__FFFFFFFD_0 -B__DDFB8EE5_DFB93394__FFFFFDDF_0 -B__6DDFC93B_77FFDE8D__00036EFE_0 -B__772F5936_3BDDEE43__0EE5EB26_0 -B__FF753C66_8AFF57BD__FFFFFFFF_0 -B__3FEEFAB6_E6F9E12D__0001FF77_0 -B__76FF567D_53FAAD94__0000076F_0 -B__DD773209_FB9EDB28__FFDD7732_0 -B__55DDBF12_5FFF1A5F__00000000_1 -B__77FB5376_7F7F76B9__0000003B_0 -B__FF17A69A_F7B74B0E__FFFFFC5E_0 -B__EDEDB14B_FEFF870E__FFFFB7B6_0 -B__EFDEAD14_27BBC57B__FFFFFFFD_0 -B__63FDE979_6DCFBDE9__0031FEF4_0 -B__3BD63327_5BE89FB8__0000003B_0 -B__F6DD297E_7FBE4C0F__FFFFEDBA_0 -B__ABFBCB96_E7DA6227__FF57F797_0 -B__2D7FA9C9_EFF6387C__00000002_0 -B__7DCF8FEE_5DFFBBD1__00003EE7_0 -B__9F7F5AE0_33BE53D7__FFFFFF3E_0 -B__7FAB6E76_6FEF73EC__0007FAB6_0 -B__C66BFB85_FFFDF5DF__FFFFFFFF_0 -B__3BAF7308_7DD2F5D1__00001DD7_0 -B__A6F100BE_EBED02BE__FFFFFFFE_0 -B__3E7684F2_3F7FBDDA__0000000F_0 -B__6FFF75DC_F7F52D83__0DFFEEBB_0 -B__3F59D161_75BF4D0F__00007EB3_0 -B__7C7FB73F_FB5CE5D1__00003E3F_0 -B__3B2F1F16_FFC87BD7__00000076_0 -B__6BAF3B36_7A52677A__0000001A_0 -B__FFFEDF1D_3B2D8E6C__FFFFFFED_0 -B__7DF7BA69_53ED44D8__0000007D_0 -B__F3FD41AE_6FFF4D8D__FFFF9FEA_0 -B__9FD33C2E_F2FFF752__FFFFE7F4_0 -B__3F5F113D_FBD444DA__0000000F_0 -B__7FDC50DC_FBD7CC0F__0000FFB8_0 -B__7FFF4BBD_BDDFF706__01FFFD2E_0 -B__CEF96BDF_65ED23F1__FFFFE77C_0 -B__E4FC684E_3FAF7D27__FFC9F8D0_0 -B__EC7CCA65_D8C7A48D__FFFF63E6_0 -B__7ACE5B40_DFF51817__000000F5_0 -B__FFC7C1CC_79FF3ABB__FFFFFFFF_0 -B__33DFB111_FD6F396E__0000CF7E_0 -B__7DBFF463_FFB50E33__00000FB7_0 -B__7FE32476_6FD9727F__00000000_1 -B__6DB7F0EB_FF7278D4__000006DB_0 -B__FBFB27A2_19DF4DB2__FFFFFEFE_0 -B__F55EE702_5FF77694__FFFFFF55_0 -B__F27BA44E_65DF2EB6__FFFFFFC9_0 -B__FB774663_3FEFB522__FEDDD198_0 -B__EFDD9B03_FDF3CC2D__FFFF7EEC_0 -B__F776F71B_5FEE8D6A__FFFDDDBD_0 -B__7FDF8578_BFB7F3EA__001FF7E1_0 -B__97CF10E6_7EFBF875__FFFFFCBE_0 -B__4E8EE539_68F5E96D__00027477_0 -B__1FDF9012_9BFDA9E8__001FDF90_0 -B__E7DC5C74_D7DF2D38__FFFFFFE7_0 -B__FCDE56CF_67AF7D76__FFFFFFF3_0 -B__F7FE2E17_7BFD8633__FFFFFEFF_0 -B__BDDD19BE_77CFA975__FFFFFDEE_0 -B__77B15F03_F99E2504__077B15F0_0 -B__63FABD19_FFBF942C__00063FAB_0 -B__557B791F_6DFDAAF8__00000055_0 -B__B793368A_BBC94068__FFB79336_0 -B__79BFB0D5_7DF7C8F6__000001E6_0 -B__BA254695_F5FFD815__FFFFFDD1_0 -B__777FCE1A_38F9B8EF__0000EEFF_0 -B__7BEF77BD_27EE3689__003DF7BB_0 -B__7FFD6CC2_737F919F__00000000_1 -B__DCF75D59_BF7D8370__FFFFDCF7_0 -B__7DAF4177_1EDF73D8__0000007D_0 -B__7A7DE189_17FE7EE7__00F4FBC3_0 -B__77FBC9F6_7F3B7E82__1DFEF27D_0 -B__FDF9A86B_3EDC7BF6__FFFFFFF7_0 -B__79D8939D_5EFFEBDA__0000001E_0 -B__3FF5B7E9_7BB70928__003FF5B7_0 -B__7C6FFEA5_7FFE307C__00000007_0 -B__7FCFBAB4_B7F9FBEB__000FF9F7_0 -B__43CDEE2A_6BB9465C__00000004_0 -B__6BDAD85B_597E74BF__00000000_1 -B__DFBFAD53_779F5825__FEFDFD6A_0 -B__FD3DF1BB_4F916F08__FFFD3DF1_0 -B__9F7CF8F9_BBF9222C__FFF9F7CF_0 -B__9CFF4164_569F0264__F9CFF416_0 -B__3EFFB768_2BE32A00__3EFFB768_0 -B__7CC31FE6_77ABFB99__0000003E_0 -B__FF6A6ABE_E7BB787A__FFFFFFFF_0 -B__EBE53103_E777A277__FFFFFFD7_0 -B__57BD6EAC_EDB6DB8C__00057BD6_0 -B__EFF64398_E9CFA18D__FFFF7FB2_0 -B__6E3D6B6B_763F90EC__0006E3D6_0 -B__91FA45D1_BFACB038__FFFFFF91_0 -B__6DBEBCC7_EFE3D947__00DB7D79_0 -B__FD7B8B3A_DFDAC5E1__FEBDC59D_0 -B__67770412_3BDE7AC3__0CEEE082_0 -B__BF470608_2D5B61D7__FFFFFF7E_0 -B__7FF36811_3FBF5D71__00003FF9_0 -B__BBDBF9EA_CBDE9A75__FFFFFDDE_0 -B__9DFF42DA_FFBDFB17__FFFFFF3B_0 -B__FD7D0D34_1CB53A3B__FFFFFFFF_0 -B__3BDF8771_7FE78FD6__000000EF_0 -B__5EFA3DD0_7F5D17AD__0002F7D1_0 -B__BE6DE17A_6A6FB3BB__FFFFFFF7_0 -B__EFDEB1AE_7FF98E36__FFFFFFBF_0 -B__FCFCAA02_ABF3A783__FF9F9540_0 -B__FFFFEBF4_35F79A06__FFFFFFAF_0 -B__1F9AA1B6_FBFF1407__003F3543_0 -B__538F7E3A_3C3FF4A0__538F7E3A_0 -B__4F2BC40D_777F98B6__0000013C_0 -B__F0000000_0000001C__FFFFFFFF_0 -B__0000000F_00000004__00000000_1 diff --git a/testvecs/testbench.vcd b/testvecs/testbench.vcd deleted file mode 100644 index d131573..0000000 --- a/testvecs/testbench.vcd +++ /dev/null @@ -1,257557 +0,0 @@ -$date - Wed May 1 16:10:06 2024 -$end -$version - Icarus Verilog -$end -$timescale - 1ps -$end -$scope module testbench $end -$var wire 1 ! zero $end -$var wire 32 " result [31:0] $end -$var wire 1 # exp_zero $end -$var reg 32 $ a [31:0] $end -$var reg 32 % b [31:0] $end -$var reg 1 & clk $end -$var reg 32 ' error_count [31:0] $end -$var reg 4 ( exp_flags [3:0] $end -$var reg 32 ) exp_result [31:0] $end -$var reg 4 * op [3:0] $end -$var reg 1 + reset $end -$var reg 32 , vector_count [31:0] $end -$scope module alu $end -$var wire 32 - A [31:0] $end -$var wire 32 . B [31:0] $end -$var wire 4 / OP [3:0] $end -$var wire 32 0 shift_result [31:0] $end -$var wire 32 1 logic_result [31:0] $end -$var wire 32 2 arithmetic_result [31:0] $end -$var wire 1 ! ZERO $end -$var parameter 32 3 N $end -$var reg 32 4 RESULT [31:0] $end -$scope module au $end -$var wire 32 5 A [31:0] $end -$var wire 32 6 B [31:0] $end -$var wire 2 7 OP [1:0] $end -$var wire 1 8 altb $end -$var wire 1 9 overflow $end -$var wire 32 : sum [31:0] $end -$var wire 1 ; cin $end -$var wire 32 < b [31:0] $end -$var wire 32 = RESULT [31:0] $end -$var parameter 32 > N $end -$upscope $end -$scope module lu $end -$var wire 32 ? A [31:0] $end -$var wire 32 @ B [31:0] $end -$var wire 2 A OP [1:0] $end -$var parameter 32 B N $end -$var reg 32 C RESULT [31:0] $end -$upscope $end -$scope module su $end -$var wire 32 D A [31:0] $end -$var wire 2 E OP [1:0] $end -$var wire 32 F SHAMT [31:0] $end -$var parameter 32 G N $end -$var reg 32 H RESULT [31:0] $end -$upscope $end -$upscope $end -$upscope $end -$enddefinitions $end -$comment Show the parameter values. $end -$dumpall -b100000 G -b100000 B -b100000 > -b100000 3 -$end -#0 -$dumpvars -bx H -bx F -bx E -bx D -bx C -bx A -bx @ -bx ? -bx = -bx < -x; -bx : -x9 -x8 -bx 7 -bx 6 -bx 5 -bx 4 -bx 2 -bx 1 -bx 0 -bx / -bx . -bx - -b0 , -0+ -bx * -bx ) -bx ( -b0 ' -0& -bx % -bx $ -x# -bx " -x! -$end -#32000 -1& -#48000 -0! -b10110001101101101100110110111100 " -b10110001101101101100110110111100 4 -b10110010101110000101001001000110 1 -b10110010101110000101001001000110 C -b10111111000111101001110110000000 0 -b10111111000111101001110110000000 H -18 -09 -b10110001101101101100110110111100 2 -b10110001101101101100110110111100 = -b10110001101101101100110110111100 : -b11110110101110100101001101000110 < -0; -b0 7 -b0 A -b0 E -0# -b0 * -b0 / -b10111010111111000111101001110110 $ -b10111010111111000111101001110110 - -b10111010111111000111101001110110 5 -b10111010111111000111101001110110 ? -b10111010111111000111101001110110 D -b11110110101110100101001101000110 % -b11110110101110100101001101000110 . -b11110110101110100101001101000110 6 -b11110110101110100101001101000110 @ -b11110110101110100101001101000110 F -b10110001101101101100110110111100 ) -b0 ( -#64000 -0& -#80000 -b1 , -#96000 -1& -#112000 -b10100101001011101000010000100011 " -b10100101001011101000010000100011 4 -b100101001011110000010000100100 1 -b100101001011110000010000100100 C -b11110111111101110100111111110000 0 -b11110111111101110100111111110000 H -08 -19 -b10100101001011101000010000100011 2 -b10100101001011101000010000100011 = -b1100101101011110000111100100100 < -b10100101001011101000010000100011 : -b111111011111110111010011111111 $ -b111111011111110111010011111111 - -b111111011111110111010011111111 5 -b111111011111110111010011111111 ? -b111111011111110111010011111111 D -b1100101101011110000111100100100 % -b1100101101011110000111100100100 . -b1100101101011110000111100100100 6 -b1100101101011110000111100100100 @ -b1100101101011110000111100100100 F -b10100101001011101000010000100011 ) -#128000 -0& -#144000 -b10 , -#160000 -1& -#176000 -b11000101100001110110100011010101 " -b11000101100001110110100011010101 4 -b1000101100001111010100100010110 1 -b1000101100001111010100100010110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b11000101100001110110100011010101 2 -b11000101100001110110100011010101 = -b1000111100111111011101100011110 < -b11000101100001110110100011010101 : -b1111101111001111010110110110111 $ -b1111101111001111010110110110111 - -b1111101111001111010110110110111 5 -b1111101111001111010110110110111 ? -b1111101111001111010110110110111 D -b1000111100111111011101100011110 % -b1000111100111111011101100011110 . -b1000111100111111011101100011110 6 -b1000111100111111011101100011110 @ -b1000111100111111011101100011110 F -b11000101100001110110100011010101 ) -#192000 -0& -#208000 -b11 , -#224000 -1& -#240000 -b101000011111110010010001000101 " -b101000011111110010010001000101 4 -b101000101111110010010001001000 1 -b101000101111110010010001001000 C -b1111110110110001011100000000000 0 -b1111110110110001011100000000000 H -09 -08 -b101000011111110010010001000101 2 -b101000011111110010010001000101 = -b101011101111111011011111101001 < -b101000011111110010010001000101 : -b11111100101111110110110001011100 $ -b11111100101111110110110001011100 - -b11111100101111110110110001011100 5 -b11111100101111110110110001011100 ? -b11111100101111110110110001011100 D -b101011101111111011011111101001 % -b101011101111111011011111101001 . -b101011101111111011011111101001 6 -b101011101111111011011111101001 @ -b101011101111111011011111101001 F -b101000011111110010010001000101 ) -#256000 -0& -#272000 -b100 , -#288000 -1& -#304000 -b1111011000110010111110000101111 " -b1111011000110010111110000101111 4 -b1111011001011000011110000110000 1 -b1111011001011000011110000110000 C -b11011011101100000000000000000000 0 -b11011011101100000000000000000000 H -b1111011000110010111110000101111 2 -b1111011000110010111110000101111 = -b11111111101011000011111001110100 < -b1111011000110010111110000101111 : -b1111011011011010011110110111011 $ -b1111011011011010011110110111011 - -b1111011011011010011110110111011 5 -b1111011011011010011110110111011 ? -b1111011011011010011110110111011 D -b11111111101011000011111001110100 % -b11111111101011000011111001110100 . -b11111111101011000011111001110100 6 -b11111111101011000011111001110100 @ -b11111111101011000011111001110100 F -b1111011000110010111110000101111 ) -#320000 -0& -#336000 -b101 , -#352000 -1& -#368000 -b1001010110101001100111001110111 " -b1001010110101001100111001110111 4 -b1010000010101010000111010000000 1 -b1010000010101010000111010000000 C -b10111000010000000000000000000000 0 -b10111000010000000000000000000000 H -b1001010110101001100111001110111 2 -b1001010110101001100111001110111 = -b11010010010101110010111110010110 < -b1001010110101001100111001110111 : -b1111000011111011001111011100001 $ -b1111000011111011001111011100001 - -b1111000011111011001111011100001 5 -b1111000011111011001111011100001 ? -b1111000011111011001111011100001 D -b11010010010101110010111110010110 % -b11010010010101110010111110010110 . -b11010010010101110010111110010110 6 -b11010010010101110010111110010110 @ -b11010010010101110010111110010110 F -b1001010110101001100111001110111 ) -#384000 -0& -#400000 -b110 , -#416000 -1& -#432000 -b1000111011010100010101101000 " -b1000111011010100010101101000 4 -b1001000100011000000110001001 1 -b1001000100011000000110001001 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -b1000111011010100010101101000 2 -b1000111011010100010101101000 = -b10011111110100011100001111011011 < -b1000111011010100010101101000 : -b1101001000110111000000110001101 $ -b1101001000110111000000110001101 - -b1101001000110111000000110001101 5 -b1101001000110111000000110001101 ? -b1101001000110111000000110001101 D -b10011111110100011100001111011011 % -b10011111110100011100001111011011 . -b10011111110100011100001111011011 6 -b10011111110100011100001111011011 @ -b10011111110100011100001111011011 F -b1000111011010100010101101000 ) -#448000 -0& -#464000 -b111 , -#480000 -1& -#496000 -b11010111001011010101011000111100 " -b11010111001011010101011000111100 4 -b1100111001011010101011001000000 1 -b1100111001011010101011001000000 C -b101111010101110101010000000000 0 -b101111010101110101010000000000 H -19 -08 -b11010111001011010101011000111100 2 -b11010111001011010101011000111100 = -b1100111111111011111111011101000 < -b11010111001011010101011000111100 : -b1101111001011110101011101010100 $ -b1101111001011110101011101010100 - -b1101111001011110101011101010100 5 -b1101111001011110101011101010100 ? -b1101111001011110101011101010100 D -b1100111111111011111111011101000 % -b1100111111111011111111011101000 . -b1100111111111011111111011101000 6 -b1100111111111011111111011101000 @ -b1100111111111011111111011101000 F -b11010111001011010101011000111100 ) -#512000 -0& -#528000 -b1000 , -#544000 -1& -#560000 -b1011111100110100001011111110101 " -b1011111100110100001011111110101 4 -b1100111100110100010000000011010 1 -b1100111100110100010000000011010 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -09 -08 -b1011111100110100001011111110101 2 -b1011111100110100001011111110101 = -b11100111100111110011011111011011 < -b1011111100110100001011111110101 : -b1110111111110101110000000011010 $ -b1110111111110101110000000011010 - -b1110111111110101110000000011010 5 -b1110111111110101110000000011010 ? -b1110111111110101110000000011010 D -b11100111100111110011011111011011 % -b11100111100111110011011111011011 . -b11100111100111110011011111011011 6 -b11100111100111110011011111011011 @ -b11100111100111110011011111011011 F -b1011111100110100001011111110101 ) -#576000 -0& -#592000 -b1001 , -#608000 -1& -#624000 -b111010110011110001000101110001 " -b111010110011110001000101110001 4 -b111010110100110010000110000000 1 -b111010110100110010000110000000 C -b101111100000010000000000000000 0 -b101111100000010000000000000000 H -b111010110011110001000101110001 2 -b111010110011110001000101110001 = -b11111010110100111110000111110000 < -b111010110011110001000101110001 : -b111111111110110010111110000001 $ -b111111111110110010111110000001 - -b111111111110110010111110000001 5 -b111111111110110010111110000001 ? -b111111111110110010111110000001 D -b11111010110100111110000111110000 % -b11111010110100111110000111110000 . -b11111010110100111110000111110000 6 -b11111010110100111110000111110000 @ -b11111010110100111110000111110000 F -b111010110011110001000101110001 ) -#640000 -0& -#656000 -b1010 , -#672000 -1& -#688000 -b10111101010011100111110010011001 " -b10111101010011100111110010011001 4 -b10111101010101101000010101000000 1 -b10111101010101101000010101000000 C -b1000001000000000000000000000000 0 -b1000001000000000000000000000000 H -18 -b10111101010011100111110010011001 2 -b10111101010011100111110010011001 = -b11111101111101111010011101011000 < -b10111101010011100111110010011001 : -b10111111010101101101010101000001 $ -b10111111010101101101010101000001 - -b10111111010101101101010101000001 5 -b10111111010101101101010101000001 ? -b10111111010101101101010101000001 D -b11111101111101111010011101011000 % -b11111101111101111010011101011000 . -b11111101111101111010011101011000 6 -b11111101111101111010011101011000 @ -b11111101111101111010011101011000 F -b10111101010011100111110010011001 ) -#704000 -0& -#720000 -b1011 , -#736000 -1& -#752000 -b11111110011101100111000010100100 " -b11111110011101100111000010100100 4 -b11111110011110000000000010101000 1 -b11111110011110000000000010101000 C -b10100100111110111000000000000000 0 -b10100100111110111000000000000000 H -b11111110011101100111000010100100 2 -b11111110011101100111000010100100 = -b11111111111111000010000011101100 < -b11111110011101100111000010100100 : -b11111110011110100100111110111000 $ -b11111110011110100100111110111000 - -b11111110011110100100111110111000 5 -b11111110011110100100111110111000 ? -b11111110011110100100111110111000 D -b11111111111111000010000011101100 % -b11111111111111000010000011101100 . -b11111111111111000010000011101100 6 -b11111111111111000010000011101100 @ -b11111111111111000010000011101100 F -b11111110011101100111000010100100 ) -#768000 -0& -#784000 -b1100 , -#800000 -1& -#816000 -b10000011000001010101000011010000 " -b10000011000001010101000011010000 4 -b10000011000001010110010000000001 1 -b10000011000001010110010000000001 C -b10110010011000001000000000000000 0 -b10110010011000001000000000000000 H -b10000011000001010101000011010000 2 -b10000011000001010101000011010000 = -b11010011100011011110110000001111 < -b10000011000001010101000011010000 : -b10101111011101110110010011000001 $ -b10101111011101110110010011000001 - -b10101111011101110110010011000001 5 -b10101111011101110110010011000001 ? -b10101111011101110110010011000001 D -b11010011100011011110110000001111 % -b11010011100011011110110000001111 . -b11010011100011011110110000001111 6 -b11010011100011011110110000001111 @ -b11010011100011011110110000001111 F -b10000011000001010101000011010000 ) -#832000 -0& -#848000 -b1101 , -#864000 -1& -#880000 -b111111110101010111010011100000 " -b111111110101010111010011100000 4 -b111111110101100000100000100001 1 -b111111110101100000100000100001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b111111110101010111010011100000 2 -b111111110101010111010011100000 = -b111111110101100000110000111111 < -b111111110101010111010011100000 : -b11111111111111110110100010100001 $ -b11111111111111110110100010100001 - -b11111111111111110110100010100001 5 -b11111111111111110110100010100001 ? -b11111111111111110110100010100001 D -b111111110101100000110000111111 % -b111111110101100000110000111111 . -b111111110101100000110000111111 6 -b111111110101100000110000111111 @ -b111111110101100000110000111111 F -b111111110101010111010011100000 ) -#896000 -0& -#912000 -b1110 , -#928000 -1& -#944000 -b10000010001100100110111000111 " -b10000010001100100110111000111 4 -b10000010001101001001001001000 1 -b10000010001101001001001001000 C -b11101100100101100100000000000000 0 -b11101100100101100100000000000000 H -b10000010001100100110111000111 2 -b10000010001100100110111000111 = -b11111011010111101001101101101110 < -b10000010001100100110111000111 : -b10100111001111011001001011001 $ -b10100111001111011001001011001 - -b10100111001111011001001011001 5 -b10100111001111011001001011001 ? -b10100111001111011001001011001 D -b11111011010111101001101101101110 % -b11111011010111101001101101101110 . -b11111011010111101001101101101110 6 -b11111011010111101001101101101110 @ -b11111011010111101001101101101110 F -b10000010001100100110111000111 ) -#960000 -0& -#976000 -b1111 , -#992000 -1& -#1008000 -b1100101100110111010000010011000 " -b1100101100110111010000010011000 4 -b10001001100110111010000010011001 1 -b10001001100110111010000010011001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -18 -19 -b1100101100110111010000010011000 2 -b1100101100110111010000010011000 = -b11001011101111111011101010011101 < -b1100101100110111010000010011000 : -b10011001110110111110010111111011 $ -b10011001110110111110010111111011 - -b10011001110110111110010111111011 5 -b10011001110110111110010111111011 ? -b10011001110110111110010111111011 D -b11001011101111111011101010011101 % -b11001011101111111011101010011101 . -b11001011101111111011101010011101 6 -b11001011101111111011101010011101 @ -b11001011101111111011101010011101 F -b1100101100110111010000010011000 ) -#1024000 -0& -#1040000 -b10000 , -#1056000 -1& -#1072000 -b10111110011010000000101000100111 " -b10111110011010000000101000100111 4 -b10111110011010000001000001000000 1 -b10111110011010000001000001000000 C -b11011101010100100000100001000000 0 -b11011101010100100000100001000000 H -09 -18 -b10111110011010000000101000100111 2 -b10111110011010000000101000100111 = -b11111111011111010111100111100101 < -b10111110011010000000101000100111 : -b10111110111010101001000001000010 $ -b10111110111010101001000001000010 - -b10111110111010101001000001000010 5 -b10111110111010101001000001000010 ? -b10111110111010101001000001000010 D -b11111111011111010111100111100101 % -b11111111011111010111100111100101 . -b11111111011111010111100111100101 6 -b11111111011111010111100111100101 @ -b11111111011111010111100111100101 F -b10111110011010000000101000100111 ) -#1088000 -0& -#1104000 -b10001 , -#1120000 -1& -#1136000 -b11101101101111110001000101010010 " -b11101101101111110001000101010010 4 -b11110001101111110001010000011000 1 -b11110001101111110001010000011000 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -b11101101101111110001000101010010 2 -b11101101101111110001000101010010 = -b11110011111111110101110100011010 < -b11101101101111110001000101010010 : -b11111001101111111011010000111000 $ -b11111001101111111011010000111000 - -b11111001101111111011010000111000 5 -b11111001101111111011010000111000 ? -b11111001101111111011010000111000 D -b11110011111111110101110100011010 % -b11110011111111110101110100011010 . -b11110011111111110101110100011010 6 -b11110011111111110101110100011010 @ -b11110011111111110101110100011010 F -b11101101101111110001000101010010 ) -#1152000 -0& -#1168000 -b10010 , -#1184000 -1& -#1200000 -b1010011101111101001011011111 " -b1010011101111101001011011111 4 -b1010011110000001001101100000 1 -b1010011110000001001101100000 C -b10010100000000000000000000000000 0 -b10010100000000000000000000000000 H -08 -b1010011101111101001011011111 2 -b1010011101111101001011011111 = -b10101010011111110001001101111010 < -b1010011101111101001011011111 : -b1011111111110001011111101100101 $ -b1011111111110001011111101100101 - -b1011111111110001011111101100101 5 -b1011111111110001011111101100101 ? -b1011111111110001011111101100101 D -b10101010011111110001001101111010 % -b10101010011111110001001101111010 . -b10101010011111110001001101111010 6 -b10101010011111110001001101111010 @ -b10101010011111110001001101111010 F -b1010011101111101001011011111 ) -#1216000 -0& -#1232000 -b10011 , -#1248000 -1& -#1264000 -b11011011001011110000010011101100 " -b11011011001011110000010011101100 4 -b11010100000010000000101110 1 -b11010100000010000000101110 C -b10111000000011111000000000000000 0 -b10111000000011111000000000000000 H -18 -b11011011001011110000010011101100 2 -b11011011001011110000010011101100 = -b10111010111000010010010101110 < -b11011011001011110000010011101100 : -b11000011110100101110000000111110 $ -b11000011110100101110000000111110 - -b11000011110100101110000000111110 5 -b11000011110100101110000000111110 ? -b11000011110100101110000000111110 D -b10111010111000010010010101110 % -b10111010111000010010010101110 . -b10111010111000010010010101110 6 -b10111010111000010010010101110 @ -b10111010111000010010010101110 F -b11011011001011110000010011101100 ) -#1280000 -0& -#1296000 -b10100 , -#1312000 -1& -#1328000 -b10111100100111010110010101111 " -b10111100100111010110010101111 4 -b10111100110000011000100010000 1 -b10111100110000011000100010000 C -b1111001100111110000000000000000 0 -b1111001100111110000000000000000 H -08 -b10111100100111010110010101111 2 -b10111100100111010110010101111 = -b11010111100110000011001100010000 < -b10111100100111010110010101111 : -b111111111110110111100110011111 $ -b111111111110110111100110011111 - -b111111111110110111100110011111 5 -b111111111110110111100110011111 ? -b111111111110110111100110011111 D -b11010111100110000011001100010000 % -b11010111100110000011001100010000 . -b11010111100110000011001100010000 6 -b11010111100110000011001100010000 @ -b11010111100110000011001100010000 F -b10111100100111010110010101111 ) -#1344000 -0& -#1360000 -b10101 , -#1376000 -1& -#1392000 -b1101110010101000010011001101110 " -b1101110010101000010011001101110 4 -b10101110010101000010101100010000 1 -b10101110010101000010101100010000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -18 -19 -b1101110010101000010011001101110 2 -b1101110010101000010011001101110 = -b10111111110111101111101101011100 < -b1101110010101000010011001101110 : -b10101110011101010010101100010010 $ -b10101110011101010010101100010010 - -b10101110011101010010101100010010 5 -b10101110011101010010101100010010 ? -b10101110011101010010101100010010 D -b10111111110111101111101101011100 % -b10111111110111101111101101011100 . -b10111111110111101111101101011100 6 -b10111111110111101111101101011100 @ -b10111111110111101111101101011100 F -b1101110010101000010011001101110 ) -#1408000 -0& -#1424000 -b10110 , -#1440000 -1& -#1456000 -b1011101101010110010110110011100 " -b1011101101010110010110110011100 4 -b1011101101011000010111000011101 1 -b1011101101011000010111000011101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -09 -b1011101101010110010110110011100 2 -b1011101101010110010110110011100 = -b11011111101111001110111001011111 < -b1011101101010110010110110011100 : -b1111101111011100011111100111101 $ -b1111101111011100011111100111101 - -b1111101111011100011111100111101 5 -b1111101111011100011111100111101 ? -b1111101111011100011111100111101 D -b11011111101111001110111001011111 % -b11011111101111001110111001011111 . -b11011111101111001110111001011111 6 -b11011111101111001110111001011111 @ -b11011111101111001110111001011111 F -b1011101101010110010110110011100 ) -#1472000 -0& -#1488000 -b10111 , -#1504000 -1& -#1520000 -b1100111011011111010011010001111 " -b1100111011011111010011010001111 4 -b1100111011011111010100000010000 1 -b1100111011011111010100000010000 C -b10100111101100000000000000000000 0 -b10100111101100000000000000000000 H -b1100111011011111010011010001111 2 -b1100111011011111010011010001111 = -b1110111111111111011110000010100 < -b1100111011011111010011010001111 : -b11101111011011111110101001111011 $ -b11101111011011111110101001111011 - -b11101111011011111110101001111011 5 -b11101111011011111110101001111011 ? -b11101111011011111110101001111011 D -b1110111111111111011110000010100 % -b1110111111111111011110000010100 . -b1110111111111111011110000010100 6 -b1110111111111111011110000010100 @ -b1110111111111111011110000010100 F -b1100111011011111010011010001111 ) -#1536000 -0& -#1552000 -b11000 , -#1568000 -1& -#1584000 -b10010000111111100010110101111001 " -b10010000111111100010110101111001 4 -b10010000111111100011000000000010 1 -b10010000111111100011000000000010 C -b10111111100011000000110011000000 0 -b10111111100011000000110011000000 H -18 -b10010000111111100010110101111001 2 -b10010000111111100010110101111001 = -b10010001111111111111110101000110 < -b10010000111111100010110101111001 : -b11111110111111100011000000110011 $ -b11111110111111100011000000110011 - -b11111110111111100011000000110011 5 -b11111110111111100011000000110011 ? -b11111110111111100011000000110011 D -b10010001111111111111110101000110 % -b10010001111111111111110101000110 . -b10010001111111111111110101000110 6 -b10010001111111111111110101000110 @ -b10010001111111111111110101000110 F -b10010000111111100010110101111001 ) -#1600000 -0& -#1616000 -b11001 , -#1632000 -1& -#1648000 -b1000111110110000111110110111001 " -b1000111110110000111110110111001 4 -b111110110001000000011001010 1 -b111110110001000000011001010 C -b11111011100100111011110000000000 0 -b11111011100100111011110000000000 H -08 -b1000111110110000111110110111001 2 -b1000111110110000111110110111001 = -b11111110110011001100011001010 < -b1000111110110000111110110111001 : -b100111111111101110010011101111 $ -b100111111111101110010011101111 - -b100111111111101110010011101111 5 -b100111111111101110010011101111 ? -b100111111111101110010011101111 D -b11111110110011001100011001010 % -b11111110110011001100011001010 . -b11111110110011001100011001010 6 -b11111110110011001100011001010 @ -b11111110110011001100011001010 F -b1000111110110000111110110111001 ) -#1664000 -0& -#1680000 -b11010 , -#1696000 -1& -#1712000 -b101110101011001001001001101101 " -b101110101011001001001001101101 4 -b1001110101011001010010010010010 1 -b1001110101011001010010010010010 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -b101110101011001001001001101101 2 -b101110101011001001001001101101 = -b11011111101011001010010111011011 < -b101110101011001001001001101101 : -b1001110111111111110110010010010 $ -b1001110111111111110110010010010 - -b1001110111111111110110010010010 5 -b1001110111111111110110010010010 ? -b1001110111111111110110010010010 D -b11011111101011001010010111011011 % -b11011111101011001010010111011011 . -b11011111101011001010010111011011 6 -b11011111101011001010010111011011 @ -b11011111101011001010010111011011 F -b101110101011001001001001101101 ) -#1728000 -0& -#1744000 -b11011 , -#1760000 -1& -#1776000 -b1101101010110101111011101000010 " -b1101101010110101111011101000010 4 -b1101101010110110000100110001001 1 -b1101101010110110000100110001001 C -b10111111000110110011001000000000 0 -b10111111000110110011001000000000 H -b1101101010110101111011101000010 2 -b1101101010110101111011101000010 = -b1101111111110110110100110101001 < -b1101101010110101111011101000010 : -b11111101010111111000110110011001 $ -b11111101010111111000110110011001 - -b11111101010111111000110110011001 5 -b11111101010111111000110110011001 ? -b11111101010111111000110110011001 D -b1101111111110110110100110101001 % -b1101111111110110110100110101001 . -b1101111111110110110100110101001 6 -b1101111111110110110100110101001 @ -b1101111111110110110100110101001 F -b1101101010110101111011101000010 ) -#1792000 -0& -#1808000 -b11100 , -#1824000 -1& -#1840000 -b10000001011100101100011100010001 " -b10000001011100101100011100010001 4 -b1011101010000000000010010 1 -b1011101010000000000010010 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -19 -08 -b10000001011100101100011100010001 2 -b10000001011100101100011100010001 = -b1100011111101011100001001111011 < -b10000001011100101100011100010001 : -b11101011111010000010010010110 $ -b11101011111010000010010010110 - -b11101011111010000010010010110 5 -b11101011111010000010010010110 ? -b11101011111010000010010010110 D -b1100011111101011100001001111011 % -b1100011111101011100001001111011 . -b1100011111101011100001001111011 6 -b1100011111101011100001001111011 @ -b1100011111101011100001001111011 F -b10000001011100101100011100010001 ) -#1856000 -0& -#1872000 -b11101 , -#1888000 -1& -#1904000 -b1011101010101010010110101001001 " -b1011101010101010010110101001001 4 -b1101101010110010011001000001010 1 -b1101101010110010011001000001010 C -b11001011100110001111000000000000 0 -b11001011100110001111000000000000 H -09 -08 -b1011101010101010010110101001001 2 -b1011101010101010010110101001001 = -b11101111111110111011101000101011 < -b1011101010101010010110101001001 : -b1101101010110010111001100011110 $ -b1101101010110010111001100011110 - -b1101101010110010111001100011110 5 -b1101101010110010111001100011110 ? -b1101101010110010111001100011110 D -b11101111111110111011101000101011 % -b11101111111110111011101000101011 . -b11101111111110111011101000101011 6 -b11101111111110111011101000101011 @ -b11101111111110111011101000101011 F -b1011101010101010010110101001001 ) -#1920000 -0& -#1936000 -b11110 , -#1952000 -1& -#1968000 -b11111011110101000011110011000100 " -b11111011110101000011110011000100 4 -b1111011110110000100011000001010 1 -b1111011110110000100011000001010 C -b1100001000110101110100000000000 0 -b1100001000110101110100000000000 H -19 -08 -b11111011110101000011110011000100 2 -b11111011110101000011110011000100 = -b1111111111110111111011000001010 < -b11111011110101000011110011000100 : -b1111011110110000100011010111010 $ -b1111011110110000100011010111010 - -b1111011110110000100011010111010 5 -b1111011110110000100011010111010 ? -b1111011110110000100011010111010 D -b1111111111110111111011000001010 % -b1111111111110111111011000001010 . -b1111111111110111111011000001010 6 -b1111111111110111111011000001010 @ -b1111111111110111111011000001010 F -b11111011110101000011110011000100 ) -#1984000 -0& -#2000000 -b11111 , -#2016000 -1& -#2032000 -b11001000110011101100110000110011 " -b11001000110011101100110000110011 4 -b1000110101110001010010001000 1 -b1000110101110001010010001000 C -b10111001101011000100000000000000 0 -b10111001101011000100000000000000 H -18 -09 -b11001000110011101100110000110011 2 -b11001000110011101100110000110011 = -b10001100111101111001011010101011 < -b11001000110011101100110000110011 : -b111011110101110011010110001000 $ -b111011110101110011010110001000 - -b111011110101110011010110001000 5 -b111011110101110011010110001000 ? -b111011110101110011010110001000 D -b10001100111101111001011010101011 % -b10001100111101111001011010101011 . -b10001100111101111001011010101011 6 -b10001100111101111001011010101011 @ -b10001100111101111001011010101011 F -b11001000110011101100110000110011 ) -#2048000 -0& -#2064000 -b100000 , -#2080000 -1& -#2096000 -b11011110011001001011101000011110 " -b11011110011001001011101000011110 4 -b11100011001010001100001000100011 1 -b11100011001010001100001000100011 C -b11010110101101011001100000000000 0 -b11010110101101011001100000000000 H -b11011110011001001011101000011110 2 -b11011110011001001011101000011110 = -b11100011001010011110001101101011 < -b11011110011001001011101000011110 : -b11111011001110101101011010110011 $ -b11111011001110101101011010110011 - -b11111011001110101101011010110011 5 -b11111011001110101101011010110011 ? -b11111011001110101101011010110011 D -b11100011001010011110001101101011 % -b11100011001010011110001101101011 . -b11100011001010011110001101101011 6 -b11100011001010011110001101101011 @ -b11100011001010011110001101101011 F -b11011110011001001011101000011110 ) -#2112000 -0& -#2128000 -b100001 , -#2144000 -1& -#2160000 -b11110100001111101000101010100110 " -b11110100001111101000101010100110 4 -b110100001111110000010000101001 1 -b110100001111110000010000101001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b11110100001111101000101010100110 2 -b11110100001111101000101010100110 = -b110101111111110000010001111101 < -b11110100001111101000101010100110 : -b10111110001111111000011000101001 $ -b10111110001111111000011000101001 - -b10111110001111111000011000101001 5 -b10111110001111111000011000101001 ? -b10111110001111111000011000101001 D -b110101111111110000010001111101 % -b110101111111110000010001111101 . -b110101111111110000010001111101 6 -b110101111111110000010001111101 @ -b110101111111110000010001111101 F -b11110100001111101000101010100110 ) -#2176000 -0& -#2192000 -b100010 , -#2208000 -1& -#2224000 -b10111111010100011100011011010110 " -b10111111010100011100011011010110 4 -b11000000100100011100101100000000 1 -b11000000100100011100101100000000 C -b1101001101111111011010100100000 0 -b1101001101111111011010100100000 H -b10111111010100011100011011010110 2 -b10111111010100011100011011010110 = -b11111000101101011100101110000100 < -b10111111010100011100011011010110 : -b11000110100110111111101101010010 $ -b11000110100110111111101101010010 - -b11000110100110111111101101010010 5 -b11000110100110111111101101010010 ? -b11000110100110111111101101010010 D -b11111000101101011100101110000100 % -b11111000101101011100101110000100 . -b11111000101101011100101110000100 6 -b11111000101101011100101110000100 @ -b11111000101101011100101110000100 F -b10111111010100011100011011010110 ) -#2240000 -0& -#2256000 -b100011 , -#2272000 -1& -#2288000 -b11000011111111010100011111100111 " -b11000011111111010100011111100111 4 -b1000011111111010101000000001000 1 -b1000011111111010101000000001000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -08 -19 -b11000011111111010100011111100111 2 -b11000011111111010100011111100111 = -b1010111111111010101001010011100 < -b11000011111111010100011111100111 : -b1101011111111111111010101001011 $ -b1101011111111111111010101001011 - -b1101011111111111111010101001011 5 -b1101011111111111111010101001011 ? -b1101011111111111111010101001011 D -b1010111111111010101001010011100 % -b1010111111111010101001010011100 . -b1010111111111010101001010011100 6 -b1010111111111010101001010011100 @ -b1010111111111010101001010011100 F -b11000011111111010100011111100111 ) -#2304000 -0& -#2320000 -b100100 , -#2336000 -1& -#2352000 -b1001010110111101101011111000010 " -b1001010110111101101011111000010 4 -b1001010111011101110000001001001 1 -b1001010111011101110000001001001 C -b11011101111010001111001000000000 0 -b11011101111010001111001000000000 H -09 -08 -b1001010110111101101011111000010 2 -b1001010110111101101011111000010 = -b1111010111011111110001101001001 < -b1001010110111101101011111000010 : -b11001111111011101111010001111001 $ -b11001111111011101111010001111001 - -b11001111111011101111010001111001 5 -b11001111111011101111010001111001 ? -b11001111111011101111010001111001 D -b1111010111011111110001101001001 % -b1111010111011111110001101001001 . -b1111010111011111110001101001001 6 -b1111010111011111110001101001001 @ -b1111010111011111110001101001001 F -b1001010110111101101011111000010 ) -#2368000 -0& -#2384000 -b100101 , -#2400000 -1& -#2416000 -b1011110001110100010001011001000 " -b1011110001110100010001011001000 4 -b100110001110100010100001010000 1 -b100110001110100010100001010000 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -b1011110001110100010001011001000 2 -b1011110001110100010001011001000 = -b110111101111111010101001011000 < -b1011110001110100010001011001000 : -b100110011110100111100001110000 $ -b100110011110100111100001110000 - -b100110011110100111100001110000 5 -b100110011110100111100001110000 ? -b100110011110100111100001110000 D -b110111101111111010101001011000 % -b110111101111111010101001011000 . -b110111101111111010101001011000 6 -b110111101111111010101001011000 @ -b110111101111111010101001011000 F -b1011110001110100010001011001000 ) -#2432000 -0& -#2448000 -b100110 , -#2464000 -1& -#2480000 -b11100011111011001000100001101111 " -b11100011111011001000100001101111 4 -b100011111101001000100010000000 1 -b100011111101001000100010000000 C -b11101011110111011000110000000000 0 -b11101011110111011000110000000000 H -18 -b11100011111011001000100001101111 2 -b11100011111011001000100001101111 = -b100111111101101001100110101001 < -b11100011111011001000100001101111 : -b10111011111101011110111011000110 $ -b10111011111101011110111011000110 - -b10111011111101011110111011000110 5 -b10111011111101011110111011000110 ? -b10111011111101011110111011000110 D -b100111111101101001100110101001 % -b100111111101101001100110101001 . -b100111111101101001100110101001 6 -b100111111101101001100110101001 @ -b100111111101101001100110101001 F -b11100011111011001000100001101111 ) -#2496000 -0& -#2512000 -b100111 , -#2528000 -1& -#2544000 -b11011011110100011001110001110000 " -b11011011110100011001110001110000 4 -b1011011110100100000110100110010 1 -b1011011110100100000110100110010 C -b11011000000000000000000000000000 0 -b11011000000000000000000000000000 H -08 -19 -b11011011110100011001110001110000 2 -b11011011110100011001110001110000 = -b1011011110100110000111100111010 < -b11011011110100011001110001110000 : -b1111111111111101000110100110110 $ -b1111111111111101000110100110110 - -b1111111111111101000110100110110 5 -b1111111111111101000110100110110 ? -b1111111111111101000110100110110 D -b1011011110100110000111100111010 % -b1011011110100110000111100111010 . -b1011011110100110000111100111010 6 -b1011011110100110000111100111010 @ -b1011011110100110000111100111010 F -b11011011110100011001110001110000 ) -#2560000 -0& -#2576000 -b101000 , -#2592000 -1& -#2608000 -b11101111011101100100011000111111 " -b11101111011101100100011000111111 4 -b11101111011101101000101010000000 1 -b11101111011101101000101010000000 C -b10100111000000000000000000000000 0 -b10100111000000000000000000000000 H -18 -09 -b11101111011101100100011000111111 2 -b11101111011101100100011000111111 = -b11101111011101111011101110011000 < -b11101111011101100100011000111111 : -b11111111111111101000101010100111 $ -b11111111111111101000101010100111 - -b11111111111111101000101010100111 5 -b11111111111111101000101010100111 ? -b11111111111111101000101010100111 D -b11101111011101111011101110011000 % -b11101111011101111011101110011000 . -b11101111011101111011101110011000 6 -b11101111011101111011101110011000 @ -b11101111011101111011101110011000 F -b11101111011101100100011000111111 ) -#2624000 -0& -#2640000 -b101001 , -#2656000 -1& -#2672000 -b11100110011111001010001000000111 " -b11100110011111001010001000000111 4 -b11100110011111100100001000001000 1 -b11100110011111100100001000001000 C -b11110010111110111110000000000000 0 -b11110010111110111110000000000000 H -b11100110011111001010001000000111 2 -b11100110011111001010001000000111 = -b11100110011111100100001010001011 < -b11100110011111001010001000000111 : -b11111111111111100101111101111100 $ -b11111111111111100101111101111100 - -b11111111111111100101111101111100 5 -b11111111111111100101111101111100 ? -b11111111111111100101111101111100 D -b11100110011111100100001010001011 % -b11100110011111100100001010001011 . -b11100110011111100100001010001011 6 -b11100110011111100100001010001011 @ -b11100110011111100100001010001011 F -b11100110011111001010001000000111 ) -#2688000 -0& -#2704000 -b101010 , -#2720000 -1& -#2736000 -b1110100011011101111011111110101 " -b1110100011011101111011111110101 4 -b1110100011011110001100000011010 1 -b1110100011011110001100000011010 C -b1101100000000000000000000000000 0 -b1101100000000000000000000000000 H -08 -b1110100011011101111011111110101 2 -b1110100011011101111011111110101 = -b1111100011011111001100111011010 < -b1110100011011101111011111110101 : -b11110111111111110101111000011011 $ -b11110111111111110101111000011011 - -b11110111111111110101111000011011 5 -b11110111111111110101111000011011 ? -b11110111111111110101111000011011 D -b1111100011011111001100111011010 % -b1111100011011111001100111011010 . -b1111100011011111001100111011010 6 -b1111100011011111001100111011010 @ -b1111100011011111001100111011010 F -b1110100011011101111011111110101 ) -#2752000 -0& -#2768000 -b101011 , -#2784000 -1& -#2800000 -b1110101111111100010000000111011 " -b1110101111111100010000000111011 4 -b1110101111111100010000000111100 1 -b1110101111111100010000000111100 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -b1110101111111100010000000111011 2 -b1110101111111100010000000111011 = -b1111111111111100110000010111100 < -b1110101111111100010000000111011 : -b11110101111111111011111101111111 $ -b11110101111111111011111101111111 - -b11110101111111111011111101111111 5 -b11110101111111111011111101111111 ? -b11110101111111111011111101111111 D -b1111111111111100110000010111100 % -b1111111111111100110000010111100 . -b1111111111111100110000010111100 6 -b1111111111111100110000010111100 @ -b1111111111111100110000010111100 F -b1110101111111100010000000111011 ) -#2816000 -0& -#2832000 -b101100 , -#2848000 -1& -#2864000 -b1101001111011110111111111001000 " -b1101001111011110111111111001000 4 -b101001111011111000000000001001 1 -b101001111011111000000000001001 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -b1101001111011110111111111001000 2 -b1101001111011110111111111001000 = -b111001111011111100110010111011 < -b1101001111011110111111111001000 : -b101111111111111011001100001101 $ -b101111111111111011001100001101 - -b101111111111111011001100001101 5 -b101111111111111011001100001101 ? -b101111111111111011001100001101 D -b111001111011111100110010111011 % -b111001111011111100110010111011 . -b111001111011111100110010111011 6 -b111001111011111100110010111011 @ -b111001111011111100110010111011 F -b1101001111011110111111111001000 ) -#2880000 -0& -#2896000 -b101101 , -#2912000 -1& -#2928000 -b111101010010110110000000111100 " -b111101010010110110000000111100 4 -b1011101010100111000000010000000 1 -b1011101010100111000000010000000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -b111101010010110110000000111100 2 -b111101010010110110000000111100 = -b11011101010101111001110110011000 < -b111101010010110110000000111100 : -b1011111111100111100001010100100 $ -b1011111111100111100001010100100 - -b1011111111100111100001010100100 5 -b1011111111100111100001010100100 ? -b1011111111100111100001010100100 D -b11011101010101111001110110011000 % -b11011101010101111001110110011000 . -b11011101010101111001110110011000 6 -b11011101010101111001110110011000 @ -b11011101010101111001110110011000 F -b111101010010110110000000111100 ) -#2944000 -0& -#2960000 -b101110 , -#2976000 -1& -#2992000 -b11101001111011100011110100001101 " -b11101001111011100011110100001101 4 -b1101010011011110000001000010000 1 -b1101010011011110000001000010000 C -b0 0 -b0 H -19 -08 -b11101001111011100011110100001101 2 -b11101001111011100011110100001101 = -b1101010011111110000001010111101 < -b11101001111011100011110100001101 : -b1111111011011110011101001010000 $ -b1111111011011110011101001010000 - -b1111111011011110011101001010000 5 -b1111111011011110011101001010000 ? -b1111111011011110011101001010000 D -b1101010011111110000001010111101 % -b1101010011111110000001010111101 . -b1101010011111110000001010111101 6 -b1101010011111110000001010111101 @ -b1101010011111110000001010111101 F -b11101001111011100011110100001101 ) -#3008000 -0& -#3024000 -b101111 , -#3040000 -1& -#3056000 -b10110111111111110110101001011100 " -b10110111111111110110101001011100 4 -b10111000111111110110101001100001 1 -b10111000111111110110101001100001 C -b1010011010010000000000000000000 0 -b1010011010010000000000000000000 H -18 -09 -b10110111111111110110101001011100 2 -b10110111111111110110101001011100 = -b10111110111111110111111111110011 < -b10110111111111110110101001011100 : -b11111000111111111110101001101001 $ -b11111000111111111110101001101001 - -b11111000111111111110101001101001 5 -b11111000111111111110101001101001 ? -b11111000111111111110101001101001 D -b10111110111111110111111111110011 % -b10111110111111110111111111110011 . -b10111110111111110111111111110011 6 -b10111110111111110111111111110011 @ -b10111110111111110111111111110011 F -b10110111111111110110101001011100 ) -#3072000 -0& -#3088000 -b110000 , -#3104000 -1& -#3120000 -b111101000011010101101011011111 " -b111101000011010101101011011111 4 -b111101000101100010000000000000 1 -b111101000101100010000000000000 C -b1110101110010001011011001000000 0 -b1110101110010001011011001000000 H -08 -b111101000011010101101011011111 2 -b111101000011010101101011011111 = -b11111111001101100011100000000110 < -b111101000011010101101011011111 : -b111101110101110010001011011001 $ -b111101110101110010001011011001 - -b111101110101110010001011011001 5 -b111101110101110010001011011001 ? -b111101110101110010001011011001 D -b11111111001101100011100000000110 % -b11111111001101100011100000000110 . -b11111111001101100011100000000110 6 -b11111111001101100011100000000110 @ -b11111111001101100011100000000110 F -b111101000011010101101011011111 ) -#3136000 -0& -#3152000 -b110001 , -#3168000 -1& -#3184000 -b11101110100000111101010100000010 " -b11101110100000111101010100000010 4 -b101110100001000010100000000011 1 -b101110100001000010100000000011 C -b1000011011110000000000000000000 0 -b1000011011110000000000000000000 H -18 -b11101110100000111101010100000010 2 -b11101110100000111101010100000010 = -b10111110110111101010110010010011 < -b11101110100000111101010100000010 : -b101111101001010010100001101111 $ -b101111101001010010100001101111 - -b101111101001010010100001101111 5 -b101111101001010010100001101111 ? -b101111101001010010100001101111 D -b10111110110111101010110010010011 % -b10111110110111101010110010010011 . -b10111110110111101010110010010011 6 -b10111110110111101010110010010011 @ -b10111110110111101010110010010011 F -b11101110100000111101010100000010 ) -#3200000 -0& -#3216000 -b110010 , -#3232000 -1& -#3248000 -b11001110011100001000101010010110 " -b11001110011100001000101010010110 4 -b11001110011100001000101100000000 1 -b11001110011100001000101100000000 C -b11111110111110101011101100010110 0 -b11111110111110101011101100010110 H -b11001110011100001000101010010110 2 -b11001110011100001000101010010110 = -b11001111011101011100111110000000 < -b11001110011100001000101010010110 : -b11111110111110101011101100010110 $ -b11111110111110101011101100010110 - -b11111110111110101011101100010110 5 -b11111110111110101011101100010110 ? -b11111110111110101011101100010110 D -b11001111011101011100111110000000 % -b11001111011101011100111110000000 . -b11001111011101011100111110000000 6 -b11001111011101011100111110000000 @ -b11001111011101011100111110000000 F -b11001110011100001000101010010110 ) -#3264000 -0& -#3280000 -b110011 , -#3296000 -1& -#3312000 -b1110010111011010001110110001100 " -b1110010111011010001110110001100 4 -b1110010111011100000000010010001 1 -b1110010111011100000000010010001 C -b10001001101100000000000000000 0 -b10001001101100000000000000000 H -08 -b1110010111011010001110110001100 2 -b1110010111011010001110110001100 = -b1110110111111100001010011110001 < -b1110010111011010001110110001100 : -b11111011111011110000100010011011 $ -b11111011111011110000100010011011 - -b11111011111011110000100010011011 5 -b11111011111011110000100010011011 ? -b11111011111011110000100010011011 D -b1110110111111100001010011110001 % -b1110110111111100001010011110001 . -b1110110111111100001010011110001 6 -b1110110111111100001010011110001 @ -b1110110111111100001010011110001 F -b1110010111011010001110110001100 ) -#3328000 -0& -#3344000 -b110100 , -#3360000 -1& -#3376000 -b11110111101111101100110010011011 " -b11110111101111101100110010011011 4 -b1110111101111101100110010100100 1 -b1110111101111101100110010100100 C -b1111111111111101110101101110000 0 -b1111111111111101110101101110000 H -19 -08 -b11110111101111101100110010011011 2 -b11110111101111101100110010011011 = -b1111111101111101101110111100100 < -b11110111101111101100110010011011 : -b1110111111111111110111010110111 $ -b1110111111111111110111010110111 - -b1110111111111111110111010110111 5 -b1110111111111111110111010110111 ? -b1110111111111111110111010110111 D -b1111111101111101101110111100100 % -b1111111101111101101110111100100 . -b1111111101111101101110111100100 6 -b1111111101111101101110111100100 @ -b1111111101111101101110111100100 F -b11110111101111101100110010011011 ) -#3392000 -0& -#3408000 -b110101 , -#3424000 -1& -#3440000 -b1011001100111000110110011111101 " -b1011001100111000110110011111101 4 -b1100001100111001001000000001110 1 -b1100001100111001001000000001110 C -b1001010000001110000000000000000 0 -b1001010000001110000000000000000 H -09 -08 -b1011001100111000110110011111101 2 -b1011001100111000110110011111101 = -b1110101111111111101100011101111 < -b1011001100111000110110011111101 : -b11100011100111001001010000001110 $ -b11100011100111001001010000001110 - -b11100011100111001001010000001110 5 -b11100011100111001001010000001110 ? -b11100011100111001001010000001110 D -b1110101111111111101100011101111 % -b1110101111111111101100011101111 . -b1110101111111111101100011101111 6 -b1110101111111111101100011101111 @ -b1110101111111111101100011101111 F -b1011001100111000110110011111101 ) -#3456000 -0& -#3472000 -b110110 , -#3488000 -1& -#3504000 -b11010101001001001111100000001100 " -b11010101001001001111100000001100 4 -b1010101001010010000000001000000 1 -b1010101001010010000000001000000 C -b101011000100110100010000000000 0 -b101011000100110100010000000000 H -19 -08 -b11010101001001001111100000001100 2 -b11010101001001001111100000001100 = -b1010111111110011110010011001000 < -b11010101001001001111100000001100 : -b1111101001010110001001101000100 $ -b1111101001010110001001101000100 - -b1111101001010110001001101000100 5 -b1111101001010110001001101000100 ? -b1111101001010110001001101000100 D -b1010111111110011110010011001000 % -b1010111111110011110010011001000 . -b1010111111110011110010011001000 6 -b1010111111110011110010011001000 @ -b1010111111110011110010011001000 F -b11010101001001001111100000001100 ) -#3520000 -0& -#3536000 -b110111 , -#3552000 -1& -#3568000 -b10101111101011000100001010110 " -b10101111101011000100001010110 4 -b10110011101011010000100001001 1 -b10110011101011010000100001001 C -b11110100101010010010000000000000 0 -b11110100101010010010000000000000 H -09 -08 -b10101111101011000100001010110 2 -b10101111101011000100001010110 = -b10010110011101011110001100001101 < -b10101111101011000100001010110 : -b1111111011111111010010101001001 $ -b1111111011111111010010101001001 - -b1111111011111111010010101001001 5 -b1111111011111111010010101001001 ? -b1111111011111111010010101001001 D -b10010110011101011110001100001101 % -b10010110011101011110001100001101 . -b10010110011101011110001100001101 6 -b10010110011101011110001100001101 @ -b10010110011101011110001100001101 F -b10101111101011000100001010110 ) -#3584000 -0& -#3600000 -b111000 , -#3616000 -1& -#3632000 -b111011111101011111000100001010 " -b111011111101011111000100001010 4 -b111011111101100000100000010000 1 -b111011111101100000100000010000 C -b10100000011000000000000000000000 0 -b10100000011000000000000000000000 H -b111011111101011111000100001010 2 -b111011111101011111000100001010 = -b10111111111101110000100011110010 < -b111011111101011111000100001010 : -b1111011111111101110100000011000 $ -b1111011111111101110100000011000 - -b1111011111111101110100000011000 5 -b1111011111111101110100000011000 ? -b1111011111111101110100000011000 D -b10111111111101110000100011110010 % -b10111111111101110000100011110010 . -b10111111111101110000100011110010 6 -b10111111111101110000100011110010 @ -b10111111111101110000100011110010 F -b111011111101011111000100001010 ) -#3648000 -0& -#3664000 -b111001 , -#3680000 -1& -#3696000 -b11011011011101101011110110111111 " -b11011011011101101011110110111111 4 -b1011011011110010000011001000000 1 -b1011011011110010000011001000000 C -b11111111010011011101111001000000 0 -b11111111010011011101111001000000 H -19 -08 -b11011011011101101011110110111111 2 -b11011011011101101011110110111111 = -b1111011011110011000011001000110 < -b11011011011101101011110110111111 : -b1011111111111010011011101111001 $ -b1011111111111010011011101111001 - -b1011111111111010011011101111001 5 -b1011111111111010011011101111001 ? -b1011111111111010011011101111001 D -b1111011011110011000011001000110 % -b1111011011110011000011001000110 . -b1111011011110011000011001000110 6 -b1111011011110011000011001000110 @ -b1111011011110011000011001000110 F -b11011011011101101011110110111111 ) -#3712000 -0& -#3728000 -b111010 , -#3744000 -1& -#3760000 -b1011110110110101101001011010011 " -b1011110110110101101001011010011 4 -b1011110110110101110010100100100 1 -b1011110110110101110010100100100 C -b1011101101101001100000000000000 0 -b1011101101101001100000000000000 H -09 -08 -b1011110110110101101001011010011 2 -b1011110110110101101001011010011 = -b1011111110111111110010100101101 < -b1011110110110101101001011010011 : -b11111110111110101110110110100110 $ -b11111110111110101110110110100110 - -b11111110111110101110110110100110 5 -b11111110111110101110110110100110 ? -b11111110111110101110110110100110 D -b1011111110111111110010100101101 % -b1011111110111111110010100101101 . -b1011111110111111110010100101101 6 -b1011111110111111110010100101101 @ -b1011111110111111110010100101101 F -b1011110110110101101001011010011 ) -#3776000 -0& -#3792000 -b111011 , -#3808000 -1& -#3824000 -b11011110101110001110011011110000 " -b11011110101110001110011011110000 4 -b11100010110010010010100000010010 1 -b11100010110010010010100000010010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11011110101110001110011011110000 2 -b11011110101110001110011011110000 = -b11100011110010010010101010011110 < -b11011110101110001110011011110000 : -b11111010111011111011110001010010 $ -b11111010111011111011110001010010 - -b11111010111011111011110001010010 5 -b11111010111011111011110001010010 ? -b11111010111011111011110001010010 D -b11100011110010010010101010011110 % -b11100011110010010010101010011110 . -b11100011110010010010101010011110 6 -b11100011110010010010101010011110 @ -b11100011110010010010101010011110 F -b11011110101110001110011011110000 ) -#3840000 -0& -#3856000 -b111100 , -#3872000 -1& -#3888000 -b10110101101111010001010111100000 " -b10110101101111010001010111100000 4 -b110101101111100100000000100001 1 -b110101101111100100000000100001 C -b11110010001000011010100000000000 0 -b11110010001000011010100000000000 H -08 -19 -b10110101101111010001010111100000 2 -b10110101101111010001010111100000 = -b110111111111101101000110101011 < -b10110101101111010001010111100000 : -b1111101101111100100010000110101 $ -b1111101101111100100010000110101 - -b1111101101111100100010000110101 5 -b1111101101111100100010000110101 ? -b1111101101111100100010000110101 D -b110111111111101101000110101011 % -b110111111111101101000110101011 . -b110111111111101101000110101011 6 -b110111111111101101000110101011 @ -b110111111111101101000110101011 F -b10110101101111010001010111100000 ) -#3904000 -0& -#3920000 -b111101 , -#3936000 -1& -#3952000 -b11000110100111110000000000110100 " -b11000110100111110000000000110100 4 -b1000111000111110000000000111001 1 -b1000111000111110000000000111001 C -b11110110000000000000000000000000 0 -b11110110000000000000000000000000 H -b11000110100111110000000000110100 2 -b11000110100111110000000000110100 = -b1000111011111110001010100111001 < -b11000110100111110000000000110100 : -b1111111000111111110101011111011 $ -b1111111000111111110101011111011 - -b1111111000111111110101011111011 5 -b1111111000111111110101011111011 ? -b1111111000111111110101011111011 D -b1000111011111110001010100111001 % -b1000111011111110001010100111001 . -b1000111011111110001010100111001 6 -b1000111011111110001010100111001 @ -b1000111011111110001010100111001 F -b11000110100111110000000000110100 ) -#3968000 -0& -#3984000 -b111110 , -#4000000 -1& -#4016000 -b11100000110010110011100111010101 " -b11100000110010110011100111010101 4 -b1100010010010110100010011101000 1 -b1100010010010110100010011101000 C -b1111111111101001110110100000000 0 -b1111111111101001110110100000000 H -b11100000110010110011100111010101 2 -b11100000110010110011100111010101 = -b1101110010010110100010011101000 < -b11100000110010110011100111010101 : -b1110010011111111111010011101101 $ -b1110010011111111111010011101101 - -b1110010011111111111010011101101 5 -b1110010011111111111010011101101 ? -b1110010011111111111010011101101 D -b1101110010010110100010011101000 % -b1101110010010110100010011101000 . -b1101110010010110100010011101000 6 -b1101110010010110100010011101000 @ -b1101110010010110100010011101000 F -b11100000110010110011100111010101 ) -#4032000 -0& -#4048000 -b111111 , -#4064000 -1& -#4080000 -b11111111010101011111011011000011 " -b11111111010101011111011011000011 4 -b111010101100000100101000100 1 -b111010101100000100101000100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -09 -b11111111010101011111011011000011 2 -b11111111010101011111011011000011 = -b10010111110101101110100101011110 < -b11111111010101011111011011000011 : -b1100111011111110000110101100101 $ -b1100111011111110000110101100101 - -b1100111011111110000110101100101 5 -b1100111011111110000110101100101 ? -b1100111011111110000110101100101 D -b10010111110101101110100101011110 % -b10010111110101101110100101011110 . -b10010111110101101110100101011110 6 -b10010111110101101110100101011110 @ -b10010111110101101110100101011110 F -b11111111010101011111011011000011 ) -#4096000 -0& -#4112000 -b1000000 , -#4128000 -1& -#4144000 -b10000010110101111100111110000 " -b10000010110101111100111110000 4 -b10000010110110001101000001000 1 -b10000010110110001101000001000 C -b1111111100111101100100000000000 0 -b1111111100111101100100000000000 H -08 -b10000010110101111100111110000 2 -b10000010110101111100111110000 = -b10011000110110110101101100101000 < -b10000010110101111100111110000 : -b1110111011111111001111011001000 $ -b1110111011111111001111011001000 - -b1110111011111111001111011001000 5 -b1110111011111111001111011001000 ? -b1110111011111111001111011001000 D -b10011000110110110101101100101000 % -b10011000110110110101101100101000 . -b10011000110110110101101100101000 6 -b10011000110110110101101100101000 @ -b10011000110110110101101100101000 F -b10000010110101111100111110000 ) -#4160000 -0& -#4176000 -b1000001 , -#4192000 -1& -#4208000 -b1101001011100010011111100000101 " -b1101001011100010011111100000101 4 -b1101001011100011000011100000110 1 -b1101001011100011000011100000110 C -b11011110111001011101111111000000 0 -b11011110111001011101111111000000 H -b1101001011100010011111100000101 2 -b1101001011100010011111100000101 = -b1111101111101011010011110000110 < -b1101001011100010011111100000101 : -b11101011011110111001011101111111 $ -b11101011011110111001011101111111 - -b11101011011110111001011101111111 5 -b11101011011110111001011101111111 ? -b11101011011110111001011101111111 D -b1111101111101011010011110000110 % -b1111101111101011010011110000110 . -b1111101111101011010011110000110 6 -b1111101111101011010011110000110 @ -b1111101111101011010011110000110 F -b1101001011100010011111100000101 ) -#4224000 -0& -#4240000 -b1000010 , -#4256000 -1& -#4272000 -b11110100101011001011110010110000 " -b11110100101011001011110010110000 4 -b10100101011001100110100110001 1 -b10100101011001100110100110001 C -b10011010011111100000000000000000 0 -b10011010011111100000000000000000 H -18 -b11110100101011001011110010110000 2 -b11110100101011001011110010110000 = -b11101101011011110111101110001 < -b11110100101011001011110010110000 : -b11010110111111101100110100111111 $ -b11010110111111101100110100111111 - -b11010110111111101100110100111111 5 -b11010110111111101100110100111111 ? -b11010110111111101100110100111111 D -b11101101011011110111101110001 % -b11101101011011110111101110001 . -b11101101011011110111101110001 6 -b11101101011011110111101110001 @ -b11101101011011110111101110001 F -b11110100101011001011110010110000 ) -#4288000 -0& -#4304000 -b1000011 , -#4320000 -1& -#4336000 -b10001011000011111110000011000101 " -b10001011000011111110000011000101 4 -b10001011000011111110000101100010 1 -b10001011000011111110000101100010 C -b11111101011111111000110110001100 0 -b11111101011111111000110110001100 H -b10001011000011111110000011000101 2 -b10001011000011111110000011000101 = -b10001011101011111111110101100010 < -b10001011000011111110000011000101 : -b11111111010111111110001101100011 $ -b11111111010111111110001101100011 - -b11111111010111111110001101100011 5 -b11111111010111111110001101100011 ? -b11111111010111111110001101100011 D -b10001011101011111111110101100010 % -b10001011101011111111110101100010 . -b10001011101011111111110101100010 6 -b10001011101011111111110101100010 @ -b10001011101011111111110101100010 F -b10001011000011111110000011000101 ) -#4352000 -0& -#4368000 -b1000100 , -#4384000 -1& -#4400000 -b11010101111011010110000100001101 " -b11010101111011010110000100001101 4 -b1010101111011101000000100010000 1 -b1010101111011101000000100010000 C -b1011101100100000000000000000000 0 -b1011101100100000000000000000000 H -08 -19 -b11010101111011010110000100001101 2 -b11010101111011010110000100001101 = -b1011101111111101001101100110100 < -b11010101111011010110000100001101 : -b1110111111011101100010111011001 $ -b1110111111011101100010111011001 - -b1110111111011101100010111011001 5 -b1110111111011101100010111011001 ? -b1110111111011101100010111011001 D -b1011101111111101001101100110100 % -b1011101111111101001101100110100 . -b1011101111111101001101100110100 6 -b1011101111111101001101100110100 @ -b1011101111111101001101100110100 F -b11010101111011010110000100001101 ) -#4416000 -0& -#4432000 -b1000101 , -#4448000 -1& -#4464000 -b1111100011011011111001000010110 " -b1111100011011011111001000010110 4 -b10111100011011100000100000000000 1 -b10111100011011100000100000000000 C -b0 0 -b0 H -18 -b1111100011011011111001000010110 2 -b1111100011011011111001000010110 = -b10111101011011100100101000010110 < -b1111100011011011111001000010110 : -b10111110111111111010100000000000 $ -b10111110111111111010100000000000 - -b10111110111111111010100000000000 5 -b10111110111111111010100000000000 ? -b10111110111111111010100000000000 D -b10111101011011100100101000010110 % -b10111101011011100100101000010110 . -b10111101011011100100101000010110 6 -b10111101011011100100101000010110 @ -b10111101011011100100101000010110 F -b1111100011011011111001000010110 ) -#4480000 -0& -#4496000 -b1000110 , -#4512000 -1& -#4528000 -b11011101101001101011010100011010 " -b11011101101001101011010100011010 4 -b11101101001110001100001001100 1 -b11101101001110001100001001100 C -b11100110001100110000000000000000 0 -b11100110001100110000000000000000 H -09 -18 -b11011101101001101011010100011010 2 -b11011101101001101011010100011010 = -b10111101101011110001110001001110 < -b11011101101001101011010100011010 : -b11111111101111001100011001100 $ -b11111111101111001100011001100 - -b11111111101111001100011001100 5 -b11111111101111001100011001100 ? -b11111111101111001100011001100 D -b10111101101011110001110001001110 % -b10111101101011110001110001001110 . -b10111101101011110001110001001110 6 -b10111101101011110001110001001110 @ -b10111101101011110001110001001110 F -b11011101101001101011010100011010 ) -#4544000 -0& -#4560000 -b1000111 , -#4576000 -1& -#4592000 -b11010001010001101110010011100110 " -b11010001010001101110010011100110 4 -b1010001010001110001000100001001 1 -b1010001010001110001000100001001 C -b11111010011100110010000000000000 0 -b11111010011100110010000000000000 H -08 -19 -b11010001010001101110010011100110 2 -b11010001010001101110010011100110 = -b1010011111011110001000101001101 < -b11010001010001101110010011100110 : -b1111101010101111101001110011001 $ -b1111101010101111101001110011001 - -b1111101010101111101001110011001 5 -b1111101010101111101001110011001 ? -b1111101010101111101001110011001 D -b1010011111011110001000101001101 % -b1010011111011110001000101001101 . -b1010011111011110001000101001101 6 -b1010011111011110001000101001101 @ -b1010011111011110001000101001101 F -b11010001010001101110010011100110 ) -#4608000 -0& -#4624000 -b1001000 , -#4640000 -1& -#4656000 -b11111100001100011000010110000101 " -b11111100001100011000010110000101 4 -b11111100010100011000011000001010 1 -b11111100010100011000011000001010 C -b101100000000000000000000000000 0 -b101100000000000000000000000000 H -18 -09 -b11111100001100011000010110000101 2 -b11111100001100011000010110000101 = -b11111100110111111100111101111010 < -b11111100001100011000010110000101 : -b11111111010100011011011000001011 $ -b11111111010100011011011000001011 - -b11111111010100011011011000001011 5 -b11111111010100011011011000001011 ? -b11111111010100011011011000001011 D -b11111100110111111100111101111010 % -b11111100110111111100111101111010 . -b11111100110111111100111101111010 6 -b11111100110111111100111101111010 @ -b11111100110111111100111101111010 F -b11111100001100011000010110000101 ) -#4672000 -0& -#4688000 -b1001001 , -#4704000 -1& -#4720000 -b10101010110111001010011011001010 " -b10101010110111001010011011001010 4 -b10110010110111010100101101100011 1 -b10110010110111010100101101100011 C -b1101111101011011011000110000000 0 -b1101111101011011011000110000000 H -b10101010110111001010011011001010 2 -b10101010110111001010011011001010 = -b11110111111111010100101101100111 < -b10101010110111001010011011001010 : -b10110010110111110101101101100011 $ -b10110010110111110101101101100011 - -b10110010110111110101101101100011 5 -b10110010110111110101101101100011 ? -b10110010110111110101101101100011 D -b11110111111111010100101101100111 % -b11110111111111010100101101100111 . -b11110111111111010100101101100111 6 -b11110111111111010100101101100111 @ -b11110111111111010100101101100111 F -b10101010110111001010011011001010 ) -#4736000 -0& -#4752000 -b1001010 , -#4768000 -1& -#4784000 -b11101001111110001101000100011110 " -b11101001111110001101000100011110 4 -b110100011110001101000100100000 1 -b110100011110001101000100100000 C -b11010001111000111111111111110000 0 -b11010001111000111111111111110000 H -b11101001111110001101000100011110 2 -b11101001111110001101000100011110 = -b110101011111111101000100100010 < -b11101001111110001101000100011110 : -b10110100011110001111111111111100 $ -b10110100011110001111111111111100 - -b10110100011110001111111111111100 5 -b10110100011110001111111111111100 ? -b10110100011110001111111111111100 D -b110101011111111101000100100010 % -b110101011111111101000100100010 . -b110101011111111101000100100010 6 -b110101011111111101000100100010 @ -b110101011111111101000100100010 F -b11101001111110001101000100011110 ) -#4800000 -0& -#4816000 -b1001011 , -#4832000 -1& -#4848000 -b1101111110001100000100010101101 " -b1101111110001100000100010101101 4 -b1101111110001100000101000000000 1 -b1101111110001100000101000000000 C -b11111100111100101110001010010000 0 -b11111100111100101110001010010000 H -08 -b1101111110001100000100010101101 2 -b1101111110001100000100010101101 = -b11111111111101101101101010000100 < -b1101111110001100000100010101101 : -b1101111110011110010111000101001 $ -b1101111110011110010111000101001 - -b1101111110011110010111000101001 5 -b1101111110011110010111000101001 ? -b1101111110011110010111000101001 D -b11111111111101101101101010000100 % -b11111111111101101101101010000100 . -b11111111111101101101101010000100 6 -b11111111111101101101101010000100 @ -b11111111111101101101101010000100 F -b1101111110001100000100010101101 ) -#4864000 -0& -#4880000 -b1001100 , -#4896000 -1& -#4912000 -b1110111000000110000111111100111 " -b1110111000000110000111111100111 4 -b1110111000000110100000000010000 1 -b1110111000000110100000000010000 C -b111101010101000000000000000000 0 -b111101010101000000000000000000 H -b1110111000000110000111111100111 2 -b1110111000000110000111111100111 = -b11110111001110111100000010010010 < -b1110111000000110000111111100111 : -b1111111110001110100111101010101 $ -b1111111110001110100111101010101 - -b1111111110001110100111101010101 5 -b1111111110001110100111101010101 ? -b1111111110001110100111101010101 D -b11110111001110111100000010010010 % -b11110111001110111100000010010010 . -b11110111001110111100000010010010 6 -b11110111001110111100000010010010 @ -b11110111001110111100000010010010 F -b1110111000000110000111111100111 ) -#4928000 -0& -#4944000 -b1001101 , -#4960000 -1& -#4976000 -b1101011100011001001111100001010 " -b1101011100011001001111100001010 4 -b1101011100011001100000100001100 1 -b1101011100011001100000100001100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -b1101011100011001001111100001010 2 -b1101011100011001001111100001010 = -b1111011101011011101110101111100 < -b1101011100011001001111100001010 : -b11101111110111101100000110001110 $ -b11101111110111101100000110001110 - -b11101111110111101100000110001110 5 -b11101111110111101100000110001110 ? -b11101111110111101100000110001110 D -b1111011101011011101110101111100 % -b1111011101011011101110101111100 . -b1111011101011011101110101111100 6 -b1111011101011011101110101111100 @ -b1111011101011011101110101111100 F -b1101011100011001001111100001010 ) -#4992000 -0& -#5008000 -b1001110 , -#5024000 -1& -#5040000 -b1101101000111011100101110010111 " -b1101101000111011100101110010111 4 -b101101000111100010010000000000 1 -b101101000111100010010000000000 C -b10100110000001110000000000000000 0 -b10100110000001110000000000000000 H -b1101101000111011100101110010111 2 -b1101101000111011100101110010111 = -b101111010111110010010110010000 < -b1101101000111011100101110010111 : -b111101101111101010011000000111 $ -b111101101111101010011000000111 - -b111101101111101010011000000111 5 -b111101101111101010011000000111 ? -b111101101111101010011000000111 D -b101111010111110010010110010000 % -b101111010111110010010110010000 . -b101111010111110010010110010000 6 -b101111010111110010010110010000 @ -b101111010111110010010110010000 F -b1101101000111011100101110010111 ) -#5056000 -0& -#5072000 -b1001111 , -#5088000 -1& -#5104000 -b10110011001110100110000000001110 " -b10110011001110100110000000001110 4 -b110011001110101000000000100000 1 -b110011001110101000000000100000 C -b11111110101001101101101000000000 0 -b11111110101001101101101000000000 H -19 -08 -b10110011001110100110000000001110 2 -b10110011001110100110000000001110 = -b110011001111111100010010100110 < -b10110011001110100110000000001110 : -b1111111111110101001101101101000 $ -b1111111111110101001101101101000 - -b1111111111110101001101101101000 5 -b1111111111110101001101101101000 ? -b1111111111110101001101101101000 D -b110011001111111100010010100110 % -b110011001111111100010010100110 . -b110011001111111100010010100110 6 -b110011001111111100010010100110 @ -b110011001111111100010010100110 F -b10110011001110100110000000001110 ) -#5120000 -0& -#5136000 -b1010000 , -#5152000 -1& -#5168000 -b1101110100010010101111011111100 " -b1101110100010010101111011111100 4 -b10101110100010011000001100000001 1 -b10101110100010011000001100000001 C -b11111001101101110011000000000 0 -b11111001101101110011000000000 H -18 -b1101110100010010101111011111100 2 -b1101110100010010101111011111100 = -b10111110111110011100001110001001 < -b1101110100010010101111011111100 : -b10101111100011111001101101110011 $ -b10101111100011111001101101110011 - -b10101111100011111001101101110011 5 -b10101111100011111001101101110011 ? -b10101111100011111001101101110011 D -b10111110111110011100001110001001 % -b10111110111110011100001110001001 . -b10111110111110011100001110001001 6 -b10111110111110011100001110001001 @ -b10111110111110011100001110001001 F -b1101110100010010101111011111100 ) -#5184000 -0& -#5200000 -b1010001 , -#5216000 -1& -#5232000 -b1100000101010101110011101000001 " -b1100000101010101110011101000001 4 -b1100000101010110011001110001000 1 -b1100000101010110011001110001000 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -08 -09 -b1100000101010101110011101000001 2 -b1100000101010101110011101000001 = -b11110001101011110011001110011001 < -b1100000101010101110011101000001 : -b1101110111110111011001110101000 $ -b1101110111110111011001110101000 - -b1101110111110111011001110101000 5 -b1101110111110111011001110101000 ? -b1101110111110111011001110101000 D -b11110001101011110011001110011001 % -b11110001101011110011001110011001 . -b11110001101011110011001110011001 6 -b11110001101011110011001110011001 @ -b11110001101011110011001110011001 F -b1100000101010101110011101000001 ) -#5248000 -0& -#5264000 -b1010010 , -#5280000 -1& -#5296000 -b10011000011011110011001010011011 " -b10011000011011110011001010011011 4 -b10100000011011110100010010011100 1 -b10100000011011110100010010011100 C -b0 0 -b0 H -18 -b10011000011011110011001010011011 2 -b10011000011011110011001010011011 = -b11100100111011111100110110011111 < -b10011000011011110011001010011011 : -b10110011011111110110010011111100 $ -b10110011011111110110010011111100 - -b10110011011111110110010011111100 5 -b10110011011111110110010011111100 ? -b10110011011111110110010011111100 D -b11100100111011111100110110011111 % -b11100100111011111100110110011111 . -b11100100111011111100110110011111 6 -b11100100111011111100110110011111 @ -b11100100111011111100110110011111 F -b10011000011011110011001010011011 ) -#5312000 -0& -#5328000 -b1010011 , -#5344000 -1& -#5360000 -b1110011101101101100010110100111 " -b1110011101101101100010110100111 4 -b10000011101110010100100110110000 1 -b10000011101110010100100110110000 C -b110110010000000000000000000000 0 -b110110010000000000000000000000 H -19 -18 -b1110011101101101100010110100111 2 -b1110011101101101100010110100111 = -b11001011111111010110101111110101 < -b1110011101101101100010110100111 : -b10100111101110010101100110110010 $ -b10100111101110010101100110110010 - -b10100111101110010101100110110010 5 -b10100111101110010101100110110010 ? -b10100111101110010101100110110010 D -b11001011111111010110101111110101 % -b11001011111111010110101111110101 . -b11001011111111010110101111110101 6 -b11001011111111010110101111110101 @ -b11001011111111010110101111110101 F -b1110011101101101100010110100111 ) -#5376000 -0& -#5392000 -b1010100 , -#5408000 -1& -#5424000 -b10100111111011011000111011111100 " -b10100111111011011000111011111100 4 -b10100111111011100001001100000000 1 -b10100111111011100001001100000000 C -b1111110111100111011101110000000 0 -b1111110111100111011101110000000 H -09 -18 -b10100111111011011000111011111100 2 -b10100111111011011000111011111100 = -b11111111111111100101001101000100 < -b10100111111011011000111011111100 : -b10100111111011110011101110111000 $ -b10100111111011110011101110111000 - -b10100111111011110011101110111000 5 -b10100111111011110011101110111000 ? -b10100111111011110011101110111000 D -b11111111111111100101001101000100 % -b11111111111111100101001101000100 . -b11111111111111100101001101000100 6 -b11111111111111100101001101000100 @ -b11111111111111100101001101000100 F -b10100111111011011000111011111100 ) -#5440000 -0& -#5456000 -b1010101 , -#5472000 -1& -#5488000 -b1101011011111101100001010010 " -b1101011011111101100001010010 4 -b10001011011111101100010010100 1 -b10001011011111101100010010100 C -b0 0 -b0 H -08 -b1101011011111101100001010010 2 -b1101011011111101100001010010 = -b111001011011111111101010111110 < -b1101011011111101100001010010 : -b11010011111111111101110110010100 $ -b11010011111111111101110110010100 - -b11010011111111111101110110010100 5 -b11010011111111111101110110010100 ? -b11010011111111111101110110010100 D -b111001011011111111101010111110 % -b111001011011111111101010111110 . -b111001011011111111101010111110 6 -b111001011011111111101010111110 @ -b111001011011111111101010111110 F -b1101011011111101100001010010 ) -#5504000 -0& -#5520000 -b1010110 , -#5536000 -1& -#5552000 -b11100001010110101010100100110100 " -b11100001010110101010100100110100 4 -b11100001010111000000000010000010 1 -b11100001010111000000000010000010 C -b10000010100010000000000000000000 0 -b10000010100010000000000000000000 H -18 -b11100001010110101010100100110100 2 -b11100001010110101010100100110100 = -b11110011110111000000100010010010 < -b11100001010110101010100100110100 : -b11101101011111101010000010100010 $ -b11101101011111101010000010100010 - -b11101101011111101010000010100010 5 -b11101101011111101010000010100010 ? -b11101101011111101010000010100010 D -b11110011110111000000100010010010 % -b11110011110111000000100010010010 . -b11110011110111000000100010010010 6 -b11110011110111000000100010010010 @ -b11110011110111000000100010010010 F -b11100001010110101010100100110100 ) -#5568000 -0& -#5584000 -b1010111 , -#5600000 -1& -#5616000 -b11011101111111010100001100111101 " -b11011101111111010100001100111101 4 -b11011110000000010100001101000010 1 -b11011110000000010100001101000010 C -b1110100110101001000000000000 0 -b1110100110101001000000000000 H -b11011101111111010100001100111101 2 -b11011101111111010100001100111101 = -b11111110101110110110111111101011 < -b11011101111111010100001100111101 : -b11011111010000011101001101010010 $ -b11011111010000011101001101010010 - -b11011111010000011101001101010010 5 -b11011111010000011101001101010010 ? -b11011111010000011101001101010010 D -b11111110101110110110111111101011 % -b11111110101110110110111111101011 . -b11111110101110110110111111101011 6 -b11111110101110110110111111101011 @ -b11111110101110110110111111101011 F -b11011101111111010100001100111101 ) -#5632000 -0& -#5648000 -b1011000 , -#5664000 -1& -#5680000 -b100110111101011101000101111101 " -b100110111101011101000101111101 4 -b100110111101011110001000000000 1 -b100110111101011110001000000000 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -08 -b100110111101011101000101111101 2 -b100110111101011101000101111101 = -b100111111101111110001100011001 < -b100110111101011101000101111101 : -b11111110111111011110111001100100 $ -b11111110111111011110111001100100 - -b11111110111111011110111001100100 5 -b11111110111111011110111001100100 ? -b11111110111111011110111001100100 D -b100111111101111110001100011001 % -b100111111101111110001100011001 . -b100111111101111110001100011001 6 -b100111111101111110001100011001 @ -b100111111101111110001100011001 F -b100110111101011101000101111101 ) -#5696000 -0& -#5712000 -b1011001 , -#5728000 -1& -#5744000 -b10110010111100101001111100010111 " -b10110010111100101001111100010111 4 -b10110010111100110100000101000000 1 -b10110010111100110100000101000000 C -b1011100001100000000000000000000 0 -b1011100001100000000000000000000 H -18 -b10110010111100101001111100010111 2 -b10110010111100101001111100010111 = -b11111010111100110100100101010100 < -b10110010111100101001111100010111 : -b10110111111111110101010111000011 $ -b10110111111111110101010111000011 - -b10110111111111110101010111000011 5 -b10110111111111110101010111000011 ? -b10110111111111110101010111000011 D -b11111010111100110100100101010100 % -b11111010111100110100100101010100 . -b11111010111100110100100101010100 6 -b11111010111100110100100101010100 @ -b11111010111100110100100101010100 F -b10110010111100101001111100010111 ) -#5760000 -0& -#5776000 -b1011010 , -#5792000 -1& -#5808000 -b1000000010110010101100000100010 " -b1000000010110010101100000100010 4 -b1000000011010100000000000100100 1 -b1000000011010100000000000100100 C -b0 0 -b0 H -08 -b1000000010110010101100000100010 2 -b1000000010110010101100000100010 = -b11010111011011100100010011111110 < -b1000000010110010101100000100010 : -b1101000111010110001001100100100 $ -b1101000111010110001001100100100 - -b1101000111010110001001100100100 5 -b1101000111010110001001100100100 ? -b1101000111010110001001100100100 D -b11010111011011100100010011111110 % -b11010111011011100100010011111110 . -b11010111011011100100010011111110 6 -b11010111011011100100010011111110 @ -b11010111011011100100010011111110 F -b1000000010110010101100000100010 ) -#5824000 -0& -#5840000 -b1011011 , -#5856000 -1& -#5872000 -b10001110111010100011110111111001 " -b10001110111010100011110111111001 4 -b10100111011010100101111000000000 1 -b10100111011010100101111000000000 C -b10100111011110110101111010011001 0 -b10100111011110110101111010011001 H -18 -b10001110111010100011110111111001 2 -b10001110111010100011110111111001 = -b11100111011011101101111101100000 < -b10001110111010100011110111111001 : -b10100111011110110101111010011001 $ -b10100111011110110101111010011001 - -b10100111011110110101111010011001 5 -b10100111011110110101111010011001 ? -b10100111011110110101111010011001 D -b11100111011011101101111101100000 % -b11100111011011101101111101100000 . -b11100111011011101101111101100000 6 -b11100111011011101101111101100000 @ -b11100111011011101101111101100000 F -b10001110111010100011110111111001 ) -#5888000 -0& -#5904000 -b1011100 , -#5920000 -1& -#5936000 -b100011101001011100110000001101 " -b100011101001011100110000001101 4 -b1000011101010100001000000010010 1 -b1000011101010100001000000010010 C -b1101111111011000000000000000000 0 -b1101111111011000000000000000000 H -08 -b100011101001011100110000001101 2 -b100011101001011100110000001101 = -b11010011111110110011000000010010 < -b100011101001011100110000001101 : -b1001111101010101001101111111011 $ -b1001111101010101001101111111011 - -b1001111101010101001101111111011 5 -b1001111101010101001101111111011 ? -b1001111101010101001101111111011 D -b11010011111110110011000000010010 % -b11010011111110110011000000010010 . -b11010011111110110011000000010010 6 -b11010011111110110011000000010010 @ -b11010011111110110011000000010010 F -b100011101001011100110000001101 ) -#5952000 -0& -#5968000 -b1011101 , -#5984000 -1& -#6000000 -b111100110101001100001101001100 " -b111100110101001100001101001100 4 -b111101001001100001000000000001 1 -b111101001001100001000000000001 C -b1110001100100000000100000000000 0 -b1110001100100000000100000000000 H -b111100110101001100001101001100 2 -b111100110101001100001101001100 = -b11111111101001101001000101001011 < -b111100110101001100001101001100 : -b111101001011100011001000000001 $ -b111101001011100011001000000001 - -b111101001011100011001000000001 5 -b111101001011100011001000000001 ? -b111101001011100011001000000001 D -b11111111101001101001000101001011 % -b11111111101001101001000101001011 . -b11111111101001101001000101001011 6 -b11111111101001101001000101001011 @ -b11111111101001101001000101001011 F -b111100110101001100001101001100 ) -#6016000 -0& -#6032000 -b1011110 , -#6048000 -1& -#6064000 -b11101011101101010110010001000011 " -b11101011101101010110010001000011 4 -b11101011101101100000000010000000 1 -b11101011101101100000000010000000 C -b11110111111011101000010110000100 0 -b11110111111011101000010110000100 H -18 -b11101011101101010110010001000011 2 -b11101011101101010110010001000011 = -b11101111101111100010000110000001 < -b11101011101101010110010001000011 : -b11111011111101110100001011000010 $ -b11111011111101110100001011000010 - -b11111011111101110100001011000010 5 -b11111011111101110100001011000010 ? -b11111011111101110100001011000010 D -b11101111101111100010000110000001 % -b11101111101111100010000110000001 . -b11101111101111100010000110000001 6 -b11101111101111100010000110000001 @ -b11101111101111100010000110000001 F -b11101011101101010110010001000011 ) -#6080000 -0& -#6096000 -b1011111 , -#6112000 -1& -#6128000 -b1010101111001111100010111010000 " -b1010101111001111100010111010000 4 -b1010101111010111100011000011000 1 -b1010101111010111100011000011000 C -b10111000000000000000000000000000 0 -b10111000000000000000000000000000 H -08 -b1010101111001111100010111010000 2 -b1010101111001111100010111010000 = -b1111111111110111111111100011000 < -b1010101111001111100010111010000 : -b11010101111010111100011010111000 $ -b11010101111010111100011010111000 - -b11010101111010111100011010111000 5 -b11010101111010111100011010111000 ? -b11010101111010111100011010111000 D -b1111111111110111111111100011000 % -b1111111111110111111111100011000 . -b1111111111110111111111100011000 6 -b1111111111110111111111100011000 @ -b1111111111110111111111100011000 F -b1010101111001111100010111010000 ) -#6144000 -0& -#6160000 -b1100000 , -#6176000 -1& -#6192000 -b10001001111100001101110100100000 " -b10001001111100001101110100100000 4 -b10001001111100010000000100100001 1 -b10001001111100010000000100100001 C -b10100001011011100110000000000000 0 -b10100001011011100110000000000000 H -18 -b10001001111100001101110100100000 2 -b10001001111100001101110100100000 = -b11001011111110111101000110101101 < -b10001001111100001101110100100000 : -b10111101111101010000101101110011 $ -b10111101111101010000101101110011 - -b10111101111101010000101101110011 5 -b10111101111101010000101101110011 ? -b10111101111101010000101101110011 D -b11001011111110111101000110101101 % -b11001011111110111101000110101101 . -b11001011111110111101000110101101 6 -b11001011111110111101000110101101 @ -b11001011111110111101000110101101 F -b10001001111100001101110100100000 ) -#6208000 -0& -#6224000 -b1100001 , -#6240000 -1& -#6256000 -b11101111111011110101111110111101 " -b11101111111011110101111110111101 4 -b11101111111011111000000111000110 1 -b11101111111011111000000111000110 C -b11100011000000000000000000000000 0 -b11100011000000000000000000000000 H -b11101111111011110101111110111101 2 -b11101111111011110101111110111101 = -b11101111111011111001000111110111 < -b11101111111011110101111110111101 : -b11111111111111111100110111000110 $ -b11111111111111111100110111000110 - -b11111111111111111100110111000110 5 -b11111111111111111100110111000110 ? -b11111111111111111100110111000110 D -b11101111111011111001000111110111 % -b11101111111011111001000111110111 . -b11101111111011111001000111110111 6 -b11101111111011111001000111110111 @ -b11101111111011111001000111110111 F -b11101111111011110101111110111101 ) -#6272000 -0& -#6288000 -b1100010 , -#6304000 -1& -#6320000 -b11000110011010110001101011111001 " -b11000110011010110001101011111001 4 -b1000110011010111000000011111010 1 -b1000110011010111000000011111010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -19 -b11000110011010110001101011111001 2 -b11000110011010110001101011111001 = -b1101111011011111001100111111110 < -b11000110011010110001101011111001 : -b1010110111110111000000011111011 $ -b1010110111110111000000011111011 - -b1010110111110111000000011111011 5 -b1010110111110111000000011111011 ? -b1010110111110111000000011111011 D -b1101111011011111001100111111110 % -b1101111011011111001100111111110 . -b1101111011011111001100111111110 6 -b1101111011011111001100111111110 @ -b1101111011011111001100111111110 F -b11000110011010110001101011111001 ) -#6336000 -0& -#6352000 -b1100011 , -#6368000 -1& -#6384000 -b101011110111101100101110100111 " -b101011110111101100101110100111 4 -b101011111000010001000000000000 1 -b101011111000010001000000000000 C -b1010111111100100011001101001100 0 -b1010111111100100011001101001100 H -09 -08 -b101011110111101100101110100111 2 -b101011110111101100101110100111 = -b11111111111001011011001000000001 < -b101011110111101100101110100111 : -b101011111110010001100110100110 $ -b101011111110010001100110100110 - -b101011111110010001100110100110 5 -b101011111110010001100110100110 ? -b101011111110010001100110100110 D -b11111111111001011011001000000001 % -b11111111111001011011001000000001 . -b11111111111001011011001000000001 6 -b11111111111001011011001000000001 @ -b11111111111001011011001000000001 F -b101011110111101100101110100111 ) -#6400000 -0& -#6416000 -b1100100 , -#6432000 -1& -#6448000 -b111111110111101100111010111000 " -b111111110111101100111010111000 4 -b111111110111110000000000000001 1 -b111111110111110000000000000001 C -b11101111100000000001100010000000 0 -b11101111100000000001100010000000 H -b111111110111101100111010111000 2 -b111111110111101100111010111000 = -b10111111111111111100111010000111 < -b111111110111101100111010111000 : -b1111111110111110000000000110001 $ -b1111111110111110000000000110001 - -b1111111110111110000000000110001 5 -b1111111110111110000000000110001 ? -b1111111110111110000000000110001 D -b10111111111111111100111010000111 % -b10111111111111111100111010000111 . -b10111111111111111100111010000111 6 -b10111111111111111100111010000111 @ -b10111111111111111100111010000111 F -b111111110111101100111010111000 ) -#6464000 -0& -#6480000 -b1100101 , -#6496000 -1& -#6512000 -b11000100111101110000010101000 " -b11000100111101110000010101000 4 -b11000100111110000100000001001 1 -b11000100111110000100000001001 C -b11110001000000110110000000000000 0 -b11110001000000110110000000000000 H -b11000100111101110000010101000 2 -b11000100111101110000010101000 = -b11011000101111110101100010001101 < -b11000100111101110000010101000 : -b111111110111111000100000011011 $ -b111111110111111000100000011011 - -b111111110111111000100000011011 5 -b111111110111111000100000011011 ? -b111111110111111000100000011011 D -b11011000101111110101100010001101 % -b11011000101111110101100010001101 . -b11011000101111110101100010001101 6 -b11011000101111110101100010001101 @ -b11011000101111110101100010001101 F -b11000100111101110000010101000 ) -#6528000 -0& -#6544000 -b1100110 , -#6560000 -1& -#6576000 -b10111010011010001010111101101111 " -b10111010011010001010111101101111 4 -b10111010011010010000011110000000 1 -b10111010011010010000011110000000 C -b11111011111111101001111100110100 0 -b11111011111111101001111100110100 H -18 -b10111010011010001010111101101111 2 -b10111010011010001010111101101111 = -b11111011011010010000011110100010 < -b10111010011010001010111101101111 : -b10111110111111111010011111001101 $ -b10111110111111111010011111001101 - -b10111110111111111010011111001101 5 -b10111110111111111010011111001101 ? -b10111110111111111010011111001101 D -b11111011011010010000011110100010 % -b11111011011010010000011110100010 . -b11111011011010010000011110100010 6 -b11111011011010010000011110100010 @ -b11111011011010010000011110100010 F -b10111010011010001010111101101111 ) -#6592000 -0& -#6608000 -b1100111 , -#6624000 -1& -#6640000 -b1111110111110111010110001011001 " -b1111110111110111010110001011001 4 -b1111110111111000101000100000100 1 -b1111110111111000101000100000100 C -b11111111111010110110101010000000 0 -b11111111111010110110101010000000 H -08 -b1111110111110111010110001011001 2 -b1111110111110111010110001011001 = -b11111110111111000101000100000101 < -b1111110111110111010110001011001 : -b1111111111111110101101101010100 $ -b1111111111111110101101101010100 - -b1111111111111110101101101010100 5 -b1111111111111110101101101010100 ? -b1111111111111110101101101010100 D -b11111110111111000101000100000101 % -b11111110111111000101000100000101 . -b11111110111111000101000100000101 6 -b11111110111111000101000100000101 @ -b11111110111111000101000100000101 F -b1111110111110111010110001011001 ) -#6656000 -0& -#6672000 -b1101000 , -#6688000 -1& -#6704000 -b11010110110111110110111010000101 " -b11010110110111110110111010000101 4 -b1010111010111110111000000100000 1 -b1010111010111110111000000100000 C -b11110101111101111110011000010000 0 -b11110101111101111110011000010000 H -19 -08 -b11010110110111110110111010000101 2 -b11010110110111110110111010000101 = -b1110111011111111111000000100100 < -b11010110110111110110111010000101 : -b1011111010111110111111001100001 $ -b1011111010111110111111001100001 - -b1011111010111110111111001100001 5 -b1011111010111110111111001100001 ? -b1011111010111110111111001100001 D -b1110111011111111111000000100100 % -b1110111011111111111000000100100 . -b1110111011111111111000000100100 6 -b1110111011111111111000000100100 @ -b1110111011111111111000000100100 F -b11010110110111110110111010000101 ) -#6720000 -0& -#6736000 -b1101001 , -#6752000 -1& -#6768000 -b11010010011001001000010000011110 " -b11010010011001001000010000011110 4 -b1010010101010001001000010000001 1 -b1010010101010001001000010000001 C -b1010001001000000000000000000000 0 -b1010001001000000000000000000000 H -b11010010011001001000010000011110 2 -b11010010011001001000010000011110 = -b1110011101010101101000110010101 < -b11010010011001001000010000011110 : -b1011110101110011011001010001001 $ -b1011110101110011011001010001001 - -b1011110101110011011001010001001 5 -b1011110101110011011001010001001 ? -b1011110101110011011001010001001 D -b1110011101010101101000110010101 % -b1110011101010101101000110010101 . -b1110011101010101101000110010101 6 -b1110011101010101101000110010101 @ -b1110011101010101101000110010101 F -b11010010011001001000010000011110 ) -#6784000 -0& -#6800000 -b1101010 , -#6816000 -1& -#6832000 -b10101101110011010100001011001001 " -b10101101110011010100001011001001 4 -b10101101110011010100010000100000 1 -b10101101110011010100010000100000 C -b1111111101111101110100101010000 0 -b1111111101111101110100101010000 H -18 -09 -b10101101110011010100001011001001 2 -b10101101110011010100001011001001 = -b11101101111011011100111000100001 < -b10101101110011010100001011001001 : -b10111111110111110111010010101000 $ -b10111111110111110111010010101000 - -b10111111110111110111010010101000 5 -b10111111110111110111010010101000 ? -b10111111110111110111010010101000 D -b11101101111011011100111000100001 % -b11101101111011011100111000100001 . -b11101101111011011100111000100001 6 -b11101101111011011100111000100001 @ -b11101101111011011100111000100001 F -b10101101110011010100001011001001 ) -#6848000 -0& -#6864000 -b1101011 , -#6880000 -1& -#6896000 -b11111111011111011110100101111011 " -b11111111011111011110100101111011 4 -b11111111011111100000101000000100 1 -b11111111011111100000101000000100 C -b11111111010011010001101000000000 0 -b11111111010011010001101000000000 H -b11111111011111011110100101111011 2 -b11111111011111011110100101111011 = -b11111111011111110100111101000111 < -b11111111011111011110100101111011 : -b11111111111111101001101000110100 $ -b11111111111111101001101000110100 - -b11111111111111101001101000110100 5 -b11111111111111101001101000110100 ? -b11111111111111101001101000110100 D -b11111111011111110100111101000111 % -b11111111011111110100111101000111 . -b11111111011111110100111101000111 6 -b11111111011111110100111101000111 @ -b11111111011111110100111101000111 F -b11111111011111011110100101111011 ) -#6912000 -0& -#6928000 -b1101100 , -#6944000 -1& -#6960000 -b11001101010101011001110110100110 " -b11001101010101011001110110100110 4 -b11001101010101011010000011001011 1 -b11001101010101011010000011001011 C -b1011000000000000000000000000000 0 -b1011000000000000000000000000000 H -b11001101010101011001110110100110 2 -b11001101010101011001110110100110 = -b11111111011101111010010011011011 < -b11001101010101011001110110100110 : -b11001101110111011111100011001011 $ -b11001101110111011111100011001011 - -b11001101110111011111100011001011 5 -b11001101110111011111100011001011 ? -b11001101110111011111100011001011 D -b11111111011101111010010011011011 % -b11111111011101111010010011011011 . -b11111111011101111010010011011011 6 -b11111111011101111010010011011011 @ -b11111111011101111010010011011011 F -b11001101010101011001110110100110 ) -#6976000 -0& -#6992000 -b1101101 , -#7008000 -1& -#7024000 -b10000001101110000101101011000100 " -b10000001101110000101101011000100 4 -b1101110010000010000000110 1 -b1101110010000010000000110 C -b11101110010100010000111110000000 0 -b11101110010100010000111110000000 H -08 -19 -b10000001101110000101101011000100 2 -b10000001101110000101101011000100 = -b1010101111111110001011010000110 < -b10000001101110000101101011000100 : -b101011101110010100010000111110 $ -b101011101110010100010000111110 - -b101011101110010100010000111110 5 -b101011101110010100010000111110 ? -b101011101110010100010000111110 D -b1010101111111110001011010000110 % -b1010101111111110001011010000110 . -b1010101111111110001011010000110 6 -b1010101111111110001011010000110 @ -b1010101111111110001011010000110 F -b10000001101110000101101011000100 ) -#7040000 -0& -#7056000 -b1101110 , -#7072000 -1& -#7088000 -b1101000110111011101111100110110 " -b1101000110111011101111100110110 4 -b10101000110111100000001110000111 1 -b10101000110111100000001110000111 C -b11101101110000111000000000000000 0 -b11101101110000111000000000000000 H -18 -b1101000110111011101111100110110 2 -b1101000110111011101111100110110 = -b10101101111111100000001110101111 < -b1101000110111011101111100110110 : -b10111010110111111101101110000111 $ -b10111010110111111101101110000111 - -b10111010110111111101101110000111 5 -b10111010110111111101101110000111 ? -b10111010110111111101101110000111 D -b10101101111111100000001110101111 % -b10101101111111100000001110101111 . -b10101101111111100000001110101111 6 -b10101101111111100000001110101111 @ -b10101101111111100000001110101111 F -b1101000110111011101111100110110 ) -#7104000 -0& -#7120000 -b1101111 , -#7136000 -1& -#7152000 -b1000111110110011100111111010010 " -b1000111110110011100111111010010 4 -b1001001110110100000000000100100 1 -b1001001110110100000000000100100 C -b11011111111011001110101011100000 0 -b11011111111011001110101011100000 H -08 -09 -b1000111110110011100111111010010 2 -b1000111110110011100111111010010 = -b1011001110110110000000100100100 < -b1000111110110011100111111010010 : -b11101101111111101100111010101110 $ -b11101101111111101100111010101110 - -b11101101111111101100111010101110 5 -b11101101111111101100111010101110 ? -b11101101111111101100111010101110 D -b1011001110110110000000100100100 % -b1011001110110110000000100100100 . -b1011001110110110000000100100100 6 -b1011001110110110000000100100100 @ -b1011001110110110000000100100100 F -b1000111110110011100111111010010 ) -#7168000 -0& -#7184000 -b1110000 , -#7200000 -1& -#7216000 -b1011001010011000101100000100010 " -b1011001010011000101100000100010 4 -b1011010010011000101100001000011 1 -b1011010010011000101100001000011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1011001010011000101100000100010 2 -b1011001010011000101100000100010 = -b11011110111111110101111011011111 < -b1011001010011000101100000100010 : -b1111010010011001111100101000011 $ -b1111010010011001111100101000011 - -b1111010010011001111100101000011 5 -b1111010010011001111100101000011 ? -b1111010010011001111100101000011 D -b11011110111111110101111011011111 % -b11011110111111110101111011011111 . -b11011110111111110101111011011111 6 -b11011110111111110101111011011111 @ -b11011110111111110101111011011111 F -b1011001010011000101100000100010 ) -#7232000 -0& -#7248000 -b1110001 , -#7264000 -1& -#7280000 -b10110110111101001001011100001110 " -b10110110111101001001011100001110 4 -b10110111011101010100000000100011 1 -b10110111011101010100000000100011 C -b10101010001001110001100000000000 0 -b10101010001001110001100000000000 H -18 -b10110110111101001001011100001110 2 -b10110110111101001001011100001110 = -b11111111011111110101001000101011 < -b10110110111101001001011100001110 : -b10110111011101010100010011100011 $ -b10110111011101010100010011100011 - -b10110111011101010100010011100011 5 -b10110111011101010100010011100011 ? -b10110111011101010100010011100011 D -b11111111011111110101001000101011 % -b11111111011111110101001000101011 . -b11111111011111110101001000101011 6 -b11111111011111110101001000101011 @ -b11111111011111110101001000101011 F -b10110110111101001001011100001110 ) -#7296000 -0& -#7312000 -b1110010 , -#7328000 -1& -#7344000 -b1101010011011110110010000001010 " -b1101010011011110110010000001010 4 -b1110010011100111000100000010101 1 -b1110010011100111000100000010101 C -b11110101000000000000000000000 0 -b11110101000000000000000000000 H -08 -b1101010011011110110010000001010 2 -b1101010011011110110010000001010 = -b1110110011100111100101100010101 < -b1101010011011110110010000001010 : -b11110011111110111001100011110101 $ -b11110011111110111001100011110101 - -b11110011111110111001100011110101 5 -b11110011111110111001100011110101 ? -b11110011111110111001100011110101 D -b1110110011100111100101100010101 % -b1110110011100111100101100010101 . -b1110110011100111100101100010101 6 -b1110110011100111100101100010101 @ -b1110110011100111100101100010101 F -b1101010011011110110010000001010 ) -#7360000 -0& -#7376000 -b1110011 , -#7392000 -1& -#7408000 -b10001001000100101000000111101111 " -b10001001000100101000000111101111 4 -b1001001001010000010001110000 1 -b1001001001010000010001110000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -19 -08 -b10001001000100101000000111101111 2 -b10001001000100101000000111101111 = -b1111001011001010010110101111101 < -b10001001000100101000000111101111 : -b1111101011010101010001110010 $ -b1111101011010101010001110010 - -b1111101011010101010001110010 5 -b1111101011010101010001110010 ? -b1111101011010101010001110010 D -b1111001011001010010110101111101 % -b1111001011001010010110101111101 . -b1111001011001010010110101111101 6 -b1111001011001010010110101111101 @ -b1111001011001010010110101111101 F -b10001001000100101000000111101111 ) -#7424000 -0& -#7440000 -b1110100 , -#7456000 -1& -#7472000 -b1111001011111000100110001000011 " -b1111001011111000100110001000011 4 -b1111001011111010000111000000100 1 -b1111001011111010000111000000100 C -b11111111110011111000111101000000 0 -b11111111110011111000111101000000 H -09 -08 -b1111001011111000100110001000011 2 -b1111001011111000100110001000011 = -b1111001011111010000111000000110 < -b1111001011111000100110001000011 : -b11111111111111110011111000111101 $ -b11111111111111110011111000111101 - -b11111111111111110011111000111101 5 -b11111111111111110011111000111101 ? -b11111111111111110011111000111101 D -b1111001011111010000111000000110 % -b1111001011111010000111000000110 . -b1111001011111010000111000000110 6 -b1111001011111010000111000000110 @ -b1111001011111010000111000000110 F -b1111001011111000100110001000011 ) -#7488000 -0& -#7504000 -b1110101 , -#7520000 -1& -#7536000 -b11111110010100101100111000000000 " -b11111110010100101100111000000000 4 -b11111110100100101100111000000010 1 -b11111110100100101100111000000010 C -b10100110101101111011011010000000 0 -b10100110101101111011011010000000 H -18 -b11111110010100101100111000000000 2 -b11111110010100101100111000000000 = -b11111111101101111110111100100110 < -b11111110010100101100111000000000 : -b11111110100110101101111011011010 $ -b11111110100110101101111011011010 - -b11111110100110101101111011011010 5 -b11111110100110101101111011011010 ? -b11111110100110101101111011011010 D -b11111111101101111110111100100110 % -b11111111101101111110111100100110 . -b11111111101101111110111100100110 6 -b11111111101101111110111100100110 @ -b11111111101101111110111100100110 F -b11111110010100101100111000000000 ) -#7552000 -0& -#7568000 -b1110110 , -#7584000 -1& -#7600000 -b1100101000110111011110010010101 " -b1100101000110111011110010010101 4 -b1100101000111000000000010100110 1 -b1100101000111000000000010100110 C -b10001110100110000111011100000000 0 -b10001110100110000111011100000000 H -08 -b1100101000110111011110010010101 2 -b1100101000110111011110010010101 = -b1111111111111101000101110100111 < -b1100101000110111011110010010101 : -b11100101000111010011000011101110 $ -b11100101000111010011000011101110 - -b11100101000111010011000011101110 5 -b11100101000111010011000011101110 ? -b11100101000111010011000011101110 D -b1111111111111101000101110100111 % -b1111111111111101000101110100111 . -b1111111111111101000101110100111 6 -b1111111111111101000101110100111 @ -b1111111111111101000101110100111 F -b1100101000110111011110010010101 ) -#7616000 -0& -#7632000 -b1110111 , -#7648000 -1& -#7664000 -b10110101011110110001100000 " -b10110101011110110001100000 4 -b100110101100000000100000100 1 -b100110101100000000100000100 C -b11011101111010001001010111000000 0 -b11011101111010001001010111000000 H -b10110101011110110001100000 2 -b10110101011110110001100000 = -b100100111101110110001100000100 < -b10110101011110110001100000 : -b11011101110111101000100101011100 $ -b11011101110111101000100101011100 - -b11011101110111101000100101011100 5 -b11011101110111101000100101011100 ? -b11011101110111101000100101011100 D -b100100111101110110001100000100 % -b100100111101110110001100000100 . -b100100111101110110001100000100 6 -b100100111101110110001100000100 @ -b100100111101110110001100000100 F -b10110101011110110001100000 ) -#7680000 -0& -#7696000 -b1111000 , -#7712000 -1& -#7728000 -b11101111001111000111001011110011 " -b11101111001111000111001011110011 4 -b101111001111001000000100000100 1 -b101111001111001000000100000100 C -b11111111111111110001000011110000 0 -b11111111111111110001000011110000 H -18 -b11101111001111000111001011110011 2 -b11101111001111000111001011110011 = -b111111001111001000000111100100 < -b11101111001111000111001011110011 : -b10101111111111111111000100001111 $ -b10101111111111111111000100001111 - -b10101111111111111111000100001111 5 -b10101111111111111111000100001111 ? -b10101111111111111111000100001111 D -b111111001111001000000111100100 % -b111111001111001000000111100100 . -b111111001111001000000111100100 6 -b111111001111001000000111100100 @ -b111111001111001000000111100100 F -b11101111001111000111001011110011 ) -#7744000 -0& -#7760000 -b1111001 , -#7776000 -1& -#7792000 -b101001111000010000001111011100 " -b101001111000010000001111011100 4 -b101001111000010100000000100010 1 -b101001111000010100000000100010 C -b10111111111111010000001011101000 0 -b10111111111111010000001011101000 H -08 -b101001111000010000001111011100 2 -b101001111000010000001111011100 = -b1111001111000011100001100100010 < -b101001111000010000001111011100 : -b10101111111111110100000010111010 $ -b10101111111111110100000010111010 - -b10101111111111110100000010111010 5 -b10101111111111110100000010111010 ? -b10101111111111110100000010111010 D -b1111001111000011100001100100010 % -b1111001111000011100001100100010 . -b1111001111000011100001100100010 6 -b1111001111000011100001100100010 @ -b1111001111000011100001100100010 F -b101001111000010000001111011100 ) -#7808000 -0& -#7824000 -b1111010 , -#7840000 -1& -#7856000 -b1101101101101110110001110010010 " -b1101101101101110110001110010010 4 -b10101110001111010100000000101 1 -b10101110001111010100000000101 C -b11111101111101010101000110100000 0 -b11111101111101010101000110100000 H -b1101101101101110110001110010010 2 -b1101101101101110110001110010010 = -b1010101110001111011100100000101 < -b1101101101101110110001110010010 : -b10111111011111010101010001101 $ -b10111111011111010101010001101 - -b10111111011111010101010001101 5 -b10111111011111010101010001101 ? -b10111111011111010101010001101 D -b1010101110001111011100100000101 % -b1010101110001111011100100000101 . -b1010101110001111011100100000101 6 -b1010101110001111011100100000101 @ -b1010101110001111011100100000101 F -b1101101101101110110001110010010 ) -#7872000 -0& -#7888000 -b1111011 , -#7904000 -1& -#7920000 -b110110110111100010110011101011 " -b110110110111100010110011101011 4 -b110110110111110000000100000000 1 -b110110110111110000000100000000 C -b11011111111111001010111100100100 0 -b11011111111111001010111100100100 H -b110110110111100010110011101011 2 -b110110110111100010110011101011 = -b11111110110111110000000100100010 < -b110110110111100010110011101011 : -b110111111111110010101111001001 $ -b110111111111110010101111001001 - -b110111111111110010101111001001 5 -b110111111111110010101111001001 ? -b110111111111110010101111001001 D -b11111110110111110000000100100010 % -b11111110110111110000000100100010 . -b11111110110111110000000100100010 6 -b11111110110111110000000100100010 @ -b11111110110111110000000100100010 F -b110110110111100010110011101011 ) -#7936000 -0& -#7952000 -b1111100 , -#7968000 -1& -#7984000 -b10111101110101001010110011011011 " -b10111101110101001010110011011011 4 -b11001101110101010000000000100100 1 -b11001101110101010000000000100100 C -b10100110000000000000000000000 0 -b10100110000000000000000000000 H -18 -b10111101110101001010110011011011 2 -b10111101110101001010110011011011 = -b11101101111111111010010000110101 < -b10111101110101001010110011011011 : -b11001111110101010000100010100110 $ -b11001111110101010000100010100110 - -b11001111110101010000100010100110 5 -b11001111110101010000100010100110 ? -b11001111110101010000100010100110 D -b11101101111111111010010000110101 % -b11101101111111111010010000110101 . -b11101101111111111010010000110101 6 -b11101101111111111010010000110101 @ -b11101101111111111010010000110101 F -b10111101110101001010110011011011 ) -#8000000 -0& -#8016000 -b1111101 , -#8032000 -1& -#8048000 -b11001101100001110101011000011 " -b11001101100001110101011000011 4 -b11010001100010000101100000000 1 -b11010001100010000101100000000 C -b10110100111110100001011010000100 0 -b10110100111110100001011010000100 H -08 -b11001101100001110101011000011 2 -b11001101100001110101011000011 = -b111111001100111101111110000001 < -b11001101100001110101011000011 : -b11011010011111010000101101000010 $ -b11011010011111010000101101000010 - -b11011010011111010000101101000010 5 -b11011010011111010000101101000010 ? -b11011010011111010000101101000010 D -b111111001100111101111110000001 % -b111111001100111101111110000001 . -b111111001100111101111110000001 6 -b111111001100111101111110000001 @ -b111111001100111101111110000001 F -b11001101100001110101011000011 ) -#8064000 -0& -#8080000 -b1111110 , -#8096000 -1& -#8112000 -b10101111100010011101000000010000 " -b10101111100010011101000000010000 4 -b11010011100010011101000000010001 1 -b11010011100010011101000000010001 C -b10101100100000000000000000000000 0 -b10101100100000000000000000000000 H -18 -b10101111100010011101000000010000 2 -b10101111100010011101000000010000 = -b11010011100011011101101010110111 < -b10101111100010011101000000010000 : -b11011011111110111111010101011001 $ -b11011011111110111111010101011001 - -b11011011111110111111010101011001 5 -b11011011111110111111010101011001 ? -b11011011111110111111010101011001 D -b11010011100011011101101010110111 % -b11010011100011011101101010110111 . -b11010011100011011101101010110111 6 -b11010011100011011101101010110111 @ -b11010011100011011101101010110111 F -b10101111100010011101000000010000 ) -#8128000 -0& -#8144000 -b1111111 , -#8160000 -1& -#8176000 -b10110101111100000111111011111000 " -b10110101111100000111111011111000 4 -b110101111100001000000000000001 1 -b110101111100001000000000000001 C -b1010000100000000000000000000000 0 -b1010000100000000000000000000000 H -08 -19 -b10110101111100000111111011111000 2 -b10110101111100000111111011111000 = -b110111111111111101110001010111 < -b10110101111100000111111011111000 : -b1111101111100001010001010100001 $ -b1111101111100001010001010100001 - -b1111101111100001010001010100001 5 -b1111101111100001010001010100001 ? -b1111101111100001010001010100001 D -b110111111111111101110001010111 % -b110111111111111101110001010111 . -b110111111111111101110001010111 6 -b110111111111111101110001010111 @ -b110111111111111101110001010111 F -b10110101111100000111111011111000 ) -#8192000 -0& -#8208000 -b10000000 , -#8224000 -1& -#8240000 -b1010011101101111110101000111111 " -b1010011101101111110101000111111 4 -b1010011101110000000001010000000 1 -b1010011101110000000001010000000 C -b11111111110001010011010011100000 0 -b11111111110001010011010011100000 H -09 -08 -b1010011101101111110101000111111 2 -b1010011101101111110101000111111 = -b1110011101111110100001110100011 < -b1010011101101111110101000111111 : -b11011111111110001010011010011100 $ -b11011111111110001010011010011100 - -b11011111111110001010011010011100 5 -b11011111111110001010011010011100 ? -b11011111111110001010011010011100 D -b1110011101111110100001110100011 % -b1110011101111110100001110100011 . -b1110011101111110100001110100011 6 -b1110011101111110100001110100011 @ -b1110011101111110100001110100011 F -b1010011101101111110101000111111 ) -#8256000 -0& -#8272000 -b10000001 , -#8288000 -1& -#8304000 -b1110100010011111001010110110011 " -b1110100010011111001010110110011 4 -b10010100010100000010000010110100 1 -b10010100010100000010000010110100 C -b0 0 -b0 H -18 -19 -b1110100010011111001010110110011 2 -b1110100010011111001010110110011 = -b11010101010111000011010011111111 < -b1110100010011111001010110110011 : -b10011110111100110110000010110100 $ -b10011110111100110110000010110100 - -b10011110111100110110000010110100 5 -b10011110111100110110000010110100 ? -b10011110111100110110000010110100 D -b11010101010111000011010011111111 % -b11010101010111000011010011111111 . -b11010101010111000011010011111111 6 -b11010101010111000011010011111111 @ -b11010101010111000011010011111111 F -b1110100010011111001010110110011 ) -#8320000 -0& -#8336000 -b10000010 , -#8352000 -1& -#8368000 -b1000011101101110010010000101010 " -b1000011101101110010010000101010 4 -b1000011101110011001000001000000 1 -b1000011101110011001000001000000 C -b11110110010010011000000000000000 0 -b11110110010010011000000000000000 H -08 -09 -b1000011101101110010010000101010 2 -b1000011101101110010010000101010 = -b11100111111110011001000111001010 < -b1000011101101110010010000101010 : -b1011011101111011001001001100000 $ -b1011011101111011001001001100000 - -b1011011101111011001001001100000 5 -b1011011101111011001001001100000 ? -b1011011101111011001001001100000 D -b11100111111110011001000111001010 % -b11100111111110011001000111001010 . -b11100111111110011001000111001010 6 -b11100111111110011001000111001010 @ -b11100111111110011001000111001010 F -b1000011101101110010010000101010 ) -#8384000 -0& -#8400000 -b10000011 , -#8416000 -1& -#8432000 -b110011111111110101111011110011 " -b110011111111110101111011110011 4 -b110011111111111000000100011000 1 -b110011111111111000000100011000 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b110011111111110101111011110011 2 -b110011111111110101111011110011 = -b110111111111111100010111011011 < -b110011111111110101111011110011 : -b11111011111111111001100100011000 $ -b11111011111111111001100100011000 - -b11111011111111111001100100011000 5 -b11111011111111111001100100011000 ? -b11111011111111111001100100011000 D -b110111111111111100010111011011 % -b110111111111111100010111011011 . -b110111111111111100010111011011 6 -b110111111111111100010111011011 @ -b110111111111111100010111011011 F -b110011111111110101111011110011 ) -#8448000 -0& -#8464000 -b10000100 , -#8480000 -1& -#8496000 -b1110111100011110110010110101111 " -b1110111100011110110010110101111 4 -b1111000000011111000100000000000 1 -b1111000000011111000100000000000 C -b111111110011100101010000000000 0 -b111111110011100101010000000000 H -b1110111100011110110010110101111 2 -b1110111100011110110010110101111 = -b1111111000011111100100100000111 < -b1110111100011110110010110101111 : -b11111000011111111001110010101000 $ -b11111000011111111001110010101000 - -b11111000011111111001110010101000 5 -b11111000011111111001110010101000 ? -b11111000011111111001110010101000 D -b1111111000011111100100100000111 % -b1111111000011111100100100000111 . -b1111111000011111100100100000111 6 -b1111111000011111100100100000111 @ -b1111111000011111100100100000111 F -b1110111100011110110010110101111 ) -#8512000 -0& -#8528000 -b10000101 , -#8544000 -1& -#8560000 -b1011001110100011011001111100001 " -b1011001110100011011001111100001 4 -b101010110100011011100000100100 1 -b101010110100011011100000100100 C -b10011010110100000000000000000000 0 -b10011010110100000000000000000000 H -b1011001110100011011001111100001 2 -b1011001110100011011001111100001 = -b101110110110111111101000110100 < -b1011001110100011011001111100001 : -b101010111101011011100110101101 $ -b101010111101011011100110101101 - -b101010111101011011100110101101 5 -b101010111101011011100110101101 ? -b101010111101011011100110101101 D -b101110110110111111101000110100 % -b101110110110111111101000110100 . -b101110110110111111101000110100 6 -b101110110110111111101000110100 @ -b101110110110111111101000110100 F -b1011001110100011011001111100001 ) -#8576000 -0& -#8592000 -b10000110 , -#8608000 -1& -#8624000 -b11101001111000111101101110 " -b11101001111000111101101110 4 -b11101010000000000110000000 1 -b11101010000000000110000000 C -b11101111101000000111001000000000 0 -b11101111101000000111001000000000 H -b11101001111000111101101110 2 -b11101001111000111101101110 = -b10000111111010010000110110100110 < -b11101001111000111101101110 : -b1111011101111101000000111001000 $ -b1111011101111101000000111001000 - -b1111011101111101000000111001000 5 -b1111011101111101000000111001000 ? -b1111011101111101000000111001000 D -b10000111111010010000110110100110 % -b10000111111010010000110110100110 . -b10000111111010010000110110100110 6 -b10000111111010010000110110100110 @ -b10000111111010010000110110100110 F -b11101001111000111101101110 ) -#8640000 -0& -#8656000 -b10000111 , -#8672000 -1& -#8688000 -b11011110010100100000110011100011 " -b11011110010100100000110011100011 4 -b1011110010100100001001000000100 1 -b1011110010100100001001000000100 C -b10101100101001010100000000000000 0 -b10101100101001010100000000000000 H -19 -08 -b11011110010100100000110011100011 2 -b11011110010100100000110011100011 = -b1111110111101110101101001001110 < -b11011110010100100000110011100011 : -b1011111010110101011001010010101 $ -b1011111010110101011001010010101 - -b1011111010110101011001010010101 5 -b1011111010110101011001010010101 ? -b1011111010110101011001010010101 D -b1111110111101110101101001001110 % -b1111110111101110101101001001110 . -b1111110111101110101101001001110 6 -b1111110111101110101101001001110 @ -b1111110111101110101101001001110 F -b11011110010100100000110011100011 ) -#8704000 -0& -#8720000 -b10001000 , -#8736000 -1& -#8752000 -b11110101010101101010010010110101 " -b11110101010101101010010010110101 4 -b11110101100101110010010100000000 1 -b11110101100101110010010100000000 C -b10110111111001101010001000000000 0 -b10110111111001101010001000000000 H -18 -09 -b11110101010101101010010010110101 2 -b11110101010101101010010010110101 = -b11111111100101110110111110100101 < -b11110101010101101010010010110101 : -b11110101101111110011010100010000 $ -b11110101101111110011010100010000 - -b11110101101111110011010100010000 5 -b11110101101111110011010100010000 ? -b11110101101111110011010100010000 D -b11111111100101110110111110100101 % -b11111111100101110110111110100101 . -b11111111100101110110111110100101 6 -b11111111100101110110111110100101 @ -b11111111100101110110111110100101 F -b11110101010101101010010010110101 ) -#8768000 -0& -#8784000 -b10001001 , -#8800000 -1& -#8816000 -b11101011100110010101010011100001 " -b11101011100110010101010011100001 4 -b11101011100110101000000100100010 1 -b11101011100110101000000100100010 C -b10100100010011001100000000000000 0 -b10100100010011001100000000000000 H -b11101011100110010101010011100001 2 -b11101011100110010101010011100001 = -b11101111110110101100001110101110 < -b11101011100110010101010011100001 : -b11111011101111101001000100110011 $ -b11111011101111101001000100110011 - -b11111011101111101001000100110011 5 -b11111011101111101001000100110011 ? -b11111011101111101001000100110011 D -b11101111110110101100001110101110 % -b11101111110110101100001110101110 . -b11101111110110101100001110101110 6 -b11101111110110101100001110101110 @ -b11101111110110101100001110101110 F -b11101011100110010101010011100001 ) -#8832000 -0& -#8848000 -b10001010 , -#8864000 -1& -#8880000 -b11010110101111111001111110010111 " -b11010110101111111001111110010111 4 -b1011000110000000000000000011000 1 -b1011000110000000000000000011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -19 -b11010110101111111001111110010111 2 -b11010110101111111001111110010111 = -b1111000111010001001011100111110 < -b11010110101111111001111110010111 : -b1011101110101110000100001011001 $ -b1011101110101110000100001011001 - -b1011101110101110000100001011001 5 -b1011101110101110000100001011001 ? -b1011101110101110000100001011001 D -b1111000111010001001011100111110 % -b1111000111010001001011100111110 . -b1111000111010001001011100111110 6 -b1111000111010001001011100111110 @ -b1111000111010001001011100111110 F -b11010110101111111001111110010111 ) -#8896000 -0& -#8912000 -b10001011 , -#8928000 -1& -#8944000 -b10000000010100111011111000101110 " -b10000000010100111011111000101110 4 -b10000000010101000000001001000001 1 -b10000000010101000000001001000001 C -b10111111010001011100101000000000 0 -b10111111010001011100101000000000 H -18 -09 -b10000000010100111011111000101110 2 -b10000000010100111011111000101110 = -b10011110111101000001101101001001 < -b10000000010100111011111000101110 : -b11100001010111111010001011100101 $ -b11100001010111111010001011100101 - -b11100001010111111010001011100101 5 -b11100001010111111010001011100101 ? -b11100001010111111010001011100101 D -b10011110111101000001101101001001 % -b10011110111101000001101101001001 . -b10011110111101000001101101001001 6 -b10011110111101000001101101001001 @ -b10011110111101000001101101001001 F -b10000000010100111011111000101110 ) -#8960000 -0& -#8976000 -b10001100 , -#8992000 -1& -#9008000 -b111111100101101000000110010101 " -b111111100101101000000110010101 4 -b1011111100101101000001000000110 1 -b1011111100101101000001000000110 C -b11001011111011010100011100000000 0 -b11001011111011010100011100000000 H -08 -b111111100101101000000110010101 2 -b111111100101101000000110010101 = -b11011111111111101010011100000111 < -b111111100101101000000110010101 : -b1011111100101111101101010001110 $ -b1011111100101111101101010001110 - -b1011111100101111101101010001110 5 -b1011111100101111101101010001110 ? -b1011111100101111101101010001110 D -b11011111111111101010011100000111 % -b11011111111111101010011100000111 . -b11011111111111101010011100000111 6 -b11011111111111101010011100000111 @ -b11011111111111101010011100000111 F -b111111100101101000000110010101 ) -#9024000 -0& -#9040000 -b10001101 , -#9056000 -1& -#9072000 -b1011011101100111000001111101011 " -b1011011101100111000001111101011 4 -b1011100101100111000010000000000 1 -b1011100101100111000010000000000 C -b11011100111110111011110000001011 0 -b11011100111110111011110000001011 H -b1011011101100111000001111101011 2 -b1011011101100111000001111101011 = -b1111110101101111100011111100000 < -b1011011101100111000001111101011 : -b11011100111110111011110000001011 $ -b11011100111110111011110000001011 - -b11011100111110111011110000001011 5 -b11011100111110111011110000001011 ? -b11011100111110111011110000001011 D -b1111110101101111100011111100000 % -b1111110101101111100011111100000 . -b1111110101101111100011111100000 6 -b1111110101101111100011111100000 @ -b1111110101101111100011111100000 F -b1011011101100111000001111101011 ) -#9088000 -0& -#9104000 -b10001110 , -#9120000 -1& -#9136000 -b111111111010001010100000111000 " -b111111111010001010100000111000 4 -b111111111010010010100001001010 1 -b111111111010010010100001001010 C -b10111101101100110011100000000000 0 -b10111101101100110011100000000000 H -b111111111010001010100000111000 2 -b111111111010001010100000111000 = -b10111111111110010011101101101010 < -b111111111010001010100000111000 : -b1111111111011110110110011001110 $ -b1111111111011110110110011001110 - -b1111111111011110110110011001110 5 -b1111111111011110110110011001110 ? -b1111111111011110110110011001110 D -b10111111111110010011101101101010 % -b10111111111110010011101101101010 . -b10111111111110010011101101101010 6 -b10111111111110010011101101101010 @ -b10111111111110010011101101101010 F -b111111111010001010100000111000 ) -#9152000 -0& -#9168000 -b10001111 , -#9184000 -1& -#9200000 -b1101101000110000001110001100100 " -b1101101000110000001110001100100 4 -b1101101000110000100000000101001 1 -b1101101000110000100000000101001 C -b1010110000000000000000000000000 0 -b1010110000000000000000000000000 H -b1101101000110000001110001100100 2 -b1101101000110000001110001100100 = -b1111101010110011100110000111001 < -b1101101000110000001110001100100 : -b11101111101111100101000000101011 $ -b11101111101111100101000000101011 - -b11101111101111100101000000101011 5 -b11101111101111100101000000101011 ? -b11101111101111100101000000101011 D -b1111101010110011100110000111001 % -b1111101010110011100110000111001 . -b1111101010110011100110000111001 6 -b1111101010110011100110000111001 @ -b1111101010110011100110000111001 F -b1101101000110000001110001100100 ) -#9216000 -0& -#9232000 -b10010000 , -#9248000 -1& -#9264000 -b11100111100110001101011111010010 " -b11100111100110001101011111010010 4 -b11100111100110010110100000000100 1 -b11100111100110010110100000000100 C -b11111011110101101111010011100000 0 -b11111011110101101111010011100000 H -18 -b11100111100110001101011111010010 2 -b11100111100110001101011111010010 = -b11100111110110110110100010000100 < -b11100111100110001101011111010010 : -b11111111101111010110111101001110 $ -b11111111101111010110111101001110 - -b11111111101111010110111101001110 5 -b11111111101111010110111101001110 ? -b11111111101111010110111101001110 D -b11100111110110110110100010000100 % -b11100111110110110110100010000100 . -b11100111110110110110100010000100 6 -b11100111110110110110100010000100 @ -b11100111110110110110100010000100 F -b11100111100110001101011111010010 ) -#9280000 -0& -#9296000 -b10010001 , -#9312000 -1& -#9328000 -b11010100001111011010101111011000 " -b11010100001111011010101111011000 4 -b11100100100000100000000000000010 1 -b11100100100000100000000000000010 C -b11101100101010100100010010000000 0 -b11101100101010100100010010000000 H -b11010100001111011010101111011000 2 -b11010100001111011010101111011000 = -b11100100100010110000001011000110 < -b11010100001111011010101111011000 : -b11101111101100101010100100010010 $ -b11101111101100101010100100010010 - -b11101111101100101010100100010010 5 -b11101111101100101010100100010010 ? -b11101111101100101010100100010010 D -b11100100100010110000001011000110 % -b11100100100010110000001011000110 . -b11100100100010110000001011000110 6 -b11100100100010110000001011000110 @ -b11100100100010110000001011000110 F -b11010100001111011010101111011000 ) -#9344000 -0& -#9360000 -b10010010 , -#9376000 -1& -#9392000 -b110110010111000101100000001010 " -b110110010111000101100000001010 4 -b110110011011010000100000100101 1 -b110110011011010000100000100101 C -b11011101111000010001010010100000 0 -b11011101111000010001010010100000 H -08 -b110110010111000101100000001010 2 -b110110010111000101100000001010 = -b11111111011011010100111101100101 < -b110110010111000101100000001010 : -b110110111011110000100010100101 $ -b110110111011110000100010100101 - -b110110111011110000100010100101 5 -b110110111011110000100010100101 ? -b110110111011110000100010100101 D -b11111111011011010100111101100101 % -b11111111011011010100111101100101 . -b11111111011011010100111101100101 6 -b11111111011011010100111101100101 @ -b11111111011011010100111101100101 F -b110110010111000101100000001010 ) -#9408000 -0& -#9424000 -b10010011 , -#9440000 -1& -#9456000 -b101111010011010101001001001010 " -b101111010011010101001001001010 4 -b101111100011011001010100000100 1 -b101111100011011001010100000100 C -b11111010110110011101010001100000 0 -b11111010110110011101010001100000 H -b101111010011010101001001001010 2 -b101111010011010101001001001010 = -b1111111100111111011010100000100 < -b101111010011010101001001001010 : -b10101111101011011001110101000110 $ -b10101111101011011001110101000110 - -b10101111101011011001110101000110 5 -b10101111101011011001110101000110 ? -b10101111101011011001110101000110 D -b1111111100111111011010100000100 % -b1111111100111111011010100000100 . -b1111111100111111011010100000100 6 -b1111111100111111011010100000100 @ -b1111111100111111011010100000100 F -b101111010011010101001001001010 ) -#9472000 -0& -#9488000 -b10010100 , -#9504000 -1& -#9520000 -b1111110001111100110010001101010 " -b1111110001111100110010001101010 4 -b1111110001111110010101000000100 1 -b1111110001111110010101000000100 C -b10001111110011101001100100000000 0 -b10001111110011101001100100000000 H -b1111110001111100110010001101010 2 -b1111110001111100110010001101010 = -b11111111111111110010101000000110 < -b1111110001111100110010001101010 : -b1111110001111110011101001100100 $ -b1111110001111110011101001100100 - -b1111110001111110011101001100100 5 -b1111110001111110011101001100100 ? -b1111110001111110011101001100100 D -b11111111111111110010101000000110 % -b11111111111111110010101000000110 . -b11111111111111110010101000000110 6 -b11111111111111110010101000000110 @ -b11111111111111110010101000000110 F -b1111110001111100110010001101010 ) -#9536000 -0& -#9552000 -b10010101 , -#9568000 -1& -#9584000 -b10101101010111010110001111011000 " -b10101101010111010110001111011000 4 -b101101010111011010010000100000 1 -b101101010111011010010000100000 C -b11011111101001000011000000000000 0 -b11011111101001000011000000000000 H -19 -08 -b10101101010111010110001111011000 2 -b10101101010111010110001111011000 = -b1101101011111011011111110101000 < -b10101101010111010110001111011000 : -b111111110111111010010000110000 $ -b111111110111111010010000110000 - -b111111110111111010010000110000 5 -b111111110111111010010000110000 ? -b111111110111111010010000110000 D -b1101101011111011011111110101000 % -b1101101011111011011111110101000 . -b1101101011111011011111110101000 6 -b1101101011111011011111110101000 @ -b1101101011111011011111110101000 F -b10101101010111010110001111011000 ) -#9600000 -0& -#9616000 -b10010110 , -#9632000 -1& -#9648000 -b11001111100101101110101101101100 " -b11001111100101101110101101101100 4 -b11010111100101110000000000000001 1 -b11010111100101110000000000000001 C -b10111101010110110000100000000000 0 -b10111101010110110000100000000000 H -18 -09 -b11001111100101101110101101101100 2 -b11001111100101101110101101101100 = -b11110111100111110100000000001011 < -b11001111100101101110101101101100 : -b11010111111101111010101101100001 $ -b11010111111101111010101101100001 - -b11010111111101111010101101100001 5 -b11010111111101111010101101100001 ? -b11010111111101111010101101100001 D -b11110111100111110100000000001011 % -b11110111100111110100000000001011 . -b11110111100111110100000000001011 6 -b11110111100111110100000000001011 @ -b11110111100111110100000000001011 F -b11001111100101101110101101101100 ) -#9664000 -0& -#9680000 -b10010111 , -#9696000 -1& -#9712000 -b10100010100111010010101010011011 " -b10100010100111010010101010011011 4 -b11000010100111100001010000000000 1 -b11000010100111100001010000000000 C -b101101000101000000000000000000 0 -b101101000101000000000000000000 H -b10100010100111010010101010011011 2 -b10100010100111010010101010011011 = -b11001010110111110001010000010001 < -b10100010100111010010101010011011 : -b11010111101111100001011010001010 $ -b11010111101111100001011010001010 - -b11010111101111100001011010001010 5 -b11010111101111100001011010001010 ? -b11010111101111100001011010001010 D -b11001010110111110001010000010001 % -b11001010110111110001010000010001 . -b11001010110111110001010000010001 6 -b11001010110111110001010000010001 @ -b11001010110111110001010000010001 F -b10100010100111010010101010011011 ) -#9728000 -0& -#9744000 -b10011000 , -#9760000 -1& -#9776000 -b10010110101010110100110111110010 " -b10010110101010110100110111110010 4 -b10010110101010111000000000000011 1 -b10010110101010111000000000000011 C -b11000110001000011000000000000000 0 -b11000110001000011000000000000000 H -b10010110101010110100110111110010 2 -b10010110101010110100110111110010 = -b10110110101110111100000110101111 < -b10010110101010110100110111110010 : -b11011111111011111000110001000011 $ -b11011111111011111000110001000011 - -b11011111111011111000110001000011 5 -b11011111111011111000110001000011 ? -b11011111111011111000110001000011 D -b10110110101110111100000110101111 % -b10110110101110111100000110101111 . -b10110110101110111100000110101111 6 -b10110110101110111100000110101111 @ -b10110110101110111100000110101111 F -b10010110101010110100110111110010 ) -#9792000 -0& -#9808000 -b10011001 , -#9824000 -1& -#9840000 -b10011011101011101001010000011001 " -b10011011101011101001010000011001 4 -b10011011101011110000100000101100 1 -b10011011101011110000100000101100 C -b11111000100001101101000000000000 0 -b11111000100001101101000000000000 H -b10011011101011101001010000011001 2 -b10011011101011101001010000011001 = -b10111111101111110000101110101100 < -b10011011101011101001010000011001 : -b11011011111011111000100001101101 $ -b11011011111011111000100001101101 - -b11011011111011111000100001101101 5 -b11011011111011111000100001101101 ? -b11011011111011111000100001101101 D -b10111111101111110000101110101100 % -b10111111101111110000101110101100 . -b10111111101111110000101110101100 6 -b10111111101111110000101110101100 @ -b10111111101111110000101110101100 F -b10011011101011101001010000011001 ) -#9856000 -0& -#9872000 -b10011010 , -#9888000 -1& -#9904000 -b10011011110111101111010101110100 " -b10011011110111101111010101110100 4 -b11101110111110000000110010110 1 -b11101110111110000000110010110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b10011011110111101111010101110100 2 -b10011011110111101111010101110100 = -b111101111111111001001110011110 < -b10011011110111101111010101110100 : -b1011101110111110110000111010110 $ -b1011101110111110110000111010110 - -b1011101110111110110000111010110 5 -b1011101110111110110000111010110 ? -b1011101110111110110000111010110 D -b111101111111111001001110011110 % -b111101111111111001001110011110 . -b111101111111111001001110011110 6 -b111101111111111001001110011110 @ -b111101111111111001001110011110 F -b10011011110111101111010101110100 ) -#9920000 -0& -#9936000 -b10011011 , -#9952000 -1& -#9968000 -b10011011101100100110000011010 " -b10011011101100100110000011010 4 -b10011101101110001000000011011 1 -b10011101101110001000000011011 C -09 -08 -b10011011101100100110000011010 2 -b10011011101100100110000011010 = -b1110011101101110011001001011111 < -b10011011101100100110000011010 : -b10011111101111110001100110111011 $ -b10011111101111110001100110111011 - -b10011111101111110001100110111011 5 -b10011111101111110001100110111011 ? -b10011111101111110001100110111011 D -b1110011101101110011001001011111 % -b1110011101101110011001001011111 . -b1110011101101110011001001011111 6 -b1110011101101110011001001011111 @ -b1110011101101110011001001011111 F -b10011011101100100110000011010 ) -#9984000 -0& -#10000000 -b10011100 , -#10016000 -1& -#10032000 -b11101111111100010010011101100 " -b11101111111100010010011101100 4 -b11110000000100010010100001110 1 -b11110000000100010010100001110 C -b11101111111100010010011101100 2 -b11101111111100010010011101100 = -b10111111110100111011010110011110 < -b11101111111100010010011101100 : -b1011110001010100110111101001110 $ -b1011110001010100110111101001110 - -b1011110001010100110111101001110 5 -b1011110001010100110111101001110 ? -b1011110001010100110111101001110 D -b10111111110100111011010110011110 % -b10111111110100111011010110011110 . -b10111111110100111011010110011110 6 -b10111111110100111011010110011110 @ -b10111111110100111011010110011110 F -b11101111111100010010011101100 ) -#10048000 -0& -#10064000 -b10011101 , -#10080000 -1& -#10096000 -b111101100000011111000100001010 " -b111101100000011111000100001010 4 -b111101100001000001001000010001 1 -b111101100001000001001000010001 C -b110101001100100000000000000000 0 -b110101001100100000000000000000 H -b111101100000011111000100001010 2 -b111101100000011111000100001010 = -b1111101101111011101011001110001 < -b111101100000011111000100001010 : -b10111111110001000001101010011001 $ -b10111111110001000001101010011001 - -b10111111110001000001101010011001 5 -b10111111110001000001101010011001 ? -b10111111110001000001101010011001 D -b1111101101111011101011001110001 % -b1111101101111011101011001110001 . -b1111101101111011101011001110001 6 -b1111101101111011101011001110001 @ -b1111101101111011101011001110001 F -b111101100000011111000100001010 ) -#10112000 -0& -#10128000 -b10011110 , -#10144000 -1& -#10160000 -b1100110101100100011001111100001 " -b1100110101100100011001111100001 4 -b1100110110000101000000000100100 1 -b1100110110000101000000000100100 C -b1111100101110000011001111010000 0 -b1111100101110000011001111010000 H -b1100110101100100011001111100001 2 -b1100110101100100011001111100001 = -b1101110111001101011000010100100 < -b1100110101100100011001111100001 : -b11110111110010111000001100111101 $ -b11110111110010111000001100111101 - -b11110111110010111000001100111101 5 -b11110111110010111000001100111101 ? -b11110111110010111000001100111101 D -b1101110111001101011000010100100 % -b1101110111001101011000010100100 . -b1101110111001101011000010100100 6 -b1101110111001101011000010100100 @ -b1101110111001101011000010100100 F -b1100110101100100011001111100001 ) -#10176000 -0& -#10192000 -b10011111 , -#10208000 -1& -#10224000 -b11011101100111010011110010000111 " -b11011101100111010011110010000111 4 -b1011110100111011000011000010000 1 -b1011110100111011000011000010000 C -b11000010010000000000000000000000 0 -b11000010010000000000000000000000 H -19 -08 -b11011101100111010011110010000111 2 -b11011101100111010011110010000111 = -b1111110111111011000011001110101 < -b11011101100111010011110010000111 : -b1011110100111111011011000010010 $ -b1011110100111111011011000010010 - -b1011110100111111011011000010010 5 -b1011110100111111011011000010010 ? -b1011110100111111011011000010010 D -b1111110111111011000011001110101 % -b1111110111111011000011001110101 . -b1111110111111011000011001110101 6 -b1111110111111011000011001110101 @ -b1111110111111011000011001110101 F -b11011101100111010011110010000111 ) -#10240000 -0& -#10256000 -b10100000 , -#10272000 -1& -#10288000 -b1101111110111011110101001110001 " -b1101111110111011110101001110001 4 -b10001111111000011110110010010100 1 -b10001111111000011110110010010100 C -b10011011100000000000000000000000 0 -b10011011100000000000000000000000 H -18 -b1101111110111011110101001110001 2 -b1101111110111011110101001110001 = -b10001111111000111111110110010101 < -b1101111110111011110101001110001 : -b11011111111110011110110011011100 $ -b11011111111110011110110011011100 - -b11011111111110011110110011011100 5 -b11011111111110011110110011011100 ? -b11011111111110011110110011011100 D -b10001111111000111111110110010101 % -b10001111111000111111110110010101 . -b10001111111000111111110110010101 6 -b10001111111000111111110110010101 @ -b10001111111000111111110110010101 F -b1101111110111011110101001110001 ) -#10304000 -0& -#10320000 -b10100001 , -#10336000 -1& -#10352000 -b1000100110010101000011100000 " -b1000100110010101000011100000 4 -b1000100111000000000000000100 1 -b1000100111000000000000000100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -09 -b1000100110010101000011100000 2 -b1000100110010101000011100000 = -b10111111111111010100000000011100 < -b1000100110010101000011100000 : -b1001000100111000001000011000100 $ -b1001000100111000001000011000100 - -b1001000100111000001000011000100 5 -b1001000100111000001000011000100 ? -b1001000100111000001000011000100 D -b10111111111111010100000000011100 % -b10111111111111010100000000011100 . -b10111111111111010100000000011100 6 -b10111111111111010100000000011100 @ -b10111111111111010100000000011100 F -b1000100110010101000011100000 ) -#10368000 -0& -#10384000 -b10100010 , -#10400000 -1& -#10416000 -b11111010101110110110100001100010 " -b11111010101110110110100001100010 4 -b11111010101110111000000100101001 1 -b11111010101110111000000100101001 C -b1010010000000000000000000000000 0 -b1010010000000000000000000000000 H -18 -b11111010101110110110100001100010 2 -b11111010101110110110100001100010 = -b11111011101111111100010100111001 < -b11111010101110110110100001100010 : -b11111110111110111010001100101001 $ -b11111110111110111010001100101001 - -b11111110111110111010001100101001 5 -b11111110111110111010001100101001 ? -b11111110111110111010001100101001 D -b11111011101111111100010100111001 % -b11111011101111111100010100111001 . -b11111011101111111100010100111001 6 -b11111011101111111100010100111001 @ -b11111011101111111100010100111001 F -b11111010101110110110100001100010 ) -#10432000 -0& -#10448000 -b10100011 , -#10464000 -1& -#10480000 -b111101110110101010010100001001 " -b111101110110101010010100001001 4 -b111101111000110000000000001010 1 -b111101111000110000000000001010 C -b0 0 -b0 H -08 -b111101110110101010010100001001 2 -b111101110110101010010100001001 = -b11111101111001111010010011011111 < -b111101110110101010010100001001 : -b111111111100110000000000101010 $ -b111111111100110000000000101010 - -b111111111100110000000000101010 5 -b111111111100110000000000101010 ? -b111111111100110000000000101010 D -b11111101111001111010010011011111 % -b11111101111001111010010011011111 . -b11111101111001111010010011011111 6 -b11111101111001111010010011011111 @ -b11111101111001111010010011011111 F -b111101110110101010010100001001 ) -#10496000 -0& -#10512000 -b10100100 , -#10528000 -1& -#10544000 -b100100101011110111000111110111 " -b100100101011110111000111110111 4 -b100100101011111000000000001000 1 -b100100101011111000000000001000 C -b11111110110001100011010000000000 0 -b11111110110001100011010000000000 H -b100100101011110111000111110111 2 -b100100101011110111000111110111 = -b10110110111011111100000001101010 < -b100100101011110111000111110111 : -b1101101101111111011000110001101 $ -b1101101101111111011000110001101 - -b1101101101111111011000110001101 5 -b1101101101111111011000110001101 ? -b1101101101111111011000110001101 D -b10110110111011111100000001101010 % -b10110110111011111100000001101010 . -b10110110111011111100000001101010 6 -b10110110111011111100000001101010 @ -b10110110111011111100000001101010 F -b100100101011110111000111110111 ) -#10560000 -0& -#10576000 -b10100101 , -#10592000 -1& -#10608000 -b111111010011111100011111001 " -b111111010011111100011111001 4 -b111111010100000000000000000 1 -b111111010100000000000000000 C -b11011110000100000010000000000000 0 -b11011110000100000010000000000000 H -b111111010011111100011111001 2 -b111111010011111100011111001 = -b10001111111110101111000011101001 < -b111111010011111100011111001 : -b1110111111011110000100000010000 $ -b1110111111011110000100000010000 - -b1110111111011110000100000010000 5 -b1110111111011110000100000010000 ? -b1110111111011110000100000010000 D -b10001111111110101111000011101001 % -b10001111111110101111000011101001 . -b10001111111110101111000011101001 6 -b10001111111110101111000011101001 @ -b10001111111110101111000011101001 F -b111111010011111100011111001 ) -#10624000 -0& -#10640000 -b10100110 , -#10656000 -1& -#10672000 -b100110001011011000000101011101 " -b100110001011011000000101011101 4 -b101000001011011000001000000010 1 -b101000001011011000001000000010 C -b10110111101110010100110000000000 0 -b10110111101110010100110000000000 H -b100110001011011000000101011101 2 -b100110001011011000000101011101 = -b10101001111111111001001100001010 < -b100110001011011000000101011101 : -b1111100001011011110111001010011 $ -b1111100001011011110111001010011 - -b1111100001011011110111001010011 5 -b1111100001011011110111001010011 ? -b1111100001011011110111001010011 D -b10101001111111111001001100001010 % -b10101001111111111001001100001010 . -b10101001111111111001001100001010 6 -b10101001111111111001001100001010 @ -b10101001111111111001001100001010 F -b100110001011011000000101011101 ) -#10688000 -0& -#10704000 -b10100111 , -#10720000 -1& -#10736000 -b1101111011000011010010010000110 " -b1101111011000011010010010000110 4 -b10001111101000100100000010010000 1 -b10001111101000100100000010010000 C -b1000000111101100000000000000000 0 -b1000000111101100000000000000000 H -18 -19 -b1101111011000011010010010000110 2 -b1101111011000011010010010000110 = -b11011111101100100110001110010000 < -b1101111011000011010010010000110 : -b10001111101011110100000011110110 $ -b10001111101011110100000011110110 - -b10001111101011110100000011110110 5 -b10001111101011110100000011110110 ? -b10001111101011110100000011110110 D -b11011111101100100110001110010000 % -b11011111101100100110001110010000 . -b11011111101100100110001110010000 6 -b11011111101100100110001110010000 @ -b11011111101100100110001110010000 F -b1101111011000011010010010000110 ) -#10752000 -0& -#10768000 -b10101000 , -#10784000 -1& -#10800000 -b111111011101011100111100101111 " -b111111011101011100111100101111 4 -b111111100101100101000000000000 1 -b111111100101100101000000000000 C -b11101111101111110000010000000000 0 -b11101111101111110000010000000000 H -08 -09 -b111111011101011100111100101111 2 -b111111011101011100111100101111 = -b1111111100101100101000100100111 < -b111111011101011100111100101111 : -b10111111110111110111111000001000 $ -b10111111110111110111111000001000 - -b10111111110111110111111000001000 5 -b10111111110111110111111000001000 ? -b10111111110111110111111000001000 D -b1111111100101100101000100100111 % -b1111111100101100101000100100111 . -b1111111100101100101000100100111 6 -b1111111100101100101000100100111 @ -b1111111100101100101000100100111 F -b111111011101011100111100101111 ) -#10816000 -0& -#10832000 -b10101001 , -#10848000 -1& -#10864000 -b1110011011101010100001010010110 " -b1110011011101010100001010010110 4 -b1110101011101011000010100001000 1 -b1110101011101011000010100001000 C -b11011000010110001010000000000000 0 -b11011000010110001010000000000000 H -b1110011011101010100001010010110 2 -b1110011011101010100001010010110 = -b1110101011101111011110100001100 < -b1110011011101010100001010010110 : -b11111101111111011000010110001010 $ -b11111101111111011000010110001010 - -b11111101111111011000010110001010 5 -b11111101111111011000010110001010 ? -b11111101111111011000010110001010 D -b1110101011101111011110100001100 % -b1110101011101111011110100001100 . -b1110101011101111011110100001100 6 -b1110101011101111011110100001100 @ -b1110101011101111011110100001100 F -b1110011011101010100001010010110 ) -#10880000 -0& -#10896000 -b10101010 , -#10912000 -1& -#10928000 -b11010101010111001010011101000001 " -b11010101010111001010011101000001 4 -b10110010111100010011101000010 1 -b10110010111100010011101000010 C -b10110110111100011011101111110000 0 -b10110110111100011011101111110000 H -18 -b11010101010111001010011101000001 2 -b11010101010111001010011101000001 = -b111110011111100110111111000011 < -b11010101010111001010011101000001 : -b10010110110111100011011101111110 $ -b10010110110111100011011101111110 - -b10010110110111100011011101111110 5 -b10010110110111100011011101111110 ? -b10010110110111100011011101111110 D -b111110011111100110111111000011 % -b111110011111100110111111000011 . -b111110011111100110111111000011 6 -b111110011111100110111111000011 @ -b111110011111100110111111000011 F -b11010101010111001010011101000001 ) -#10944000 -0& -#10960000 -b10101011 , -#10976000 -1& -#10992000 -b10011010111101011111100100110 " -b10011010111101011111100100110 4 -b10011010111110000000100101000 1 -b10011010111110000000100101000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -08 -b10011010111101011111100100110 2 -b10011010111101011111100100110 = -b110111110111111010010101111100 < -b10011010111101011111100100110 : -b11011011011111110001100110101010 $ -b11011011011111110001100110101010 - -b11011011011111110001100110101010 5 -b11011011011111110001100110101010 ? -b11011011011111110001100110101010 D -b110111110111111010010101111100 % -b110111110111111010010101111100 . -b110111110111111010010101111100 6 -b110111110111111010010101111100 @ -b110111110111111010010101111100 F -b10011010111101011111100100110 ) -#11008000 -0& -#11024000 -b10101100 , -#11040000 -1& -#11056000 -b1101100110100110100100011111000 " -b1101100110100110100100011111000 4 -b1101100111000111000010000000000 1 -b1101100111000111000010000000000 C -b1101100110100110100100011111000 2 -b1101100110100110100100011111000 = -b1111110111011111100010001011000 < -b1101100110100110100100011111000 : -b11101101111000111000010010100000 $ -b11101101111000111000010010100000 - -b11101101111000111000010010100000 5 -b11101101111000111000010010100000 ? -b11101101111000111000010010100000 D -b1111110111011111100010001011000 % -b1111110111011111100010001011000 . -b1111110111011111100010001011000 6 -b1111110111011111100010001011000 @ -b1111110111011111100010001011000 F -b1101100110100110100100011111000 ) -#11072000 -0& -#11088000 -b10101101 , -#11104000 -1& -#11120000 -b1111011000111000110110111001100 " -b1111011000111000110110111001100 4 -b10111011000111010000000000100010 1 -b10111011000111010000000000100010 C -b11110100100000001000100000000000 0 -b11110100100000001000100000000000 H -18 -19 -b1111011000111000110110111001100 2 -b1111011000111000110110111001100 = -b10111011100111110100110110101010 < -b1111011000111000110110111001100 : -b10111111011111010010000000100010 $ -b10111111011111010010000000100010 - -b10111111011111010010000000100010 5 -b10111111011111010010000000100010 ? -b10111111011111010010000000100010 D -b10111011100111110100110110101010 % -b10111011100111110100110110101010 . -b10111011100111110100110110101010 6 -b10111011100111110100110110101010 @ -b10111011100111110100110110101010 F -b1111011000111000110110111001100 ) -#11136000 -0& -#11152000 -b10101110 , -#11168000 -1& -#11184000 -b11011011010000010010110101001 " -b11011011010000010010110101001 4 -b100000011010001000100000101010 1 -b100000011010001000100000101010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -09 -b11011011010000010010110101001 2 -b11011011010000010010110101001 = -b100000111110101001100001111110 < -b11011011010000010010110101001 : -b11111010011011011000110100101011 $ -b11111010011011011000110100101011 - -b11111010011011011000110100101011 5 -b11111010011011011000110100101011 ? -b11111010011011011000110100101011 D -b100000111110101001100001111110 % -b100000111110101001100001111110 . -b100000111110101001100001111110 6 -b100000111110101001100001111110 @ -b100000111110101001100001111110 F -b11011011010000010010110101001 ) -#11200000 -0& -#11216000 -b10101111 , -#11232000 -1& -#11248000 -b11111001001101111011111100010111 " -b11111001001101111011111100010111 4 -b111001001110000001111101000000 1 -b111001001110000001111101000000 C -b11001001111001001111111010100000 0 -b11001001111001001111111010100000 H -18 -b11111001001101111011111100010111 2 -b11111001001101111011111100010111 = -b111111111110110001111101000011 < -b11111001001101111011111100010111 : -b10111001001111001001111111010100 $ -b10111001001111001001111111010100 - -b10111001001111001001111111010100 5 -b10111001001111001001111111010100 ? -b10111001001111001001111111010100 D -b111111111110110001111101000011 % -b111111111110110001111101000011 . -b111111111110110001111101000011 6 -b111111111110110001111101000011 @ -b111111111110110001111101000011 F -b11111001001101111011111100010111 ) -#11264000 -0& -#11280000 -b10110000 , -#11296000 -1& -#11312000 -b1111011000111000010000001001001 " -b1111011000111000010000001001001 4 -b1111011000111010000000001100000 1 -b1111011000111010000000001100000 C -b1111011111111110000001111101001 0 -b1111011111111110000001111101001 H -08 -b1111011000111000010000001001001 2 -b1111011000111000010000001001001 = -b11111111000111010001110001100000 < -b1111011000111000010000001001001 : -b1111011111111110000001111101001 $ -b1111011111111110000001111101001 - -b1111011111111110000001111101001 5 -b1111011111111110000001111101001 ? -b1111011111111110000001111101001 D -b11111111000111010001110001100000 % -b11111111000111010001110001100000 . -b11111111000111010001110001100000 6 -b11111111000111010001110001100000 @ -b11111111000111010001110001100000 F -b1111011000111000010000001001001 ) -#11328000 -0& -#11344000 -b10110001 , -#11360000 -1& -#11376000 -b1010010111110000111010010100000 " -b1010010111110000111010010100000 4 -b10011000110010000000011000010 1 -b10011000110010000000011000010 C -b11010111010001000111011010000000 0 -b11010111010001000111011010000000 H -b1010010111110000111010010100000 2 -b1010010111110000111010010100000 = -b11011100110110110001011000110 < -b1010010111110000111010010100000 : -b110111010111010001000111011010 $ -b110111010111010001000111011010 - -b110111010111010001000111011010 5 -b110111010111010001000111011010 ? -b110111010111010001000111011010 D -b11011100110110110001011000110 % -b11011100110110110001011000110 . -b11011100110110110001011000110 6 -b11011100110110110001011000110 @ -b11011100110110110001011000110 F -b1010010111110000111010010100000 ) -#11392000 -0& -#11408000 -b10110010 , -#11424000 -1& -#11440000 -b1000010111100111011001001110001 " -b1000010111100111011001001110001 4 -b1000010111110000100100000010010 1 -b1000010111110000100100000010010 C -b1011100000000000000000000000000 0 -b1011100000000000000000000000000 H -08 -09 -b1000010111100111011001001110001 2 -b1000010111100111011001001110001 = -b1110110111110010110100001011010 < -b1000010111100111011001001110001 : -b11001011111110100100101000010111 $ -b11001011111110100100101000010111 - -b11001011111110100100101000010111 5 -b11001011111110100100101000010111 ? -b11001011111110100100101000010111 D -b1110110111110010110100001011010 % -b1110110111110010110100001011010 . -b1110110111110010110100001011010 6 -b1110110111110010110100001011010 @ -b1110110111110010110100001011010 F -b1000010111100111011001001110001 ) -#11456000 -0& -#11472000 -b10110011 , -#11488000 -1& -#11504000 -b11001111110011100110011001000010 " -b11001111110011100110011001000010 4 -b11001111110011110000100001000101 1 -b11001111110011110000100001000101 C -b11111111111000111000111110100000 0 -b11111111111000111000111110100000 H -18 -b11001111110011100110011001000010 2 -b11001111110011100110011001000010 = -b11001111110011110100100111000101 < -b11001111110011100110011001000010 : -b11111111111111110001110001111101 $ -b11111111111111110001110001111101 - -b11111111111111110001110001111101 5 -b11111111111111110001110001111101 ? -b11111111111111110001110001111101 D -b11001111110011110100100111000101 % -b11001111110011110100100111000101 . -b11001111110011110100100111000101 6 -b11001111110011110100100111000101 @ -b11001111110011110100100111000101 F -b11001111110011100110011001000010 ) -#11520000 -0& -#11536000 -b10110100 , -#11552000 -1& -#11568000 -b10100001101010110010000001011001 " -b10100001101010110010000001011001 4 -b100010001100110010000100000000 1 -b100010001100110010000100000000 C -b11101110110111101010000000000000 0 -b11101110110111101010000000000000 H -08 -19 -b10100001101010110010000001011001 2 -b10100001101010110010000001011001 = -b110010001100111011000100001001 < -b10100001101010110010000001011001 : -b1101111011101110110111101010000 $ -b1101111011101110110111101010000 - -b1101111011101110110111101010000 5 -b1101111011101110110111101010000 ? -b1101111011101110110111101010000 D -b110010001100111011000100001001 % -b110010001100111011000100001001 . -b110010001100111011000100001001 6 -b110010001100111011000100001001 @ -b110010001100111011000100001001 F -b10100001101010110010000001011001 ) -#11584000 -0& -#11600000 -b10110101 , -#11616000 -1& -#11632000 -b11010110100010110100111101101111 " -b11010110100010110100111101101111 4 -b11010110100010111000000000010000 1 -b11010110100010111000000000010000 C -b10010100001111000000000000000000 0 -b10010100001111000000000000000000 H -18 -09 -b11010110100010110100111101101111 2 -b11010110100010110100111101101111 = -b11110110111010111000010101010001 < -b11010110100010110100111101101111 : -b11011111100111111100101000011110 $ -b11011111100111111100101000011110 - -b11011111100111111100101000011110 5 -b11011111100111111100101000011110 ? -b11011111100111111100101000011110 D -b11110110111010111000010101010001 % -b11110110111010111000010101010001 . -b11110110111010111000010101010001 6 -b11110110111010111000010101010001 @ -b11110110111010111000010101010001 F -b11010110100010110100111101101111 ) -#11648000 -0& -#11664000 -b10110110 , -#11680000 -1& -#11696000 -b11010011111011011100110110111011 " -b11010011111011011100110110111011 4 -b11010011111011100100110111000000 1 -b11010011111011100100110111000000 C -b11111111010011111101001100000000 0 -b11111111010011111101001100000000 H -b11010011111011011100110110111011 2 -b11010011111011011100110110111011 = -b11010111111011100111110111101000 < -b11010011111011011100110110111011 : -b11111011111111110100111111010011 $ -b11111011111111110100111111010011 - -b11111011111111110100111111010011 5 -b11111011111111110100111111010011 ? -b11111011111111110100111111010011 D -b11010111111011100111110111101000 % -b11010111111011100111110111101000 . -b11010111111011100111110111101000 6 -b11010111111011100111110111101000 @ -b11010111111011100111110111101000 F -b11010011111011011100110110111011 ) -#11712000 -0& -#11728000 -b10110111 , -#11744000 -1& -#11760000 -b100100101011111010111001110111 " -b100100101011111010111001110111 4 -b100101010101111011000000011000 1 -b100101010101111011000000011000 C -b10111100000000000000000000000000 0 -b10111100000000000000000000000000 H -08 -b100100101011111010111001110111 2 -b100100101011111010111001110111 = -b11110101010101111011011000011001 < -b100100101011111010111001110111 : -b101111010101111111100001011110 $ -b101111010101111111100001011110 - -b101111010101111111100001011110 5 -b101111010101111111100001011110 ? -b101111010101111111100001011110 D -b11110101010101111011011000011001 % -b11110101010101111011011000011001 . -b11110101010101111011011000011001 6 -b11110101010101111011011000011001 @ -b11110101010101111011011000011001 F -b100100101011111010111001110111 ) -#11776000 -0& -#11792000 -b10111000 , -#11808000 -1& -#11824000 -b10001111110101110010111010011001 " -b10001111110101110010111010011001 4 -b1111110101110011000011000010 1 -b1111110101110011000011000010 C -b11000111000111000000000000000000 0 -b11000111000111000000000000000000 H -19 -08 -b10001111110101110010111010011001 2 -b10001111110101110010111010011001 = -b111111110101111111110011010010 < -b10001111110101110010111010011001 : -b1001111111111110011000111000111 $ -b1001111111111110011000111000111 - -b1001111111111110011000111000111 5 -b1001111111111110011000111000111 ? -b1001111111111110011000111000111 D -b111111110101111111110011010010 % -b111111110101111111110011010010 . -b111111110101111111110011010010 6 -b111111110101111111110011010010 @ -b111111110101111111110011010010 F -b10001111110101110010111010011001 ) -#11840000 -0& -#11856000 -b10111001 , -#11872000 -1& -#11888000 -b11011100001111110111000111000001 " -b11011100001111110111000111000001 4 -b1011100010011110111000111001000 1 -b1011100010011110111000111001000 C -b11011111111001111111000000000000 0 -b11011111111001111111000000000000 H -b11011100001111110111000111000001 2 -b11011100001111110111000111000001 = -b1011100110011110111110111001001 < -b11011100001111110111000111000001 : -b1111111011011111111001111111000 $ -b1111111011011111111001111111000 - -b1111111011011111111001111111000 5 -b1111111011011111111001111111000 ? -b1111111011011111111001111111000 D -b1011100110011110111110111001001 % -b1011100110011110111110111001001 . -b1011100110011110111110111001001 6 -b1011100110011110111110111001001 @ -b1011100110011110111110111001001 F -b11011100001111110111000111000001 ) -#11904000 -0& -#11920000 -b10111010 , -#11936000 -1& -#11952000 -b1011110100110011010001001111000 " -b1011110100110011010001001111000 4 -b1100000100110100010010000000001 1 -b1100000100110100010010000000001 C -b1011101101100110000100010000000 0 -b1011101101100110000100010000000 H -09 -08 -b1011110100110011010001001111000 2 -b1011110100110011010001001111000 = -b11111001110111100011110001100111 < -b1011110100110011010001001111000 : -b1100100101110110110011000010001 $ -b1100100101110110110011000010001 - -b1100100101110110110011000010001 5 -b1100100101110110110011000010001 ? -b1100100101110110110011000010001 D -b11111001110111100011110001100111 % -b11111001110111100011110001100111 . -b11111001110111100011110001100111 6 -b11111001110111100011110001100111 @ -b11111001110111100011110001100111 F -b1011110100110011010001001111000 ) -#11968000 -0& -#11984000 -b10111011 , -#12000000 -1& -#12016000 -b101001110000101101111101010001 " -b101001110000101101111101010001 4 -b101010110000110000000000000100 1 -b101010110000110000000000000100 C -b11101011100000001000000000000000 0 -b11101011100000001000000000000000 H -b101001110000101101111101010001 2 -b101001110000101101111101010001 = -b101110111010111000001101001101 < -b101001110000101101111101010001 : -b11111010110101110101110000000100 $ -b11111010110101110101110000000100 - -b11111010110101110101110000000100 5 -b11111010110101110101110000000100 ? -b11111010110101110101110000000100 D -b101110111010111000001101001101 % -b101110111010111000001101001101 . -b101110111010111000001101001101 6 -b101110111010111000001101001101 @ -b101110111010111000001101001101 F -b101001110000101101111101010001 ) -#12032000 -0& -#12048000 -b10111100 , -#12064000 -1& -#12080000 -b10111110110110100100001110001 " -b10111110110110100100001110001 4 -b1000111110110111000100001110010 1 -b1000111110110111000100001110010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b10111110110110100100001110001 2 -b10111110110110100100001110001 = -b11001111110111111000101001111110 < -b10111110110110100100001110001 : -b1000111111110111011110111110011 $ -b1000111111110111011110111110011 - -b1000111111110111011110111110011 5 -b1000111111110111011110111110011 ? -b1000111111110111011110111110011 D -b11001111110111111000101001111110 % -b11001111110111111000101001111110 . -b11001111110111111000101001111110 6 -b11001111110111111000101001111110 @ -b11001111110111111000101001111110 F -b10111110110110100100001110001 ) -#12096000 -0& -#12112000 -b10111101 , -#12128000 -1& -#12144000 -b1010011110110111000000111001110 " -b1010011110110111000000111001110 4 -b1010011110110111000100000100000 1 -b1010011110110111000100000100000 C -b11111111111111100100101000000000 0 -b11111111111111100100101000000000 H -b1010011110110111000000111001110 2 -b1010011110110111000000111001110 = -b11010011110110111000100010100110 < -b1010011110110111000000111001110 : -b1111111111111111111100100101000 $ -b1111111111111111111100100101000 - -b1111111111111111111100100101000 5 -b1111111111111111111100100101000 ? -b1111111111111111111100100101000 D -b11010011110110111000100010100110 % -b11010011110110111000100010100110 . -b11010011110110111000100010100110 6 -b11010011110110111000100010100110 @ -b11010011110110111000100010100110 F -b1010011110110111000000111001110 ) -#12160000 -0& -#12176000 -b10111110 , -#12192000 -1& -#12208000 -b1101010101001001110111001001 " -b1101010101001001110111001001 4 -b1101010101010010011000000100 1 -b1101010101010010011000000100 C -b11110111011101100111000001010000 0 -b11110111011101100111000001010000 H -b1101010101001001110111001001 2 -b1101010101001001110111001001 = -b10011101110111010011011011000100 < -b1101010101001001110111001001 : -b1101111011101110110011100000101 $ -b1101111011101110110011100000101 - -b1101111011101110110011100000101 5 -b1101111011101110110011100000101 ? -b1101111011101110110011100000101 D -b10011101110111010011011011000100 % -b10011101110111010011011011000100 . -b10011101110111010011011011000100 6 -b10011101110111010011011011000100 @ -b10011101110111010011011011000100 F -b1101010101001001110111001001 ) -#12224000 -0& -#12240000 -b10111111 , -#12256000 -1& -#12272000 -b10010110111011010010001100000110 " -b10010110111011010010001100000110 4 -b10010110111011011000100000001001 1 -b10010110111011011000100000001001 C -b11111011000101011111101000000000 0 -b11111011000101011111101000000000 H -18 -b10010110111011010010001100000110 2 -b10010110111011010010001100000110 = -b11110111111011111001100000001001 < -b10010110111011010010001100000110 : -b10011110111111011000101011111101 $ -b10011110111111011000101011111101 - -b10011110111111011000101011111101 5 -b10011110111111011000101011111101 ? -b10011110111111011000101011111101 D -b11110111111011111001100000001001 % -b11110111111011111001100000001001 . -b11110111111011111001100000001001 6 -b11110111111011111001100000001001 @ -b11110111111011111001100000001001 F -b10010110111011010010001100000110 ) -#12288000 -0& -#12304000 -b11000000 , -#12320000 -1& -#12336000 -b1110110000110001101101101100111 " -b1110110000110001101101101100111 4 -b10111010000110001110110010001000 1 -b10111010000110001110110010001000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -19 -18 -b1110110000110001101101101100111 2 -b1110110000110001101101101100111 = -b10111010011111101110111011011100 < -b1110110000110001101101101100111 : -b10111011100110011110110010001011 $ -b10111011100110011110110010001011 - -b10111011100110011110110010001011 5 -b10111011100110011110110010001011 ? -b10111011100110011110110010001011 D -b10111010011111101110111011011100 % -b10111010011111101110111011011100 . -b10111010011111101110111011011100 6 -b10111010011111101110111011011100 @ -b10111010011111101110111011011100 F -b1110110000110001101101101100111 ) -#12352000 -0& -#12368000 -b11000001 , -#12384000 -1& -#12400000 -b101110011011010010101110010001 " -b101110011011010010101110010001 4 -b1001110011011010010101110100100 1 -b1001110011011010010101110100100 C -b11111101011101001000000000000000 0 -b11111101011101001000000000000000 H -08 -09 -b101110011011010010101110010001 2 -b101110011011010010101110010001 = -b1011111011111010011111111101101 < -b101110011011010010101110010001 : -b11001110111011111110101110100100 $ -b11001110111011111110101110100100 - -b11001110111011111110101110100100 5 -b11001110111011111110101110100100 ? -b11001110111011111110101110100100 D -b1011111011111010011111111101101 % -b1011111011111010011111111101101 . -b1011111011111010011111111101101 6 -b1011111011111010011111111101101 @ -b1011111011111010011111111101101 F -b101110011011010010101110010001 ) -#12416000 -0& -#12432000 -b11000010 , -#12448000 -1& -#12464000 -b1110111110011010001110111101110 " -b1110111110011010001110111101110 4 -b1110111110101010010000000000001 1 -b1110111110101010010000000000001 C -b11101111101010110111100111011010 0 -b11101111101010110111100111011010 H -b1110111110011010001110111101110 2 -b1110111110011010001110111101110 = -b1111111111101110110000100000001 < -b1110111110011010001110111101110 : -b11110111110101011011110011101101 $ -b11110111110101011011110011101101 - -b11110111110101011011110011101101 5 -b11110111110101011011110011101101 ? -b11110111110101011011110011101101 D -b1111111111101110110000100000001 % -b1111111111101110110000100000001 . -b1111111111101110110000100000001 6 -b1111111111101110110000100000001 @ -b1111111111101110110000100000001 F -b1110111110011010001110111101110 ) -#12480000 -0& -#12496000 -b11000011 , -#12512000 -1& -#12528000 -b11101101110101110100111010000001 " -b11101101110101110100111010000001 4 -b11101101110110011000000010000010 1 -b11101101110110011000000010000010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -18 -b11101101110101110100111010000001 2 -b11101101110101110100111010000001 = -b11111111110111011000100010111110 < -b11101101110101110100111010000001 : -b11101101111110011100010111000011 $ -b11101101111110011100010111000011 - -b11101101111110011100010111000011 5 -b11101101111110011100010111000011 ? -b11101101111110011100010111000011 D -b11111111110111011000100010111110 % -b11111111110111011000100010111110 . -b11111111110111011000100010111110 6 -b11111111110111011000100010111110 @ -b11111111110111011000100010111110 F -b11101101110101110100111010000001 ) -#12544000 -0& -#12560000 -b11000100 , -#12576000 -1& -#12592000 -b10110011100101111000111100001011 " -b10110011100101111000111100001011 4 -b10110011101001111001000000001100 1 -b10110011101001111001000000001100 C -b11111111010100111100000000000000 0 -b11111111010100111100000000000000 H -b10110011100101111000111100001011 2 -b10110011100101111000111100001011 = -b11111111101011111001010001101101 < -b10110011100101111000111100001011 : -b10110011111001111111101010011110 $ -b10110011111001111111101010011110 - -b10110011111001111111101010011110 5 -b10110011111001111111101010011110 ? -b10110011111001111111101010011110 D -b11111111101011111001010001101101 % -b11111111101011111001010001101101 . -b11111111101011111001010001101101 6 -b11111111101011111001010001101101 @ -b11111111101011111001010001101101 F -b10110011100101111000111100001011 ) -#12608000 -0& -#12624000 -b11000101 , -#12640000 -1& -#12656000 -b1101101010001111000010011100010 " -b1101101010001111000010011100010 4 -b1110001010001111001000000101001 1 -b1110001010001111001000000101001 C -b1010010000000000000000000000000 0 -b1010010000000000000000000000000 H -08 -b1101101010001111000010011100010 2 -b1101101010001111000010011100010 = -b1110011010011111011010010111001 < -b1101101010001111000010011100010 : -b11111001111101111101000000101001 $ -b11111001111101111101000000101001 - -b11111001111101111101000000101001 5 -b11111001111101111101000000101001 ? -b11111001111101111101000000101001 D -b1110011010011111011010010111001 % -b1110011010011111011010010111001 . -b1110011010011111011010010111001 6 -b1110011010011111011010010111001 @ -b1110011010011111011010010111001 F -b1101101010001111000010011100010 ) -#12672000 -0& -#12688000 -b11000110 , -#12704000 -1& -#12720000 -b1111101011100101100010101100001 " -b1111101011100101100010101100001 4 -b10101100110000010101100010 1 -b10101100110000010101100010 C -b11010101100111001010111111110000 0 -b11010101100111001010111111110000 H -b1111101011100101100010101100001 2 -b1111101011100101100010101100001 = -b1100010101111110010111101100011 < -b1111101011100101100010101100001 : -b11010101100111001010111111110 $ -b11010101100111001010111111110 - -b11010101100111001010111111110 5 -b11010101100111001010111111110 ? -b11010101100111001010111111110 D -b1100010101111110010111101100011 % -b1100010101111110010111101100011 . -b1100010101111110010111101100011 6 -b1100010101111110010111101100011 @ -b1100010101111110010111101100011 F -b1111101011100101100010101100001 ) -#12736000 -0& -#12752000 -b11000111 , -#12768000 -1& -#12784000 -b110011100111001001010010000110 " -b110011100111001001010010000110 4 -b1010101100111010100000100000111 1 -b1010101100111010100000100000111 C -b10101001101110111000000000000000 0 -b10101001101110111000000000000000 H -b110011100111001001010010000110 2 -b110011100111001001010010000110 = -b11010101100111010100000100001111 < -b110011100111001001010010000110 : -b1011101111111110101001101110111 $ -b1011101111111110101001101110111 - -b1011101111111110101001101110111 5 -b1011101111111110101001101110111 ? -b1011101111111110101001101110111 D -b11010101100111010100000100001111 % -b11010101100111010100000100001111 . -b11010101100111010100000100001111 6 -b11010101100111010100000100001111 @ -b11010101100111010100000100001111 F -b110011100111001001010010000110 ) -#12800000 -0& -#12816000 -b11001000 , -#12832000 -1& -#12848000 -b11100101101100110110110110110110 " -b11100101101100110110110110110110 4 -b1101001101101000000000000000111 1 -b1101001101101000000000000000111 C -b100100110100111000000000000000 0 -b100100110100111000000000000000 H -19 -08 -b11100101101100110110110110110110 2 -b11100101101100110110110110110110 = -b1111001111101110010010000001111 < -b11100101101100110110110110110110 : -b1101011101111000100100110100111 $ -b1101011101111000100100110100111 - -b1101011101111000100100110100111 5 -b1101011101111000100100110100111 ? -b1101011101111000100100110100111 D -b1111001111101110010010000001111 % -b1111001111101110010010000001111 . -b1111001111101110010010000001111 6 -b1111001111101110010010000001111 @ -b1111001111101110010010000001111 F -b11100101101100110110110110110110 ) -#12864000 -0& -#12880000 -b11001001 , -#12896000 -1& -#12912000 -b100101011011011011011010100000 " -b100101011011011011011010100000 4 -b101001011011100000011011000000 1 -b101001011011100000011011000000 C -b10101011111111110010011011100000 0 -b10101011111111110010011011100000 H -09 -08 -b100101011011011011011010100000 2 -b100101011011011011011010100000 = -b1111001011011101000111111000000 < -b100101011011011011011010100000 : -b10101011111111110010011011100000 $ -b10101011111111110010011011100000 - -b10101011111111110010011011100000 5 -b10101011111111110010011011100000 ? -b10101011111111110010011011100000 D -b1111001011011101000111111000000 % -b1111001011011101000111111000000 . -b1111001011011101000111111000000 6 -b1111001011011101000111111000000 @ -b1111001011011101000111111000000 F -b100101011011011011011010100000 ) -#12928000 -0& -#12944000 -b11001010 , -#12960000 -1& -#12976000 -b11101001111011101010101100001000 " -b11101001111011101010101100001000 4 -b1001111011101011000000010010 1 -b1001111011101011000000010010 C -b10101100100000000000000000000000 0 -b10101100100000000000000000000000 H -18 -b11101001111011101010101100001000 2 -b11101001111011101010101100001000 = -b1001001111111101111000001010110 < -b11101001111011101010101100001000 : -b10011111111011111011101010110010 $ -b10011111111011111011101010110010 - -b10011111111011111011101010110010 5 -b10011111111011111011101010110010 ? -b10011111111011111011101010110010 D -b1001001111111101111000001010110 % -b1001001111111101111000001010110 . -b1001001111111101111000001010110 6 -b1001001111111101111000001010110 @ -b1001001111111101111000001010110 F -b11101001111011101010101100001000 ) -#12992000 -0& -#13008000 -b11001011 , -#13024000 -1& -#13040000 -b11100000111111110001011111011000 " -b11100000111111110001011111011000 4 -b11100001000111110010000000001001 1 -b11100001000111110010000000001001 C -b11111011100110100110100000000000 0 -b11111011100110100110100000000000 H -b11100000111111110001011111011000 2 -b11100000111111110001011111011000 = -b11100011110111111010010010001011 < -b11100000111111110001011111011000 : -b11111101000111110111001101001101 $ -b11111101000111110111001101001101 - -b11111101000111110111001101001101 5 -b11111101000111110111001101001101 ? -b11111101000111110111001101001101 D -b11100011110111111010010010001011 % -b11100011110111111010010010001011 . -b11100011110111111010010010001011 6 -b11100011110111111010010010001011 @ -b11100011110111111010010010001011 F -b11100000111111110001011111011000 ) -#13056000 -0& -#13072000 -b11001100 , -#13088000 -1& -#13104000 -b10101001111011101110011011011110 " -b10101001111011101110011011011110 4 -b10110010000011110010100000100000 1 -b10110010000011110010100000100000 C -b11101110100011000000000000000000 0 -b11101110100011000000000000000000 H -b10101001111011101110011011011110 2 -b10101001111011101110011011011110 = -b11110110110111110010110010101110 < -b10101001111011101110011011011110 : -b10110011000011111011101000110000 $ -b10110011000011111011101000110000 - -b10110011000011111011101000110000 5 -b10110011000011111011101000110000 ? -b10110011000011111011101000110000 D -b11110110110111110010110010101110 % -b11110110110111110010110010101110 . -b11110110110111110010110010101110 6 -b11110110110111110010110010101110 @ -b11110110110111110010110010101110 F -b10101001111011101110011011011110 ) -#13120000 -0& -#13136000 -b11001101 , -#13152000 -1& -#13168000 -b1101111000101001011000011000010 " -b1101111000101001011000011000010 4 -b1101111000110010011001001000100 1 -b1101111000110010011001001000100 C -b1100110111000000000000000000000 0 -b1100110111000000000000000000000 H -08 -b1101111000101001011000011000010 2 -b1101111000101001011000011000010 = -b1101111000110010011101001010100 < -b1101111000101001011000011000010 : -b11111111111110110111011001101110 $ -b11111111111110110111011001101110 - -b11111111111110110111011001101110 5 -b11111111111110110111011001101110 ? -b11111111111110110111011001101110 D -b1101111000110010011101001010100 % -b1101111000110010011101001010100 . -b1101111000110010011101001010100 6 -b1101111000110010011101001010100 @ -b1101111000110010011101001010100 F -b1101111000101001011000011000010 ) -#13184000 -0& -#13200000 -b11001110 , -#13216000 -1& -#13232000 -b1100111011110101101100110001110 " -b1100111011110101101100110001110 4 -b1101001100000110010000110100000 1 -b1101001100000110010000110100000 C -b10000011101000111010011000000000 0 -b10000011101000111010011000000000 H -b1100111011110101101100110001110 2 -b1100111011110101101100110001110 = -b11111101111101110011010111101000 < -b1100111011110101101100110001110 : -b1101001100000111010001110100110 $ -b1101001100000111010001110100110 - -b1101001100000111010001110100110 5 -b1101001100000111010001110100110 ? -b1101001100000111010001110100110 D -b11111101111101110011010111101000 % -b11111101111101110011010111101000 . -b11111101111101110011010111101000 6 -b11111101111101110011010111101000 @ -b11111101111101110011010111101000 F -b1100111011110101101100110001110 ) -#13248000 -0& -#13264000 -b11001111 , -#13280000 -1& -#13296000 -b11111010011011110011000110101111 " -b11111010011011110011000110101111 4 -b1111010011011111001001000110000 1 -b1111010011011111001001000110000 C -b11111001111000000000000000000000 0 -b11111001111000000000000000000000 H -19 -08 -b11111010011011110011000110101111 2 -b11111010011011110011000110101111 = -b1111111111111111001001001110011 < -b11111010011011110011000110101111 : -b1111010011011111001111100111100 $ -b1111010011011111001111100111100 - -b1111010011011111001111100111100 5 -b1111010011011111001111100111100 ? -b1111010011011111001111100111100 D -b1111111111111111001001001110011 % -b1111111111111111001001001110011 . -b1111111111111111001001001110011 6 -b1111111111111111001001001110011 @ -b1111111111111111001001001110011 F -b11111010011011110011000110101111 ) -#13312000 -0& -#13328000 -b11010000 , -#13344000 -1& -#13360000 -b10110101000011110111110101010010 " -b10110101000011110111110101010010 4 -b10110101000011111000011000001001 1 -b10110101000011111000011000001001 C -b111111110011000001001000000000 0 -b111111110011000001001000000000 H -18 -09 -b10110101000011110111110101010010 2 -b10110101000011110111110101010010 = -b10110101111011111001011101001001 < -b10110101000011110111110101010010 : -b11111111000111111110011000001001 $ -b11111111000111111110011000001001 - -b11111111000111111110011000001001 5 -b11111111000111111110011000001001 ? -b11111111000111111110011000001001 D -b10110101111011111001011101001001 % -b10110101111011111001011101001001 . -b10110101111011111001011101001001 6 -b10110101111011111001011101001001 @ -b10110101111011111001011101001001 F -b10110101000011110111110101010010 ) -#13376000 -0& -#13392000 -b11010001 , -#13408000 -1& -#13424000 -b111100100111101010011000101100 " -b111100100111101010011000101100 4 -b1011100110011101100101000101110 1 -b1011100110011101100101000101110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b111100100111101010011000101100 2 -b111100100111101010011000101100 = -b11011111110011101100101101111110 < -b111100100111101010011000101100 : -b1011100110011111101101010101110 $ -b1011100110011111101101010101110 - -b1011100110011111101101010101110 5 -b1011100110011111101101010101110 ? -b1011100110011111101101010101110 D -b11011111110011101100101101111110 % -b11011111110011101100101101111110 . -b11011111110011101100101101111110 6 -b11011111110011101100101101111110 @ -b11011111110011101100101101111110 F -b111100100111101010011000101100 ) -#13440000 -0& -#13456000 -b11010010 , -#13472000 -1& -#13488000 -b1100010110100011011101100000110 " -b1100010110100011011101100000110 4 -b1100010110100011100110000100001 1 -b1100010110100011100110000100001 C -b11111111111111111101100101001010 0 -b11111111111111111101100101001010 H -b1100010110100011011101100000110 2 -b1100010110100011011101100000110 = -b1100010110100011100111001100001 < -b1100010110100011011101100000110 : -b11111111111111111110110010100101 $ -b11111111111111111110110010100101 - -b11111111111111111110110010100101 5 -b11111111111111111110110010100101 ? -b11111111111111111110110010100101 D -b1100010110100011100111001100001 % -b1100010110100011100111001100001 . -b1100010110100011100111001100001 6 -b1100010110100011100111001100001 @ -b1100010110100011100111001100001 F -b1100010110100011011101100000110 ) -#13504000 -0& -#13520000 -b11010011 , -#13536000 -1& -#13552000 -b11111011101110001010101110001110 " -b11111011101110001010101110001110 4 -b11101110010011010000100000 1 -b11101110010011010000100000 C -b1000111101110110011010100101110 0 -b1000111101110110011010100101110 H -18 -b11111011101110001010101110001110 2 -b11111011101110001010101110001110 = -b10110011111111010111011001100000 < -b11111011101110001010101110001110 : -b1000111101110110011010100101110 $ -b1000111101110110011010100101110 - -b1000111101110110011010100101110 5 -b1000111101110110011010100101110 ? -b1000111101110110011010100101110 D -b10110011111111010111011001100000 % -b10110011111111010111011001100000 . -b10110011111111010111011001100000 6 -b10110011111111010111011001100000 @ -b10110011111111010111011001100000 F -b11111011101110001010101110001110 ) -#13568000 -0& -#13584000 -b11010100 , -#13600000 -1& -#13616000 -b11011000101010110001000100001110 " -b11011000101010110001000100001110 4 -b11100000110010110010000100010000 1 -b11100000110010110010000100010000 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -b11011000101010110001000100001110 2 -b11011000101010110001000100001110 = -b11100101110111110010111101111010 < -b11011000101010110001000100001110 : -b11110010110010111110000110010100 $ -b11110010110010111110000110010100 - -b11110010110010111110000110010100 5 -b11110010110010111110000110010100 ? -b11110010110010111110000110010100 D -b11100101110111110010111101111010 % -b11100101110111110010111101111010 . -b11100101110111110010111101111010 6 -b11100101110111110010111101111010 @ -b11100101110111110010111101111010 F -b11011000101010110001000100001110 ) -#13632000 -0& -#13648000 -b11010101 , -#13664000 -1& -#13680000 -b1101010111111100110111100100110 " -b1101010111111100110111100100110 4 -b1110100011111110000000100110011 1 -b1110100011111110000000100110011 C -b1111100110000000000000000000 0 -b1111100110000000000000000000 H -08 -b1101010111111100110111100100110 2 -b1101010111111100110111100100110 = -b11110110011111110010110100110011 < -b1101010111111100110111100100110 : -b1110100011111110100000111110011 $ -b1110100011111110100000111110011 - -b1110100011111110100000111110011 5 -b1110100011111110100000111110011 ? -b1110100011111110100000111110011 D -b11110110011111110010110100110011 % -b11110110011111110010110100110011 . -b11110110011111110010110100110011 6 -b11110110011111110010110100110011 @ -b11110110011111110010110100110011 F -b1101010111111100110111100100110 ) -#13696000 -0& -#13712000 -b11010110 , -#13728000 -1& -#13744000 -b10111011100001011000110000011101 " -b10111011100001011000110000011101 4 -b111011100001100010000000100010 1 -b111011100001100010000000100010 C -b111000100000111100110000000000 0 -b111000100000111100110000000000 H -19 -08 -b10111011100001011000110000011101 2 -b10111011100001011000110000011101 = -b111011101101110110101100101010 < -b10111011100001011000110000011101 : -b1111111110011100010000011110011 $ -b1111111110011100010000011110011 - -b1111111110011100010000011110011 5 -b1111111110011100010000011110011 ? -b1111111110011100010000011110011 D -b111011101101110110101100101010 % -b111011101101110110101100101010 . -b111011101101110110101100101010 6 -b111011101101110110101100101010 @ -b111011101101110110101100101010 F -b10111011100001011000110000011101 ) -#13760000 -0& -#13776000 -b11010111 , -#13792000 -1& -#13808000 -b1100100011111000010000000110 " -b1100100011111000010000000110 4 -b1100100011111010000000001000 1 -b1100100011111010000000001000 C -b11101110000000000000000000000000 0 -b11101110000000000000000000000000 H -09 -08 -b1100100011111000010000000110 2 -b1100100011111000010000000110 = -b1101111101011111010000000011000 < -b1100100011111000010000000110 : -b10011100110111111110001111101110 $ -b10011100110111111110001111101110 - -b10011100110111111110001111101110 5 -b10011100110111111110001111101110 ? -b10011100110111111110001111101110 D -b1101111101011111010000000011000 % -b1101111101011111010000000011000 . -b1101111101011111010000000011000 6 -b1101111101011111010000000011000 @ -b1101111101011111010000000011000 F -b1100100011111000010000000110 ) -#13824000 -0& -#13840000 -b11011000 , -#13856000 -1& -#13872000 -b1101111110111000000001000011000 " -b1101111110111000000001000011000 4 -b1101111110111000000001000101001 1 -b1101111110111000000001000101001 C -b11111001011011011101111000000000 0 -b11111001011011011101111000000000 H -b1101111110111000000001000011000 2 -b1101111110111000000001000011000 = -b1111111110111110100101100101001 < -b1101111110111000000001000011000 : -b11101111111111001011011011101111 $ -b11101111111111001011011011101111 - -b11101111111111001011011011101111 5 -b11101111111111001011011011101111 ? -b11101111111111001011011011101111 D -b1111111110111110100101100101001 % -b1111111110111110100101100101001 . -b1111111110111110100101100101001 6 -b1111111110111110100101100101001 @ -b1111111110111110100101100101001 F -b1101111110111000000001000011000 ) -#13888000 -0& -#13904000 -b11011001 , -#13920000 -1& -#13936000 -b10001100010100101000001011000101 " -b10001100010100101000001011000101 4 -b10001100100100110000001011001000 1 -b10001100100100110000001011001000 C -b1100110111111111111100000000000 0 -b1100110111111111111100000000000 H -18 -b10001100010100101000001011000101 2 -b10001100010100101000001011000101 = -b11001101100111110000001011001001 < -b10001100010100101000001011000101 : -b10111110101100110111111111111100 $ -b10111110101100110111111111111100 - -b10111110101100110111111111111100 5 -b10111110101100110111111111111100 ? -b10111110101100110111111111111100 D -b11001101100111110000001011001001 % -b11001101100111110000001011001001 . -b11001101100111110000001011001001 6 -b11001101100111110000001011001001 @ -b11001101100111110000001011001001 F -b10001100010100101000001011000101 ) -#13952000 -0& -#13968000 -b11011010 , -#13984000 -1& -#14000000 -b1101111001000100101000101100111 " -b1101111001000100101000101100111 4 -b1101111001010010000000000010000 1 -b1101111001010010000000000010000 C -b1010100010000000000000000000000 0 -b1010100010000000000000000000000 H -08 -b1101111001000100101000101100111 2 -b1101111001000100101000101100111 = -b1101111001110010001000000010110 < -b1101111001000100101000101100111 : -b11111111111010010100000101010001 $ -b11111111111010010100000101010001 - -b11111111111010010100000101010001 5 -b11111111111010010100000101010001 ? -b11111111111010010100000101010001 D -b1101111001110010001000000010110 % -b1101111001110010001000000010110 . -b1101111001110010001000000010110 6 -b1101111001110010001000000010110 @ -b1101111001110010001000000010110 F -b1101111001000100101000101100111 ) -#14016000 -0& -#14032000 -b11011011 , -#14048000 -1& -#14064000 -b10101010101110110111011101110101 " -b10101010101110110111011101110101 4 -b101010101111000000000010000110 1 -b101010101111000000000010000110 C -b11111111000010000111011100000000 0 -b11111111000010000111011100000000 H -19 -08 -b10101010101110110111011101110101 2 -b10101010101110110111011101110101 = -b1111110101111010110011010000111 < -b10101010101110110111011101110101 : -b101011111111100001000011101110 $ -b101011111111100001000011101110 - -b101011111111100001000011101110 5 -b101011111111100001000011101110 ? -b101011111111100001000011101110 D -b1111110101111010110011010000111 % -b1111110101111010110011010000111 . -b1111110101111010110011010000111 6 -b1111110101111010110011010000111 @ -b1111110101111010110011010000111 F -b10101010101110110111011101110101 ) -#14080000 -0& -#14096000 -b11011100 , -#14112000 -1& -#14128000 -b111101100010001010000000101100 " -b111101100010001010000000101100 4 -b1011101100010010010000001000001 1 -b1011101100010010010000001000001 C -b11011001001010100000100000000000 0 -b11011001001010100000100000000000 H -09 -08 -b111101100010001010000000101100 2 -b111101100010001010000000101100 = -b11011101100011010111101011101011 < -b111101100010001010000000101100 : -b1011111111110110010010101000001 $ -b1011111111110110010010101000001 - -b1011111111110110010010101000001 5 -b1011111111110110010010101000001 ? -b1011111111110110010010101000001 D -b11011101100011010111101011101011 % -b11011101100011010111101011101011 . -b11011101100011010111101011101011 6 -b11011101100011010111101011101011 @ -b11011101100011010111101011101011 F -b111101100010001010000000101100 ) -#14144000 -0& -#14160000 -b11011101 , -#14176000 -1& -#14192000 -b11011111001111010011010111010100 " -b11011111001111010011010111010100 4 -b11011111001111010011100000101010 1 -b11011111001111010011100000101010 C -b11110111111101001010100000000000 0 -b11110111111101001010100000000000 H -18 -b11011111001111010011010111010100 2 -b11011111001111010011010111010100 = -b11111111101111110011100010101010 < -b11011111001111010011010111010100 : -b11011111011111011111110100101010 $ -b11011111011111011111110100101010 - -b11011111011111011111110100101010 5 -b11011111011111011111110100101010 ? -b11011111011111011111110100101010 D -b11111111101111110011100010101010 % -b11111111101111110011100010101010 . -b11111111101111110011100010101010 6 -b11111111101111110011100010101010 @ -b11111111101111110011100010101010 F -b11011111001111010011010111010100 ) -#14208000 -0& -#14224000 -b11011110 , -#14240000 -1& -#14256000 -b101001110101100101000010000111 " -b101001110101100101000010000111 4 -b101001111000110000000010010000 1 -b101001111000110000000010010000 C -b11101101001100000000000000000000 0 -b11101101001100000000000000000000 H -08 -b101001110101100101000010000111 2 -b101001110101100101000010000111 = -b11111111111000110000000110110100 < -b101001110101100101000010000111 : -b101001111100110100111011010011 $ -b101001111100110100111011010011 - -b101001111100110100111011010011 5 -b101001111100110100111011010011 ? -b101001111100110100111011010011 D -b11111111111000110000000110110100 % -b11111111111000110000000110110100 . -b11111111111000110000000110110100 6 -b11111111111000110000000110110100 @ -b11111111111000110000000110110100 F -b101001110101100101000010000111 ) -#14272000 -0& -#14288000 -b11011111 , -#14304000 -1& -#14320000 -b10111100111101101011001010000010 " -b10111100111101101011001010000010 4 -b10111100111101110000001100001000 1 -b10111100111101110000001100001000 C -b11111110001111001110000000000000 0 -b11111110001111001110000000000000 H -18 -b10111100111101101011001010000010 2 -b10111100111101101011001010000010 = -b10111110111101110010001101001010 < -b10111100111101101011001010000010 : -b11111101111111111000111100111000 $ -b11111101111111111000111100111000 - -b11111101111111111000111100111000 5 -b11111101111111111000111100111000 ? -b11111101111111111000111100111000 D -b10111110111101110010001101001010 % -b10111110111101110010001101001010 . -b10111110111101110010001101001010 6 -b10111110111101110010001101001010 @ -b10111110111101110010001101001010 F -b10111100111101101011001010000010 ) -#14336000 -0& -#14352000 -b11100000 , -#14368000 -1& -#14384000 -b11101111000110011100110010100010 " -b11101111000110011100110010100010 4 -b11101111000110100000000010101000 1 -b11101111000110100000000010101000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b11101111000110011100110010100010 2 -b11101111000110011100110010100010 = -b11111111011110110000001111111010 < -b11101111000110011100110010100010 : -b11101111100111101100100010101000 $ -b11101111100111101100100010101000 - -b11101111100111101100100010101000 5 -b11101111100111101100100010101000 ? -b11101111100111101100100010101000 D -b11111111011110110000001111111010 % -b11111111011110110000001111111010 . -b11111111011110110000001111111010 6 -b11111111011110110000001111111010 @ -b11111111011110110000001111111010 F -b11101111000110011100110010100010 ) -#14400000 -0& -#14416000 -b11100001 , -#14432000 -1& -#14448000 -b10101111101111001100001110000011 " -b10101111101111001100001110000011 4 -b110011101111010001000000000100 1 -b110011101111010001000000000100 C -b0 0 -b0 H -08 -19 -b10101111101111001100001110000011 2 -b10101111101111001100001110000011 = -b111011101111010001001000111111 < -b10101111101111001100001110000011 : -b1110011111111111011000101000100 $ -b1110011111111111011000101000100 - -b1110011111111111011000101000100 5 -b1110011111111111011000101000100 ? -b1110011111111111011000101000100 D -b111011101111010001001000111111 % -b111011101111010001001000111111 . -b111011101111010001001000111111 6 -b111011101111010001001000111111 @ -b111011101111010001001000111111 F -b10101111101111001100001110000011 ) -#14464000 -0& -#14480000 -b11100010 , -#14496000 -1& -#14512000 -b1100101111010101101110001101100 " -b1100101111010101101110001101100 4 -b1101001111011010010010001110010 1 -b1101001111011010010010001110010 C -b11011101111010000000000000000000 0 -b11011101111010000000000000000000 H -09 -08 -b1100101111010101101110001101100 2 -b1100101111010101101110001101100 = -b11111001111011010010010011110010 < -b1100101111010101101110001101100 : -b1101011111111011011011101111010 $ -b1101011111111011011011101111010 - -b1101011111111011011011101111010 5 -b1101011111111011011011101111010 ? -b1101011111111011011011101111010 D -b11111001111011010010010011110010 % -b11111001111011010010010011110010 . -b11111001111011010010010011110010 6 -b11111001111011010010010011110010 @ -b11111001111011010010010011110010 F -b1100101111010101101110001101100 ) -#14528000 -0& -#14544000 -b11100011 , -#14560000 -1& -#14576000 -b111011010001000100000101011011 " -b111011010001000100000101011011 4 -b1001011010001000100001010000100 1 -b1001011010001000100001010000100 C -b11101111011111110110101000000000 0 -b11101111011111110110101000000000 H -b111011010001000100000101011011 2 -b111011010001000100000101011011 = -b1001011011001010100001010000111 < -b111011010001000100000101011011 : -b11101111110111101111111011010100 $ -b11101111110111101111111011010100 - -b11101111110111101111111011010100 5 -b11101111110111101111111011010100 ? -b11101111110111101111111011010100 D -b1001011011001010100001010000111 % -b1001011011001010100001010000111 . -b1001011011001010100001010000111 6 -b1001011011001010100001010000111 @ -b1001011011001010100001010000111 F -b111011010001000100000101011011 ) -#14592000 -0& -#14608000 -b11100100 , -#14624000 -1& -#14640000 -b11110010111100110001100111110100 " -b11110010111100110001100111110100 4 -b11110010111100110100010001111000 1 -b11110010111100110100010001111000 C -b1111100000000000000000000000000 0 -b1111100000000000000000000000000 H -18 -b11110010111100110001100111110100 2 -b11110010111100110001100111110100 = -b11110111111100111101010001111000 < -b11110010111100110001100111110100 : -b11111010111111110100010101111100 $ -b11111010111111110100010101111100 - -b11111010111111110100010101111100 5 -b11111010111111110100010101111100 ? -b11111010111111110100010101111100 D -b11110111111100111101010001111000 % -b11110111111100111101010001111000 . -b11110111111100111101010001111000 6 -b11110111111100111101010001111000 @ -b11110111111100111101010001111000 F -b11110010111100110001100111110100 ) -#14656000 -0& -#14672000 -b11100101 , -#14688000 -1& -#14704000 -b10110111011010000000100000100110 " -b10110111011010000000100000100110 4 -b110111101100000010000010001001 1 -b110111101100000010000010001001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -19 -b10110111011010000000100000100110 2 -b10110111011010000000100000100110 = -b1110111101101000110001110011101 < -b10110111011010000000100000100110 : -b111111101100111010010010001001 $ -b111111101100111010010010001001 - -b111111101100111010010010001001 5 -b111111101100111010010010001001 ? -b111111101100111010010010001001 D -b1110111101101000110001110011101 % -b1110111101101000110001110011101 . -b1110111101101000110001110011101 6 -b1110111101101000110001110011101 @ -b1110111101101000110001110011101 F -b10110111011010000000100000100110 ) -#14720000 -0& -#14736000 -b11100110 , -#14752000 -1& -#14768000 -b1111101001001011001110010101010 " -b1111101001001011001110010101010 4 -b1111101001010100000000000001011 1 -b1111101001010100000000000001011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b1111101001001011001110010101010 2 -b1111101001001011001110010101010 = -b1111101011010110000100000011111 < -b1111101001001011001110010101010 : -b11111111101110101001010010001011 $ -b11111111101110101001010010001011 - -b11111111101110101001010010001011 5 -b11111111101110101001010010001011 ? -b11111111101110101001010010001011 D -b1111101011010110000100000011111 % -b1111101011010110000100000011111 . -b1111101011010110000100000011111 6 -b1111101011010110000100000011111 @ -b1111101011010110000100000011111 F -b1111101001001011001110010101010 ) -#14784000 -0& -#14800000 -b11100111 , -#14816000 -1& -#14832000 -b1010011111111100101100101111010 " -b1010011111111100101100101111010 4 -b1010011111111110001100110001101 1 -b1010011111111110001100110001101 C -b11100111001100011010000000000000 0 -b11100111001100011010000000000000 H -b1010011111111100101100101111010 2 -b1010011111111100101100101111010 = -b11010111111111110001111111101101 < -b1010011111111100101100101111010 : -b1111011111111110011100110001101 $ -b1111011111111110011100110001101 - -b1111011111111110011100110001101 5 -b1111011111111110011100110001101 ? -b1111011111111110011100110001101 D -b11010111111111110001111111101101 % -b11010111111111110001111111101101 . -b11010111111111110001111111101101 6 -b11010111111111110001111111101101 @ -b11010111111111110001111111101101 F -b1010011111111100101100101111010 ) -#14848000 -0& -#14864000 -b11101000 , -#14880000 -1& -#14896000 -b1011111110011000110010001011000 " -b1011111110011000110010001011000 4 -b1100011110011100010010010011010 1 -b1100011110011100010010010011010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1011111110011000110010001011000 2 -b1011111110011000110010001011000 = -b11111011110011100011010110111110 < -b1011111110011000110010001011000 : -b1100011111111100010111010011010 $ -b1100011111111100010111010011010 - -b1100011111111100010111010011010 5 -b1100011111111100010111010011010 ? -b1100011111111100010111010011010 D -b11111011110011100011010110111110 % -b11111011110011100011010110111110 . -b11111011110011100011010110111110 6 -b11111011110011100011010110111110 @ -b11111011110011100011010110111110 F -b1011111110011000110010001011000 ) -#14912000 -0& -#14928000 -b11101001 , -#14944000 -1& -#14960000 -b11111011011011000000111111001 " -b11111011011011000000111111001 4 -b100111011011011000001000000010 1 -b100111011011011000001000000010 C -b111111011111100011000110110000 0 -b111111011111100011000110110000 H -b11111011011011000000111111001 2 -b11111011011011000000111111001 = -b110111011111011011101111000011 < -b11111011011011000000111111001 : -b11100111111011111100011000110110 $ -b11100111111011111100011000110110 - -b11100111111011111100011000110110 5 -b11100111111011111100011000110110 ? -b11100111111011111100011000110110 D -b110111011111011011101111000011 % -b110111011111011011101111000011 . -b110111011111011011101111000011 6 -b110111011111011011101111000011 @ -b110111011111011011101111000011 F -b11111011011011000000111111001 ) -#14976000 -0& -#14992000 -b11101010 , -#15008000 -1& -#15024000 -b11111011000111110110011111111011 " -b11111011000111110110011111111011 4 -b11011000111111000000000000000 1 -b11011000111111000000000000000 C -b1111111000000100100010000000000 0 -b1111111000000100100010000000000 H -18 -b11111011000111110110011111111011 2 -b11111011000111110110011111111011 = -b10011011111111111010011101101010 < -b11111011000111110110011111111011 : -b1011111000111111100000010010001 $ -b1011111000111111100000010010001 - -b1011111000111111100000010010001 5 -b1011111000111111100000010010001 ? -b1011111000111111100000010010001 D -b10011011111111111010011101101010 % -b10011011111111111010011101101010 . -b10011011111111111010011101101010 6 -b10011011111111111010011101101010 @ -b10011011111111111010011101101010 F -b11111011000111110110011111111011 ) -#15040000 -0& -#15056000 -b11101011 , -#15072000 -1& -#15088000 -b10111000101110111110111011001001 " -b10111000101110111110111011001001 4 -b111000110111000000000100010010 1 -b111000110111000000000100010010 C -b10100110010111000000000000000000 0 -b10100110010111000000000000000000 H -08 -19 -b10111000101110111110111011001001 2 -b10111000101110111110111011001001 = -b1111000110111000100010100110010 < -b10111000101110111110111011001001 : -b111111110111111010100110010111 $ -b111111110111111010100110010111 - -b111111110111111010100110010111 5 -b111111110111111010100110010111 ? -b111111110111111010100110010111 D -b1111000110111000100010100110010 % -b1111000110111000100010100110010 . -b1111000110111000100010100110010 6 -b1111000110111000100010100110010 @ -b1111000110111000100010100110010 F -b10111000101110111110111011001001 ) -#15104000 -0& -#15120000 -b11101100 , -#15136000 -1& -#15152000 -b110111001010011011110100101000 " -b110111001010011011110100101000 4 -b110111001010100100000010000001 1 -b110111001010100100000010000001 C -b11110111111010010001010001100000 0 -b11110111111010010001010001100000 H -09 -08 -b110111001010011011110100101000 2 -b110111001010011011110100101000 = -b11111111011010100111010010000101 < -b110111001010011011110100101000 : -b110111101111110100100010100011 $ -b110111101111110100100010100011 - -b110111101111110100100010100011 5 -b110111101111110100100010100011 ? -b110111101111110100100010100011 D -b11111111011010100111010010000101 % -b11111111011010100111010010000101 . -b11111111011010100111010010000101 6 -b11111111011010100111010010000101 @ -b11111111011010100111010010000101 F -b110111001010011011110100101000 ) -#15168000 -0& -#15184000 -b11101101 , -#15200000 -1& -#15216000 -b10110100101010001111011010110111 " -b10110100101010001111011010110111 4 -b10110100101010010000101100011000 1 -b10110100101010010000101100011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b10110100101010001111011010110111 2 -b10110100101010001111011010110111 = -b10111100111010011010101110011101 < -b10110100101010001111011010110111 : -b11110111101111110100101100011010 $ -b11110111101111110100101100011010 - -b11110111101111110100101100011010 5 -b11110111101111110100101100011010 ? -b11110111101111110100101100011010 D -b10111100111010011010101110011101 % -b10111100111010011010101110011101 . -b10111100111010011010101110011101 6 -b10111100111010011010101110011101 @ -b10111100111010011010101110011101 F -b10110100101010001111011010110111 ) -#15232000 -0& -#15248000 -b11101110 , -#15264000 -1& -#15280000 -b1011101101111101101001001001001 " -b1011101101111101101001001001001 4 -b1101110010111110001001010100010 1 -b1101110010111110001001010100010 C -b10111101011111001111101010011100 0 -b10111101011111001111101010011100 H -08 -b1011101101111101101001001001001 2 -b1011101101111101101001001001001 = -b1101110010111111001001110100010 < -b1011101101111101101001001001001 : -b11101111010111110011111010100111 $ -b11101111010111110011111010100111 - -b11101111010111110011111010100111 5 -b11101111010111110011111010100111 ? -b11101111010111110011111010100111 D -b1101110010111111001001110100010 % -b1101110010111111001001110100010 . -b1101110010111111001001110100010 6 -b1101110010111111001001110100010 @ -b1101110010111111001001110100010 F -b1011101101111101101001001001001 ) -#15296000 -0& -#15312000 -b11101111 , -#15328000 -1& -#15344000 -b10111110000001011000011111010101 " -b10111110000001011000011111010101 4 -b10111110000001100000000000000110 1 -b10111110000001100000000000000110 C -b11000011111000110000000000000000 0 -b11000011111000110000000000000000 H -18 -b10111110000001011000011111010101 2 -b10111110000001011000011111010101 = -b11111111000001100000000000001111 < -b10111110000001011000011111010101 : -b10111110111111111000011111000110 $ -b10111110111111111000011111000110 - -b10111110111111111000011111000110 5 -b10111110111111111000011111000110 ? -b10111110111111111000011111000110 D -b11111111000001100000000000001111 % -b11111111000001100000000000001111 . -b11111111000001100000000000001111 6 -b11111111000001100000000000001111 @ -b11111111000001100000000000001111 F -b10111110000001011000011111010101 ) -#15360000 -0& -#15376000 -b11110000 , -#15392000 -1& -#15408000 -b1101110101111011000110110111110 " -b1101110101111011000110110111110 4 -b1110110110111100001000001000000 1 -b1110110110111100001000001000000 C -b10010110101000000000000000000000 0 -b10010110101000000000000000000000 H -08 -b1101110101111011000110110111110 2 -b1101110101111011000110110111110 = -b1110111110111100001010001010100 < -b1101110101111011000110110111110 : -b11110110110111110111100101101010 $ -b11110110110111110111100101101010 - -b11110110110111110111100101101010 5 -b11110110110111110111100101101010 ? -b11110110110111110111100101101010 D -b1110111110111100001010001010100 % -b1110111110111100001010001010100 . -b1110111110111100001010001010100 6 -b1110111110111100001010001010100 @ -b1110111110111100001010001010100 F -b1101110101111011000110110111110 ) -#15424000 -0& -#15440000 -b11110001 , -#15456000 -1& -#15472000 -b10001101001111010110001000100010 " -b10001101001111010110001000100010 4 -b10001101001111010110010001000000 1 -b10001101001111010110010001000000 C -b11011101101111011110110101000010 0 -b11011101101111011110110101000010 H -18 -b10001101001111010110001000100010 2 -b10001101001111010110001000100010 = -b10101111011111110111010011100000 < -b10001101001111010110001000100010 : -b11011101101111011110110101000010 $ -b11011101101111011110110101000010 - -b11011101101111011110110101000010 5 -b11011101101111011110110101000010 ? -b11011101101111011110110101000010 D -b10101111011111110111010011100000 % -b10101111011111110111010011100000 . -b10101111011111110111010011100000 6 -b10101111011111110111010011100000 @ -b10101111011111110111010011100000 F -b10001101001111010110001000100010 ) -#15488000 -0& -#15504000 -b11110010 , -#15520000 -1& -#15536000 -b11001100111011110110011000110011 " -b11001100111011110110011000110011 4 -b1101000011110110011000110100 1 -b1101000011110110011000110100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -18 -09 -b11001100111011110110011000110011 2 -b11001100111011110110011000110011 = -b10001101100011111110111110111100 < -b11001100111011110110011000110011 : -b111111010111110111011001110111 $ -b111111010111110111011001110111 - -b111111010111110111011001110111 5 -b111111010111110111011001110111 ? -b111111010111110111011001110111 D -b10001101100011111110111110111100 % -b10001101100011111110111110111100 . -b10001101100011111110111110111100 6 -b10001101100011111110111110111100 @ -b10001101100011111110111110111100 F -b11001100111011110110011000110011 ) -#15552000 -0& -#15568000 -b11110011 , -#15584000 -1& -#15600000 -b10011010011101011101000010110001 " -b10011010011101011101000010110001 4 -b100010011101100001000100001000 1 -b100010011101100001000100001000 C -b11111101001010100101000000000000 0 -b11111101001010100101000000000000 H -08 -19 -b10011010011101011101000010110001 2 -b10011010011101011101000010110001 = -b1110111011101110011101110001001 < -b10011010011101011101000010110001 : -b100010111111101001010100101000 $ -b100010111111101001010100101000 - -b100010111111101001010100101000 5 -b100010111111101001010100101000 ? -b100010111111101001010100101000 D -b1110111011101110011101110001001 % -b1110111011101110011101110001001 . -b1110111011101110011101110001001 6 -b1110111011101110011101110001001 @ -b1110111011101110011101110001001 F -b10011010011101011101000010110001 ) -#15616000 -0& -#15632000 -b11110100 , -#15648000 -1& -#15664000 -b10010111101100000100110100011110 " -b10010111101100000100110100011110 4 -b10011011101100010010000110000000 1 -b10011011101100010010000110000000 C -b11110010101110010010000000000000 0 -b11110010101110010010000000000000 H -18 -09 -b10010111101100000100110100011110 2 -b10010111101100000100110100011110 = -b10111011101100010010000110001100 < -b10010111101100000100110100011110 : -b11011011111111110010101110010010 $ -b11011011111111110010101110010010 - -b11011011111111110010101110010010 5 -b11011011111111110010101110010010 ? -b11011011111111110010101110010010 D -b10111011101100010010000110001100 % -b10111011101100010010000110001100 . -b10111011101100010010000110001100 6 -b10111011101100010010000110001100 @ -b10111011101100010010000110001100 F -b10010111101100000100110100011110 ) -#15680000 -0& -#15696000 -b11110101 , -#15712000 -1& -#15728000 -b11000101011000100010000011111 " -b11000101011000100010000011111 4 -b101000101011000100010100000000 1 -b101000101011000100010100000000 C -b111000000000000000000000000 0 -b111000000000000000000000000 H -08 -b11000101011000100010000011111 2 -b11000101011000100010000011111 = -b101110111011100100011100011000 < -b11000101011000100010000011111 : -b11101001101111011111110100000111 $ -b11101001101111011111110100000111 - -b11101001101111011111110100000111 5 -b11101001101111011111110100000111 ? -b11101001101111011111110100000111 D -b101110111011100100011100011000 % -b101110111011100100011100011000 . -b101110111011100100011100011000 6 -b101110111011100100011100011000 @ -b101110111011100100011100011000 F -b11000101011000100010000011111 ) -#15744000 -0& -#15760000 -b11110110 , -#15776000 -1& -#15792000 -b10110101101011101011000000011 " -b10110101101011101011000000011 4 -b10110101110100010001000000100 1 -b10110101110100010001000000100 C -b1010110010011101100000000000000 0 -b1010110010011101100000000000000 H -b10110101101011101011000000011 2 -b10110101101011101011000000011 = -b1110111111110110010001110001101 < -b10110101101011101011000000011 : -b10011110101110101011001001110110 $ -b10011110101110101011001001110110 - -b10011110101110101011001001110110 5 -b10011110101110101011001001110110 ? -b10011110101110101011001001110110 D -b1110111111110110010001110001101 % -b1110111111110110010001110001101 . -b1110111111110110010001110001101 6 -b1110111111110110010001110001101 @ -b1110111111110110010001110001101 F -b10110101101011101011000000011 ) -#15808000 -0& -#15824000 -b11110111 , -#15840000 -1& -#15856000 -b110001011111000001000100111000 " -b110001011111000001000100111000 4 -b110001011111000001000110001001 1 -b110001011111000001000110001001 C -b11111001001110110101111000000000 0 -b11111001001110110101111000000000 H -b110001011111000001000100111000 2 -b110001011111000001000100111000 = -b10111001011111110111001110001001 < -b110001011111000001000100111000 : -b1110111111111001001110110101111 $ -b1110111111111001001110110101111 - -b1110111111111001001110110101111 5 -b1110111111111001001110110101111 ? -b1110111111111001001110110101111 D -b10111001011111110111001110001001 % -b10111001011111110111001110001001 . -b10111001011111110111001110001001 6 -b10111001011111110111001110001001 @ -b10111001011111110111001110001001 F -b110001011111000001000100111000 ) -#15872000 -0& -#15888000 -b11111000 , -#15904000 -1& -#15920000 -b1101110100111110000111111000011 " -b1101110100111110000111111000011 4 -b1110110100111110010000000000100 1 -b1110110100111110010000000000100 C -b10110010111100000000000000000000 0 -b10110010111100000000000000000000 H -b1101110100111110000111111000011 2 -b1101110100111110000111111000011 = -b11110111110111111010010010010100 < -b1101110100111110000111111000011 : -b1110110101111110110101100101111 $ -b1110110101111110110101100101111 - -b1110110101111110110101100101111 5 -b1110110101111110110101100101111 ? -b1110110101111110110101100101111 D -b11110111110111111010010010010100 % -b11110111110111111010010010010100 . -b11110111110111111010010010010100 6 -b11110111110111111010010010010100 @ -b11110111110111111010010010010100 F -b1101110100111110000111111000011 ) -#15936000 -0& -#15952000 -b11111001 , -#15968000 -1& -#15984000 -b1111011011110111110111010100 " -b1111011011110111110111010100 4 -b100111011011111000011000000001 1 -b100111011011111000011000000001 C -b1001111110111110100111000100110 0 -b1001111110111110100111000100110 H -b1111011011110111110111010100 2 -b1111011011110111110111010100 = -b11100111011111111101011011000001 < -b1111011011110111110111010100 : -b100111111011111010011100010011 $ -b100111111011111010011100010011 - -b100111111011111010011100010011 5 -b100111111011111010011100010011 ? -b100111111011111010011100010011 D -b11100111011111111101011011000001 % -b11100111011111111101011011000001 . -b11100111011111111101011011000001 6 -b11100111011111111101011011000001 @ -b11100111011111111101011011000001 F -b1111011011110111110111010100 ) -#16000000 -0& -#16016000 -b11111010 , -#16032000 -1& -#16048000 -b11010111010011000100100011010 " -b11010111010011000100100011010 4 -b11010111011000000100110001011 1 -b11010111011000000100110001011 C -b11101010011011000111100000000000 0 -b11101010011011000111100000000000 H -b11010111010011000100100011010 2 -b11010111010011000100100011010 = -b11111110111011000011101110001011 < -b11010111010011000100100011010 : -b11011111111010100110110001111 $ -b11011111111010100110110001111 - -b11011111111010100110110001111 5 -b11011111111010100110110001111 ? -b11011111111010100110110001111 D -b11111110111011000011101110001011 % -b11111110111011000011101110001011 . -b11111110111011000011101110001011 6 -b11111110111011000011101110001011 @ -b11111110111011000011101110001011 F -b11010111010011000100100011010 ) -#16064000 -0& -#16080000 -b11111011 , -#16096000 -1& -#16112000 -b1110011001110111100010111001011 " -b1110011001110111100010111001011 4 -b1111001001110111101000000010100 1 -b1111001001110111101000000010100 C -b100101010000000000000000000000 0 -b100101010000000000000000000000 H -b1110011001110111100010111001011 2 -b1110011001110111100010111001011 = -b11111001001110111111000100110110 < -b1110011001110111100010111001011 : -b1111001111111111101010010010101 $ -b1111001111111111101010010010101 - -b1111001111111111101010010010101 5 -b1111001111111111101010010010101 ? -b1111001111111111101010010010101 D -b11111001001110111111000100110110 % -b11111001001110111111000100110110 . -b11111001001110111111000100110110 6 -b11111001001110111111000100110110 @ -b11111001001110111111000100110110 F -b1110011001110111100010111001011 ) -#16128000 -0& -#16144000 -b11111100 , -#16160000 -1& -#16176000 -b1110011000110101100100001100100 " -b1110011000110101100100001100100 4 -b1110011010000010000000100100101 1 -b1110011010000010000000100100101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1110011000110101100100001100100 2 -b1110011000110101100100001100100 = -b11111011010100011000001100111111 < -b1110011000110101100100001100100 : -b1110111110010010100010100100101 $ -b1110111110010010100010100100101 - -b1110111110010010100010100100101 5 -b1110111110010010100010100100101 ? -b1110111110010010100010100100101 D -b11111011010100011000001100111111 % -b11111011010100011000001100111111 . -b11111011010100011000001100111111 6 -b11111011010100011000001100111111 @ -b11111011010100011000001100111111 F -b1110011000110101100100001100100 ) -#16192000 -0& -#16208000 -b11111101 , -#16224000 -1& -#16240000 -b11110101100011101010010000001100 " -b11110101100011101010010000001100 4 -b11110110000011101010010000010000 1 -b11110110000011101010010000010000 C -b10100000000000000000000000000 0 -b10100000000000000000000000000 H -18 -b11110101100011101010010000001100 2 -b11110101100011101010010000001100 = -b11110110001111101010110111111000 < -b11110101100011101010010000001100 : -b11111111010011111111011000010100 $ -b11111111010011111111011000010100 - -b11111111010011111111011000010100 5 -b11111111010011111111011000010100 ? -b11111111010011111111011000010100 D -b11110110001111101010110111111000 % -b11110110001111101010110111111000 . -b11110110001111101010110111111000 6 -b11110110001111101010110111111000 @ -b11110110001111101010110111111000 F -b11110101100011101010010000001100 ) -#16256000 -0& -#16272000 -b11111110 , -#16288000 -1& -#16304000 -b10011101011010100110111010011110 " -b10011101011010100110111010011110 4 -b101011010110000000001000001 1 -b101011010110000000001000001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b10011101011010100110111010011110 2 -b10011101011010100110111010011110 = -b101011011110110110001011101 < -b10011101011010100110111010011110 : -b10010111111110110000001001000001 $ -b10010111111110110000001001000001 - -b10010111111110110000001001000001 5 -b10010111111110110000001001000001 ? -b10010111111110110000001001000001 D -b101011011110110110001011101 % -b101011011110110110001011101 . -b101011011110110110001011101 6 -b101011011110110110001011101 @ -b101011011110110110001011101 F -b10011101011010100110111010011110 ) -#16320000 -0& -#16336000 -b11111111 , -#16352000 -1& -#16368000 -b1001011011010101000111000110001 " -b1001011011010101000111000110001 4 -b1001101011100101001000000110010 1 -b1001101011100101001000000110010 C -b1111110110000000000000000000000 0 -b1111110110000000000000000000000 H -08 -b1001011011010101000111000110001 2 -b1001011011010101000111000110001 = -b11111101011101101101000000110110 < -b1001011011010101000111000110001 : -b1001101111100111011110111111011 $ -b1001101111100111011110111111011 - -b1001101111100111011110111111011 5 -b1001101111100111011110111111011 ? -b1001101111100111011110111111011 D -b11111101011101101101000000110110 % -b11111101011101101101000000110110 . -b11111101011101101101000000110110 6 -b11111101011101101101000000110110 @ -b11111101011101101101000000110110 F -b1001011011010101000111000110001 ) -#16384000 -0& -#16400000 -b100000000 , -#16416000 -1& -#16432000 -b1110001101100011000111111000000 " -b1110001101100011000111111000000 4 -b1110001101100011010000001000010 1 -b1110001101100011010000001000010 C -b10010010100000000000000000000000 0 -b10010010100000000000000000000000 H -b1110001101100011000111111000000 2 -b1110001101100011000111111000000 = -b1111011101111111010000101110110 < -b1110001101100011000111111000000 : -b11110101111100011110111001001010 $ -b11110101111100011110111001001010 - -b11110101111100011110111001001010 5 -b11110101111100011110111001001010 ? -b11110101111100011110111001001010 D -b1111011101111111010000101110110 % -b1111011101111111010000101110110 . -b1111011101111111010000101110110 6 -b1111011101111111010000101110110 @ -b1111011101111111010000101110110 F -b1110001101100011000111111000000 ) -#16448000 -0& -#16464000 -b100000001 , -#16480000 -1& -#16496000 -b1100111101110011001011011010000 " -b1100111101110011001011011010000 4 -b1100111101110011010100000000100 1 -b1100111101110011010100000000100 C -b11111011111110101100110011000000 0 -b11111011111110101100110011000000 H -b1100111101110011001011011010000 2 -b1100111101110011001011011010000 = -b11110111111110011110101000000100 < -b1100111101110011001011011010000 : -b1101111101111111010110011001100 $ -b1101111101111111010110011001100 - -b1101111101111111010110011001100 5 -b1101111101111111010110011001100 ? -b1101111101111111010110011001100 D -b11110111111110011110101000000100 % -b11110111111110011110101000000100 . -b11110111111110011110101000000100 6 -b11110111111110011110101000000100 @ -b11110111111110011110101000000100 F -b1100111101110011001011011010000 ) -#16512000 -0& -#16528000 -b100000010 , -#16544000 -1& -#16560000 -b11111101111011011011000000101000 " -b11111101111011011011000000101000 4 -b11111101111011011100000000101010 1 -b11111101111011011100000000101010 C -b10111000000000000000000000000000 0 -b10111000000000000000000000000000 H -18 -b11111101111011011011000000101000 2 -b11111101111011011011000000101000 = -b11111101111011011110110100111010 < -b11111101111011011011000000101000 : -b11111111111111111100001011101110 $ -b11111111111111111100001011101110 - -b11111111111111111100001011101110 5 -b11111111111111111100001011101110 ? -b11111111111111111100001011101110 D -b11111101111011011110110100111010 % -b11111101111011011110110100111010 . -b11111101111011011110110100111010 6 -b11111101111011011110110100111010 @ -b11111101111011011110110100111010 F -b11111101111011011011000000101000 ) -#16576000 -0& -#16592000 -b100000011 , -#16608000 -1& -#16624000 -b1011100100011110101101111000111 " -b1011100100011110101101111000111 4 -b1011100100100010101101111001000 1 -b1011100100100010101101111001000 C -b11110101010111111101111100000000 0 -b11110101010111111101111100000000 H -08 -b1011100100011110101101111000111 2 -b1011100100011110101101111000111 = -b1011111100110011111101111101000 < -b1011100100011110101101111000111 : -b11111100111101010101111111011111 $ -b11111100111101010101111111011111 - -b11111100111101010101111111011111 5 -b11111100111101010101111111011111 ? -b11111100111101010101111111011111 D -b1011111100110011111101111101000 % -b1011111100110011111101111101000 . -b1011111100110011111101111101000 6 -b1011111100110011111101111101000 @ -b1011111100110011111101111101000 F -b1011100100011110101101111000111 ) -#16640000 -0& -#16656000 -b100000100 , -#16672000 -1& -#16688000 -b110111110001100010101110100100 " -b110111110001100010101110100100 4 -b1001011110001100010110000000001 1 -b1001011110001100010110000000001 C -b1011110011100011111000000001000 0 -b1011110011100011111000000001000 H -b110111110001100010101110100100 2 -b110111110001100010101110100100 = -b11001011111101111110110110100011 < -b110111110001100010101110100100 : -b1101011110011100011111000000001 $ -b1101011110011100011111000000001 - -b1101011110011100011111000000001 5 -b1101011110011100011111000000001 ? -b1101011110011100011111000000001 D -b11001011111101111110110110100011 % -b11001011111101111110110110100011 . -b11001011111101111110110110100011 6 -b11001011111101111110110110100011 @ -b11001011111101111110110110100011 F -b110111110001100010101110100100 ) -#16704000 -0& -#16720000 -b100000101 , -#16736000 -1& -#16752000 -b10100100010101010001010101100011 " -b10100100010101010001010101100011 4 -b10101000010101100010001000001000 1 -b10101000010101100010001000001000 C -b1011001110011010110010000000000 0 -b1011001110011010110010000000000 H -18 -b10100100010101010001010101100011 2 -b10100100010101010001010101100011 = -b11111001011111101010001000001010 < -b10100100010101010001010101100011 : -b10101010110101100111001101011001 $ -b10101010110101100111001101011001 - -b10101010110101100111001101011001 5 -b10101010110101100111001101011001 ? -b10101010110101100111001101011001 D -b11111001011111101010001000001010 % -b11111001011111101010001000001010 . -b11111001011111101010001000001010 6 -b11111001011111101010001000001010 @ -b11111001011111101010001000001010 F -b10100100010101010001010101100011 ) -#16768000 -0& -#16784000 -b100000110 , -#16800000 -1& -#16816000 -b1100111011010111010001011110111 " -b1100111011010111010001011110111 4 -b1100111011011000010001100000000 1 -b1100111011011000010001100000000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -08 -b1100111011010111010001011110111 2 -b1100111011010111010001011110111 = -b11101111011111010010011110010111 < -b1100111011010111010001011110111 : -b1110111111011100111101101100000 $ -b1110111111011100111101101100000 - -b1110111111011100111101101100000 5 -b1110111111011100111101101100000 ? -b1110111111011100111101101100000 D -b11101111011111010010011110010111 % -b11101111011111010010011110010111 . -b11101111011111010010011110010111 6 -b11101111011111010010011110010111 @ -b11101111011111010010011110010111 F -b1100111011010111010001011110111 ) -#16832000 -0& -#16848000 -b100000111 , -#16864000 -1& -#16880000 -b110000010100001110000011101001 " -b110000010100001110000011101001 4 -b110000010100010110000100110000 1 -b110000010100010110000100110000 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -b110000010100001110000011101001 2 -b110000010100001110000011101001 = -b11111101011110110110000100111001 < -b110000010100001110000011101001 : -b110010110101010111111110110000 $ -b110010110101010111111110110000 - -b110010110101010111111110110000 5 -b110010110101010111111110110000 ? -b110010110101010111111110110000 D -b11111101011110110110000100111001 % -b11111101011110110110000100111001 . -b11111101011110110110000100111001 6 -b11111101011110110110000100111001 @ -b11111101011110110110000100111001 F -b110000010100001110000011101001 ) -#16896000 -0& -#16912000 -b100001000 , -#16928000 -1& -#16944000 -b11101101100101001100000110110111 " -b11101101100101001100000110110111 4 -b11101101100101010100010000111000 1 -b11101101100101010100010000111000 C -b11110100000000000000000000000000 0 -b11110100000000000000000000000000 H -18 -b11101101100101001100000110110111 2 -b11101101100101001100000110110111 = -b11101101111111110100010000111010 < -b11101101100101001100000110110111 : -b11111111100101010111110101111101 $ -b11111111100101010111110101111101 - -b11111111100101010111110101111101 5 -b11111111100101010111110101111101 ? -b11111111100101010111110101111101 D -b11101101111111110100010000111010 % -b11101101111111110100010000111010 . -b11101101111111110100010000111010 6 -b11101101111111110100010000111010 @ -b11101101111111110100010000111010 F -b11101101100101001100000110110111 ) -#16960000 -0& -#16976000 -b100001001 , -#16992000 -1& -#17008000 -b1011101010111100000110111010000 " -b1011101010111100000110111010000 4 -b1100001010111100001011000000001 1 -b1100001010111100001011000000001 C -b11100011111111110110110110011110 0 -b11100011111111110110110110011110 H -08 -b1011101010111100000110111010000 2 -b1011101010111100000110111010000 = -b11101011010111100101011100000001 < -b1011101010111100000110111010000 : -b1110001111111111011011011001111 $ -b1110001111111111011011011001111 - -b1110001111111111011011011001111 5 -b1110001111111111011011011001111 ? -b1110001111111111011011011001111 D -b11101011010111100101011100000001 % -b11101011010111100101011100000001 . -b11101011010111100101011100000001 6 -b11101011010111100101011100000001 @ -b11101011010111100101011100000001 F -b1011101010111100000110111010000 ) -#17024000 -0& -#17040000 -b100001010 , -#17056000 -1& -#17072000 -b11111001011001110100101111111100 " -b11111001011001110100101111111100 4 -b1111001011001110101010000000101 1 -b1111001011001110101010000000101 C -b101110111111101100111011100000 0 -b101110111111101100111011100000 H -19 -08 -b11111001011001110100101111111100 2 -b11111001011001110100101111111100 = -b1111111111011110101010110000101 < -b11111001011001110100101111111100 : -b1111001011101111111011001110111 $ -b1111001011101111111011001110111 - -b1111001011101111111011001110111 5 -b1111001011101111111011001110111 ? -b1111001011101111111011001110111 D -b1111111111011110101010110000101 % -b1111111111011110101010110000101 . -b1111111111011110101010110000101 6 -b1111111111011110101010110000101 @ -b1111111111011110101010110000101 F -b11111001011001110100101111111100 ) -#17088000 -0& -#17104000 -b100001011 , -#17120000 -1& -#17136000 -b11000001101111011011110010101110 " -b11000001101111011011110010101110 4 -b11000001110011011011111000110011 1 -b11000001110011011011111000110011 C -b10011000000000000000000000000000 0 -b10011000000000000000000000000000 H -18 -09 -b11000001101111011011110010101110 2 -b11000001101111011011110010101110 = -b11111101110011011011111001111011 < -b11000001101111011011110010101110 : -b11000011111011111111111000110011 $ -b11000011111011111111111000110011 - -b11000011111011111111111000110011 5 -b11000011111011111111111000110011 ? -b11000011111011111111111000110011 D -b11111101110011011011111001111011 % -b11111101110011011011111001111011 . -b11111101110011011011111001111011 6 -b11111101110011011011111001111011 @ -b11111101110011011011111001111011 F -b11000001101111011011110010101110 ) -#17152000 -0& -#17168000 -b100001100 , -#17184000 -1& -#17200000 -b11100101001001000001111010101011 " -b11100101001001000001111010101011 4 -b11101001001001001000001100010100 1 -b11101001001001001000001100010100 C -b110001011100000000000000000000 0 -b110001011100000000000000000000 H -b11100101001001000001111010101011 2 -b11100101001001000001111010101011 = -b11101011001001001001101110010100 < -b11100101001001000001111010101011 : -b11111001111111111000001100010111 $ -b11111001111111111000001100010111 - -b11111001111111111000001100010111 5 -b11111001111111111000001100010111 ? -b11111001111111111000001100010111 D -b11101011001001001001101110010100 % -b11101011001001001001101110010100 . -b11101011001001001001101110010100 6 -b11101011001001001001101110010100 @ -b11101011001001001001101110010100 F -b11100101001001000001111010101011 ) -#17216000 -0& -#17232000 -b100001101 , -#17248000 -1& -#17264000 -b11011001100110010011110111011110 " -b11011001100110010011110111011110 4 -b1011010100111000000000000000000 1 -b1011010100111000000000000000000 C -b1001010000001000000000000000000 0 -b1001010000001000000000000000000 H -08 -19 -b11011001100110010011110111011110 2 -b11011001100110010011110111011110 = -b1011110100111000001010111001110 < -b11011001100110010011110111011110 : -b1111010111111010010100000010000 $ -b1111010111111010010100000010000 - -b1111010111111010010100000010000 5 -b1111010111111010010100000010000 ? -b1111010111111010010100000010000 D -b1011110100111000001010111001110 % -b1011110100111000001010111001110 . -b1011110100111000001010111001110 6 -b1011110100111000001010111001110 @ -b1011110100111000001010111001110 F -b11011001100110010011110111011110 ) -#17280000 -0& -#17296000 -b100001110 , -#17312000 -1& -#17328000 -b1101100101011110000101100100 " -b1101100101011110000101100100 4 -b1101100110100111000010010000 1 -b1101100110100111000010010000 C -b1110000100101000000000000000000 0 -b1110000100101000000000000000000 H -09 -08 -b1101100101011110000101100100 2 -b1101100101011110000101100100 = -b1011101100110100111000011010000 < -b1101100101011110000101100100 : -b10101111111110110111000010010100 $ -b10101111111110110111000010010100 - -b10101111111110110111000010010100 5 -b10101111111110110111000010010100 ? -b10101111111110110111000010010100 D -b1011101100110100111000011010000 % -b1011101100110100111000011010000 . -b1011101100110100111000011010000 6 -b1011101100110100111000011010000 @ -b1011101100110100111000011010000 F -b1101100101011110000101100100 ) -#17344000 -0& -#17360000 -b100001111 , -#17376000 -1& -#17392000 -b11110111111010100101111101010 " -b11110111111010100101111101010 4 -b11110111111011000000000000000 1 -b11110111111011000000000000000 C -b1111011111101110010001000100000 0 -b1111011111101110010001000100000 H -b11110111111010100101111101010 2 -b11110111111010100101111101010 = -b1111111111111111000001101100010 < -b11110111111010100101111101010 : -b10011110111111011100100010001000 $ -b10011110111111011100100010001000 - -b10011110111111011100100010001000 5 -b10011110111111011100100010001000 ? -b10011110111111011100100010001000 D -b1111111111111111000001101100010 % -b1111111111111111000001101100010 . -b1111111111111111000001101100010 6 -b1111111111111111000001101100010 @ -b1111111111111111000001101100010 F -b11110111111010100101111101010 ) -#17408000 -0& -#17424000 -b100010000 , -#17440000 -1& -#17456000 -b11011000110101111100101010000001 " -b11011000110101111100101010000001 4 -b11011000110110111101000010000010 1 -b11011000110110111101000010000010 C -b11101111111001110101110000000000 0 -b11101111111001110101110000000000 H -18 -b11011000110101111100101010000001 2 -b11011000110101111100101010000001 = -b11011100110110111101000010101010 < -b11011000110101111100101010000001 : -b11111011111110111111100111010111 $ -b11111011111110111111100111010111 - -b11111011111110111111100111010111 5 -b11111011111110111111100111010111 ? -b11111011111110111111100111010111 D -b11011100110110111101000010101010 % -b11011100110110111101000010101010 . -b11011100110110111101000010101010 6 -b11011100110110111101000010101010 @ -b11011100110110111101000010101010 F -b11011000110101111100101010000001 ) -#17472000 -0& -#17488000 -b100010001 , -#17504000 -1& -#17520000 -b1111111010011010000010010101100 " -b1111111010011010000010010101100 4 -b1111111010011010000100001000010 1 -b1111111010011010000100001000010 C -b11111101111101110010000110101000 0 -b11111101111101110010000110101000 H -08 -b1111111010011010000010010101100 2 -b1111111010011010000010010101100 = -b11111111110011110011110001000010 < -b1111111010011010000010010101100 : -b1111111011111011100100001101010 $ -b1111111011111011100100001101010 - -b1111111011111011100100001101010 5 -b1111111011111011100100001101010 ? -b1111111011111011100100001101010 D -b11111111110011110011110001000010 % -b11111111110011110011110001000010 . -b11111111110011110011110001000010 6 -b11111111110011110011110001000010 @ -b11111111110011110011110001000010 F -b1111111010011010000010010101100 ) -#17536000 -0& -#17552000 -b100010010 , -#17568000 -1& -#17584000 -b101100111010110010101010001001 " -b101100111010110010101010001001 4 -b101100111010110010101010001100 1 -b101100111010110010101010001100 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -b101100111010110010101010001001 2 -b101100111010110010101010001001 = -b10111100111011110111101011011100 < -b101100111010110010101010001001 : -b1101111111110111010111110101101 $ -b1101111111110111010111110101101 - -b1101111111110111010111110101101 5 -b1101111111110111010111110101101 ? -b1101111111110111010111110101101 D -b10111100111011110111101011011100 % -b10111100111011110111101011011100 . -b10111100111011110111101011011100 6 -b10111100111011110111101011011100 @ -b10111100111011110111101011011100 F -b101100111010110010101010001001 ) -#17600000 -0& -#17616000 -b100010011 , -#17632000 -1& -#17648000 -b1011011010101100010111000010100 " -b1011011010101100010111000010100 4 -b1011011010110100101000000100101 1 -b1011011010110100101000000100101 C -b1111011011110100001010111100000 0 -b1111011011110100001010111100000 H -b1011011010101100010111000010100 2 -b1011011010101100010111000010100 = -b11011111011110100101110101100101 < -b1011011010101100010111000010100 : -b1111011110110111101000010101111 $ -b1111011110110111101000010101111 - -b1111011110110111101000010101111 5 -b1111011110110111101000010101111 ? -b1111011110110111101000010101111 D -b11011111011110100101110101100101 % -b11011111011110100101110101100101 . -b11011111011110100101110101100101 6 -b11011111011110100101110101100101 @ -b11011111011110100101110101100101 F -b1011011010101100010111000010100 ) -#17664000 -0& -#17680000 -b100010100 , -#17696000 -1& -#17712000 -b11010111011101001101011101010110 " -b11010111011101001101011101010110 4 -b11011011011101010110000101100111 1 -b11011011011101010110000101100111 C -b10111010101100111000000000000000 0 -b10111010101100111000000000000000 H -18 -b11010111011101001101011101010110 2 -b11010111011101001101011101010110 = -b11011011111111110110000111101111 < -b11010111011101001101011101010110 : -b11111011011101010111010101100111 $ -b11111011011101010111010101100111 - -b11111011011101010111010101100111 5 -b11111011011101010111010101100111 ? -b11111011011101010111010101100111 D -b11011011111111110110000111101111 % -b11011011111111110110000111101111 . -b11011011111111110110000111101111 6 -b11011011111111110110000111101111 @ -b11011011111111110110000111101111 F -b11010111011101001101011101010110 ) -#17728000 -0& -#17744000 -b100010101 , -#17760000 -1& -#17776000 -b10110011100110100000101010010 " -b10110011100110100000101010010 4 -b11010011100110100000110010011 1 -b11010011100110100000110010011 C -b11111101111110000000000000000000 0 -b11111101111110000000000000000000 H -08 -b10110011100110100000101010010 2 -b10110011100110100000101010010 = -b1011010111101110100000110010011 < -b10110011100110100000101010010 : -b10111011011110111111111110111111 $ -b10111011011110111111111110111111 - -b10111011011110111111111110111111 5 -b10111011011110111111111110111111 ? -b10111011011110111111111110111111 D -b1011010111101110100000110010011 % -b1011010111101110100000110010011 . -b1011010111101110100000110010011 6 -b1011010111101110100000110010011 @ -b1011010111101110100000110010011 F -b10110011100110100000101010010 ) -#17792000 -0& -#17808000 -b100010110 , -#17824000 -1& -#17840000 -b111011011010001010100001011101 " -b111011011010001010100001011101 4 -b111100011010010000000010000110 1 -b111100011010010000000010000110 C -b110001110000000000000000000000 0 -b110001110000000000000000000000 H -b111011011010001010100001011101 2 -b111011011010001010100001011101 = -b10111110111010110000011110010110 < -b111011011010001010100001011101 : -b1111100011111011010000011000111 $ -b1111100011111011010000011000111 - -b1111100011111011010000011000111 5 -b1111100011111011010000011000111 ? -b1111100011111011010000011000111 D -b10111110111010110000011110010110 % -b10111110111010110000011110010110 . -b10111110111010110000011110010110 6 -b10111110111010110000011110010110 @ -b10111110111010110000011110010110 F -b111011011010001010100001011101 ) -#17856000 -0& -#17872000 -b100010111 , -#17888000 -1& -#17904000 -b10111101110111101001001000110011 " -b10111101110111101001001000110011 4 -b111101110111110010010100000000 1 -b111101110111110010010100000000 C -b1111111111111110110110100010011 0 -b1111111111111110110110100010011 H -19 -08 -b10111101110111101001001000110011 2 -b10111101110111101001001000110011 = -b111101110111110010010100100000 < -b10111101110111101001001000110011 : -b1111111111111110110110100010011 $ -b1111111111111110110110100010011 - -b1111111111111110110110100010011 5 -b1111111111111110110110100010011 ? -b1111111111111110110110100010011 D -b111101110111110010010100100000 % -b111101110111110010010100100000 . -b111101110111110010010100100000 6 -b111101110111110010010100100000 @ -b111101110111110010010100100000 F -b10111101110111101001001000110011 ) -#17920000 -0& -#17936000 -b100011000 , -#17952000 -1& -#17968000 -b1001101011111110000110100101111 " -b1001101011111110000110100101111 4 -b1001110011111110010010101000000 1 -b1001110011111110010010101000000 C -b11111100101011000100000000000000 0 -b11111100101011000100000000000000 H -09 -08 -b1001101011111110000110100101111 2 -b1001101011111110000110100101111 = -b11001110011111110010011111001101 < -b1001101011111110000110100101111 : -b1111110111111111110010101100010 $ -b1111110111111111110010101100010 - -b1111110111111111110010101100010 5 -b1111110111111111110010101100010 ? -b1111110111111111110010101100010 D -b11001110011111110010011111001101 % -b11001110011111110010011111001101 . -b11001110011111110010011111001101 6 -b11001110011111110010011111001101 @ -b11001110011111110010011111001101 F -b1001101011111110000110100101111 ) -#17984000 -0& -#18000000 -b100011001 , -#18016000 -1& -#18032000 -b11111010001100010111100100001 " -b11111010001100010111100100001 4 -b101111010001100011011100100010 1 -b101111010001100011011100100010 C -b10111111011100000000000000000000 0 -b10111111011100000000000000000000 H -b11111010001100010111100100001 2 -b11111010001100010111100100001 = -b11101111011001101111011100110011 < -b11111010001100010111100100001 : -b101111110111110011011111101110 $ -b101111110111110011011111101110 - -b101111110111110011011111101110 5 -b101111110111110011011111101110 ? -b101111110111110011011111101110 D -b11101111011001101111011100110011 % -b11101111011001101111011100110011 . -b11101111011001101111011100110011 6 -b11101111011001101111011100110011 @ -b11101111011001101111011100110011 F -b11111010001100010111100100001 ) -#18048000 -0& -#18064000 -b100011010 , -#18080000 -1& -#18096000 -b1111010101011100000101010110 " -b1111010101011100000101010110 4 -b1111010101100010000010101001 1 -b1111010101100010000010101001 C -b10101101010000010101101000000000 0 -b10101101010000010101101000000000 H -b1111010101011100000101010110 2 -b1111010101011100000101010110 = -b10111111111111110010000010101001 < -b1111010101011100000101010110 : -b1001111010101101010000010101101 $ -b1001111010101101010000010101101 - -b1001111010101101010000010101101 5 -b1001111010101101010000010101101 ? -b1001111010101101010000010101101 D -b10111111111111110010000010101001 % -b10111111111111110010000010101001 . -b10111111111111110010000010101001 6 -b10111111111111110010000010101001 @ -b10111111111111110010000010101001 F -b1111010101011100000101010110 ) -#18112000 -0& -#18128000 -b100011011 , -#18144000 -1& -#18160000 -b1001101011011101000010111101101 " -b1001101011011101000010111101101 4 -b1001101011011110000000000010010 1 -b1001101011011110000000000010010 C -b1001100000000000000000000000000 0 -b1001100000000000000000000000000 H -b1001101011011101000010111101101 2 -b1001101011011101000010111101101 = -b11111111111111110000010001011010 < -b1001101011011101000010111101101 : -b1001101011011111000000110010011 $ -b1001101011011111000000110010011 - -b1001101011011111000000110010011 5 -b1001101011011111000000110010011 ? -b1001101011011111000000110010011 D -b11111111111111110000010001011010 % -b11111111111111110000010001011010 . -b11111111111111110000010001011010 6 -b11111111111111110000010001011010 @ -b11111111111111110000010001011010 F -b1001101011011101000010111101101 ) -#18176000 -0& -#18192000 -b100011100 , -#18208000 -1& -#18224000 -b11110000111010100101001111110001 " -b11110000111010100101001111110001 4 -b11110000111100110000010000000010 1 -b11110000111100110000010000000010 C -b11001100000101011001110000000000 0 -b11001100000101011001110000000000 H -18 -b11110000111010100101001111110001 2 -b11110000111010100101001111110001 = -b11111010111101110100111010001010 < -b11110000111010100101001111110001 : -b11110101111100110000010101100111 $ -b11110101111100110000010101100111 - -b11110101111100110000010101100111 5 -b11110101111100110000010101100111 ? -b11110101111100110000010101100111 D -b11111010111101110100111010001010 % -b11111010111101110100111010001010 . -b11111010111101110100111010001010 6 -b11111010111101110100111010001010 @ -b11111010111101110100111010001010 F -b11110000111010100101001111110001 ) -#18240000 -0& -#18256000 -b100011101 , -#18272000 -1& -#18288000 -b10011000101111101000111011111111 " -b10011000101111101000111011111111 4 -b10011000101111110000000000000000 1 -b10011000101111110000000000000000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -b10011000101111101000111011111111 2 -b10011000101111101000111011111111 = -b11111110101111110000011011011100 < -b10011000101111101000111011111111 : -b10011001111111111000100000100011 $ -b10011001111111111000100000100011 - -b10011001111111111000100000100011 5 -b10011001111111111000100000100011 ? -b10011001111111111000100000100011 D -b11111110101111110000011011011100 % -b11111110101111110000011011011100 . -b11111110101111110000011011011100 6 -b11111110101111110000011011011100 @ -b11111110101111110000011011011100 F -b10011000101111101000111011111111 ) -#18304000 -0& -#18320000 -b100011110 , -#18336000 -1& -#18352000 -b1100010001100101100100000110011 " -b1100010001100101100100000110011 4 -b1100010001100110010000100010100 1 -b1100010001100110010000100010100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -b1100010001100101100100000110011 2 -b1100010001100101100100000110011 = -b1101110101100111010011100011101 < -b1100010001100101100100000110011 : -b11110011011111110010000100010110 $ -b11110011011111110010000100010110 - -b11110011011111110010000100010110 5 -b11110011011111110010000100010110 ? -b11110011011111110010000100010110 D -b1101110101100111010011100011101 % -b1101110101100111010011100011101 . -b1101110101100111010011100011101 6 -b1101110101100111010011100011101 @ -b1101110101100111010011100011101 F -b1100010001100101100100000110011 ) -#18368000 -0& -#18384000 -b100011111 , -#18400000 -1& -#18416000 -b1111001100100111000100101010101 " -b1111001100100111000100101010101 4 -b1111001100101000000001000000000 1 -b1111001100101000000001000000000 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -b1111001100100111000100101010101 2 -b1111001100100111000100101010101 = -b11111101100101001000011000010101 < -b1111001100100111000100101010101 : -b1111011111111110000001101000000 $ -b1111011111111110000001101000000 - -b1111011111111110000001101000000 5 -b1111011111111110000001101000000 ? -b1111011111111110000001101000000 D -b11111101100101001000011000010101 % -b11111101100101001000011000010101 . -b11111101100101001000011000010101 6 -b11111101100101001000011000010101 @ -b11111101100101001000011000010101 F -b1111001100100111000100101010101 ) -#18432000 -0& -#18448000 -b100100000 , -#18464000 -1& -#18480000 -b1000100110111010001011110001100 " -b1000100110111010001011110001100 4 -b1000100110111100010000000010000 1 -b1000100110111100010000000010000 C -b0 0 -b0 H -b1000100110111010001011110001100 2 -b1000100110111010001011110001100 = -b11100111110111100011001100011100 < -b1000100110111010001011110001100 : -b1011100111111101110010001110000 $ -b1011100111111101110010001110000 - -b1011100111111101110010001110000 5 -b1011100111111101110010001110000 ? -b1011100111111101110010001110000 D -b11100111110111100011001100011100 % -b11100111110111100011001100011100 . -b11100111110111100011001100011100 6 -b11100111110111100011001100011100 @ -b11100111110111100011001100011100 F -b1000100110111010001011110001100 ) -#18496000 -0& -#18512000 -b100100001 , -#18528000 -1& -#18544000 -b11101100111111100011001011100101 " -b11101100111111100011001011100101 4 -b101110000001100100000001101000 1 -b101110000001100100000001101000 C -b10111110100100111110100000000 0 -b10111110100100111110100000000 H -18 -b11101100111111100011001011100101 2 -b11101100111111100011001011100101 = -b101110111001100110000001101000 < -b11101100111111100011001011100101 : -b10111110000101111101001001111101 $ -b10111110000101111101001001111101 - -b10111110000101111101001001111101 5 -b10111110000101111101001001111101 ? -b10111110000101111101001001111101 D -b101110111001100110000001101000 % -b101110111001100110000001101000 . -b101110111001100110000001101000 6 -b101110111001100110000001101000 @ -b101110111001100110000001101000 F -b11101100111111100011001011100101 ) -#18560000 -0& -#18576000 -b100100010 , -#18592000 -1& -#18608000 -b11010100001011000111000001101011 " -b11010100001011000111000001101011 4 -b1010100001100010000000001110000 1 -b1010100001100010000000001110000 C -b1101111001000000000000000000 0 -b1101111001000000000000000000 H -08 -19 -b11010100001011000111000001101011 2 -b11010100001011000111000001101011 = -b1110100011100010110110011110010 < -b11010100001011000111000001101011 : -b1011111101110110000001101111001 $ -b1011111101110110000001101111001 - -b1011111101110110000001101111001 5 -b1011111101110110000001101111001 ? -b1011111101110110000001101111001 D -b1110100011100010110110011110010 % -b1110100011100010110110011110010 . -b1110100011100010110110011110010 6 -b1110100011100010110110011110010 @ -b1110100011100010110110011110010 F -b11010100001011000111000001101011 ) -#18624000 -0& -#18640000 -b100100011 , -#18656000 -1& -#18672000 -b111011011010110101001111001110 " -b111011011010110101001111001110 4 -b111011100010110101100111100001 1 -b111011100010110101100111100001 C -b11110001111010110011110100100000 0 -b11110001111010110011110100100000 H -09 -08 -b111011011010110101001111001110 2 -b111011011010110101001111001110 = -b111011110110111111100111100101 < -b111011011010110101001111001110 : -b11111111100011110101100111101001 $ -b11111111100011110101100111101001 - -b11111111100011110101100111101001 5 -b11111111100011110101100111101001 ? -b11111111100011110101100111101001 D -b111011110110111111100111100101 % -b111011110110111111100111100101 . -b111011110110111111100111100101 6 -b111011110110111111100111100101 @ -b111011110110111111100111100101 F -b111011011010110101001111001110 ) -#18688000 -0& -#18704000 -b100100100 , -#18720000 -1& -#18736000 -b111101111001010000101100010011 " -b111101111001010000101100010011 4 -b1000110111010100000110000100000 1 -b1000110111010100000110000100000 C -b1111000110001000000000000000000 0 -b1111000110001000000000000000000 H -b111101111001010000101100010011 2 -b111101111001010000101100010011 = -b11110110111110100100111010110001 < -b111101111001010000101100010011 : -b1000110111010101011110001100010 $ -b1000110111010101011110001100010 - -b1000110111010101011110001100010 5 -b1000110111010101011110001100010 ? -b1000110111010101011110001100010 D -b11110110111110100100111010110001 % -b11110110111110100100111010110001 . -b11110110111110100100111010110001 6 -b11110110111110100100111010110001 @ -b11110110111110100100111010110001 F -b111101111001010000101100010011 ) -#18752000 -0& -#18768000 -b100100101 , -#18784000 -1& -#18800000 -b111110111001000101011010010011 " -b111110111001000101011010010011 4 -b111110111001010000000011000000 1 -b111110111001010000000011000000 C -b11110111101110100000011010000000 0 -b11110111101110100000011010000000 H -b111110111001000101011010010011 2 -b111110111001000101011010010011 = -b1111111111011010001010111000011 < -b111110111001000101011010010011 : -b10111110111101110100000011010000 $ -b10111110111101110100000011010000 - -b10111110111101110100000011010000 5 -b10111110111101110100000011010000 ? -b10111110111101110100000011010000 D -b1111111111011010001010111000011 % -b1111111111011010001010111000011 . -b1111111111011010001010111000011 6 -b1111111111011010001010111000011 @ -b1111111111011010001010111000011 F -b111110111001000101011010010011 ) -#18816000 -0& -#18832000 -b100100110 , -#18848000 -1& -#18864000 -b1100110010101100000001110011011 " -b1100110010101100000001110011011 4 -b1100110010101100000100000100000 1 -b1100110010101100000100000100000 C -b111001001010110000000000000000 0 -b111001001010110000000000000000 H -b1100110010101100000001110011011 2 -b1100110010101100000001110011011 = -b1100111110111101100101001110000 < -b1100110010101100000001110011011 : -b11111110011101110011100100101011 $ -b11111110011101110011100100101011 - -b11111110011101110011100100101011 5 -b11111110011101110011100100101011 ? -b11111110011101110011100100101011 D -b1100111110111101100101001110000 % -b1100111110111101100101001110000 . -b1100111110111101100101001110000 6 -b1100111110111101100101001110000 @ -b1100111110111101100101001110000 F -b1100110010101100000001110011011 ) -#18880000 -0& -#18896000 -b100100111 , -#18912000 -1& -#18928000 -b110110011111000100000000000000 " -b110110011111000100000000000000 4 -b110110101111010000000000000001 1 -b110110101111010000000000000001 C -b10000100100000000000000000000000 0 -b10000100100000000000000000000000 H -b110110011111000100000000000000 2 -b110110011111000100000000000000 = -b1111111101111010011011011110111 < -b110110011111000100000000000000 : -b10110110101111110000100100001001 $ -b10110110101111110000100100001001 - -b10110110101111110000100100001001 5 -b10110110101111110000100100001001 ? -b10110110101111110000100100001001 D -b1111111101111010011011011110111 % -b1111111101111010011011011110111 . -b1111111101111010011011011110111 6 -b1111111101111010011011011110111 @ -b1111111101111010011011011110111 F -b110110011111000100000000000000 ) -#18944000 -0& -#18960000 -b100101000 , -#18976000 -1& -#18992000 -b11010001000011101001110010001010 " -b11010001000011101001110010001010 4 -b11010001010001110010000010001100 1 -b11010001010001110010000010001100 C -b11001100011101110000000000000000 0 -b11001100011101110000000000000000 H -18 -b11010001000011101001110010001010 2 -b11010001000011101001110010001010 = -b11011101010001110110101010101110 < -b11010001000011101001110010001010 : -b11110011110001110011000111011100 $ -b11110011110001110011000111011100 - -b11110011110001110011000111011100 5 -b11110011110001110011000111011100 ? -b11110011110001110011000111011100 D -b11011101010001110110101010101110 % -b11011101010001110110101010101110 . -b11011101010001110110101010101110 6 -b11011101010001110110101010101110 @ -b11011101010001110110101010101110 F -b11010001000011101001110010001010 ) -#19008000 -0& -#19024000 -b100101001 , -#19040000 -1& -#19056000 -b1101100011011101010101110110011 " -b1101100011011101010101110110011 4 -b1101100101100001011000000000000 1 -b1101100101100001011000000000000 C -b1100110000001000000000000000000 0 -b1100110000001000000000000000000 H -08 -b1101100011011101010101110110011 2 -b1101100011011101010101110110011 = -b1111110101100011111100010110001 < -b1101100011011101010101110110011 : -b11101101101111001011001100000010 $ -b11101101101111001011001100000010 - -b11101101101111001011001100000010 5 -b11101101101111001011001100000010 ? -b11101101101111001011001100000010 D -b1111110101100011111100010110001 % -b1111110101100011111100010110001 . -b1111110101100011111100010110001 6 -b1111110101100011111100010110001 @ -b1111110101100011111100010110001 F -b1101100011011101010101110110011 ) -#19072000 -0& -#19088000 -b100101010 , -#19104000 -1& -#19120000 -b11111101111111000000101011100001 " -b11111101111111000000101011100001 4 -b1111110000111000100001100001000 1 -b1111110000111000100001100001000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -19 -08 -b11111101111111000000101011100001 2 -b11111101111111000000101011100001 = -b1111110000111001100011110011001 < -b11111101111111000000101011100001 : -b1111111110111110100001101001000 $ -b1111111110111110100001101001000 - -b1111111110111110100001101001000 5 -b1111111110111110100001101001000 ? -b1111111110111110100001101001000 D -b1111110000111001100011110011001 % -b1111110000111001100011110011001 . -b1111110000111001100011110011001 6 -b1111110000111001100011110011001 @ -b1111110000111001100011110011001 F -b11111101111111000000101011100001 ) -#19136000 -0& -#19152000 -b100101011 , -#19168000 -1& -#19184000 -b10010011101111110011000111100001 " -b10010011101111110011000111100001 4 -b10011110000010100001000000010 1 -b10011110000010100001000000010 C -b11111111010110011110011011000000 0 -b11111111010110011110011011000000 H -b10010011101111110011000111100001 2 -b10010011101111110011000111100001 = -b10111110000011100101001000110 < -b10010011101111110011000111100001 : -b1111011111111010110011110011011 $ -b1111011111111010110011110011011 - -b1111011111111010110011110011011 5 -b1111011111111010110011110011011 ? -b1111011111111010110011110011011 D -b10111110000011100101001000110 % -b10111110000011100101001000110 . -b10111110000011100101001000110 6 -b10111110000011100101001000110 @ -b10111110000011100101001000110 F -b10010011101111110011000111100001 ) -#19200000 -0& -#19216000 -b100101100 , -#19232000 -1& -#19248000 -b10111110000011010001111011000100 " -b10111110000011010001111011000100 4 -b10111110000011010100000100000110 1 -b10111110000011010100000100000110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -09 -b10111110000011010001111011000100 2 -b10111110000011010001111011000100 = -b11111111000111110101100100111110 < -b10111110000011010001111011000100 : -b10111110111011011100010110000110 $ -b10111110111011011100010110000110 - -b10111110111011011100010110000110 5 -b10111110111011011100010110000110 ? -b10111110111011011100010110000110 D -b11111111000111110101100100111110 % -b11111111000111110101100100111110 . -b11111111000111110101100100111110 6 -b11111111000111110101100100111110 @ -b11111111000111110101100100111110 F -b10111110000011010001111011000100 ) -#19264000 -0& -#19280000 -b100101101 , -#19296000 -1& -#19312000 -b10100001011010111100011101101110 " -b10100001011010111100011101101110 4 -b1011101000001000000010000 1 -b1011101000001000000010000 C -b0 0 -b0 H -18 -09 -b10100001011010111100011101101110 2 -b10100001011010111100011101101110 = -b10011101111101011011011000011110 < -b10100001011010111100011101101110 : -b11011101100001000101010000 $ -b11011101100001000101010000 - -b11011101100001000101010000 5 -b11011101100001000101010000 ? -b11011101100001000101010000 D -b10011101111101011011011000011110 % -b10011101111101011011011000011110 . -b10011101111101011011011000011110 6 -b10011101111101011011011000011110 @ -b10011101111101011011011000011110 F -b10100001011010111100011101101110 ) -#19328000 -0& -#19344000 -b100101110 , -#19360000 -1& -#19376000 -b1101010101000110100101100001101 " -b1101010101000110100101100001101 4 -b1101011001001000001000100100000 1 -b1101011001001000001000100100000 C -b11011110110011000010001011011000 0 -b11011110110011000010001011011000 H -08 -b1101010101000110100101100001101 2 -b1101010101000110100101100001101 = -b11111011001111010011100110100001 < -b1101010101000110100101100001101 : -b1101111011001100001000101101100 $ -b1101111011001100001000101101100 - -b1101111011001100001000101101100 5 -b1101111011001100001000101101100 ? -b1101111011001100001000101101100 D -b11111011001111010011100110100001 % -b11111011001111010011100110100001 . -b11111011001111010011100110100001 6 -b11111011001111010011100110100001 @ -b11111011001111010011100110100001 F -b1101010101000110100101100001101 ) -#19392000 -0& -#19408000 -b100101111 , -#19424000 -1& -#19440000 -b11011010011110001111000011101001 " -b11011010011110001111000011101001 4 -b11100010101110010000000011101100 1 -b11100010101110010000000011101100 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -18 -b11011010011110001111000011101001 2 -b11011010011110001111000011101001 = -b11100111101110011100100111111100 < -b11011010011110001111000011101001 : -b11110010101111110010011011101101 $ -b11110010101111110010011011101101 - -b11110010101111110010011011101101 5 -b11110010101111110010011011101101 ? -b11110010101111110010011011101101 D -b11100111101110011100100111111100 % -b11100111101110011100100111111100 . -b11100111101110011100100111111100 6 -b11100111101110011100100111111100 @ -b11100111101110011100100111111100 F -b11011010011110001111000011101001 ) -#19456000 -0& -#19472000 -b100110000 , -#19488000 -1& -#19504000 -b11011100001000101111101110010101 " -b11011100001000101111101110010101 4 -b11011100001000110000000000000110 1 -b11011100001000110000000000000110 C -b11001110110010100011100000000 0 -b11001110110010100011100000000 H -b11011100001000101111101110010101 2 -b11011100001000101111101110010101 = -b11111101111011110100100100000111 < -b11011100001000101111101110010101 : -b11011110001100111011001010001110 $ -b11011110001100111011001010001110 - -b11011110001100111011001010001110 5 -b11011110001100111011001010001110 ? -b11011110001100111011001010001110 D -b11111101111011110100100100000111 % -b11111101111011110100100100000111 . -b11111101111011110100100100000111 6 -b11111101111011110100100100000111 @ -b11111101111011110100100100000111 F -b11011100001000101111101110010101 ) -#19520000 -0& -#19536000 -b100110001 , -#19552000 -1& -#19568000 -b1111101111101101000110000110100 " -b1111101111101101000110000110100 4 -b10111101111110010100010010000001 1 -b10111101111110010100010010000001 C -b111101000010000000000000000000 0 -b111101000010000000000000000000 H -19 -18 -b1111101111101101000110000110100 2 -b1111101111101101000110000110100 = -b10111101111110010100010010010011 < -b1111101111101101000110000110100 : -b10111111111111010100011110100001 $ -b10111111111111010100011110100001 - -b10111111111111010100011110100001 5 -b10111111111111010100011110100001 ? -b10111111111111010100011110100001 D -b10111101111110010100010010010011 % -b10111101111110010100010010010011 . -b10111101111110010100010010010011 6 -b10111101111110010100010010010011 @ -b10111101111110010100010010010011 F -b1111101111101101000110000110100 ) -#19584000 -0& -#19600000 -b100110010 , -#19616000 -1& -#19632000 -b111111101000000101001110101111 " -b111111101000000101001110101111 4 -b111111101000001001010000010000 1 -b111111101000001001010000010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -09 -b111111101000000101001110101111 2 -b111111101000000101001110101111 = -b10111111111111011011110110011101 < -b111111101000000101001110101111 : -b1111111101000101001011000010010 $ -b1111111101000101001011000010010 - -b1111111101000101001011000010010 5 -b1111111101000101001011000010010 ? -b1111111101000101001011000010010 D -b10111111111111011011110110011101 % -b10111111111111011011110110011101 . -b10111111111111011011110110011101 6 -b10111111111111011011110110011101 @ -b10111111111111011011110110011101 F -b111111101000000101001110101111 ) -#19648000 -0& -#19664000 -b100110011 , -#19680000 -1& -#19696000 -b10110010111001001010000011110001 " -b10110010111001001010000011110001 4 -b10110101011001010100100000000010 1 -b10110101011001010100100000000010 C -b1011001110101100000101011000000 0 -b1011001110101100000101011000000 H -18 -b10110010111001001010000011110001 2 -b10110010111001001010000011110001 = -b10110101011111010100100011000110 < -b10110010111001001010000011110001 : -b11111101011001110101100000101011 $ -b11111101011001110101100000101011 - -b11111101011001110101100000101011 5 -b11111101011001110101100000101011 ? -b11111101011001110101100000101011 D -b10110101011111010100100011000110 % -b10110101011111010100100011000110 . -b10110101011111010100100011000110 6 -b10110101011111010100100011000110 @ -b10110101011111010100100011000110 F -b10110010111001001010000011110001 ) -#19712000 -0& -#19728000 -b100110100 , -#19744000 -1& -#19760000 -b11100000101110001010000111100 " -b11100000101110001010000111100 4 -b11100000101110010010010000000 1 -b11100000101110010010010000000 C -b10100100101011000000000000000000 0 -b10100100101011000000000000000000 H -08 -b11100000101110001010000111100 2 -b11100000101110001010000111100 = -b111101001101110110111110010000 < -b11100000101110001010000111100 : -b11011110110111111010010010101100 $ -b11011110110111111010010010101100 - -b11011110110111111010010010101100 5 -b11011110110111111010010010101100 ? -b11011110110111111010010010101100 D -b111101001101110110111110010000 % -b111101001101110110111110010000 . -b111101001101110110111110010000 6 -b111101001101110110111110010000 @ -b111101001101110110111110010000 F -b11100000101110001010000111100 ) -#19776000 -0& -#19792000 -b100110101 , -#19808000 -1& -#19824000 -b1011101101111010111001110100 " -b1011101101111010111001110100 4 -b10011101101111011000100001001 1 -b10011101101111011000100001001 C -b11111111101010100100100000000000 0 -b11111111101010100100100000000000 H -b1011101101111010111001110100 2 -b1011101101111010111001110100 = -b10010111101101111011100100101011 < -b1011101101111010111001110100 : -b1110011111111111111010101001001 $ -b1110011111111111111010101001001 - -b1110011111111111111010101001001 5 -b1110011111111111111010101001001 ? -b1110011111111111111010101001001 D -b10010111101101111011100100101011 % -b10010111101101111011100100101011 . -b10010111101101111011100100101011 6 -b10010111101101111011100100101011 @ -b10010111101101111011100100101011 F -b1011101101111010111001110100 ) -#19840000 -0& -#19856000 -b100110110 , -#19872000 -1& -#19888000 -b1111010010101000001110010010110 " -b1111010010101000001110010010110 4 -b1111010101001000100000010100000 1 -b1111010101001000100000010100000 C -b11111111101011001101001110110110 0 -b11111111101011001101001110110110 H -b1111010010101000001110010010110 2 -b1111010010101000001110010010110 = -b1111010101001110100100011100000 < -b1111010010101000001110010010110 : -b11111111101011001101001110110110 $ -b11111111101011001101001110110110 - -b11111111101011001101001110110110 5 -b11111111101011001101001110110110 ? -b11111111101011001101001110110110 D -b1111010101001110100100011100000 % -b1111010101001110100100011100000 . -b1111010101001110100100011100000 6 -b1111010101001110100100011100000 @ -b1111010101001110100100011100000 F -b1111010010101000001110010010110 ) -#19904000 -0& -#19920000 -b100110111 , -#19936000 -1& -#19952000 -b1011111100010000101110001111 " -b1011111100010000101110001111 4 -b10011111100010001000000010000 1 -b10011111100010001000000010000 C -b1100001111101000000000000000000 0 -b1100001111101000000000000000000 H -b1011111100010000101110001111 2 -b1011111100010000101110001111 = -b1010011111111110111001100010010 < -b1011111100010000101110001111 : -b10110111111100011001100001111101 $ -b10110111111100011001100001111101 - -b10110111111100011001100001111101 5 -b10110111111100011001100001111101 ? -b10110111111100011001100001111101 D -b1010011111111110111001100010010 % -b1010011111111110111001100010010 . -b1010011111111110111001100010010 6 -b1010011111111110111001100010010 @ -b1010011111111110111001100010010 F -b1011111100010000101110001111 ) -#19968000 -0& -#19984000 -b100111000 , -#20000000 -1& -#20016000 -b1110111100110001100110010101000 " -b1110111100110001100110010101000 4 -b10110111100110010110000010101001 1 -b10110111100110010110000010101001 C -b1101101010111110110000000000000 0 -b1101101010111110110000000000000 H -18 -19 -b1110111100110001100110010101000 2 -b1110111100110001100110010101000 = -b10110111100111010110000110101101 < -b1110111100110001100110010101000 : -b10111111111110110110101011111011 $ -b10111111111110110110101011111011 - -b10111111111110110110101011111011 5 -b10111111111110110110101011111011 ? -b10111111111110110110101011111011 D -b10110111100111010110000110101101 % -b10110111100111010110000110101101 . -b10110111100111010110000110101101 6 -b10110111100111010110000110101101 @ -b10110111100111010110000110101101 F -b1110111100110001100110010101000 ) -#20032000 -0& -#20048000 -b100111001 , -#20064000 -1& -#20080000 -b11011111101110110110101000111 " -b11011111101110110110101000111 4 -b11100111101111000011010000000 1 -b11100111101111000011010000000 C -b11100111111111100011010000100000 0 -b11100111111111100011010000100000 H -08 -09 -b11011111101110110110101000111 2 -b11011111101110110110101000111 = -b1011110111101111010011011000011 < -b11011111101110110110101000111 : -b10111100111111111100011010000100 $ -b10111100111111111100011010000100 - -b10111100111111111100011010000100 5 -b10111100111111111100011010000100 ? -b10111100111111111100011010000100 D -b1011110111101111010011011000011 % -b1011110111101111010011011000011 . -b1011110111101111010011011000011 6 -b1011110111101111010011011000011 @ -b1011110111101111010011011000011 F -b11011111101110110110101000111 ) -#20096000 -0& -#20112000 -b100111010 , -#20128000 -1& -#20144000 -b11110010110110100001110011110111 " -b11110010110110100001110011110111 4 -b1110010111011000000001000000000 1 -b1110010111011000000001000000000 C -b10111011100001001000110001000000 0 -b10111011100001001000110001000000 H -19 -08 -b11110010110110100001110011110111 2 -b11110010110110100001110011110111 = -b1110011111011000000101011000110 < -b11110010110110100001110011110111 : -b1111110111011100001001000110001 $ -b1111110111011100001001000110001 - -b1111110111011100001001000110001 5 -b1111110111011100001001000110001 ? -b1111110111011100001001000110001 D -b1110011111011000000101011000110 % -b1110011111011000000101011000110 . -b1110011111011000000101011000110 6 -b1110011111011000000101011000110 @ -b1110011111011000000101011000110 F -b11110010110110100001110011110111 ) -#20160000 -0& -#20176000 -b100111011 , -#20192000 -1& -#20208000 -b11000111010101110011101110111111 " -b11000111010101110011101110111111 4 -b11000111010110111000000011000000 1 -b11000111010110111000000011000000 C -b0 0 -b0 H -18 -09 -b11000111010101110011101110111111 2 -b11000111010101110011101110111111 = -b11011111011110111010001011111111 < -b11000111010101110011101110111111 : -b11100111110110111001100011000000 $ -b11100111110110111001100011000000 - -b11100111110110111001100011000000 5 -b11100111110110111001100011000000 ? -b11100111110110111001100011000000 D -b11011111011110111010001011111111 % -b11011111011110111010001011111111 . -b11011111011110111010001011111111 6 -b11011111011110111010001011111111 @ -b11011111011110111010001011111111 F -b11000111010101110011101110111111 ) -#20224000 -0& -#20240000 -b100111100 , -#20256000 -1& -#20272000 -b10100001010000110001001110010111 " -b10100001010000110001001110010111 4 -b1000001010000110010000000101000 1 -b1000001010000110010000000101000 C -b11001011001000100010111100000000 0 -b11001011001000100010111100000000 H -08 -19 -b10100001010000110001001110010111 2 -b10100001010000110001001110010111 = -b1011101011101111111000101101000 < -b10100001010000110001001110010111 : -b1000011110010110010001000101111 $ -b1000011110010110010001000101111 - -b1000011110010110010001000101111 5 -b1000011110010110010001000101111 ? -b1000011110010110010001000101111 D -b1011101011101111111000101101000 % -b1011101011101111111000101101000 . -b1011101011101111111000101101000 6 -b1011101011101111111000101101000 @ -b1011101011101111111000101101000 F -b10100001010000110001001110010111 ) -#20288000 -0& -#20304000 -b100111101 , -#20320000 -1& -#20336000 -b11110011111110101001001001110111 " -b11110011111110101001001001110111 4 -b10011111110110000100000000000 1 -b10011111110110000100000000000 C -b11111111111111000101001110100000 0 -b11111111111111000101001110100000 H -18 -09 -b11110011111110101001001001110111 2 -b11110011111110101001001001110111 = -b11010011111110110000100000000011 < -b11110011111110101001001001110111 : -b11111111111111000101001110100 $ -b11111111111111000101001110100 - -b11111111111111000101001110100 5 -b11111111111111000101001110100 ? -b11111111111111000101001110100 D -b11010011111110110000100000000011 % -b11010011111110110000100000000011 . -b11010011111110110000100000000011 6 -b11010011111110110000100000000011 @ -b11010011111110110000100000000011 F -b11110011111110101001001001110111 ) -#20352000 -0& -#20368000 -b100111110 , -#20384000 -1& -#20400000 -b11100100111111000001110001010101 " -b11100100111111000001110001010101 4 -b1100110011111000001110010001000 1 -b1100110011111000001110010001000 C -b11001011110111001001000000000000 0 -b11001011110111001001000000000000 H -08 -19 -b11100100111111000001110001010101 2 -b11100100111111000001110001010101 = -b1100110011111110101111010001100 < -b11100100111111000001110001010101 : -b1111110011111001011110111001001 $ -b1111110011111001011110111001001 - -b1111110011111001011110111001001 5 -b1111110011111001011110111001001 ? -b1111110011111001011110111001001 D -b1100110011111110101111010001100 % -b1100110011111110101111010001100 . -b1100110011111110101111010001100 6 -b1100110011111110101111010001100 @ -b1100110011111110101111010001100 F -b11100100111111000001110001010101 ) -#20416000 -0& -#20432000 -b100111111 , -#20448000 -1& -#20464000 -b1100010111001011111110110001010 " -b1100010111001011111110110001010 4 -b1100010111001100000000000001100 1 -b1100010111001100000000000001100 C -b11110110100000011110000000000000 0 -b11110110100000011110000000000000 H -09 -08 -b1100010111001011111110110001010 2 -b1100010111001011111110110001010 = -b11110010111101101001010101101100 < -b1100010111001011111110110001010 : -b1101111111011110110100000011110 $ -b1101111111011110110100000011110 - -b1101111111011110110100000011110 5 -b1101111111011110110100000011110 ? -b1101111111011110110100000011110 D -b11110010111101101001010101101100 % -b11110010111101101001010101101100 . -b11110010111101101001010101101100 6 -b11110010111101101001010101101100 @ -b11110010111101101001010101101100 F -b1100010111001011111110110001010 ) -#20480000 -0& -#20496000 -b101000000 , -#20512000 -1& -#20528000 -b11111101101100101010010001101111 " -b11111101101100101010010001101111 4 -b11101101101001100010010010000 1 -b11101101101001100010010010000 C -b110011011101000000000000000000 0 -b110011011101000000000000000000 H -18 -b11111101101100101010010001101111 2 -b11111101101100101010010001101111 = -b11011101101111011101011110010010 < -b11111101101100101010010001101111 : -b11111111101001100110011011101 $ -b11111111101001100110011011101 - -b11111111101001100110011011101 5 -b11111111101001100110011011101 ? -b11111111101001100110011011101 D -b11011101101111011101011110010010 % -b11011101101111011101011110010010 . -b11011101101111011101011110010010 6 -b11011101101111011101011110010010 @ -b11011101101111011101011110010010 F -b11111101101100101010010001101111 ) -#20544000 -0& -#20560000 -b101000001 , -#20576000 -1& -#20592000 -b11101111010011100001001111111 " -b11101111010011100001001111111 4 -b11101111010100000000000000000 1 -b11101111010100000000000000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -b11101111010011100001001111111 2 -b11101111010011100001001111111 = -b111101111011111000001000111011 < -b11101111010011100001001111111 : -b11011111111110100100000001000100 $ -b11011111111110100100000001000100 - -b11011111111110100100000001000100 5 -b11011111111110100100000001000100 ? -b11011111111110100100000001000100 D -b111101111011111000001000111011 % -b111101111011111000001000111011 . -b111101111011111000001000111011 6 -b111101111011111000001000111011 @ -b111101111011111000001000111011 F -b11101111010011100001001111111 ) -#20608000 -0& -#20624000 -b101000010 , -#20640000 -1& -#20656000 -b1111101010101011101101010110010 " -b1111101010101011101101010110010 4 -b1111110010101101101110000000011 1 -b1111110010101101101110000000011 C -b101011011011100101010110000000 0 -b101011011011100101010110000000 H -b1111101010101011101101010110010 2 -b1111101010101011101101010110010 = -b1111110111111101111111000000111 < -b1111101010101011101101010110010 : -b11111110010101101101110010101011 $ -b11111110010101101101110010101011 - -b11111110010101101101110010101011 5 -b11111110010101101101110010101011 ? -b11111110010101101101110010101011 D -b1111110111111101111111000000111 % -b1111110111111101111111000000111 . -b1111110111111101111111000000111 6 -b1111110111111101111111000000111 @ -b1111110111111101111111000000111 F -b1111101010101011101101010110010 ) -#20672000 -0& -#20688000 -b101000011 , -#20704000 -1& -#20720000 -b101101000011000110001001100110 " -b101101000011000110001001100110 4 -b101101000011000110001001110000 1 -b101101000011000110001001110000 C -b10110111001000000000000000000000 0 -b10110111001000000000000000000000 H -b101101000011000110001001100110 2 -b101101000011000110001001100110 = -b1111111110011111110011011110100 < -b101101000011000110001001100110 : -b10101101001111000111101101110010 $ -b10101101001111000111101101110010 - -b10101101001111000111101101110010 5 -b10101101001111000111101101110010 ? -b10101101001111000111101101110010 D -b1111111110011111110011011110100 % -b1111111110011111110011011110100 . -b1111111110011111110011011110100 6 -b1111111110011111110011011110100 @ -b1111111110011111110011011110100 F -b101101000011000110001001100110 ) -#20736000 -0& -#20752000 -b101000100 , -#20768000 -1& -#20784000 -b10100100001010000110001111111 " -b10100100001010000110001111111 4 -b10100100010010000110100000000 1 -b10100100010010000110100000000 C -b11011100100001111000110000000000 0 -b11011100100001111000110000000000 H -b10100100001010000110001111111 2 -b10100100001010000110001111111 = -b11100110010111111110101100111 < -b10100100001010000110001111111 : -b11110111101110010000111100011000 $ -b11110111101110010000111100011000 - -b11110111101110010000111100011000 5 -b11110111101110010000111100011000 ? -b11110111101110010000111100011000 D -b11100110010111111110101100111 % -b11100110010111111110101100111 . -b11100110010111111110101100111 6 -b11100110010111111110101100111 @ -b11100110010111111110101100111 F -b10100100001010000110001111111 ) -#20800000 -0& -#20816000 -b101000101 , -#20832000 -1& -#20848000 -b11111111001101011110011100100010 " -b11111111001101011110011100100010 4 -b1111111001110100000100000001000 1 -b1111111001110100000100000001000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -19 -08 -b11111111001101011110011100100010 2 -b11111111001101011110011100100010 = -b1111111011110111000101100011010 < -b11111111001101011110011100100010 : -b1111111101110100101110000001000 $ -b1111111101110100101110000001000 - -b1111111101110100101110000001000 5 -b1111111101110100101110000001000 ? -b1111111101110100101110000001000 D -b1111111011110111000101100011010 % -b1111111011110111000101100011010 . -b1111111011110111000101100011010 6 -b1111111011110111000101100011010 @ -b1111111011110111000101100011010 F -b11111111001101011110011100100010 ) -#20864000 -0& -#20880000 -b101000110 , -#20896000 -1& -#20912000 -b1000000100111101100111100100011 " -b1000000100111101100111100100011 4 -b1000010000111110110000000100100 1 -b1000010000111110110000000100100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -09 -08 -b1000000100111101100111100100011 2 -b1000000100111101100111100100011 = -b1100110011111110110101011111100 < -b1000000100111101100111100100011 : -b11011010000111110110010000100111 $ -b11011010000111110110010000100111 - -b11011010000111110110010000100111 5 -b11011010000111110110010000100111 ? -b11011010000111110110010000100111 D -b1100110011111110110101011111100 % -b1100110011111110110101011111100 . -b1100110011111110110101011111100 6 -b1100110011111110110101011111100 @ -b1100110011111110110101011111100 F -b1000000100111101100111100100011 ) -#20928000 -0& -#20944000 -b101000111 , -#20960000 -1& -#20976000 -b1001000011001101010010010100110 " -b1001000011001101010010010100110 4 -b1001000011001110010100100001000 1 -b1001000011001110010100100001000 C -b11011101111011100111000000000000 0 -b11011101111011100111000000000000 H -b1001000011001101010010010100110 2 -b1001000011001101010010010100110 = -b1101011011011110010100100001010 < -b1001000011001101010010010100110 : -b11011100111101110111101110011100 $ -b11011100111101110111101110011100 - -b11011100111101110111101110011100 5 -b11011100111101110111101110011100 ? -b11011100111101110111101110011100 D -b1101011011011110010100100001010 % -b1101011011011110010100100001010 . -b1101011011011110010100100001010 6 -b1101011011011110010100100001010 @ -b1101011011011110010100100001010 F -b1001000011001101010010010100110 ) -#20992000 -0& -#21008000 -b101001000 , -#21024000 -1& -#21040000 -b1010011010101000010111010000000 " -b1010011010101000010111010000000 4 -b1010011010101000011000010000100 1 -b1010011010101000011000010000100 C -b1000111010011010100000000000000 0 -b1000111010011010100000000000000 H -b1010011010101000010111010000000 2 -b1010011010101000010111010000000 = -b1011111111111111011100110101100 < -b1010011010101000010111010000000 : -b11110011010101000111010011010100 $ -b11110011010101000111010011010100 - -b11110011010101000111010011010100 5 -b11110011010101000111010011010100 ? -b11110011010101000111010011010100 D -b1011111111111111011100110101100 % -b1011111111111111011100110101100 . -b1011111111111111011100110101100 6 -b1011111111111111011100110101100 @ -b1011111111111111011100110101100 F -b1010011010101000010111010000000 ) -#21056000 -0& -#21072000 -b101001001 , -#21088000 -1& -#21104000 -b111101111111011100111101010011 " -b111101111111011100111101010011 4 -b111101111111101101000010000000 1 -b111101111111101101000010000000 C -b11111010110000110000000000000000 0 -b11111010110000110000000000000000 H -b111101111111011100111101010011 2 -b111101111111011100111101010011 = -b111111111111101101010010010000 < -b111101111111011100111101010011 : -b11111101111111101111101011000011 $ -b11111101111111101111101011000011 - -b11111101111111101111101011000011 5 -b11111101111111101111101011000011 ? -b11111101111111101111101011000011 D -b111111111111101101010010010000 % -b111111111111101101010010010000 . -b111111111111101101010010010000 6 -b111111111111101101010010010000 @ -b111111111111101101010010010000 F -b111101111111011100111101010011 ) -#21120000 -0& -#21136000 -b101001010 , -#21152000 -1& -#21168000 -b11011000101001100000000110000101 " -b11011000101001100000000110000101 4 -b1011000101001100000000110100010 1 -b1011000101001100000000110100010 C -b11000111001101001011110100010000 0 -b11000111001101001011110100010000 H -19 -08 -b11011000101001100000000110000101 2 -b11011000101001100000000110000101 = -b1111111101111110110100111100011 < -b11011000101001100000000110000101 : -b1011000111001101001011110100010 $ -b1011000111001101001011110100010 - -b1011000111001101001011110100010 5 -b1011000111001101001011110100010 ? -b1011000111001101001011110100010 D -b1111111101111110110100111100011 % -b1111111101111110110100111100011 . -b1111111101111110110100111100011 6 -b1111111101111110110100111100011 @ -b1111111101111110110100111100011 F -b11011000101001100000000110000101 ) -#21184000 -0& -#21200000 -b101001011 , -#21216000 -1& -#21232000 -b10101010110101110011100011101110 " -b10101010110101110011100011101110 4 -b10101011010110010100000000110000 1 -b10101011010110010100000000110000 C -b1110000000000000000000000000 0 -b1110000000000000000000000000 H -18 -09 -b10101010110101110011100011101110 2 -b10101010110101110011100011101110 = -b10111011011111011100000010110110 < -b10101010110101110011100011101110 : -b11101111010110010111100000111000 $ -b11101111010110010111100000111000 - -b11101111010110010111100000111000 5 -b11101111010110010111100000111000 ? -b11101111010110010111100000111000 D -b10111011011111011100000010110110 % -b10111011011111011100000010110110 . -b10111011011111011100000010110110 6 -b10111011011111011100000010110110 @ -b10111011011111011100000010110110 F -b10101010110101110011100011101110 ) -#21248000 -0& -#21264000 -b101001100 , -#21280000 -1& -#21296000 -b11001110100111001100101000110010 " -b11001110100111001100101000110010 4 -b10110100111010101000001000101 1 -b10110100111010101000001000101 C -b11101111001111001010000000000000 0 -b11101111001111001010000000000000 H -18 -09 -b11001110100111001100101000110010 2 -b11001110100111001100101000110010 = -b10110111100111010101000001001101 < -b11001110100111001100101000110010 : -b10110111111110111100111100101 $ -b10110111111110111100111100101 - -b10110111111110111100111100101 5 -b10110111111110111100111100101 ? -b10110111111110111100111100101 D -b10110111100111010101000001001101 % -b10110111100111010101000001001101 . -b10110111100111010101000001001101 6 -b10110111100111010101000001001101 @ -b10110111100111010101000001001101 F -b11001110100111001100101000110010 ) -#21312000 -0& -#21328000 -b101001101 , -#21344000 -1& -#21360000 -b11001111111101110001011000100011 " -b11001111111101110001011000100011 4 -b1001111111110010010001010000100 1 -b1001111111110010010001010000100 C -b10100011010000000000000000000000 0 -b10100011010000000000000000000000 H -08 -19 -b11001111111101110001011000100011 2 -b11001111111101110001011000100011 = -b1111111111110010110001110010110 < -b11001111111101110001011000100011 : -b1001111111111011011001010001101 $ -b1001111111111011011001010001101 - -b1001111111111011011001010001101 5 -b1001111111111011011001010001101 ? -b1001111111111011011001010001101 D -b1111111111110010110001110010110 % -b1111111111110010110001110010110 . -b1111111111110010110001110010110 6 -b1111111111110010110001110010110 @ -b1111111111110010110001110010110 F -b11001111111101110001011000100011 ) -#21376000 -0& -#21392000 -b101001110 , -#21408000 -1& -#21424000 -b10111001101101001101001101000010 " -b10111001101101001101001101000010 4 -b1001001110001010001010001000011 1 -b1001001110001010001010001000011 C -b1101001101000101011100000000000 0 -b1101001101000101011100000000000 H -b10111001101101001101001101000010 2 -b10111001101101001101001101000010 = -b1101101111001111001111011101011 < -b10111001101101001101001101000010 : -b1001011110011010011010001010111 $ -b1001011110011010011010001010111 - -b1001011110011010011010001010111 5 -b1001011110011010011010001010111 ? -b1001011110011010011010001010111 D -b1101101111001111001111011101011 % -b1101101111001111001111011101011 . -b1101101111001111001111011101011 6 -b1101101111001111001111011101011 @ -b1101101111001111001111011101011 F -b10111001101101001101001101000010 ) -#21440000 -0& -#21456000 -b101001111 , -#21472000 -1& -#21488000 -b11101111100001101000100011101101 " -b11101111100001101000100011101101 4 -b1101111100001110010000000010000 1 -b1101111100001110010000000010000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -b11101111100001101000100011101101 2 -b11101111100001101000100011101101 = -b1111111101111110110000001011100 < -b11101111100001101000100011101101 : -b1101111110001110010100010010001 $ -b1101111110001110010100010010001 - -b1101111110001110010100010010001 5 -b1101111110001110010100010010001 ? -b1101111110001110010100010010001 D -b1111111101111110110000001011100 % -b1111111101111110110000001011100 . -b1111111101111110110000001011100 6 -b1111111101111110110000001011100 @ -b1111111101111110110000001011100 F -b11101111100001101000100011101101 ) -#21504000 -0& -#21520000 -b101010000 , -#21536000 -1& -#21552000 -b101101001101111001000111101100 " -b101101001101111001000111101100 4 -b110101001101111001001000010001 1 -b110101001101111001001000010001 C -b11100111101101100000000000000000 0 -b11100111101101100000000000000000 H -09 -08 -b101101001101111001000111101100 2 -b101101001101111001000111101100 = -b10110101111101111001111000010001 < -b101101001101111001000111101100 : -b1110111001111111111001111011011 $ -b1110111001111111111001111011011 - -b1110111001111111111001111011011 5 -b1110111001111111111001111011011 ? -b1110111001111111111001111011011 D -b10110101111101111001111000010001 % -b10110101111101111001111000010001 . -b10110101111101111001111000010001 6 -b10110101111101111001111000010001 @ -b10110101111101111001111000010001 F -b101101001101111001000111101100 ) -#21568000 -0& -#21584000 -b101010001 , -#21600000 -1& -#21616000 -b111001010100010000010000101000 " -b111001010100010000010000101000 4 -b111001010100010000100000101001 1 -b111001010100010000100000101001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -b111001010100010000010000101000 2 -b111001010100010000010000101000 = -b10111111111101110010101011111101 < -b111001010100010000010000101000 : -b1111001010110011101100100101011 $ -b1111001010110011101100100101011 - -b1111001010110011101100100101011 5 -b1111001010110011101100100101011 ? -b1111001010110011101100100101011 D -b10111111111101110010101011111101 % -b10111111111101110010101011111101 . -b10111111111101110010101011111101 6 -b10111111111101110010101011111101 @ -b10111111111101110010101011111101 F -b111001010100010000010000101000 ) -#21632000 -0& -#21648000 -b101010010 , -#21664000 -1& -#21680000 -b10101010010110111101001001101101 " -b10101010010110111101001001101101 4 -b101010011001000110001010000000 1 -b101010011001000110001010000000 C -b11111100111011001101110110011000 0 -b11111100111011001101110110011000 H -19 -08 -b10101010010110111101001001101101 2 -b10101010010110111101001001101101 = -b101011111001010110001110100001 < -b10101010010110111101001001101101 : -b1111110011101100110111011001100 $ -b1111110011101100110111011001100 - -b1111110011101100110111011001100 5 -b1111110011101100110111011001100 ? -b1111110011101100110111011001100 D -b101011111001010110001110100001 % -b101011111001010110001110100001 . -b101011111001010110001110100001 6 -b101011111001010110001110100001 @ -b101011111001010110001110100001 F -b10101010010110111101001001101101 ) -#21696000 -0& -#21712000 -b101010011 , -#21728000 -1& -#21744000 -b1011011111101101101000001101111 " -b1011011111101101101000001101111 4 -b1100100001101110110000001110000 1 -b1100100001101110110000001110000 C -b0 0 -b0 H -09 -08 -b1011011111101101101000001101111 2 -b1011011111101101101000001101111 = -b1110100101101110110001101111111 < -b1011011111101101101000001101111 : -b11100111001111110110110011110000 $ -b11100111001111110110110011110000 - -b11100111001111110110110011110000 5 -b11100111001111110110110011110000 ? -b11100111001111110110110011110000 D -b1110100101101110110001101111111 % -b1110100101101110110001101111111 . -b1110100101101110110001101111111 6 -b1110100101101110110001101111111 @ -b1110100101101110110001101111111 F -b1011011111101101101000001101111 ) -#21760000 -0& -#21776000 -b101010100 , -#21792000 -1& -#21808000 -b11111101011101101001001001001110 " -b11111101011101101001001001001110 4 -b1111101011110010001010000010001 1 -b1111101011110010001010000010001 C -b1101010000000000000000000000000 0 -b1101010000000000000000000000000 H -19 -08 -b11111101011101101001001001001110 2 -b11111101011101101001001001001110 = -b1111111111111010011010000011001 < -b11111101011101101001001001001110 : -b1111101011110010101111000110101 $ -b1111101011110010101111000110101 - -b1111101011110010101111000110101 5 -b1111101011110010101111000110101 ? -b1111101011110010101111000110101 D -b1111111111111010011010000011001 % -b1111111111111010011010000011001 . -b1111111111111010011010000011001 6 -b1111111111111010011010000011001 @ -b1111111111111010011010000011001 F -b11111101011101101001001001001110 ) -#21824000 -0& -#21840000 -b101010101 , -#21856000 -1& -#21872000 -b1110011111001100110101000110011 " -b1110011111001100110101000110011 4 -b1110011111001110001001001001000 1 -b1110011111001110001001001001000 C -b10111000100101110100000000000000 0 -b10111000100101110100000000000000 H -09 -08 -b1110011111001100110101000110011 2 -b1110011111001100110101000110011 = -b1110011111011110101011101001011 < -b1110011111001100110101000110011 : -b11111111111101110001001011101000 $ -b11111111111101110001001011101000 - -b11111111111101110001001011101000 5 -b11111111111101110001001011101000 ? -b11111111111101110001001011101000 D -b1110011111011110101011101001011 % -b1110011111011110101011101001011 . -b1110011111011110101011101001011 6 -b1110011111011110101011101001011 @ -b1110011111011110101011101001011 F -b1110011111001100110101000110011 ) -#21888000 -0& -#21904000 -b101010110 , -#21920000 -1& -#21936000 -b10001100101111100010001001111 " -b10001100101111100010001001111 4 -b10001100110000000000010000000 1 -b10001100110000000000010000000 C -b1110000001000000100000000000000 0 -b1110000001000000100000000000000 H -b10001100101111100010001001111 2 -b10001100101111100010001001111 = -b10010001110110100000001111001110 < -b10001100101111100010001001111 : -b1111111101111011100000010000001 $ -b1111111101111011100000010000001 - -b1111111101111011100000010000001 5 -b1111111101111011100000010000001 ? -b1111111101111011100000010000001 D -b10010001110110100000001111001110 % -b10010001110110100000001111001110 . -b10010001110110100000001111001110 6 -b10010001110110100000001111001110 @ -b10010001110110100000001111001110 F -b10001100101111100010001001111 ) -#21952000 -0& -#21968000 -b101010111 , -#21984000 -1& -#22000000 -b1111000010010111000010100010111 " -b1111000010010111000010100010111 4 -b1111000010101000000010100100000 1 -b1111000010101000000010100100000 C -b11110100010000000000000000000000 0 -b11110100010000000000000000000000 H -b1111000010010111000010100010111 2 -b1111000010010111000010100010111 = -b1111000110101110101010101110101 < -b1111000010010111000010100010111 : -b11111111011101000010111110100010 $ -b11111111011101000010111110100010 - -b11111111011101000010111110100010 5 -b11111111011101000010111110100010 ? -b11111111011101000010111110100010 D -b1111000110101110101010101110101 % -b1111000110101110101010101110101 . -b1111000110101110101010101110101 6 -b1111000110101110101010101110101 @ -b1111000110101110101010101110101 F -b1111000010010111000010100010111 ) -#22016000 -0& -#22032000 -b101011000 , -#22048000 -1& -#22064000 -b10000111110011011000100001010111 " -b10000111110011011000100001010111 4 -b10000111110011100000100010011000 1 -b10000111110011100000100010011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b10000111110011011000100001010111 2 -b10000111110011011000100001010111 = -b11011111110011110101110010011101 < -b10000111110011011000100001010111 : -b10100111111111100010101110111010 $ -b10100111111111100010101110111010 - -b10100111111111100010101110111010 5 -b10100111111111100010101110111010 ? -b10100111111111100010101110111010 D -b11011111110011110101110010011101 % -b11011111110011110101110010011101 . -b11011111110011110101110010011101 6 -b11011111110011110101110010011101 @ -b11011111110011110101110010011101 F -b10000111110011011000100001010111 ) -#22080000 -0& -#22096000 -b101011001 , -#22112000 -1& -#22128000 -b101100101000100001110100110011 " -b101100101000100001110100110011 4 -b1010100101000101000000101000000 1 -b1010100101000101000000101000000 C -b110011110001000000000000000000 0 -b110011110001000000000000000000 H -08 -b101100101000100001110100110011 2 -b101100101000100001110100110011 = -b11010101101001101000001101010001 < -b101100101000100001110100110011 : -b1010110111110111001100111100010 $ -b1010110111110111001100111100010 - -b1010110111110111001100111100010 5 -b1010110111110111001100111100010 ? -b1010110111110111001100111100010 D -b11010101101001101000001101010001 % -b11010101101001101000001101010001 . -b11010101101001101000001101010001 6 -b11010101101001101000001101010001 @ -b11010101101001101000001101010001 F -b101100101000100001110100110011 ) -#22144000 -0& -#22160000 -b101011010 , -#22176000 -1& -#22192000 -b11111111110101100100001000100111 " -b11111111110101100100001000100111 4 -b1111111110101100100001000101000 1 -b1111111110101100100001000101000 C -b10110100000000000000000000000000 0 -b10110100000000000000000000000000 H -19 -08 -b11111111110101100100001000100111 2 -b11111111110101100100001000100111 = -b1111111110101110111111101111010 < -b11111111110101100100001000100111 : -b1111111111111101100001010101101 $ -b1111111111111101100001010101101 - -b1111111111111101100001010101101 5 -b1111111111111101100001010101101 ? -b1111111111111101100001010101101 D -b1111111110101110111111101111010 % -b1111111110101110111111101111010 . -b1111111110101110111111101111010 6 -b1111111110101110111111101111010 @ -b1111111110101110111111101111010 F -b11111111110101100100001000100111 ) -#22208000 -0& -#22224000 -b101011011 , -#22240000 -1& -#22256000 -b11110101111111100001110001010000 " -b11110101111111100001110001010000 4 -b1110101111111100010010010010001 1 -b1110101111111100010010010010001 C -b10101000000000000000000000000000 0 -b10101000000000000000000000000000 H -b11110101111111100001110001010000 2 -b11110101111111100001110001010000 = -b1111101111111110110010110011011 < -b11110101111111100001110001010000 : -b1110111111111101011011010110101 $ -b1110111111111101011011010110101 - -b1110111111111101011011010110101 5 -b1110111111111101011011010110101 ? -b1110111111111101011011010110101 D -b1111101111111110110010110011011 % -b1111101111111110110010110011011 . -b1111101111111110110010110011011 6 -b1111101111111110110010110011011 @ -b1111101111111110110010110011011 F -b11110101111111100001110001010000 ) -#22272000 -0& -#22288000 -b101011100 , -#22304000 -1& -#22320000 -b11001110101011111101110000100001 " -b11001110101011111101110000100001 4 -b11001110101100000110000000100100 1 -b11001110101100000110000000100100 C -b11101110000111101000000000000000 0 -b11101110000111101000000000000000 H -18 -09 -b11001110101011111101110000100001 2 -b11001110101011111101110000100001 = -b11011110111100000110101100101101 < -b11001110101011111101110000100001 : -b11101111101111110111000011110100 $ -b11101111101111110111000011110100 - -b11101111101111110111000011110100 5 -b11101111101111110111000011110100 ? -b11101111101111110111000011110100 D -b11011110111100000110101100101101 % -b11011110111100000110101100101101 . -b11011110111100000110101100101101 6 -b11011110111100000110101100101101 @ -b11011110111100000110101100101101 F -b11001110101011111101110000100001 ) -#22336000 -0& -#22352000 -b101011101 , -#22368000 -1& -#22384000 -b10111011001111000110011010011111 " -b10111011001111000110011010011111 4 -b111011001111001000000000000000 1 -b111011001111001000000000000000 C -b11111111011100010010011001000000 0 -b11111111011100010010011001000000 H -08 -19 -b10111011001111000110011010011111 2 -b10111011001111000110011010011111 = -b111111001111101010001000000110 < -b10111011001111000110011010011111 : -b1111011111111011100010010011001 $ -b1111011111111011100010010011001 - -b1111011111111011100010010011001 5 -b1111011111111011100010010011001 ? -b1111011111111011100010010011001 D -b111111001111101010001000000110 % -b111111001111101010001000000110 . -b111111001111101010001000000110 6 -b111111001111101010001000000110 @ -b111111001111101010001000000110 F -b10111011001111000110011010011111 ) -#22400000 -0& -#22416000 -b101011110 , -#22432000 -1& -#22448000 -b1111001010110100111011011110011 " -b1111001010110100111011011110011 4 -b1111001010110101000000000000000 1 -b1111001010110101000000000000000 C -b11110000010000110000000000000000 0 -b11110000010000110000000000000000 H -09 -08 -b1111001010110100111011011110011 2 -b1111001010110100111011011110011 = -b11111111110111111000011010110000 < -b1111001010110100111011011110011 : -b1111001011110101111000001000011 $ -b1111001011110101111000001000011 - -b1111001011110101111000001000011 5 -b1111001011110101111000001000011 ? -b1111001011110101111000001000011 D -b11111111110111111000011010110000 % -b11111111110111111000011010110000 . -b11111111110111111000011010110000 6 -b11111111110111111000011010110000 @ -b11111111110111111000011010110000 F -b1111001010110100111011011110011 ) -#22464000 -0& -#22480000 -b101011111 , -#22496000 -1& -#22512000 -b10111000001111110000101000011100 " -b10111000001111110000101000011100 4 -b11001000010000000010010000000000 1 -b11001000010000000010010000000000 C -b10010100000001100110000110000000 0 -b10010100000001100110000110000000 H -18 -b10111000001111110000101000011100 2 -b10111000001111110000101000011100 = -b11001110111111101010010000000100 < -b10111000001111110000101000011100 : -b11101001010000000110011000011000 $ -b11101001010000000110011000011000 - -b11101001010000000110011000011000 5 -b11101001010000000110011000011000 ? -b11101001010000000110011000011000 D -b11001110111111101010010000000100 % -b11001110111111101010010000000100 . -b11001110111111101010010000000100 6 -b11001110111111101010010000000100 @ -b11001110111111101010010000000100 F -b10111000001111110000101000011100 ) -#22528000 -0& -#22544000 -b101100000 , -#22560000 -1& -#22576000 -b10111010101111001110010111001010 " -b10111010101111001110010111001010 4 -b111010101111010110011000001100 1 -b111010101111010110011000001100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -08 -19 -b10111010101111001110010111001010 2 -b10111010101111001110010111001010 = -b111110111111110111111010011100 < -b10111010101111001110010111001010 : -b1111011101111010110011100101110 $ -b1111011101111010110011100101110 - -b1111011101111010110011100101110 5 -b1111011101111010110011100101110 ? -b1111011101111010110011100101110 D -b111110111111110111111010011100 % -b111110111111110111111010011100 . -b111110111111110111111010011100 6 -b111110111111110111111010011100 @ -b111110111111110111111010011100 F -b10111010101111001110010111001010 ) -#22592000 -0& -#22608000 -b101100001 , -#22624000 -1& -#22640000 -b1010011111101010000100011011111 " -b1010011111101010000100011011111 4 -b1010011111101010001000000000000 1 -b1010011111101010001000000000000 C -b11110111001110001101011100000000 0 -b11110111001110001101011100000000 H -09 -08 -b1010011111101010000100011011111 2 -b1010011111101010000100011011111 = -b11011111111111011101000000001000 < -b1010011111101010000100011011111 : -b1110011111101110011100011010111 $ -b1110011111101110011100011010111 - -b1110011111101110011100011010111 5 -b1110011111101110011100011010111 ? -b1110011111101110011100011010111 D -b11011111111111011101000000001000 % -b11011111111111011101000000001000 . -b11011111111111011101000000001000 6 -b11011111111111011101000000001000 @ -b11011111111111011101000000001000 F -b1010011111101010000100011011111 ) -#22656000 -0& -#22672000 -b101100010 , -#22688000 -1& -#22704000 -b11001101110110101000011000000100 " -b11001101110110101000011000000100 4 -b11001101110111001100000000010001 1 -b11001101110111001100000000010001 C -b100011100010000000000000000000 0 -b100011100010000000000000000000 H -18 -b11001101110110101000011000000100 2 -b11001101110110101000011000000100 = -b11111101111111011100000110010011 < -b11001101110110101000011000000100 : -b11001111110111001100010001110001 $ -b11001111110111001100010001110001 - -b11001111110111001100010001110001 5 -b11001111110111001100010001110001 ? -b11001111110111001100010001110001 D -b11111101111111011100000110010011 % -b11111101111111011100000110010011 . -b11111101111111011100000110010011 6 -b11111101111111011100000110010011 @ -b11111101111111011100000110010011 F -b11001101110110101000011000000100 ) -#22720000 -0& -#22736000 -b101100011 , -#22752000 -1& -#22768000 -b10111000101000111110001100101 " -b10111000101000111110001100101 4 -b10111000101010000000100001000 1 -b10111000101010000000100001000 C -b11000000000000000000000000000 0 -b11000000000000000000000000000 H -08 -b10111000101000111110001100101 2 -b10111000101000111110001100101 = -b11111001101110010101101011001 < -b10111000101000111110001100101 : -b11110111110111010101000100001100 $ -b11110111110111010101000100001100 - -b11110111110111010101000100001100 5 -b11110111110111010101000100001100 ? -b11110111110111010101000100001100 D -b11111001101110010101101011001 % -b11111001101110010101101011001 . -b11111001101110010101101011001 6 -b11111001101110010101101011001 @ -b11111001101110010101101011001 F -b10111000101000111110001100101 ) -#22784000 -0& -#22800000 -b101100100 , -#22816000 -1& -#22832000 -b11001011111001101010000011101010 " -b11001011111001101010000011101010 4 -b11001011111010010010000100010100 1 -b11001011111010010010000100010100 C -b1010101000000000000000000000000 0 -b1010101000000000000000000000000 H -18 -b11001011111001101010000011101010 2 -b11001011111001101010000011101010 = -b11011111111010010110011110010110 < -b11001011111001101010000011101010 : -b11101011111111010011100101010100 $ -b11101011111111010011100101010100 - -b11101011111111010011100101010100 5 -b11101011111111010011100101010100 ? -b11101011111111010011100101010100 D -b11011111111010010110011110010110 % -b11011111111010010110011110010110 . -b11011111111010010110011110010110 6 -b11011111111010010110011110010110 @ -b11011111111010010110011110010110 F -b11001011111001101010000011101010 ) -#22848000 -0& -#22864000 -b101100101 , -#22880000 -1& -#22896000 -b11101110011111111010011100110100 " -b11101110011111111010011100110100 4 -b11110110011111111100100001000001 1 -b11110110011111111100100001000001 C -b10011100100001100000000000000000 0 -b10011100100001100000000000000000 H -b11101110011111111010011100110100 2 -b11101110011111111010011100110100 = -b11110111111111111101100011110001 < -b11101110011111111010011100110100 : -b11110110011111111100111001000011 $ -b11110110011111111100111001000011 - -b11110110011111111100111001000011 5 -b11110110011111111100111001000011 ? -b11110110011111111100111001000011 D -b11110111111111111101100011110001 % -b11110111111111111101100011110001 . -b11110111111111111101100011110001 6 -b11110111111111111101100011110001 @ -b11110111111111111101100011110001 F -b11101110011111111010011100110100 ) -#22912000 -0& -#22928000 -b101100110 , -#22944000 -1& -#22960000 -b10011011001100100001001000001001 " -b10011011001100100001001000001001 4 -b10100011001100100001010000010000 1 -b10100011001100100001010000010000 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -b10011011001100100001001000001001 2 -b10011011001100100001001000001001 = -b10100111011111100101110011011001 < -b10011011001100100001001000001001 : -b11110011101100111011010100110000 $ -b11110011101100111011010100110000 - -b11110011101100111011010100110000 5 -b11110011101100111011010100110000 ? -b11110011101100111011010100110000 D -b10100111011111100101110011011001 % -b10100111011111100101110011011001 . -b10100111011111100101110011011001 6 -b10100111011111100101110011011001 @ -b10100111011111100101110011011001 F -b10011011001100100001001000001001 ) -#22976000 -0& -#22992000 -b101100111 , -#23008000 -1& -#23024000 -b1111111011111100110010001111101 " -b1111111011111100110010001111101 4 -b10111111011111110010010100000010 1 -b10111111011111110010010100000010 C -b11111001101110011001000000000000 0 -b11111001101110011001000000000000 H -19 -18 -b1111111011111100110010001111101 2 -b1111111011111100110010001111101 = -b10111111111111110010110101001011 < -b1111111011111100110010001111101 : -b10111111011111110011011100110010 $ -b10111111011111110011011100110010 - -b10111111011111110011011100110010 5 -b10111111011111110011011100110010 ? -b10111111011111110011011100110010 D -b10111111111111110010110101001011 % -b10111111111111110010110101001011 . -b10111111111111110010110101001011 6 -b10111111111111110010110101001011 @ -b10111111111111110010110101001011 F -b1111111011111100110010001111101 ) -#23040000 -0& -#23056000 -b101101000 , -#23072000 -1& -#23088000 -b11110101111010101010101100101100 " -b11110101111010101010101100101100 4 -b11110110001010110001010001000001 1 -b11110110001010110001010001000001 C -b11011000101001110000100000000000 0 -b11011000101001110000100000000000 H -09 -18 -b11110101111010101010101100101100 2 -b11110101111010101010101100101100 = -b11111111001011111001011001001011 < -b11110101111010101010101100101100 : -b11110110101110110001010011100001 $ -b11110110101110110001010011100001 - -b11110110101110110001010011100001 5 -b11110110101110110001010011100001 ? -b11110110101110110001010011100001 D -b11111111001011111001011001001011 % -b11111111001011111001011001001011 . -b11111111001011111001011001001011 6 -b11111111001011111001011001001011 @ -b11111111001011111001011001001011 F -b11110101111010101010101100101100 ) -#23104000 -0& -#23120000 -b101101001 , -#23136000 -1& -#23152000 -b110100101110101001111010101011 " -b110100101110101001111010101011 4 -b110101001110110010001010110100 1 -b110101001110110010001010110100 C -b101011011100000000000000000000 0 -b101011011100000000000000000000 H -08 -b110100101110101001111010101011 2 -b110100101110101001111010101011 = -b11111111001111110011101111110100 < -b110100101110101001111010101011 : -b110101011110110110001010110111 $ -b110101011110110110001010110111 - -b110101011110110110001010110111 5 -b110101011110110110001010110111 ? -b110101011110110110001010110111 D -b11111111001111110011101111110100 % -b11111111001111110011101111110100 . -b11111111001111110011101111110100 6 -b11111111001111110011101111110100 @ -b11111111001111110011101111110100 F -b110100101110101001111010101011 ) -#23168000 -0& -#23184000 -b101101010 , -#23200000 -1& -#23216000 -b111101111100100111001010000 " -b111101111100100111001010000 4 -b111101111101000111010010001 1 -b111101111101000111010010001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b111101111100100111001010000 2 -b111101111100100111001010000 = -b11000111111111111011111010011111 < -b111101111100100111001010000 : -b111111101111101000111110110001 $ -b111111101111101000111110110001 - -b111111101111101000111110110001 5 -b111111101111101000111110110001 ? -b111111101111101000111110110001 D -b11000111111111111011111010011111 % -b11000111111111111011111010011111 . -b11000111111111111011111010011111 6 -b11000111111111111011111010011111 @ -b11000111111111111011111010011111 F -b111101111100100111001010000 ) -#23232000 -0& -#23248000 -b101101011 , -#23264000 -1& -#23280000 -b10010001001011000110110111100000 " -b10010001001011000110110111100000 4 -b10010001001011001011000000100001 1 -b10010001001011001011000000100001 C -b1011001011110100110111000000000 0 -b1011001011110100110111000000000 H -18 -b10010001001011000110110111100000 2 -b10010001001011000110110111100000 = -b10110011011111111011000010101001 < -b10010001001011000110110111100000 : -b11011101101011001011110100110111 $ -b11011101101011001011110100110111 - -b11011101101011001011110100110111 5 -b11011101101011001011110100110111 ? -b11011101101011001011110100110111 D -b10110011011111111011000010101001 % -b10110011011111111011000010101001 . -b10110011011111111011000010101001 6 -b10110011011111111011000010101001 @ -b10110011011111111011000010101001 F -b10010001001011000110110111100000 ) -#23296000 -0& -#23312000 -b101101100 , -#23328000 -1& -#23344000 -b11101011111010111111010101000111 " -b11101011111010111111010101000111 4 -b1101100111010111111100101001000 1 -b1101100111010111111100101001000 C -b11001111000000000000000000000000 0 -b11001111000000000000000000000000 H -08 -19 -b11101011111010111111010101000111 2 -b11101011111010111111010101000111 = -b1101110111010111111100101111000 < -b11101011111010111111010101000111 : -b1111100111111111111101111001111 $ -b1111100111111111111101111001111 - -b1111100111111111111101111001111 5 -b1111100111111111111101111001111 ? -b1111100111111111111101111001111 D -b1101110111010111111100101111000 % -b1101110111010111111100101111000 . -b1101110111010111111100101111000 6 -b1101110111010111111100101111000 @ -b1101110111010111111100101111000 F -b11101011111010111111010101000111 ) -#23360000 -0& -#23376000 -b101101101 , -#23392000 -1& -#23408000 -b1101111110100010111100110110101 " -b1101111110100010111100110110101 4 -b1101111111000011000010000010110 1 -b1101111111000011000010000010110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -09 -08 -b1101111110100010111100110110101 2 -b1101111110100010111100110110101 = -b11111111111011011111010010011110 < -b1101111110100010111100110110101 : -b1101111111000111000010100010111 $ -b1101111111000111000010100010111 - -b1101111111000111000010100010111 5 -b1101111111000111000010100010111 ? -b1101111111000111000010100010111 D -b11111111111011011111010010011110 % -b11111111111011011111010010011110 . -b11111111111011011111010010011110 6 -b11111111111011011111010010011110 @ -b11111111111011011111010010011110 F -b1101111110100010111100110110101 ) -#23424000 -0& -#23440000 -b101101110 , -#23456000 -1& -#23472000 -b1001111001100101011111001111010 " -b1001111001100101011111001111010 4 -b1001111001100110100000100000101 1 -b1001111001100110100000100000101 C -b100000101000000000000000000000 0 -b100000101000000000000000000000 H -b1001111001100101011111001111010 2 -b1001111001100101011111001111010 = -b1111111011111110110010101110101 < -b1001111001100101011111001111010 : -b11001111101100110101100100000101 $ -b11001111101100110101100100000101 - -b11001111101100110101100100000101 5 -b11001111101100110101100100000101 ? -b11001111101100110101100100000101 D -b1111111011111110110010101110101 % -b1111111011111110110010101110101 . -b1111111011111110110010101110101 6 -b1111111011111110110010101110101 @ -b1111111011111110110010101110101 F -b1001111001100101011111001111010 ) -#23488000 -0& -#23504000 -b101101111 , -#23520000 -1& -#23536000 -b1100101001010011010101111101100 " -b1100101001010011010101111101100 4 -b101001010100000010000110110 1 -b101001010100000010000110110 C -b1101100000000000000000000000 0 -b1101100000000000000000000000 H -b1100101001010011010101111101100 2 -b1100101001010011010101111101100 = -b11101011011100010011110110110 < -b1100101001010011010101111101100 : -b1000111101110111000010000110110 $ -b1000111101110111000010000110110 - -b1000111101110111000010000110110 5 -b1000111101110111000010000110110 ? -b1000111101110111000010000110110 D -b11101011011100010011110110110 % -b11101011011100010011110110110 . -b11101011011100010011110110110 6 -b11101011011100010011110110110 @ -b11101011011100010011110110110 F -b1100101001010011010101111101100 ) -#23552000 -0& -#23568000 -b101110000 , -#23584000 -1& -#23600000 -b111101100010010101111100111101 " -b111101100010010101111100111101 4 -b111110000010011000000101000000 1 -b111110000010011000000101000000 C -b11111000110101110001000000000000 0 -b11111000110101110001000000000000 H -b111101100010010101111100111101 2 -b111101100010010101111100111101 = -b11111111000110011101000111001100 < -b111101100010010101111100111101 : -b111110011011111000110101110001 $ -b111110011011111000110101110001 - -b111110011011111000110101110001 5 -b111110011011111000110101110001 ? -b111110011011111000110101110001 D -b11111111000110011101000111001100 % -b11111111000110011101000111001100 . -b11111111000110011101000111001100 6 -b11111111000110011101000111001100 @ -b11111111000110011101000111001100 F -b111101100010010101111100111101 ) -#23616000 -0& -#23632000 -b101110001 , -#23648000 -1& -#23664000 -b10011010100010111101100100111100 " -b10011010100010111101100100111100 4 -b10011010100011000001110000000000 1 -b10011010100011000001110000000000 C -b11011111100111010011010000000000 0 -b11011111100111010011010000000000 H -18 -b10011010100010111101100100111100 2 -b10011010100010111101100100111100 = -b11011010101011000011110000001000 < -b10011010100010111101100100111100 : -b10111111110111111001110100110100 $ -b10111111110111111001110100110100 - -b10111111110111111001110100110100 5 -b10111111110111111001110100110100 ? -b10111111110111111001110100110100 D -b11011010101011000011110000001000 % -b11011010101011000011110000001000 . -b11011010101011000011110000001000 6 -b11011010101011000011110000001000 @ -b11011010101011000011110000001000 F -b10011010100010111101100100111100 ) -#23680000 -0& -#23696000 -b101110010 , -#23712000 -1& -#23728000 -b11001011011011101100110010100100 " -b11001011011011101100110010100100 4 -b1001011011011101100110010100110 1 -b1001011011011101100110010100110 C -b11011111111100111010111110000000 0 -b11011111111100111010111110000000 H -08 -19 -b11001011011011101100110010100100 2 -b11001011011011101100110010100100 = -b1101011111011101111110111100110 < -b11001011011011101100110010100100 : -b1011111011111111100111010111110 $ -b1011111011111111100111010111110 - -b1011111011111111100111010111110 5 -b1011111011111111100111010111110 ? -b1011111011111111100111010111110 D -b1101011111011101111110111100110 % -b1101011111011101111110111100110 . -b1101011111011101111110111100110 6 -b1101011111011101111110111100110 @ -b1101011111011101111110111100110 F -b11001011011011101100110010100100 ) -#23744000 -0& -#23760000 -b101110011 , -#23776000 -1& -#23792000 -b10111001111100101001110110011101 " -b10111001111100101001110110011101 4 -b111010011110010010000001000010 1 -b111010011110010010000001000010 C -b1100000000000000000000000000 0 -b1100000000000000000000000000 H -b10111001111100101001110110011101 2 -b10111001111100101001110110011101 = -b111011011110010111010001011010 < -b10111001111100101001110110011101 : -b1111110011110010010100101000011 $ -b1111110011110010010100101000011 - -b1111110011110010010100101000011 5 -b1111110011110010010100101000011 ? -b1111110011110010010100101000011 D -b111011011110010111010001011010 % -b111011011110010111010001011010 . -b111011011110010111010001011010 6 -b111011011110010111010001011010 @ -b111011011110010111010001011010 F -b10111001111100101001110110011101 ) -#23808000 -0& -#23824000 -b101110100 , -#23840000 -1& -#23856000 -b111011011001101000010111010011 " -b111011011001101000010111010011 4 -b111011011001110010001000000100 1 -b111011011001110010001000000100 C -b1110110001001000111000000000000 0 -b1110110001001000111000000000000 H -09 -08 -b111011011001101000010111010011 2 -b111011011001101000010111010011 = -b111011011011110010001110001100 < -b111011011001101000010111010011 : -b11111111111101110110001001000111 $ -b11111111111101110110001001000111 - -b11111111111101110110001001000111 5 -b11111111111101110110001001000111 ? -b11111111111101110110001001000111 D -b111011011011110010001110001100 % -b111011011011110010001110001100 . -b111011011011110010001110001100 6 -b111011011011110010001110001100 @ -b111011011011110010001110001100 F -b111011011001101000010111010011 ) -#23872000 -0& -#23888000 -b101110101 , -#23904000 -1& -#23920000 -b1101111001011111001100111110011 " -b1101111001011111001100111110011 4 -b10001111001011111010000000110100 1 -b10001111001011111010000000110100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -18 -19 -b1101111001011111001100111110011 2 -b1101111001011111001100111110011 = -b10011111101111111110100010111100 < -b1101111001011111001100111110011 : -b11001111011011111011000100110111 $ -b11001111011011111011000100110111 - -b11001111011011111011000100110111 5 -b11001111011011111011000100110111 ? -b11001111011011111011000100110111 D -b10011111101111111110100010111100 % -b10011111101111111110100010111100 . -b10011111101111111110100010111100 6 -b10011111101111111110100010111100 @ -b10011111101111111110100010111100 F -b1101111001011111001100111110011 ) -#23936000 -0& -#23952000 -b101110110 , -#23968000 -1& -#23984000 -b10110011100000110010011011101100 " -b10110011100000110010011011101100 4 -b10110011100000110100100100101101 1 -b10110011100000110100100100101101 C -b11101110110111101000000000000000 0 -b11101110110111101000000000000000 H -09 -18 -b10110011100000110010011011101100 2 -b10110011100000110010011011101100 = -b11110111100111110100100100101111 < -b10110011100000110010011011101100 : -b10111011111000111101110110111101 $ -b10111011111000111101110110111101 - -b10111011111000111101110110111101 5 -b10111011111000111101110110111101 ? -b10111011111000111101110110111101 D -b11110111100111110100100100101111 % -b11110111100111110100100100101111 . -b11110111100111110100100100101111 6 -b11110111100111110100100100101111 @ -b11110111100111110100100100101111 F -b10110011100000110010011011101100 ) -#24000000 -0& -#24016000 -b101110111 , -#24032000 -1& -#24048000 -b1000011111010000110111010011001 " -b1000011111010000110111010011001 4 -b1000101111010010011001100100100 1 -b1000101111010010011001100100100 C -b1101100100000000000000000000000 0 -b1101100100000000000000000000000 H -08 -b1000011111010000110111010011001 2 -b1000011111010000110111010011001 = -b1000101111111110011101100110101 < -b1000011111010000110111010011001 : -b11111101111010010011001101100100 $ -b11111101111010010011001101100100 - -b11111101111010010011001101100100 5 -b11111101111010010011001101100100 ? -b11111101111010010011001101100100 D -b1000101111111110011101100110101 % -b1000101111111110011101100110101 . -b1000101111111110011101100110101 6 -b1000101111111110011101100110101 @ -b1000101111111110011101100110101 F -b1000011111010000110111010011001 ) -#24064000 -0& -#24080000 -b101111000 , -#24096000 -1& -#24112000 -b111110110010110010010111001110 " -b111110110010110010010111001110 4 -b111111000010110010100111010000 1 -b111111000010110010100111010000 C -b1110110000000000000000000000000 0 -b1110110000000000000000000000000 H -b111110110010110010010111001110 2 -b111110110010110010010111001110 = -b10111111001011110011101111110110 < -b111110110010110010010111001110 : -b1111111100110111110100111011000 $ -b1111111100110111110100111011000 - -b1111111100110111110100111011000 5 -b1111111100110111110100111011000 ? -b1111111100110111110100111011000 D -b10111111001011110011101111110110 % -b10111111001011110011101111110110 . -b10111111001011110011101111110110 6 -b10111111001011110011101111110110 @ -b10111111001011110011101111110110 F -b111110110010110010010111001110 ) -#24128000 -0& -#24144000 -b101111001 , -#24160000 -1& -#24176000 -b1111111101001000000100011111100 " -b1111111101001000000100011111100 4 -b10001111101010000000100100000000 1 -b10001111101010000000100100000000 C -b10101000101100010000000000000000 0 -b10101000101100010000000000000000 H -18 -19 -b1111111101001000000100011111100 2 -b1111111101001000000100011111100 = -b11001111101110010111110111101100 < -b1111111101001000000100011111100 : -b10101111111010101000101100010000 $ -b10101111111010101000101100010000 - -b10101111111010101000101100010000 5 -b10101111111010101000101100010000 ? -b10101111111010101000101100010000 D -b11001111101110010111110111101100 % -b11001111101110010111110111101100 . -b11001111101110010111110111101100 6 -b11001111101110010111110111101100 @ -b11001111101110010111110111101100 F -b1111111101001000000100011111100 ) -#24192000 -0& -#24208000 -b101111010 , -#24224000 -1& -#24240000 -b111011111000100010001011100101 " -b111011111000100010001011100101 4 -b111011111000100010010100001010 1 -b111011111000100010010100001010 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -08 -09 -b111011111000100010001011100101 2 -b111011111000100010001011100101 = -b11111011111100101011110110011011 < -b111011111000100010001011100101 : -b111111111011110110010101001010 $ -b111111111011110110010101001010 - -b111111111011110110010101001010 5 -b111111111011110110010101001010 ? -b111111111011110110010101001010 D -b11111011111100101011110110011011 % -b11111011111100101011110110011011 . -b11111011111100101011110110011011 6 -b11111011111100101011110110011011 @ -b11111011111100101011110110011011 F -b111011111000100010001011100101 ) -#24256000 -0& -#24272000 -b101111011 , -#24288000 -1& -#24304000 -b10110011110000010111001001100101 " -b10110011110000010111001001100101 4 -b10011110000010111001001101000 1 -b10011110000010111001001101000 C -b1110111011111111001000000000000 0 -b1110111011111111001000000000000 H -18 -b10110011110000010111001001100101 2 -b10110011110000010111001001100101 = -b10010111110010011111101001101100 < -b10110011110000010111001001100101 : -b11011111101110111011111111001 $ -b11011111101110111011111111001 - -b11011111101110111011111111001 5 -b11011111101110111011111111001 ? -b11011111101110111011111111001 D -b10010111110010011111101001101100 % -b10010111110010011111101001101100 . -b10010111110010011111101001101100 6 -b10010111110010011111101001101100 @ -b10010111110010011111101001101100 F -b10110011110000010111001001100101 ) -#24320000 -0& -#24336000 -b101111100 , -#24352000 -1& -#24368000 -b1001010010101001001100010101011 " -b1001010010101001001100010101011 4 -b1010100011001010100000100001100 1 -b1010100011001010100000100001100 C -b10100011110011100000000000000000 0 -b10100011110011100000000000000000 H -08 -b1001010010101001001100010101011 2 -b1001010010101001001100010101011 = -b1110100011001010101000100001111 < -b1001010010101001001100010101011 : -b11010101111011110100011110011100 $ -b11010101111011110100011110011100 - -b11010101111011110100011110011100 5 -b11010101111011110100011110011100 ? -b11010101111011110100011110011100 D -b1110100011001010101000100001111 % -b1110100011001010101000100001111 . -b1110100011001010101000100001111 6 -b1110100011001010101000100001111 @ -b1110100011001010101000100001111 F -b1001010010101001001100010101011 ) -#24384000 -0& -#24400000 -b101111101 , -#24416000 -1& -#24432000 -b10101001110011101001100111100110 " -b10101001110011101001100111100110 4 -b10101001110011110000000000001000 1 -b10101001110011110000000000001000 C -b0 0 -b0 H -18 -b10101001110011101001100111100110 2 -b10101001110011101001100111100110 = -b10111111111111111000100010011110 < -b10101001110011101001100111100110 : -b11101001110011110001000101001000 $ -b11101001110011110001000101001000 - -b11101001110011110001000101001000 5 -b11101001110011110001000101001000 ? -b11101001110011110001000101001000 D -b10111111111111111000100010011110 % -b10111111111111111000100010011110 . -b10111111111111111000100010011110 6 -b10111111111111111000100010011110 @ -b10111111111111111000100010011110 F -b10101001110011101001100111100110 ) -#24448000 -0& -#24464000 -b101111110 , -#24480000 -1& -#24496000 -b11000101001110101111011010111110 " -b11000101001110101111011010111110 4 -b1000101001111000000000011000000 1 -b1000101001111000000000011000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -19 -b11000101001110101111011010111110 2 -b11000101001110101111011010111110 = -b1111101011111001011000111011100 < -b11000101001110101111011010111110 : -b1000111101111100100010011100010 $ -b1000111101111100100010011100010 - -b1000111101111100100010011100010 5 -b1000111101111100100010011100010 ? -b1000111101111100100010011100010 D -b1111101011111001011000111011100 % -b1111101011111001011000111011100 . -b1111101011111001011000111011100 6 -b1111101011111001011000111011100 @ -b1111101011111001011000111011100 F -b11000101001110101111011010111110 ) -#24512000 -0& -#24528000 -b101111111 , -#24544000 -1& -#24560000 -b1111110110111101000011100111101 " -b1111110110111101000011100111101 4 -b11110111011110000000001000000 1 -b11110111011110000000001000000 C -b11011110000001001110100000000000 0 -b11011110000001001110100000000000 H -09 -08 -b1111110110111101000011100111101 2 -b1111110110111101000011100111101 = -b1011110111011111000010011001001 < -b1111110110111101000011100111101 : -b11111111011110000001001110100 $ -b11111111011110000001001110100 - -b11111111011110000001001110100 5 -b11111111011110000001001110100 ? -b11111111011110000001001110100 D -b1011110111011111000010011001001 % -b1011110111011111000010011001001 . -b1011110111011111000010011001001 6 -b1011110111011111000010011001001 @ -b1011110111011111000010011001001 F -b1111110110111101000011100111101 ) -#24576000 -0& -#24592000 -b110000000 , -#24608000 -1& -#24624000 -b11010010011110111111011110110001 " -b11010010011110111111011110110001 4 -b11010010011111000000100011001000 1 -b11010010011111000000100011001000 C -b11111011110111011101000000000000 0 -b11111011110111011101000000000000 H -18 -b11010010011110111111011110110001 2 -b11010010011110111111011110110001 = -b11110010011111100000100011001001 < -b11010010011110111111011110110001 : -b11011111111111011110111011101000 $ -b11011111111111011110111011101000 - -b11011111111111011110111011101000 5 -b11011111111111011110111011101000 ? -b11011111111111011110111011101000 D -b11110010011111100000100011001001 % -b11110010011111100000100011001001 . -b11110010011111100000100011001001 6 -b11110010011111100000100011001001 @ -b11110010011111100000100011001001 F -b11010010011110111111011110110001 ) -#24640000 -0& -#24656000 -b110000001 , -#24672000 -1& -#24688000 -b1100111111100100001001000011001 " -b1100111111100100001001000011001 4 -b1100111111101000001001000100000 1 -b1100111111101000001001000100000 C -b11111111111010100110011011110000 0 -b11111111111010100110011011110000 H -08 -b1100111111100100001001000011001 2 -b1100111111100100001001000011001 = -b1100111111111001101111010100001 < -b1100111111100100001001000011001 : -b11111111111101010011001101111000 $ -b11111111111101010011001101111000 - -b11111111111101010011001101111000 5 -b11111111111101010011001101111000 ? -b11111111111101010011001101111000 D -b1100111111111001101111010100001 % -b1100111111111001101111010100001 . -b1100111111111001101111010100001 6 -b1100111111111001101111010100001 @ -b1100111111111001101111010100001 F -b1100111111100100001001000011001 ) -#24704000 -0& -#24720000 -b110000010 , -#24736000 -1& -#24752000 -b11000010111111111001100111101001 " -b11000010111111111001100111101001 4 -b1000010111111111010110001110010 1 -b1000010111111111010110001110010 C -b1101011101100000000000000000000 0 -b1101011101100000000000000000000 H -19 -08 -b11000010111111111001100111101001 2 -b11000010111111111001100111101001 = -b1101111111111111110110001110011 < -b11000010111111111001100111101001 : -b1010010111111111010110101110110 $ -b1010010111111111010110101110110 - -b1010010111111111010110101110110 5 -b1010010111111111010110101110110 ? -b1010010111111111010110101110110 D -b1101111111111111110110001110011 % -b1101111111111111110110001110011 . -b1101111111111111110110001110011 6 -b1101111111111111110110001110011 @ -b1101111111111111110110001110011 F -b11000010111111111001100111101001 ) -#24768000 -0& -#24784000 -b110000011 , -#24800000 -1& -#24816000 -b11110000101101111101011111001011 " -b11110000101101111101011111001011 4 -b11110000101110000000000000010000 1 -b11110000101110000000000000010000 C -b1000100111001000000000000000000 0 -b1000100111001000000000000000000 H -18 -09 -b11110000101101111101011111001011 2 -b11110000101101111101011111001011 = -b11110101101111101000011010010010 < -b11110000101101111101011111001011 : -b11111010111110010101000100111001 $ -b11111010111110010101000100111001 - -b11111010111110010101000100111001 5 -b11111010111110010101000100111001 ? -b11111010111110010101000100111001 D -b11110101101111101000011010010010 % -b11110101101111101000011010010010 . -b11110101101111101000011010010010 6 -b11110101101111101000011010010010 @ -b11110101101111101000011010010010 F -b11110000101101111101011111001011 ) -#24832000 -0& -#24848000 -b110000100 , -#24864000 -1& -#24880000 -b1010110001101100001010000110011 " -b1010110001101100001010000110011 4 -b1010110001101100001010001000000 1 -b1010110001101100001010001000000 C -b1010111101111100001111001010011 0 -b1010111101111100001111001010011 H -08 -b1010110001101100001010000110011 2 -b1010110001101100001010000110011 = -b11111110011101111111010111100000 < -b1010110001101100001010000110011 : -b1010111101111100001111001010011 $ -b1010111101111100001111001010011 - -b1010111101111100001111001010011 5 -b1010111101111100001111001010011 ? -b1010111101111100001111001010011 D -b11111110011101111111010111100000 % -b11111110011101111111010111100000 . -b11111110011101111111010111100000 6 -b11111110011101111111010111100000 @ -b11111110011101111111010111100000 F -b1010110001101100001010000110011 ) -#24896000 -0& -#24912000 -b110000101 , -#24928000 -1& -#24944000 -b1000010100010011001110100100 " -b1000010100010011001110100100 4 -b1000010100011000000000101000 1 -b1000010100011000000000101000 C -b1011001000101111000000000000000 0 -b1011001000101111000000000000000 H -b1000010100010011001110100100 2 -b1000010100010011001110100100 = -b1111010011110111010001000101100 < -b1000010100010011001110100100 : -b10001101110101011001000101111000 $ -b10001101110101011001000101111000 - -b10001101110101011001000101111000 5 -b10001101110101011001000101111000 ? -b10001101110101011001000101111000 D -b1111010011110111010001000101100 % -b1111010011110111010001000101100 . -b1111010011110111010001000101100 6 -b1111010011110111010001000101100 @ -b1111010011110111010001000101100 F -b1000010100010011001110100100 ) -#24960000 -0& -#24976000 -b110000110 , -#24992000 -1& -#25008000 -b110011110101100101111000000100 " -b110011110101100101111000000100 4 -b1010100110101110000000000000101 1 -b1010100110101110000000000000101 C -b11100000101111101110000000000000 0 -b11100000101111101110000000000000 H -b110011110101100101111000000100 2 -b110011110101100101111000000100 = -b11011110110111110101100000001101 < -b110011110101100101111000000100 : -b1010100111101110000010111110111 $ -b1010100111101110000010111110111 - -b1010100111101110000010111110111 5 -b1010100111101110000010111110111 ? -b1010100111101110000010111110111 D -b11011110110111110101100000001101 % -b11011110110111110101100000001101 . -b11011110110111110101100000001101 6 -b11011110110111110101100000001101 @ -b11011110110111110101100000001101 F -b110011110101100101111000000100 ) -#25024000 -0& -#25040000 -b110000111 , -#25056000 -1& -#25072000 -b1101111111111101011100100111000 " -b1101111111111101011100100111000 4 -b1110001111111110000001010000001 1 -b1110001111111110000001010000001 C -b11111011111111110100110101101110 0 -b11111011111111110100110101101110 H -b1101111111111101011100100111000 2 -b1101111111111101011100100111000 = -b1110001111111110001001010000001 < -b1101111111111101011100100111000 : -b11111101111111111010011010110111 $ -b11111101111111111010011010110111 - -b11111101111111111010011010110111 5 -b11111101111111111010011010110111 ? -b11111101111111111010011010110111 D -b1110001111111110001001010000001 % -b1110001111111110001001010000001 . -b1110001111111110001001010000001 6 -b1110001111111110001001010000001 @ -b1110001111111110001001010000001 F -b1101111111111101011100100111000 ) -#25088000 -0& -#25104000 -b110001000 , -#25120000 -1& -#25136000 -b11101111001011010101010101110011 " -b11101111001011010101010101110011 4 -b101111001011100000000010000000 1 -b101111001011100000000010000000 C -b100110000110000000000000000 0 -b100110000110000000000000000 H -18 -b11101111001011010101010101110011 2 -b11101111001011010101010101110011 = -b10111111111011100101000010110000 < -b11101111001011010101010101110011 : -b101111001111110000010011000011 $ -b101111001111110000010011000011 - -b101111001111110000010011000011 5 -b101111001111110000010011000011 ? -b101111001111110000010011000011 D -b10111111111011100101000010110000 % -b10111111111011100101000010110000 . -b10111111111011100101000010110000 6 -b10111111111011100101000010110000 @ -b10111111111011100101000010110000 F -b11101111001011010101010101110011 ) -#25152000 -0& -#25168000 -b110001001 , -#25184000 -1& -#25200000 -b11011010001001111010100110100110 " -b11011010001001111010100110100110 4 -b1011010001001111100001011001000 1 -b1011010001001111100001011001000 C -b1111100011011011010000000000000 0 -b1111100011011011010000000000000 H -08 -19 -b11011010001001111010100110100110 2 -b11011010001001111010100110100110 = -b1111110111011111110001011001100 < -b11011010001001111010100110100110 : -b1011011001101111100011011011010 $ -b1011011001101111100011011011010 - -b1011011001101111100011011011010 5 -b1011011001101111100011011011010 ? -b1011011001101111100011011011010 D -b1111110111011111110001011001100 % -b1111110111011111110001011001100 . -b1111110111011111110001011001100 6 -b1111110111011111110001011001100 @ -b1111110111011111110001011001100 F -b11011010001001111010100110100110 ) -#25216000 -0& -#25232000 -b110001010 , -#25248000 -1& -#25264000 -b10001000111001000000000001001111 " -b10001000111001000000000001001111 4 -b10001001001001000000000001010000 1 -b10001001001001000000000001010000 C -b10000111111000000000000000000000 0 -b10000111111000000000000000000000 H -18 -09 -b10001000111001000000000001001111 2 -b10001000111001000000000001001111 = -b10001001101101100010111101010011 < -b10001000111001000000000001001111 : -b11111111001011011101000011111100 $ -b11111111001011011101000011111100 - -b11111111001011011101000011111100 5 -b11111111001011011101000011111100 ? -b11111111001011011101000011111100 D -b10001001101101100010111101010011 % -b10001001101101100010111101010011 . -b10001001101101100010111101010011 6 -b10001001101101100010111101010011 @ -b10001001101101100010111101010011 F -b10001000111001000000000001001111 ) -#25280000 -0& -#25296000 -b110001011 , -#25312000 -1& -#25328000 -b101111011111001111111101111101 " -b101111011111001111111101111101 4 -b101111100011010000000110000110 1 -b101111100011010000000110000110 C -b1110001110000000000000000000000 0 -b1110001110000000000000000000000 H -08 -b101111011111001111111101111101 2 -b101111011111001111111101111101 = -b101111110011011110110110110110 < -b101111011111001111111101111101 : -b11111111101011110001000111000111 $ -b11111111101011110001000111000111 - -b11111111101011110001000111000111 5 -b11111111101011110001000111000111 ? -b11111111101011110001000111000111 D -b101111110011011110110110110110 % -b101111110011011110110110110110 . -b101111110011011110110110110110 6 -b101111110011011110110110110110 @ -b101111110011011110110110110110 F -b101111011111001111111101111101 ) -#25344000 -0& -#25360000 -b110001100 , -#25376000 -1& -#25392000 -b1101100000011101001010110110111 " -b1101100000011101001010110110111 4 -b1101100000011110000000111000000 1 -b1101100000011110000000111000000 C -b111100001100000000000000000000 0 -b111100001100000000000000000000 H -b1101100000011101001010110110111 2 -b1101100000011101001010110110111 = -b11101100100111110001000111110100 < -b1101100000011101001010110110111 : -b1111111011011111000001111000011 $ -b1111111011011111000001111000011 - -b1111111011011111000001111000011 5 -b1111111011011111000001111000011 ? -b1111111011011111000001111000011 D -b11101100100111110001000111110100 % -b11101100100111110001000111110100 . -b11101100100111110001000111110100 6 -b11101100100111110001000111110100 @ -b11101100100111110001000111110100 F -b1101100000011101001010110110111 ) -#25408000 -0& -#25424000 -b110001101 , -#25440000 -1& -#25456000 -b1111111110010100001010110100100 " -b1111111110010100001010110100100 4 -b1111111110010100001100000110000 1 -b1111111110010100001100000110000 C -b1111100001101000000000000000000 0 -b1111100001101000000000000000000 H -b1111111110010100001010110100100 2 -b1111111110010100001010110100100 = -b1111111110110111001100101110000 < -b1111111110010100001010110100100 : -b11111111111011100111110000110100 $ -b11111111111011100111110000110100 - -b11111111111011100111110000110100 5 -b11111111111011100111110000110100 ? -b11111111111011100111110000110100 D -b1111111110110111001100101110000 % -b1111111110110111001100101110000 . -b1111111110110111001100101110000 6 -b1111111110110111001100101110000 @ -b1111111110110111001100101110000 F -b1111111110010100001010110100100 ) -#25472000 -0& -#25488000 -b110001110 , -#25504000 -1& -#25520000 -b110111011101011000101000100011 " -b110111011101011000101000100011 4 -b110111011101100001001001000100 1 -b110111011101100001001001000100 C -b1001101010100000000000000000 0 -b1001101010100000000000000000 H -b110111011101011000101000100011 2 -b110111011101011000101000100011 = -b111111111101110111011011001111 < -b110111011101011000101000100011 : -b11110111011111100001001101010100 $ -b11110111011111100001001101010100 - -b11110111011111100001001101010100 5 -b11110111011111100001001101010100 ? -b11110111011111100001001101010100 D -b111111111101110111011011001111 % -b111111111101110111011011001111 . -b111111111101110111011011001111 6 -b111111111101110111011011001111 @ -b111111111101110111011011001111 F -b110111011101011000101000100011 ) -#25536000 -0& -#25552000 -b110001111 , -#25568000 -1& -#25584000 -b10011100111101110010100000001001 " -b10011100111101110010100000001001 4 -b11100111101110010100010000010 1 -b11100111101110010100010000010 C -b1111111110111111111001000011100 0 -b1111111110111111111001000011100 H -19 -08 -b10011100111101110010100000001001 2 -b10011100111101110010100000001001 = -b111100111111110010101110000010 < -b10011100111101110010100000001001 : -b1011111111101111111110010000111 $ -b1011111111101111111110010000111 - -b1011111111101111111110010000111 5 -b1011111111101111111110010000111 ? -b1011111111101111111110010000111 D -b111100111111110010101110000010 % -b111100111111110010101110000010 . -b111100111111110010101110000010 6 -b111100111111110010101110000010 @ -b111100111111110010101110000010 F -b10011100111101110010100000001001 ) -#25600000 -0& -#25616000 -b110010000 , -#25632000 -1& -#25648000 -b11000111101101100010000100110110 " -b11000111101101100010000100110110 4 -b11001000101101101001000000010111 1 -b11001000101101101001000000010111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -09 -b11000111101101100010000100110110 2 -b11000111101101100010000100110110 = -b11111100111101111001000100011111 < -b11000111101101100010000100110110 : -b11001010101111101001000000010111 $ -b11001010101111101001000000010111 - -b11001010101111101001000000010111 5 -b11001010101111101001000000010111 ? -b11001010101111101001000000010111 D -b11111100111101111001000100011111 % -b11111100111101111001000100011111 . -b11111100111101111001000100011111 6 -b11111100111101111001000100011111 @ -b11111100111101111001000100011111 F -b11000111101101100010000100110110 ) -#25664000 -0& -#25680000 -b110010001 , -#25696000 -1& -#25712000 -b11001111010000111111001011010101 " -b11001111010000111111001011010101 4 -b11010111010001000001010001010110 1 -b11010111010001000001010001010110 C -b10111110000000000000000000000 0 -b10111110000000000000000000000 H -b11001111010000111111001011010101 2 -b11001111010000111111001011010101 = -b11010111111111001101011001110110 < -b11001111010000111111001011010101 : -b11110111010001110001110001011111 $ -b11110111010001110001110001011111 - -b11110111010001110001110001011111 5 -b11110111010001110001110001011111 ? -b11110111010001110001110001011111 D -b11010111111111001101011001110110 % -b11010111111111001101011001110110 . -b11010111111111001101011001110110 6 -b11010111111111001101011001110110 @ -b11010111111111001101011001110110 F -b11001111010000111111001011010101 ) -#25728000 -0& -#25744000 -b110010010 , -#25760000 -1& -#25776000 -b10101011001011101000111010101101 " -b10101011001011101000111010101101 4 -b101101001011101001000000000010 1 -b101101001011101001000000000010 C -b11110110101000010001000000000000 0 -b11110110101000010001000000000000 H -08 -19 -b10101011001011101000111010101101 2 -b10101011001011101000111010101101 = -b111101011011111011101010001011 < -b10101011001011101000111010101101 : -b1101101101111101101010000100010 $ -b1101101101111101101010000100010 - -b1101101101111101101010000100010 5 -b1101101101111101101010000100010 ? -b1101101101111101101010000100010 D -b111101011011111011101010001011 % -b111101011011111011101010001011 . -b111101011011111011101010001011 6 -b111101011011111011101010001011 @ -b111101011011111011101010001011 F -b10101011001011101000111010101101 ) -#25792000 -0& -#25808000 -b110010011 , -#25824000 -1& -#25840000 -b1010101010001101011011001111101 " -b1010101010001101011011001111101 4 -b1010101010001110100101010000110 1 -b1010101010001110100101010000110 C -b10101011101101010101101100000000 0 -b10101011101101010101101100000000 H -09 -08 -b1010101010001101011011001111101 2 -b1010101010001101011011001111101 = -b11111111111011110100101111000111 < -b1010101010001101011011001111101 : -b1010101010101110110101010110110 $ -b1010101010101110110101010110110 - -b1010101010101110110101010110110 5 -b1010101010101110110101010110110 ? -b1010101010101110110101010110110 D -b11111111111011110100101111000111 % -b11111111111011110100101111000111 . -b11111111111011110100101111000111 6 -b11111111111011110100101111000111 @ -b11111111111011110100101111000111 F -b1010101010001101011011001111101 ) -#25856000 -0& -#25872000 -b110010100 , -#25888000 -1& -#25904000 -b11101011010001111111110011010 " -b11101011010001111111110011010 4 -b11101011010010000000010100001 1 -b11101011010010000000010100001 C -b10111011110101110001000111110010 0 -b10111011110101110001000111110010 H -b11101011010001111111110011010 2 -b11101011010001111111110011010 = -b10111111011111010111011010100001 < -b11101011010001111111110011010 : -b1011101111010111000100011111001 $ -b1011101111010111000100011111001 - -b1011101111010111000100011111001 5 -b1011101111010111000100011111001 ? -b1011101111010111000100011111001 D -b10111111011111010111011010100001 % -b10111111011111010111011010100001 . -b10111111011111010111011010100001 6 -b10111111011111010111011010100001 @ -b10111111011111010111011010100001 F -b11101011010001111111110011010 ) -#25920000 -0& -#25936000 -b110010101 , -#25952000 -1& -#25968000 -b1111110111000011111100101011 " -b1111110111000011111100101011 4 -b1111110111001000000000110100 1 -b1111110111001000000000110100 C -b11010000000000000000000000000 0 -b11010000000000000000000000000 H -b1111110111000011111100101011 2 -b1111110111000011111100101011 = -b10101111110111111000110011110111 < -b1111110111000011111100101011 : -b1011111111111001011001000110100 $ -b1011111111111001011001000110100 - -b1011111111111001011001000110100 5 -b1011111111111001011001000110100 ? -b1011111111111001011001000110100 D -b10101111110111111000110011110111 % -b10101111110111111000110011110111 . -b10101111110111111000110011110111 6 -b10101111110111111000110011110111 @ -b10101111110111111000110011110111 F -b1111110111000011111100101011 ) -#25984000 -0& -#26000000 -b110010110 , -#26016000 -1& -#26032000 -b11000101101001011100011011011011 " -b11000101101001011100011011011011 4 -b11000101101010100000000000101100 1 -b11000101101010100000000000101100 C -b10110000011000101111000000000000 0 -b10110000011000101111000000000000 H -18 -b11000101101001011100011011011011 2 -b11000101101001011100011011011011 = -b11111111101110101100000010101100 < -b11000101101001011100011011011011 : -b11000101111010110000011000101111 $ -b11000101111010110000011000101111 - -b11000101111010110000011000101111 5 -b11000101111010110000011000101111 ? -b11000101111010110000011000101111 D -b11111111101110101100000010101100 % -b11111111101110101100000010101100 . -b11111111101110101100000010101100 6 -b11111111101110101100000010101100 @ -b11111111101110101100000010101100 F -b11000101101001011100011011011011 ) -#26048000 -0& -#26064000 -b110010111 , -#26080000 -1& -#26096000 -b10000010110110101010101010011110 " -b10000010110110101010101010011110 4 -b10000100110110110001010100100000 1 -b10000100110110110001010100100000 C -b1010110101000000000000000000000 0 -b1010110101000000000000000000000 H -b10000010110110101010101010011110 2 -b10000010110110101010101010011110 = -b11101101110111111001010100110100 < -b10000010110110101010101010011110 : -b10010100111110110001010101101010 $ -b10010100111110110001010101101010 - -b10010100111110110001010101101010 5 -b10010100111110110001010101101010 ? -b10010100111110110001010101101010 D -b11101101110111111001010100110100 % -b11101101110111111001010100110100 . -b11101101110111111001010100110100 6 -b11101101110111111001010100110100 @ -b11101101110111111001010100110100 F -b10000010110110101010101010011110 ) -#26112000 -0& -#26128000 -b110011000 , -#26144000 -1& -#26160000 -b1101101111111001111010111101101 " -b1101101111111001111010111101101 4 -b1101101111111010000100000110000 1 -b1101101111111010000100000110000 C -b10111000000000000000000000000 0 -b10111000000000000000000000000 H -08 -b1101101111111001111010111101101 2 -b1101101111111001111010111101101 = -b1101101111111011010110100110101 < -b1101101111111001111010111101101 : -b11111111111111110100100010111000 $ -b11111111111111110100100010111000 - -b11111111111111110100100010111000 5 -b11111111111111110100100010111000 ? -b11111111111111110100100010111000 D -b1101101111111011010110100110101 % -b1101101111111011010110100110101 . -b1101101111111011010110100110101 6 -b1101101111111011010110100110101 @ -b1101101111111011010110100110101 F -b1101101111111001111010111101101 ) -#26176000 -0& -#26192000 -b110011001 , -#26208000 -1& -#26224000 -b1011111111110100000101001101010 " -b1011111111110100000101001101010 4 -b10011111111110100000101100000011 1 -b10011111111110100000101100000011 C -b11111101111111111010000110000000 0 -b11111101111111111010000110000000 H -18 -19 -b1011111111110100000101001101010 2 -b1011111111110100000101001101010 = -b10011111111111100000101100100111 < -b1011111111110100000101001101010 : -b10111111111110111111111101000011 $ -b10111111111110111111111101000011 - -b10111111111110111111111101000011 5 -b10111111111110111111111101000011 ? -b10111111111110111111111101000011 D -b10011111111111100000101100100111 % -b10011111111111100000101100100111 . -b10011111111111100000101100100111 6 -b10011111111111100000101100100111 @ -b10011111111111100000101100100111 F -b1011111111110100000101001101010 ) -#26240000 -0& -#26256000 -b110011010 , -#26272000 -1& -#26288000 -b1110111110010000101010000010000 " -b1110111110010000101010000010000 4 -b1110111110010010000000000010001 1 -b1110111110010010000000000010001 C -b1000111011000000000000000000000 0 -b1000111011000000000000000000000 H -08 -09 -b1110111110010000101010000010000 2 -b1110111110010000101010000010000 = -b11110111111111110000000111010101 < -b1110111110010000101010000010000 : -b1111111110010010101001000111011 $ -b1111111110010010101001000111011 - -b1111111110010010101001000111011 5 -b1111111110010010101001000111011 ? -b1111111110010010101001000111011 D -b11110111111111110000000111010101 % -b11110111111111110000000111010101 . -b11110111111111110000000111010101 6 -b11110111111111110000000111010101 @ -b11110111111111110000000111010101 F -b1110111110010000101010000010000 ) -#26304000 -0& -#26320000 -b110011011 , -#26336000 -1& -#26352000 -b11010110011110100111101100111000 " -b11010110011110100111101100111000 4 -b1010110011111001000000001001001 1 -b1010110011111001000000001001001 C -b11100101100101110110100000000000 0 -b11100101100101110110100000000000 H -19 -08 -b11010110011110100111101100111000 2 -b11010110011110100111101100111000 = -b1110110111111011100100001001011 < -b11010110011110100111101100111000 : -b1011111011111001011001011101101 $ -b1011111011111001011001011101101 - -b1011111011111001011001011101101 5 -b1011111011111001011001011101101 ? -b1011111011111001011001011101101 D -b1110110111111011100100001001011 % -b1110110111111011100100001001011 . -b1110110111111011100100001001011 6 -b1110110111111011100100001001011 @ -b1110110111111011100100001001011 F -b11010110011110100111101100111000 ) -#26368000 -0& -#26384000 -b110011100 , -#26400000 -1& -#26416000 -b1011100110011100011101001110100 " -b1011100110011100011101001110100 4 -b1011100110011100100010000001001 1 -b1011100110011100100010000001001 C -b11110010001100010100100000000000 0 -b11110010001100010100100000000000 H -09 -08 -b1011100110011100011101001110100 2 -b1011100110011100011101001110100 = -b1011111111011111111010001001011 < -b1011100110011100011101001110100 : -b11111100110111100100011000101001 $ -b11111100110111100100011000101001 - -b11111100110111100100011000101001 5 -b11111100110111100100011000101001 ? -b11111100110111100100011000101001 D -b1011111111011111111010001001011 % -b1011111111011111111010001001011 . -b1011111111011111111010001001011 6 -b1011111111011111111010001001011 @ -b1011111111011111111010001001011 F -b1011100110011100011101001110100 ) -#26432000 -0& -#26448000 -b110011101 , -#26464000 -1& -#26480000 -b100100101111010000001010100100 " -b100100101111010000001010100100 4 -b101000101111010001000001000001 1 -b101000101111010001000001000001 C -b1110001111110110110010010000110 0 -b1110001111110110110010010000110 H -b100100101111010000001010100100 2 -b100100101111010000001010100100 = -b1101011101111110101000001100001 < -b100100101111010000001010100100 : -b10111000111111011011001001000011 $ -b10111000111111011011001001000011 - -b10111000111111011011001001000011 5 -b10111000111111011011001001000011 ? -b10111000111111011011001001000011 D -b1101011101111110101000001100001 % -b1101011101111110101000001100001 . -b1101011101111110101000001100001 6 -b1101011101111110101000001100001 @ -b1101011101111110101000001100001 F -b100100101111010000001010100100 ) -#26496000 -0& -#26512000 -b110011110 , -#26528000 -1& -#26544000 -b10011110010010100101010110110010 " -b10011110010010100101010110110010 4 -b11110100011000000001001000011 1 -b11110100011000000001001000011 C -b1110010100100110011100000000000 0 -b1110010100100110011100000000000 H -19 -08 -b10011110010010100101010110110010 2 -b10011110010010100101010110110010 = -b11111100111000000001101001011 < -b10011110010010100101010110110010 : -b1111110101011100101001001100111 $ -b1111110101011100101001001100111 - -b1111110101011100101001001100111 5 -b1111110101011100101001001100111 ? -b1111110101011100101001001100111 D -b11111100111000000001101001011 % -b11111100111000000001101001011 . -b11111100111000000001101001011 6 -b11111100111000000001101001011 @ -b11111100111000000001101001011 F -b10011110010010100101010110110010 ) -#26560000 -0& -#26576000 -b110011111 , -#26592000 -1& -#26608000 -b10001000000100110001001010100001 " -b10001000000100110001001010100001 4 -b1000000101000000010001000010 1 -b1000000101000000010001000010 C -b10000001100101001100000000000000 0 -b10000001100101001100000000000000 H -b10001000000100110001001010100001 2 -b10001000000100110001001010100001 = -b1001001010101010000110001001110 < -b10001000000100110001001010100001 : -b111110101111100000011001010011 $ -b111110101111100000011001010011 - -b111110101111100000011001010011 5 -b111110101111100000011001010011 ? -b111110101111100000011001010011 D -b1001001010101010000110001001110 % -b1001001010101010000110001001110 . -b1001001010101010000110001001110 6 -b1001001010101010000110001001110 @ -b1001001010101010000110001001110 F -b10001000000100110001001010100001 ) -#26624000 -0& -#26640000 -b110100000 , -#26656000 -1& -#26672000 -b1111001101001011111011101110000 " -b1111001101001011111011101110000 4 -b1111001101001100111100000000000 1 -b1111001101001100111100000000000 C -b1111101010000000000000000000000 0 -b1111101010000000000000000000000 H -09 -08 -b1111001101001011111011101110000 2 -b1111001101001011111011101110000 = -b1111001101001110111101000110000 < -b1111001101001011111011101110000 : -b11111111111111100111110101000000 $ -b11111111111111100111110101000000 - -b11111111111111100111110101000000 5 -b11111111111111100111110101000000 ? -b11111111111111100111110101000000 D -b1111001101001110111101000110000 % -b1111001101001110111101000110000 . -b1111001101001110111101000110000 6 -b1111001101001110111101000110000 @ -b1111001101001110111101000110000 F -b1111001101001011111011101110000 ) -#26688000 -0& -#26704000 -b110100001 , -#26720000 -1& -#26736000 -b11101010110001111101010100111 " -b11101010110001111101010100111 4 -b11101011000010000000011000000 1 -b11101011000010000000011000000 C -b111111011101110101100011100111 0 -b111111011101110101100011100111 H -b11101010110001111101010100111 2 -b11101010110001111101010100111 = -b11011101111000011010000111000000 < -b11101010110001111101010100111 : -b111111011101110101100011100111 $ -b111111011101110101100011100111 - -b111111011101110101100011100111 5 -b111111011101110101100011100111 ? -b111111011101110101100011100111 D -b11011101111000011010000111000000 % -b11011101111000011010000111000000 . -b11011101111000011010000111000000 6 -b11011101111000011010000111000000 @ -b11011101111000011010000111000000 F -b11101010110001111101010100111 ) -#26752000 -0& -#26768000 -b110100010 , -#26784000 -1& -#26800000 -b1010111111001101110010101000111 " -b1010111111001101110010101000111 4 -b1010111111010110000000000001000 1 -b1010111111010110000000000001000 C -b110100000000000000000000000000 0 -b110100000000000000000000000000 H -b1010111111001101110010101000111 2 -b1010111111001101110010101000111 = -b11111111111010111110000000111010 < -b1010111111001101110010101000111 : -b1010111111110110000010100001101 $ -b1010111111110110000010100001101 - -b1010111111110110000010100001101 5 -b1010111111110110000010100001101 ? -b1010111111110110000010100001101 D -b11111111111010111110000000111010 % -b11111111111010111110000000111010 . -b11111111111010111110000000111010 6 -b11111111111010111110000000111010 @ -b11111111111010111110000000111010 F -b1010111111001101110010101000111 ) -#26816000 -0& -#26832000 -b110100011 , -#26848000 -1& -#26864000 -b111000100000100111001111001 " -b111000100000100111001111001 4 -b1000001000001001000000000000 1 -b1000001000001001000000000000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b111000100000100111001111001 2 -b111000100000100111001111001 = -b11011000011001011011101001011001 < -b111000100000100111001111001 : -b101110101010101001010000100000 $ -b101110101010101001010000100000 - -b101110101010101001010000100000 5 -b101110101010101001010000100000 ? -b101110101010101001010000100000 D -b11011000011001011011101001011001 % -b11011000011001011011101001011001 . -b11011000011001011011101001011001 6 -b11011000011001011011101001011001 @ -b11011000011001011011101001011001 F -b111000100000100111001111001 ) -#26880000 -0& -#26896000 -b110100100 , -#26912000 -1& -#26928000 -b11010011000010100010110110001000 " -b11010011000010100010110110001000 4 -b1010011000011010000000110001100 1 -b1010011000011010000000110001100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -19 -08 -b11010011000010100010110110001000 2 -b11010011000010100010110110001000 = -b1111111110011010000101110011100 < -b11010011000010100010110110001000 : -b1010011001111010010000111101100 $ -b1010011001111010010000111101100 - -b1010011001111010010000111101100 5 -b1010011001111010010000111101100 ? -b1010011001111010010000111101100 D -b1111111110011010000101110011100 % -b1111111110011010000101110011100 . -b1111111110011010000101110011100 6 -b1111111110011010000101110011100 @ -b1111111110011010000101110011100 F -b11010011000010100010110110001000 ) -#26944000 -0& -#26960000 -b110100101 , -#26976000 -1& -#26992000 -b10010111111101111101011011101010 " -b10010111111101111101011011101010 4 -b10111111110000000100000001101 1 -b10111111110000000100000001101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b10010111111101111101011011101010 2 -b10010111111101111101011011101010 = -b111111111111011100101001011101 < -b10010111111101111101011011101010 : -b1010111111110100000110010001101 $ -b1010111111110100000110010001101 - -b1010111111110100000110010001101 5 -b1010111111110100000110010001101 ? -b1010111111110100000110010001101 D -b111111111111011100101001011101 % -b111111111111011100101001011101 . -b111111111111011100101001011101 6 -b111111111111011100101001011101 @ -b111111111111011100101001011101 F -b10010111111101111101011011101010 ) -#27008000 -0& -#27024000 -b110100110 , -#27040000 -1& -#27056000 -b10111101011011101110100000010000 " -b10111101011011101110100000010000 4 -b10111101011011110000000000100001 1 -b10111101011011110000000000100001 C -b11111111111110110001011101101000 0 -b11111111111110110001011101101000 H -18 -09 -b10111101011011101110100000010000 2 -b10111101011011101110100000010000 = -b11111101011011111000010100100011 < -b10111101011011101110100000010000 : -b10111111111111110110001011101101 $ -b10111111111111110110001011101101 - -b10111111111111110110001011101101 5 -b10111111111111110110001011101101 ? -b10111111111111110110001011101101 D -b11111101011011111000010100100011 % -b11111101011011111000010100100011 . -b11111101011011111000010100100011 6 -b11111101011011111000010100100011 @ -b11111101011011111000010100100011 F -b10111101011011101110100000010000 ) -#27072000 -0& -#27088000 -b110100111 , -#27104000 -1& -#27120000 -b1110101100111101101010111011111 " -b1110101100111101101010111011111 4 -b1111000101000101110000000100000 1 -b1111000101000101110000000100000 C -b1110001011110100101110000000000 0 -b1110001011110100101110000000000 H -08 -b1110101100111101101010111011111 2 -b1110101100111101101010111011111 = -b1111000101110111110000100100111 < -b1110101100111101101010111011111 : -b11111100111000101111010010111000 $ -b11111100111000101111010010111000 - -b11111100111000101111010010111000 5 -b11111100111000101111010010111000 ? -b11111100111000101111010010111000 D -b1111000101110111110000100100111 % -b1111000101110111110000100100111 . -b1111000101110111110000100100111 6 -b1111000101110111110000100100111 @ -b1111000101110111110000100100111 F -b1110101100111101101010111011111 ) -#27136000 -0& -#27152000 -b110101000 , -#27168000 -1& -#27184000 -b11111111111010101111101111011001 " -b11111111111010101111101111011001 4 -b11111111111010110000000000011010 1 -b11111111111010110000000000011010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -18 -b11111111111010101111101111011001 2 -b11111111111010101111101111011001 = -b11111111111111111101100010111110 < -b11111111111010101111101111011001 : -b11111111111010110010001100011011 $ -b11111111111010110010001100011011 - -b11111111111010110010001100011011 5 -b11111111111010110010001100011011 ? -b11111111111010110010001100011011 D -b11111111111111111101100010111110 % -b11111111111111111101100010111110 . -b11111111111111111101100010111110 6 -b11111111111111111101100010111110 @ -b11111111111111111101100010111110 F -b11111111111010101111101111011001 ) -#27200000 -0& -#27216000 -b110101001 , -#27232000 -1& -#27248000 -b11110001111110000000011100101101 " -b11110001111110000000011100101101 4 -b11110001111110000001000100110000 1 -b11110001111110000001000100110000 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -b11110001111110000000011100101101 2 -b11110001111110000000011100101101 = -b11111011111111110111010101111001 < -b11110001111110000000011100101101 : -b11110101111110001001000110110100 $ -b11110101111110001001000110110100 - -b11110101111110001001000110110100 5 -b11110101111110001001000110110100 ? -b11110101111110001001000110110100 D -b11111011111111110111010101111001 % -b11111011111111110111010101111001 . -b11111011111111110111010101111001 6 -b11111011111111110111010101111001 @ -b11111011111111110111010101111001 F -b11110001111110000000011100101101 ) -#27264000 -0& -#27280000 -b110101010 , -#27296000 -1& -#27312000 -b1110111111011001000100011101100 " -b1110111111011001000100011101100 4 -b1111001111101001000100100001101 1 -b1111001111101001000100100001101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -08 -b1110111111011001000100011101100 2 -b1110111111011001000100011101100 = -b1111001111101001001100100011101 < -b1110111111011001000100011101100 : -b11111101111101111110111111001111 $ -b11111101111101111110111111001111 - -b11111101111101111110111111001111 5 -b11111101111101111110111111001111 ? -b11111101111101111110111111001111 D -b1111001111101001001100100011101 % -b1111001111101001001100100011101 . -b1111001111101001001100100011101 6 -b1111001111101001001100100011101 @ -b1111001111101001001100100011101 F -b1110111111011001000100011101100 ) -#27328000 -0& -#27344000 -b110101011 , -#27360000 -1& -#27376000 -b11001101001101001110101010101101 " -b11001101001101001110101010101101 4 -b1001101001101010000010000000000 1 -b1001101001101010000010000000000 C -b1101101101111110010011000001101 0 -b1101101101111110010011000001101 H -19 -08 -b11001101001101001110101010101101 2 -b11001101001101001110101010101101 = -b1011111011101011100010010100000 < -b11001101001101001110101010101101 : -b1101101101111110010011000001101 $ -b1101101101111110010011000001101 - -b1101101101111110010011000001101 5 -b1101101101111110010011000001101 ? -b1101101101111110010011000001101 D -b1011111011101011100010010100000 % -b1011111011101011100010010100000 . -b1011111011101011100010010100000 6 -b1011111011101011100010010100000 @ -b1011111011101011100010010100000 F -b11001101001101001110101010101101 ) -#27392000 -0& -#27408000 -b110101100 , -#27424000 -1& -#27440000 -b1101011111011010000111001011111 " -b1101011111011010000111001011111 4 -b1101011111011010001000000100000 1 -b1101011111011010001000000100000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -09 -08 -b1101011111011010000111001011111 2 -b1101011111011010000111001011111 = -b1101011111011111001010000111101 < -b1101011111011010000111001011111 : -b11111111111111010111101000100010 $ -b11111111111111010111101000100010 - -b11111111111111010111101000100010 5 -b11111111111111010111101000100010 ? -b11111111111111010111101000100010 D -b1101011111011111001010000111101 % -b1101011111011111001010000111101 . -b1101011111011111001010000111101 6 -b1101011111011111001010000111101 @ -b1101011111011111001010000111101 F -b1101011111011010000111001011111 ) -#27456000 -0& -#27472000 -b110101101 , -#27488000 -1& -#27504000 -b1010111110101100110000001001010 " -b1010111110101100110000001001010 4 -b1010111110110101010000010001101 1 -b1010111110110101010000010001101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b1010111110101100110000001001010 2 -b1010111110101100110000001001010 = -b11011111110110111011000110111101 < -b1010111110101100110000001001010 : -b1110111111110101010111010001101 $ -b1110111111110101010111010001101 - -b1110111111110101010111010001101 5 -b1110111111110101010111010001101 ? -b1110111111110101010111010001101 D -b11011111110110111011000110111101 % -b11011111110110111011000110111101 . -b11011111110110111011000110111101 6 -b11011111110110111011000110111101 @ -b11011111110110111011000110111101 F -b1010111110101100110000001001010 ) -#27520000 -0& -#27536000 -b110101110 , -#27552000 -1& -#27568000 -b11010010110101011110110110101001 " -b11010010110101011110110110101001 4 -b11010010111001100001000110101010 1 -b11010010111001100001000110101010 C -b0 0 -b0 H -18 -b11010010110101011110110110101001 2 -b11010010110101011110110110101001 = -b11011010111011100101100111111111 < -b11010010110101011110110110101001 : -b11110111111001111001001110101010 $ -b11110111111001111001001110101010 - -b11110111111001111001001110101010 5 -b11110111111001111001001110101010 ? -b11110111111001111001001110101010 D -b11011010111011100101100111111111 % -b11011010111011100101100111111111 . -b11011010111011100101100111111111 6 -b11011010111011100101100111111111 @ -b11011010111011100101100111111111 F -b11010010110101011110110110101001 ) -#27584000 -0& -#27600000 -b110101111 , -#27616000 -1& -#27632000 -b10111010011110101001111100101 " -b10111010011110101001111100101 4 -b11001010011110101100000000110 1 -b11001010011110101100000000110 C -b1111011110111101100011111000000 0 -b1111011110111101100011111000000 H -08 -b10111010011110101001111100101 2 -b10111010011110101001111100101 = -b1011001010111111101100011000110 < -b10111010011110101001111100101 : -b10111101111011110111101100011111 $ -b10111101111011110111101100011111 - -b10111101111011110111101100011111 5 -b10111101111011110111101100011111 ? -b10111101111011110111101100011111 D -b1011001010111111101100011000110 % -b1011001010111111101100011000110 . -b1011001010111111101100011000110 6 -b1011001010111111101100011000110 @ -b1011001010111111101100011000110 F -b10111010011110101001111100101 ) -#27648000 -0& -#27664000 -b110110000 , -#27680000 -1& -#27696000 -b111101001101100011101111101000 " -b111101001101100011101111101000 4 -b111101010010110000110000000100 1 -b111101010010110000110000000100 C -b11010100101100101100010001000000 0 -b11010100101100101100010001000000 H -b111101001101100011101111101000 2 -b111101001101100011101111101000 = -b1111111111010110000111110100100 < -b111101001101100011101111101000 : -b10111101010010110010110001000100 $ -b10111101010010110010110001000100 - -b10111101010010110010110001000100 5 -b10111101010010110010110001000100 ? -b10111101010010110010110001000100 D -b1111111111010110000111110100100 % -b1111111111010110000111110100100 . -b1111111111010110000111110100100 6 -b1111111111010110000111110100100 @ -b1111111111010110000111110100100 F -b111101001101100011101111101000 ) -#27712000 -0& -#27728000 -b110110001 , -#27744000 -1& -#27760000 -b11001000011111010100100010000100 " -b11001000011111010100100010000100 4 -b1001000011111100101001000001000 1 -b1001000011111100101001000001000 C -b11101111011000111000000000000000 0 -b11101111011000111000000000000000 H -19 -08 -b11001000011111010100100010000100 2 -b11001000011111010100100010000100 = -b1001110011111100101001001001100 < -b11001000011111010100100010000100 : -b1111001111111101111011000111000 $ -b1111001111111101111011000111000 - -b1111001111111101111011000111000 5 -b1111001111111101111011000111000 ? -b1111001111111101111011000111000 D -b1001110011111100101001001001100 % -b1001110011111100101001001001100 . -b1001110011111100101001001001100 6 -b1001110011111100101001001001100 @ -b1001110011111100101001001001100 F -b11001000011111010100100010000100 ) -#27776000 -0& -#27792000 -b110110010 , -#27808000 -1& -#27824000 -b1110101111111001000111101101101 " -b1110101111111001000111101101101 4 -b1110101111111010001000000000010 1 -b1110101111111010001000000000010 C -b11011111111111011111010000101100 0 -b11011111111111011111010000101100 H -09 -08 -b1110101111111001000111101101101 2 -b1110101111111001000111101101101 = -b11111101111111010001001001100010 < -b1110101111111001000111101101101 : -b1110111111111110111110100001011 $ -b1110111111111110111110100001011 - -b1110111111111110111110100001011 5 -b1110111111111110111110100001011 ? -b1110111111111110111110100001011 D -b11111101111111010001001001100010 % -b11111101111111010001001001100010 . -b11111101111111010001001001100010 6 -b11111101111111010001001001100010 @ -b11111101111111010001001001100010 F -b1110101111111001000111101101101 ) -#27840000 -0& -#27856000 -b110110011 , -#27872000 -1& -#27888000 -b11101101011111100101011100011100 " -b11101101011111100101011100011100 4 -b1110001101111100110100000011101 1 -b1110001101111100110100000011101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -19 -08 -b11101101011111100101011100011100 2 -b11101101011111100101011100011100 = -b1111011101111110110111010011101 < -b11101101011111100101011100011100 : -b1110001101111101110100001111111 $ -b1110001101111101110100001111111 - -b1110001101111101110100001111111 5 -b1110001101111101110100001111111 ? -b1110001101111101110100001111111 D -b1111011101111110110111010011101 % -b1111011101111110110111010011101 . -b1111011101111110110111010011101 6 -b1111011101111110110111010011101 @ -b1111011101111110110111010011101 F -b11101101011111100101011100011100 ) -#27904000 -0& -#27920000 -b110110100 , -#27936000 -1& -#27952000 -b10101110110110000011111001011101 " -b10101110110110000011111001011101 4 -b1001110110110010000001010000010 1 -b1001110110110010000001010000010 C -b10001011001011000000000000000000 0 -b10001011001011000000000000000000 H -b10101110110110000011111001011101 2 -b10101110110110000011111001011101 = -b1001110111111010001101110010010 < -b10101110110110000011111001011101 : -b1011111110110110010001011001011 $ -b1011111110110110010001011001011 - -b1011111110110110010001011001011 5 -b1011111110110110010001011001011 ? -b1011111110110110010001011001011 D -b1001110111111010001101110010010 % -b1001110111111010001101110010010 . -b1001110111111010001101110010010 6 -b1001110111111010001101110010010 @ -b1001110111111010001101110010010 F -b10101110110110000011111001011101 ) -#27968000 -0& -#27984000 -b110110101 , -#28000000 -1& -#28016000 -b1001111001011010101010101001000 " -b1001111001011010101010101001000 4 -b1010011010011011001010110000001 1 -b1010011010011011001010110000001 C -b10100111100110110111111110001110 0 -b10100111100110110111111110001110 H -09 -08 -b1001111001011010101010101001000 2 -b1001111001011010101010101001000 = -b11111011010111111001010110000001 < -b1001111001011010101010101001000 : -b1010011110011011011111111000111 $ -b1010011110011011011111111000111 - -b1010011110011011011111111000111 5 -b1010011110011011011111111000111 ? -b1010011110011011011111111000111 D -b11111011010111111001010110000001 % -b11111011010111111001010110000001 . -b11111011010111111001010110000001 6 -b11111011010111111001010110000001 @ -b11111011010111111001010110000001 F -b1001111001011010101010101001000 ) -#28032000 -0& -#28048000 -b110110110 , -#28064000 -1& -#28080000 -b111110101101101110111101011000 " -b111110101101101110111101011000 4 -b111110110010110001000000101001 1 -b111110110010110001000000101001 C -b1101010000001010110000000000000 0 -b1101010000001010110000000000000 H -b111110101101101110111101011000 2 -b111110101101101110111101011000 = -b1111110111010111001111100101101 < -b111110101101101110111101011000 : -b10111111110010110101000000101011 $ -b10111111110010110101000000101011 - -b10111111110010110101000000101011 5 -b10111111110010110101000000101011 ? -b10111111110010110101000000101011 D -b1111110111010111001111100101101 % -b1111110111010111001111100101101 . -b1111110111010111001111100101101 6 -b1111110111010111001111100101101 @ -b1111110111010111001111100101101 F -b111110101101101110111101011000 ) -#28096000 -0& -#28112000 -b110110111 , -#28128000 -1& -#28144000 -b11011101110110101011011100101111 " -b11011101110110101011011100101111 4 -b1011101110110110001100100110000 1 -b1011101110110110001100100110000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -19 -08 -b11011101110110101011011100101111 2 -b11011101110110101011011100101111 = -b1111111111111111001110101111011 < -b11011101110110101011011100101111 : -b1011101110110110001100110110100 $ -b1011101110110110001100110110100 - -b1011101110110110001100110110100 5 -b1011101110110110001100110110100 ? -b1011101110110110001100110110100 D -b1111111111111111001110101111011 % -b1111111111111111001110101111011 . -b1111111111111111001110101111011 6 -b1111111111111111001110101111011 @ -b1111111111111111001110101111011 F -b11011101110110101011011100101111 ) -#28160000 -0& -#28176000 -b110111000 , -#28192000 -1& -#28208000 -b11001101110101111000011001110001 " -b11001101110101111000011001110001 4 -b1001101110101111001000100010100 1 -b1001101110101111001000100010100 C -b1010101110100000000000000000000 0 -b1010101110100000000000000000000 H -b11001101110101111000011001110001 2 -b11001101110101111000011001110001 = -b1011111111101111011000100010100 < -b11001101110101111000011001110001 : -b1101101110111111101010101011101 $ -b1101101110111111101010101011101 - -b1101101110111111101010101011101 5 -b1101101110111111101010101011101 ? -b1101101110111111101010101011101 D -b1011111111101111011000100010100 % -b1011111111101111011000100010100 . -b1011111111101111011000100010100 6 -b1011111111101111011000100010100 @ -b1011111111101111011000100010100 F -b11001101110101111000011001110001 ) -#28224000 -0& -#28240000 -b110111001 , -#28256000 -1& -#28272000 -b11010111011010111011000101011000 " -b11010111011010111011000101011000 4 -b11100111011011000000000101011001 1 -b11100111011011000000000101011001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -18 -09 -b11010111011010111011000101011000 2 -b11010111011010111011000101011000 = -b11100111111111111000000101011011 < -b11010111011010111011000101011000 : -b11101111011011000010111111111101 $ -b11101111011011000010111111111101 - -b11101111011011000010111111111101 5 -b11101111011011000010111111111101 ? -b11101111011011000010111111111101 D -b11100111111111111000000101011011 % -b11100111111111111000000101011011 . -b11100111111111111000000101011011 6 -b11100111111111111000000101011011 @ -b11100111111111111000000101011011 F -b11010111011010111011000101011000 ) -#28288000 -0& -#28304000 -b110111010 , -#28320000 -1& -#28336000 -b11111000101101101110110001111111 " -b11111000101101101110110001111111 4 -b11111000101101110001000010000000 1 -b11111000101101110001000010000000 C -b11110101101011010011000000000000 0 -b11110101101011010011000000000000 H -b11111000101101101110110001111111 2 -b11111000101101101110110001111111 = -b11111011101101111001000110101100 < -b11111000101101101110110001111111 : -b11111100111111110101101011010011 $ -b11111100111111110101101011010011 - -b11111100111111110101101011010011 5 -b11111100111111110101101011010011 ? -b11111100111111110101101011010011 D -b11111011101101111001000110101100 % -b11111011101101111001000110101100 . -b11111011101101111001000110101100 6 -b11111011101101111001000110101100 @ -b11111011101101111001000110101100 F -b11111000101101101110110001111111 ) -#28352000 -0& -#28368000 -b110111011 , -#28384000 -1& -#28400000 -b110101111111010101000010010111 " -b110101111111010101000010010111 4 -b110101111111011001001000000000 1 -b110101111111011001001000000000 C -b1001010000101000000000000000000 0 -b1001010000101000000000000000000 H -08 -b110101111111010101000010010111 2 -b110101111111010101000010010111 = -b1110111111111111011111000010010 < -b110101111111010101000010010111 : -b10111101111111011001001010000101 $ -b10111101111111011001001010000101 - -b10111101111111011001001010000101 5 -b10111101111111011001001010000101 ? -b10111101111111011001001010000101 D -b1110111111111111011111000010010 % -b1110111111111111011111000010010 . -b1110111111111111011111000010010 6 -b1110111111111111011111000010010 @ -b1110111111111111011111000010010 F -b110101111111010101000010010111 ) -#28416000 -0& -#28432000 -b110111100 , -#28448000 -1& -#28464000 -b1110010100101110001100110011101 " -b1110010100101110001100110011101 4 -b10000100100101110010000000000010 1 -b10000100100101110010000000000010 C -b1011100101001000100110000000000 0 -b1011100101001000100110000000000 H -18 -19 -b1110010100101110001100110011101 2 -b1110010100101110001100110011101 = -b10100101111111111111000010001010 < -b1110010100101110001100110011101 : -b11001100100101110010100100010011 $ -b11001100100101110010100100010011 - -b11001100100101110010100100010011 5 -b11001100100101110010100100010011 ? -b11001100100101110010100100010011 D -b10100101111111111111000010001010 % -b10100101111111111111000010001010 . -b10100101111111111111000010001010 6 -b10100101111111111111000010001010 @ -b10100101111111111111000010001010 F -b1110010100101110001100110011101 ) -#28480000 -0& -#28496000 -b110111101 , -#28512000 -1& -#28528000 -b11000100010101001111000100011100 " -b11000100010101001111000100011100 4 -b100011001100000001000101101 1 -b100011001100000001000101101 C -b1110111010101101000000000000000 0 -b1110111010101101000000000000000 H -09 -18 -b11000100010101001111000100011100 2 -b11000100010101001111000100011100 = -b10111110011001100000001001101111 < -b11000100010101001111000100011100 : -b101111011101110111010101101 $ -b101111011101110111010101101 - -b101111011101110111010101101 5 -b101111011101110111010101101 ? -b101111011101110111010101101 D -b10111110011001100000001001101111 % -b10111110011001100000001001101111 . -b10111110011001100000001001101111 6 -b10111110011001100000001001101111 @ -b10111110011001100000001001101111 F -b11000100010101001111000100011100 ) -#28544000 -0& -#28560000 -b110111110 , -#28576000 -1& -#28592000 -b11110111001011101101001111011100 " -b11110111001011101101001111011100 4 -b11110111001011110000000011011101 1 -b11110111001011110000000011011101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11110111001011101101001111011100 2 -b11110111001011101101001111011100 = -b11111111001011110101000011111111 < -b11110111001011101101001111011100 : -b11110111111111111000001011011101 $ -b11110111111111111000001011011101 - -b11110111111111111000001011011101 5 -b11110111111111111000001011011101 ? -b11110111111111111000001011011101 D -b11111111001011110101000011111111 % -b11111111001011110101000011111111 . -b11111111001011110101000011111111 6 -b11111111001011110101000011111111 @ -b11111111001011110101000011111111 F -b11110111001011101101001111011100 ) -#28608000 -0& -#28624000 -b110111111 , -#28640000 -1& -#28656000 -b11100000011100011001100010101 " -b11100000011100011001100010101 4 -b101100000011100100000000011010 1 -b101100000011100100000000011010 C -b1101100000000000000000000000000 0 -b1101100000000000000000000000000 H -08 -b11100000011100011001100010101 2 -b11100000011100011001100010101 = -b101110000011101111001000111010 < -b11100000011100011001100010101 : -b11101101111111110100000011011011 $ -b11101101111111110100000011011011 - -b11101101111111110100000011011011 5 -b11101101111111110100000011011011 ? -b11101101111111110100000011011011 D -b101110000011101111001000111010 % -b101110000011101111001000111010 . -b101110000011101111001000111010 6 -b101110000011101111001000111010 @ -b101110000011101111001000111010 F -b11100000011100011001100010101 ) -#28672000 -0& -#28688000 -b111000000 , -#28704000 -1& -#28720000 -b110100000010010010110001110 " -b110100000010010010110001110 4 -b110100000010100100001000000 1 -b110100000010100100001000000 C -b1111110101001110010000100110000 0 -b1111110101001110010000100110000 H -b110100000010010010110001110 2 -b110100000010010010110001110 = -b100110110101110101110101000010 < -b110100000010010010110001110 : -b11011111101010011100100001001100 $ -b11011111101010011100100001001100 - -b11011111101010011100100001001100 5 -b11011111101010011100100001001100 ? -b11011111101010011100100001001100 D -b100110110101110101110101000010 % -b100110110101110101110101000010 . -b100110110101110101110101000010 6 -b100110110101110101110101000010 @ -b100110110101110101110101000010 F -b110100000010010010110001110 ) -#28736000 -0& -#28752000 -b111000001 , -#28768000 -1& -#28784000 -b10110100100011001101011000011001 " -b10110100100011001101011000011001 4 -b10110100100011010101011000100100 1 -b10110100100011010101011000100100 C -b11111011111101010110101101010000 0 -b11111011111101010110101101010000 H -18 -b10110100100011001101011000011001 2 -b10110100100011001101011000011001 = -b11110100110011010111111101100100 < -b10110100100011001101011000011001 : -b10111111101111110101011010110101 $ -b10111111101111110101011010110101 - -b10111111101111110101011010110101 5 -b10111111101111110101011010110101 ? -b10111111101111110101011010110101 D -b11110100110011010111111101100100 % -b11110100110011010111111101100100 . -b11110100110011010111111101100100 6 -b11110100110011010111111101100100 @ -b11110100110011010111111101100100 F -b10110100100011001101011000011001 ) -#28800000 -0& -#28816000 -b111000010 , -#28832000 -1& -#28848000 -b11110110010001100101001000000011 " -b11110110010001100101001000000011 4 -b111000010001110000000000010000 1 -b111000010001110000000000010000 C -b10000100100110000000000000000 0 -b10000100100110000000000000000 H -b11110110010001100101001000000011 2 -b11110110010001100101001000000011 = -b111001011011110100000101110000 < -b11110110010001100101001000000011 : -b10111100110101110001000010010011 $ -b10111100110101110001000010010011 - -b10111100110101110001000010010011 5 -b10111100110101110001000010010011 ? -b10111100110101110001000010010011 D -b111001011011110100000101110000 % -b111001011011110100000101110000 . -b111001011011110100000101110000 6 -b111001011011110100000101110000 @ -b111001011011110100000101110000 F -b11110110010001100101001000000011 ) -#28864000 -0& -#28880000 -b111000011 , -#28896000 -1& -#28912000 -b10101011010000000111111110110001 " -b10101011010000000111111110110001 4 -b101100010000001000000001000010 1 -b101100010000001000000001000010 C -b1100110110011010110001101110000 0 -b1100110110011010110001101110000 H -08 -19 -b10101011010000000111111110110001 2 -b10101011010000000111111110110001 = -b1111110011001101101001101000011 < -b10101011010000000111111110110001 : -b101100110110011010110001101110 $ -b101100110110011010110001101110 - -b101100110110011010110001101110 5 -b101100110110011010110001101110 ? -b101100110110011010110001101110 D -b1111110011001101101001101000011 % -b1111110011001101101001101000011 . -b1111110011001101101001101000011 6 -b1111110011001101101001101000011 @ -b1111110011001101101001101000011 F -b10101011010000000111111110110001 ) -#28928000 -0& -#28944000 -b111000100 , -#28960000 -1& -#28976000 -b1101110011001010011010111011 " -b1101110011001010011010111011 4 -b10101110011100010100000000000 1 -b10101110011100010100000000000 C -b11010111001110011110001001100100 0 -b11010111001110011110001001100100 H -09 -08 -b1101110011001010011010111011 2 -b1101110011001010011010111011 = -b11010111111111100010111000100010 < -b1101110011001010011010111011 : -b110101110011100111100010011001 $ -b110101110011100111100010011001 - -b110101110011100111100010011001 5 -b110101110011100111100010011001 ? -b110101110011100111100010011001 D -b11010111111111100010111000100010 % -b11010111111111100010111000100010 . -b11010111111111100010111000100010 6 -b11010111111111100010111000100010 @ -b11010111111111100010111000100010 F -b1101110011001010011010111011 ) -#28992000 -0& -#29008000 -b111000101 , -#29024000 -1& -#29040000 -b11110011101100101001100010101100 " -b11110011101100101001100010101100 4 -b11110011101100110001100100101101 1 -b11110011101100110001100100101101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11110011101100101001100010101100 2 -b11110011101100101001100010101100 = -b11111011101100110011101100111111 < -b11110011101100101001100010101100 : -b11110111111111110101110101101101 $ -b11110111111111110101110101101101 - -b11110111111111110101110101101101 5 -b11110111111111110101110101101101 ? -b11110111111111110101110101101101 D -b11111011101100110011101100111111 % -b11111011101100110011101100111111 . -b11111011101100110011101100111111 6 -b11111011101100110011101100111111 @ -b11111011101100110011101100111111 F -b11110011101100101001100010101100 ) -#29056000 -0& -#29072000 -b111000110 , -#29088000 -1& -#29104000 -b11000001001001101011010011101100 " -b11000001001001101011010011101100 4 -b11000001010001110000010100001110 1 -b11000001010001110000010100001110 C -b11000001001001101011010011101100 2 -b11000001001001101011010011101100 = -b11101101110001111000110101011110 < -b11000001001001101011010011101100 : -b11010011010111110010011110001110 $ -b11010011010111110010011110001110 - -b11010011010111110010011110001110 5 -b11010011010111110010011110001110 ? -b11010011010111110010011110001110 D -b11101101110001111000110101011110 % -b11101101110001111000110101011110 . -b11101101110001111000110101011110 6 -b11101101110001111000110101011110 @ -b11101101110001111000110101011110 F -b11000001001001101011010011101100 ) -#29120000 -0& -#29136000 -b111000111 , -#29152000 -1& -#29168000 -b10111101010000101111111100010100 " -b10111101010000101111111100010100 4 -b111110100000110000000000100101 1 -b111110100000110000000000100101 C -b11000100010100101000000000000000 0 -b11000100010100101000000000000000 H -08 -19 -b10111101010000101111111100010100 2 -b10111101010000101111111100010100 = -b111110100000110111011001101111 < -b10111101010000101111111100010100 : -b1111110101111111000100010100101 $ -b1111110101111111000100010100101 - -b1111110101111111000100010100101 5 -b1111110101111111000100010100101 ? -b1111110101111111000100010100101 D -b111110100000110111011001101111 % -b111110100000110111011001101111 . -b111110100000110111011001101111 6 -b111110100000110111011001101111 @ -b111110100000110111011001101111 F -b10111101010000101111111100010100 ) -#29184000 -0& -#29200000 -b111001000 , -#29216000 -1& -#29232000 -b1010011000010011000000111001111 " -b1010011000010011000000111001111 4 -b1010011000010100000010000100000 1 -b1010011000010100000010000100000 C -b11110010010100100011000000000000 0 -b11110010010100100011000000000000 H -09 -08 -b1010011000010011000000111001111 2 -b1010011000010011000000111001111 = -b1011011100110100101110010101100 < -b1010011000010011000000111001111 : -b11110111011011110010010100100011 $ -b11110111011011110010010100100011 - -b11110111011011110010010100100011 5 -b11110111011011110010010100100011 ? -b11110111011011110010010100100011 D -b1011011100110100101110010101100 % -b1011011100110100101110010101100 . -b1011011100110100101110010101100 6 -b1011011100110100101110010101100 @ -b1011011100110100101110010101100 F -b1010011000010011000000111001111 ) -#29248000 -0& -#29264000 -b111001001 , -#29280000 -1& -#29296000 -b111100110111101100011100111001 " -b111100110111101100011100111001 4 -b111100110111110101000001000000 1 -b111100110111110101000001000000 C -b11011111011100001111000100000000 0 -b11011111011100001111000100000000 H -b111100110111101100011100111001 2 -b111100110111101100011100111001 = -b11111101111111110101011001001000 < -b111100110111101100011100111001 : -b111110110111110111000011110001 $ -b111110110111110111000011110001 - -b111110110111110111000011110001 5 -b111110110111110111000011110001 ? -b111110110111110111000011110001 D -b11111101111111110101011001001000 % -b11111101111111110101011001001000 . -b11111101111111110101011001001000 6 -b11111101111111110101011001001000 @ -b11111101111111110101011001001000 F -b111100110111101100011100111001 ) -#29312000 -0& -#29328000 -b111001010 , -#29344000 -1& -#29360000 -b11111001101011110110101010011100 " -b11111001101011110110101010011100 4 -b11111100001101111010110010100000 1 -b11111100001101111010110010100000 C -b1110111101011001111010000000000 0 -b1110111101011001111010000000000 H -18 -b11111001101011110110101010011100 2 -b11111001101011110110101010011100 = -b11111101001101111011110110101000 < -b11111001101011110110101010011100 : -b11111100011101111010110011110100 $ -b11111100011101111010110011110100 - -b11111100011101111010110011110100 5 -b11111100011101111010110011110100 ? -b11111100011101111010110011110100 D -b11111101001101111011110110101000 % -b11111101001101111011110110101000 . -b11111101001101111011110110101000 6 -b11111101001101111011110110101000 @ -b11111101001101111011110110101000 F -b11111001101011110110101010011100 ) -#29376000 -0& -#29392000 -b111001011 , -#29408000 -1& -#29424000 -b110011111110001111111110001000 " -b110011111110001111111110001000 4 -b111000111110010000000000000000 1 -b111000111110010000000000000000 C -b10111010111110011001001000001000 0 -b10111010111110011001001000001000 H -08 -b110011111110001111111110001000 2 -b110011111110001111111110001000 = -b1111000111111110110110110000000 < -b110011111110001111111110001000 : -b10111010111110011001001000001000 $ -b10111010111110011001001000001000 - -b10111010111110011001001000001000 5 -b10111010111110011001001000001000 ? -b10111010111110011001001000001000 D -b1111000111111110110110110000000 % -b1111000111111110110110110000000 . -b1111000111111110110110110000000 6 -b1111000111111110110110110000000 @ -b1111000111111110110110110000000 F -b110011111110001111111110001000 ) -#29440000 -0& -#29456000 -b111001100 , -#29472000 -1& -#29488000 -b1101011101100111101010011010111 " -b1101011101100111101010011010111 4 -b1101011110001000010011000100000 1 -b1101011110001000010011000100000 C -b11111001101001011101011001000000 0 -b11111001101001011101011001000000 H -b1101011101100111101010011010111 2 -b1101011101100111101010011010111 = -b11101011111001101010011000100101 < -b1101011101100111101010011010111 : -b1111111110011010010111010110010 $ -b1111111110011010010111010110010 - -b1111111110011010010111010110010 5 -b1111111110011010010111010110010 ? -b1111111110011010010111010110010 D -b11101011111001101010011000100101 % -b11101011111001101010011000100101 . -b11101011111001101010011000100101 6 -b11101011111001101010011000100101 @ -b11101011111001101010011000100101 F -b1101011101100111101010011010111 ) -#29504000 -0& -#29520000 -b111001101 , -#29536000 -1& -#29552000 -b11101001011010001101110001111001 " -b11101001011010001101110001111001 4 -b11101001011010010010010100000000 1 -b11101001011010010010010100000000 C -b110101011010010000000000000000 0 -b110101011010010000000000000000 H -18 -b11101001011010001101110001111001 2 -b11101001011010001101110001111001 = -b11101001111010111010011100010000 < -b11101001011010001101110001111001 : -b11111111011111010011010101101001 $ -b11111111011111010011010101101001 - -b11111111011111010011010101101001 5 -b11111111011111010011010101101001 ? -b11111111011111010011010101101001 D -b11101001111010111010011100010000 % -b11101001111010111010011100010000 . -b11101001111010111010011100010000 6 -b11101001111010111010011100010000 @ -b11101001111010111010011100010000 F -b11101001011010001101110001111001 ) -#29568000 -0& -#29584000 -b111001110 , -#29600000 -1& -#29616000 -b1010010100100111100101111100111 " -b1010010100100111100101111100111 4 -b1010010100110000010010000001000 1 -b1010010100110000010010000001000 C -b11101001111101100100000000000000 0 -b11101001111101100100000000000000 H -08 -b1010010100100111100101111100111 2 -b1010010100100111100101111100111 = -b11010010110110000010010000001110 < -b1010010100100111100101111100111 : -b1111111101110111010011111011001 $ -b1111111101110111010011111011001 - -b1111111101110111010011111011001 5 -b1111111101110111010011111011001 ? -b1111111101110111010011111011001 D -b11010010110110000010010000001110 % -b11010010110110000010010000001110 . -b11010010110110000010010000001110 6 -b11010010110110000010010000001110 @ -b11010010110110000010010000001110 F -b1010010100100111100101111100111 ) -#29632000 -0& -#29648000 -b111001111 , -#29664000 -1& -#29680000 -b10101001110000100001101111111010 " -b10101001110000100001101111111010 4 -b110100110000100010000000000000 1 -b110100110000100010000000000000 C -b10000000000000000000000000 0 -b10000000000000000000000000 H -19 -08 -b10101001110000100001101111111010 2 -b10101001110000100001101111111010 = -b110100110000100111100011111000 < -b10101001110000100001101111111010 : -b1110100111111111010001100000010 $ -b1110100111111111010001100000010 - -b1110100111111111010001100000010 5 -b1110100111111111010001100000010 ? -b1110100111111111010001100000010 D -b110100110000100111100011111000 % -b110100110000100111100011111000 . -b110100110000100111100011111000 6 -b110100110000100111100011111000 @ -b110100110000100111100011111000 F -b10101001110000100001101111111010 ) -#29696000 -0& -#29712000 -b111010000 , -#29728000 -1& -#29744000 -b1100010110000111011011100000000 " -b1100010110000111011011100000000 4 -b1100010110010000011101100000001 1 -b1100010110010000011101100000001 C -b11000100100000000000000000000000 0 -b11000100100000000000000000000000 H -09 -08 -b1100010110000111011011100000000 2 -b1100010110000111011011100000000 = -b1101011111010100011101101110111 < -b1100010110000111011011100000000 : -b11110110110110010111101110001001 $ -b11110110110110010111101110001001 - -b11110110110110010111101110001001 5 -b11110110110110010111101110001001 ? -b11110110110110010111101110001001 D -b1101011111010100011101101110111 % -b1101011111010100011101101110111 . -b1101011111010100011101101110111 6 -b1101011111010100011101101110111 @ -b1101011111010100011101101110111 F -b1100010110000111011011100000000 ) -#29760000 -0& -#29776000 -b111010001 , -#29792000 -1& -#29808000 -b10111101110101001110110001010111 " -b10111101110101001110110001010111 4 -b111110110101010011000010011000 1 -b111110110101010011000010011000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -19 -08 -b10111101110101001110110001010111 2 -b10111101110101001110110001010111 = -b1111110110101111011101110011100 < -b10111101110101001110110001010111 : -b111110111111010011000010111011 $ -b111110111111010011000010111011 - -b111110111111010011000010111011 5 -b111110111111010011000010111011 ? -b111110111111010011000010111011 D -b1111110110101111011101110011100 % -b1111110110101111011101110011100 . -b1111110110101111011101110011100 6 -b1111110110101111011101110011100 @ -b1111110110101111011101110011100 F -b10111101110101001110110001010111 ) -#29824000 -0& -#29840000 -b111010010 , -#29856000 -1& -#29872000 -b11111111001111100101011111000101 " -b11111111001111100101011111000101 4 -b1111111010000110000000001010010 1 -b1111111010000110000000001010010 C -b1011101110011000000000000000000 0 -b1011101110011000000000000000000 H -b11111111001111100101011111000101 2 -b11111111001111100101011111000101 = -b1111111110000110000000001010010 < -b11111111001111100101011111000101 : -b1111111011110110101011101110011 $ -b1111111011110110101011101110011 - -b1111111011110110101011101110011 5 -b1111111011110110101011101110011 ? -b1111111011110110101011101110011 D -b1111111110000110000000001010010 % -b1111111110000110000000001010010 . -b1111111110000110000000001010010 6 -b1111111110000110000000001010010 @ -b1111111110000110000000001010010 F -b11111111001111100101011111000101 ) -#29888000 -0& -#29904000 -b111010011 , -#29920000 -1& -#29936000 -b10010111011101011110001011001 " -b10010111011101011110001011001 4 -b100010111011110000000010000100 1 -b100010111011110000000010000100 C -b111000010100000000000000000000 0 -b111000010100000000000000000000 H -09 -08 -b10010111011101011110001011001 2 -b10010111011101011110001011001 = -b10100010111111111010100011010100 < -b10010111011101011110001011001 : -b1101111111011110001001110000101 $ -b1101111111011110001001110000101 - -b1101111111011110001001110000101 5 -b1101111111011110001001110000101 ? -b1101111111011110001001110000101 D -b10100010111111111010100011010100 % -b10100010111111111010100011010100 . -b10100010111111111010100011010100 6 -b10100010111111111010100011010100 @ -b10100010111111111010100011010100 F -b10010111011101011110001011001 ) -#29952000 -0& -#29968000 -b111010100 , -#29984000 -1& -#30000000 -b1010011111010000001101001111101 " -b1010011111010000001101001111101 4 -b1010011111010000010001010000000 1 -b1010011111010000010001010000000 C -b11100101000000000000000000000000 0 -b11100101000000000000000000000000 H -b1010011111010000001101001111101 2 -b1010011111010000001101001111101 = -b1011011111111110010011010011000 < -b1010011111010000001101001111101 : -b11110111111010001111001111100101 $ -b11110111111010001111001111100101 - -b11110111111010001111001111100101 5 -b11110111111010001111001111100101 ? -b11110111111010001111001111100101 D -b1011011111111110010011010011000 % -b1011011111111110010011010011000 . -b1011011111111110010011010011000 6 -b1011011111111110010011010011000 @ -b1011011111111110010011010011000 F -b1010011111010000001101001111101 ) -#30016000 -0& -#30032000 -b111010101 , -#30048000 -1& -#30064000 -b1001010011110011110100011100000 " -b1001010011110011110100011100000 4 -b1001010011110100001000101100100 1 -b1001010011110100001000101100100 C -b11101001011101110100000000000000 0 -b11101001011101110100000000000000 H -b1001010011110011110100011100000 2 -b1001010011110011110100011100000 = -b1101111011110110101000101101100 < -b1001010011110011110100011100000 : -b11011010111111101001011101110100 $ -b11011010111111101001011101110100 - -b11011010111111101001011101110100 5 -b11011010111111101001011101110100 ? -b11011010111111101001011101110100 D -b1101111011110110101000101101100 % -b1101111011110110101000101101100 . -b1101111011110110101000101101100 6 -b1101111011110110101000101101100 @ -b1101111011110110101000101101100 F -b1001010011110011110100011100000 ) -#30080000 -0& -#30096000 -b111010110 , -#30112000 -1& -#30128000 -b10110100010101000111101110110 " -b10110100010101000111101110110 4 -b10110100011010000000000111011 1 -b10110100011010000000000111011 C -b11011000000000000000000000000000 0 -b11011000000000000000000000000000 H -b10110100010101000111101110110 2 -b10110100010101000111101110110 = -b11111110011010000110100111011 < -b10110100010101000111101110110 : -b11110110101111011000001000111011 $ -b11110110101111011000001000111011 - -b11110110101111011000001000111011 5 -b11110110101111011000001000111011 ? -b11110110101111011000001000111011 D -b11111110011010000110100111011 % -b11111110011010000110100111011 . -b11111110011010000110100111011 6 -b11111110011010000110100111011 @ -b11111110011010000110100111011 F -b10110100010101000111101110110 ) -#30144000 -0& -#30160000 -b111010111 , -#30176000 -1& -#30192000 -b100111010111100100100111000100 " -b100111010111100100100111000100 4 -b100111010111110000101001100000 1 -b100111010111110000101001100000 C -b10101111011111110000111101100100 0 -b10101111011111110000111101100100 H -b100111010111100100100111000100 2 -b100111010111100100100111000100 = -b1110111110111110011101001100000 < -b100111010111100100100111000100 : -b10101111011111110000111101100100 $ -b10101111011111110000111101100100 - -b10101111011111110000111101100100 5 -b10101111011111110000111101100100 ? -b10101111011111110000111101100100 D -b1110111110111110011101001100000 % -b1110111110111110011101001100000 . -b1110111110111110011101001100000 6 -b1110111110111110011101001100000 @ -b1110111110111110011101001100000 F -b100111010111100100100111000100 ) -#30208000 -0& -#30224000 -b111011000 , -#30240000 -1& -#30256000 -b11010110011100110001110110011011 " -b11010110011100110001110110011011 4 -b11011010011100110010000000000000 1 -b11011010011100110010000000000000 C -b10110100111101100110001100110100 0 -b10110100111101100110001100110100 H -18 -b11010110011100110001110110011011 2 -b11010110011100110001110110011011 = -b11111011111101111110110000000001 < -b11010110011100110001110110011011 : -b11011010011110110011000110011010 $ -b11011010011110110011000110011010 - -b11011010011110110011000110011010 5 -b11011010011110110011000110011010 ? -b11011010011110110011000110011010 D -b11111011111101111110110000000001 % -b11111011111101111110110000000001 . -b11111011111101111110110000000001 6 -b11111011111101111110110000000001 @ -b11111011111101111110110000000001 F -b11010110011100110001110110011011 ) -#30272000 -0& -#30288000 -b111011001 , -#30304000 -1& -#30320000 -b1110110110111011111100000111101 " -b1110110110111011111100000111101 4 -b1110111000011100000000010000010 1 -b1110111000011100000000010000010 C -b11000110101011000000000000000000 0 -b11000110101011000000000000000000 H -08 -b1110110110111011111100000111101 2 -b1110110110111011111100000111101 = -b11111111000011111000011010010010 < -b1110110110111011111100000111101 : -b1110111110011100111000110101011 $ -b1110111110011100111000110101011 - -b1110111110011100111000110101011 5 -b1110111110011100111000110101011 ? -b1110111110011100111000110101011 D -b11111111000011111000011010010010 % -b11111111000011111000011010010010 . -b11111111000011111000011010010010 6 -b11111111000011111000011010010010 @ -b11111111000011111000011010010010 F -b1110110110111011111100000111101 ) -#30336000 -0& -#30352000 -b111011010 , -#30368000 -1& -#30384000 -b111101010100000111000110010000 " -b111101010100000111000110010000 4 -b101010100010000000110010001 1 -b101010100010000000110010001 C -b101110000000000000000000000000 0 -b101110000000000000000000000000 H -b111101010100000111000110010000 2 -b111101010100000111000110010000 = -b111110101110000100111111001 < -b111101010100000111000110010000 : -b110101011110010110011110010111 $ -b110101011110010110011110010111 - -b110101011110010110011110010111 5 -b110101011110010110011110010111 ? -b110101011110010110011110010111 D -b111110101110000100111111001 % -b111110101110000100111111001 . -b111110101110000100111111001 6 -b111110101110000100111111001 @ -b111110101110000100111111001 F -b111101010100000111000110010000 ) -#30400000 -0& -#30416000 -b111011011 , -#30432000 -1& -#30448000 -b11111000011110011011010111111010 " -b11111000011110011011010111111010 4 -b11111000100110100000100000000000 1 -b11111000100110100000100000000000 C -b1000010000000000000000000000000 0 -b1000010000000000000000000000000 H -18 -b11111000011110011011010111111010 2 -b11111000011110011011010111111010 = -b11111010100110111010110010111000 < -b11111000011110011011010111111010 : -b11111101110111100000100101000010 $ -b11111101110111100000100101000010 - -b11111101110111100000100101000010 5 -b11111101110111100000100101000010 ? -b11111101110111100000100101000010 D -b11111010100110111010110010111000 % -b11111010100110111010110010111000 . -b11111010100110111010110010111000 6 -b11111010100110111010110010111000 @ -b11111010100110111010110010111000 F -b11111000011110011011010111111010 ) -#30464000 -0& -#30480000 -b111011100 , -#30496000 -1& -#30512000 -b10101001111111101110001111100111 " -b10101001111111101110001111100111 4 -b10101001111111110001000000010000 1 -b10101001111111110001000000010000 C -b1010011100101110000000000000000 0 -b1010011100101110000000000000000 H -b10101001111111101110001111100111 2 -b10101001111111101110001111100111 = -b11111101111111111001000001010000 < -b10101001111111101110001111100111 : -b10101011111111110101001110010111 $ -b10101011111111110101001110010111 - -b10101011111111110101001110010111 5 -b10101011111111110101001110010111 ? -b10101011111111110101001110010111 D -b11111101111111111001000001010000 % -b11111101111111111001000001010000 . -b11111101111111111001000001010000 6 -b11111101111111111001000001010000 @ -b11111101111111111001000001010000 F -b10101001111111101110001111100111 ) -#30528000 -0& -#30544000 -b111011101 , -#30560000 -1& -#30576000 -b11101011110011010010100100111001 " -b11101011110011010010100100111001 4 -b101011110011100011001000000010 1 -b101011110011100011001000000010 C -b11000000110000000000000000000000 0 -b11000000110000000000000000000000 H -b11101011110011010010100100111001 2 -b11101011110011010010100100111001 = -b101111111111101111011000110110 < -b11101011110011010010100100111001 : -b10111011110011100011001100000011 $ -b10111011110011100011001100000011 - -b10111011110011100011001100000011 5 -b10111011110011100011001100000011 ? -b10111011110011100011001100000011 D -b101111111111101111011000110110 % -b101111111111101111011000110110 . -b101111111111101111011000110110 6 -b101111111111101111011000110110 @ -b101111111111101111011000110110 F -b11101011110011010010100100111001 ) -#30592000 -0& -#30608000 -b111011110 , -#30624000 -1& -#30640000 -b1110111100010011111010110110110 " -b1110111100010011111010110110110 4 -b1110111100010100000100111011001 1 -b1110111100010100000100111011001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -b1110111100010011111010110110110 2 -b1110111100010011111010110110110 = -b11111111101110100010101111011101 < -b1110111100010011111010110110110 : -b1110111110011111100100111011001 $ -b1110111110011111100100111011001 - -b1110111110011111100100111011001 5 -b1110111110011111100100111011001 ? -b1110111110011111100100111011001 D -b11111111101110100010101111011101 % -b11111111101110100010101111011101 . -b11111111101110100010101111011101 6 -b11111111101110100010101111011101 @ -b11111111101110100010101111011101 F -b1110111100010011111010110110110 ) -#30656000 -0& -#30672000 -b111011111 , -#30688000 -1& -#30704000 -b11010101101001101010000011111100 " -b11010101101001101010000011111100 4 -b11011000001001110100001000000110 1 -b11011000001001110100001000000110 C -b1011101110101011000010110000000 0 -b1011101110101011000010110000000 H -18 -b11010101101001101010000011111100 2 -b11010101101001101010000011111100 = -b11111100001011110100101011100110 < -b11010101101001101010000011111100 : -b11011001011101110101011000010110 $ -b11011001011101110101011000010110 - -b11011001011101110101011000010110 5 -b11011001011101110101011000010110 ? -b11011001011101110101011000010110 D -b11111100001011110100101011100110 % -b11111100001011110100101011100110 . -b11111100001011110100101011100110 6 -b11111100001011110100101011100110 @ -b11111100001011110100101011100110 F -b11010101101001101010000011111100 ) -#30720000 -0& -#30736000 -b111100000 , -#30752000 -1& -#30768000 -b11110101001111100010101000101110 " -b11110101001111100010101000101110 4 -b1110101001111110000001001010000 1 -b1110101001111110000001001010000 C -b11101011100000000000000000000 0 -b11101011100000000000000000000 H -08 -19 -b11110101001111100010101000101110 2 -b11110101001111100010101000101110 = -b1111101101111110010001011010010 < -b11110101001111100010101000101110 : -b1110111011111110000011101011100 $ -b1110111011111110000011101011100 - -b1110111011111110000011101011100 5 -b1110111011111110000011101011100 ? -b1110111011111110000011101011100 D -b1111101101111110010001011010010 % -b1111101101111110010001011010010 . -b1111101101111110010001011010010 6 -b1111101101111110010001011010010 @ -b1111101101111110010001011010010 F -b11110101001111100010101000101110 ) -#30784000 -0& -#30800000 -b111100001 , -#30816000 -1& -#30832000 -b100110100001100110010100100000 " -b100110100001100110010100100000 4 -b100110100010010000010110001000 1 -b100110100010010000010110001000 C -b10001000000000000000000000000000 0 -b10001000000000000000000000000000 H -09 -08 -b100110100001100110010100100000 2 -b100110100001100110010100100000 = -b11110110111010010000110110011000 < -b100110100001100110010100100000 : -b101111100111010101011110001000 $ -b101111100111010101011110001000 - -b101111100111010101011110001000 5 -b101111100111010101011110001000 ? -b101111100111010101011110001000 D -b11110110111010010000110110011000 % -b11110110111010010000110110011000 . -b11110110111010010000110110011000 6 -b11110110111010010000110110011000 @ -b11110110111010010000110110011000 F -b100110100001100110010100100000 ) -#30848000 -0& -#30864000 -b111100010 , -#30880000 -1& -#30896000 -b11011010101011110001111100111111 " -b11011010101011110001111100111111 4 -b11010101100111000000001000000 1 -b11010101100111000000001000000 C -b11100110101101000100000000000000 0 -b11100110101101000100000000000000 H -18 -b11011010101011110001111100111111 2 -b11011010101011110001111100111111 = -b11110101110111000010001101110 < -b11011010101011110001111100111111 : -b10111011111100111001101011010001 $ -b10111011111100111001101011010001 - -b10111011111100111001101011010001 5 -b10111011111100111001101011010001 ? -b10111011111100111001101011010001 D -b11110101110111000010001101110 % -b11110101110111000010001101110 . -b11110101110111000010001101110 6 -b11110101110111000010001101110 @ -b11110101110111000010001101110 F -b11011010101011110001111100111111 ) -#30912000 -0& -#30928000 -b111100011 , -#30944000 -1& -#30960000 -b11100111100111100001101101111000 " -b11100111100111100001101101111000 4 -b1100111100111101000110010001001 1 -b1100111100111101000110010001001 C -b11010001100100010110000000000000 0 -b11010001100100010110000000000000 H -08 -19 -b11100111100111100001101101111000 2 -b11100111100111100001101101111000 = -b1101111110111111000111011101101 < -b11100111100111100001101101111000 : -b1110111101111101000110010001011 $ -b1110111101111101000110010001011 - -b1110111101111101000110010001011 5 -b1110111101111101000110010001011 ? -b1110111101111101000110010001011 D -b1101111110111111000111011101101 % -b1101111110111111000111011101101 . -b1101111110111111000111011101101 6 -b1101111110111111000111011101101 @ -b1101111110111111000111011101101 F -b11100111100111100001101101111000 ) -#30976000 -0& -#30992000 -b111100100 , -#31008000 -1& -#31024000 -b11001011100101010011000000101011 " -b11001011100101010011000000101011 4 -b11010101100101010011000001000000 1 -b11010101100101010011000001000000 C -b10101011101111111111111011010100 0 -b10101011101111111111111011010100 H -18 -09 -b11001011100101010011000000101011 2 -b11001011100101010011000000101011 = -b11110101101101010011000011000001 < -b11001011100101010011000000101011 : -b11010101110111111111111101101010 $ -b11010101110111111111111101101010 - -b11010101110111111111111101101010 5 -b11010101110111111111111101101010 ? -b11010101110111111111111101101010 D -b11110101101101010011000011000001 % -b11110101101101010011000011000001 . -b11110101101101010011000011000001 6 -b11110101101101010011000011000001 @ -b11110101101101010011000011000001 F -b11001011100101010011000000101011 ) -#31040000 -0& -#31056000 -b111100101 , -#31072000 -1& -#31088000 -b11111000100010010011110111111110 " -b11111000100010010011110111111110 4 -b11111000100010010100011000000000 1 -b11111000100010010100011000000000 C -b10000010000000000000000000000000 0 -b10000010000000000000000000000000 H -b11111000100010010011110111111110 2 -b11111000100010010011110111111110 = -b11111101111010010110011111110110 < -b11111000100010010011110111111110 : -b11111010100111111101011000001000 $ -b11111010100111111101011000001000 - -b11111010100111111101011000001000 5 -b11111010100111111101011000001000 ? -b11111010100111111101011000001000 D -b11111101111010010110011111110110 % -b11111101111010010110011111110110 . -b11111101111010010110011111110110 6 -b11111101111010010110011111110110 @ -b11111101111010010110011111110110 F -b11111000100010010011110111111110 ) -#31104000 -0& -#31120000 -b111100110 , -#31136000 -1& -#31152000 -b11100111110011000001001001110011 " -b11100111110011000001001001110011 4 -b11100111110011000100010000111000 1 -b11100111110011000100010000111000 C -b1110100000000000000000000000000 0 -b1110100000000000000000000000000 H -b11100111110011000001001001110011 2 -b11100111110011000001001001110011 = -b11111111110011011100010000111001 < -b11100111110011000001001001110011 : -b11100111111111100100111000111010 $ -b11100111111111100100111000111010 - -b11100111111111100100111000111010 5 -b11100111111111100100111000111010 ? -b11100111111111100100111000111010 D -b11111111110011011100010000111001 % -b11111111110011011100010000111001 . -b11111111110011011100010000111001 6 -b11111111110011011100010000111001 @ -b11111111110011011100010000111001 F -b11100111110011000001001001110011 ) -#31168000 -0& -#31184000 -b111100111 , -#31200000 -1& -#31216000 -b11011010101011101011000101110001 " -b11011010101011101011000101110001 4 -b1011010101011110011001000010010 1 -b1011010101011110011001000010010 C -b11111010111100000000000000000000 0 -b11111010111100000000000000000000 H -08 -19 -b11011010101011101011000101110001 2 -b11011010101011101011000101110001 = -b1011010111011110011001000010011 < -b11011010101011101011000101110001 : -b1111111101111110111111101011110 $ -b1111111101111110111111101011110 - -b1111111101111110111111101011110 5 -b1111111101111110111111101011110 ? -b1111111101111110111111101011110 D -b1011010111011110011001000010011 % -b1011010111011110011001000010011 . -b1011010111011110011001000010011 6 -b1011010111011110011001000010011 @ -b1011010111011110011001000010011 F -b11011010101011101011000101110001 ) -#31232000 -0& -#31248000 -b111101000 , -#31264000 -1& -#31280000 -b101010111101010011010011100 " -b101010111101010011010011100 4 -b1000010111110000100010100000 1 -b1000010111110000100010100000 C -b10001001111011000000000000000000 0 -b10001001111011000000000000000000 H -09 -08 -b101010111101010011010011100 2 -b101010111101010011010011100 = -b11000111111110001110010110000 < -b101010111101010011010011100 : -b11101100010111111000100111101100 $ -b11101100010111111000100111101100 - -b11101100010111111000100111101100 5 -b11101100010111111000100111101100 ? -b11101100010111111000100111101100 D -b11000111111110001110010110000 % -b11000111111110001110010110000 . -b11000111111110001110010110000 6 -b11000111111110001110010110000 @ -b11000111111110001110010110000 F -b101010111101010011010011100 ) -#31296000 -0& -#31312000 -b111101001 , -#31328000 -1& -#31344000 -b1101111111100110100010011111000 " -b1101111111100110100010011111000 4 -b1101111111100110100011000000000 1 -b1101111111100110100011000000000 C -b11111111011111100111000000000000 0 -b11111111011111100111000000000000 H -b1101111111100110100010011111000 2 -b1101111111100110100010011111000 = -b11111111111100111100011010001000 < -b1101111111100110100010011111000 : -b1101111111111110111111001110000 $ -b1101111111111110111111001110000 - -b1101111111111110111111001110000 5 -b1101111111111110111111001110000 ? -b1101111111111110111111001110000 D -b11111111111100111100011010001000 % -b11111111111100111100011010001000 . -b11111111111100111100011010001000 6 -b11111111111100111100011010001000 @ -b11111111111100111100011010001000 F -b1101111111100110100010011111000 ) -#31360000 -0& -#31376000 -b111101010 , -#31392000 -1& -#31408000 -b1101111011101110111010000001 " -b1101111011101110111010000001 4 -b10101111011110000000010000010 1 -b10101111011110000000010000010 C -b1110010110000000000000000000000 0 -b1110010110000000000000000000000 H -b1101111011101110111010000001 2 -b1101111011101110111010000001 = -b10110111111111111010110010110110 < -b1101111011101110111010000001 : -b1010101111011110100000111001011 $ -b1010101111011110100000111001011 - -b1010101111011110100000111001011 5 -b1010101111011110100000111001011 ? -b1010101111011110100000111001011 D -b10110111111111111010110010110110 % -b10110111111111111010110010110110 . -b10110111111111111010110010110110 6 -b10110111111111111010110010110110 @ -b10110111111111111010110010110110 F -b1101111011101110111010000001 ) -#31424000 -0& -#31440000 -b111101011 , -#31456000 -1& -#31472000 -b1011101111101110011110000110100 " -b1011101111101110011110000110100 4 -b1011110111110110100010001010110 1 -b1011110111110110100010001010110 C -b1010111100000000000000000000000 0 -b1010111100000000000000000000000 H -b1011101111101110011110000110100 2 -b1011101111101110011110000110100 = -b1011110111110111111011011010110 < -b1011101111101110011110000110100 : -b11111110111110110100010101011110 $ -b11111110111110110100010101011110 - -b11111110111110110100010101011110 5 -b11111110111110110100010101011110 ? -b11111110111110110100010101011110 D -b1011110111110111111011011010110 % -b1011110111110111111011011010110 . -b1011110111110111111011011010110 6 -b1011110111110111111011011010110 @ -b1011110111110111111011011010110 F -b1011101111101110011110000110100 ) -#31488000 -0& -#31504000 -b111101100 , -#31520000 -1& -#31536000 -b10011100111110010011110100110111 " -b10011100111110010011110100110111 4 -b10011101000010010100000101000000 1 -b10011101000010010100000101000000 C -b1011011011001110000000000000000 0 -b1011011011001110000000000000000 H -18 -b10011100111110010011110100110111 2 -b10011100111110010011110100110111 = -b11111111111010011110000111010000 < -b10011100111110010011110100110111 : -b10011101000011110101101101100111 $ -b10011101000011110101101101100111 - -b10011101000011110101101101100111 5 -b10011101000011110101101101100111 ? -b10011101000011110101101101100111 D -b11111111111010011110000111010000 % -b11111111111010011110000111010000 . -b11111111111010011110000111010000 6 -b11111111111010011110000111010000 @ -b11111111111010011110000111010000 F -b10011100111110010011110100110111 ) -#31552000 -0& -#31568000 -b111101101 , -#31584000 -1& -#31600000 -b110010010101100010001111111001 " -b110010010101100010001111111001 4 -b1010010010101110000100000001010 1 -b1010010010101110000100000001010 C -b11011100001000011011110000000000 0 -b11011100001000011011110000000000 H -08 -b110010010101100010001111111001 2 -b110010010101100010001111111001 = -b11010110010111110001101110001010 < -b110010010101100010001111111001 : -b1011011111101110000100001101111 $ -b1011011111101110000100001101111 - -b1011011111101110000100001101111 5 -b1011011111101110000100001101111 ? -b1011011111101110000100001101111 D -b11010110010111110001101110001010 % -b11010110010111110001101110001010 . -b11010110010111110001101110001010 6 -b11010110010111110001101110001010 @ -b11010110010111110001101110001010 F -b110010010101100010001111111001 ) -#31616000 -0& -#31632000 -b111101110 , -#31648000 -1& -#31664000 -b10101001101110110011000010001110 " -b10101001101110110011000010001110 4 -b1001010001110110011000010010000 1 -b1001010001110110011000010010000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -19 -08 -b10101001101110110011000010001110 2 -b10101001101110110011000010001110 = -b1011111011110110011011111111100 < -b10101001101110110011000010001110 : -b1001010001111111111100010010010 $ -b1001010001111111111100010010010 - -b1001010001111111111100010010010 5 -b1001010001111111111100010010010 ? -b1001010001111111111100010010010 D -b1011111011110110011011111111100 % -b1011111011110110011011111111100 . -b1011111011110110011011111111100 6 -b1011111011110110011011111111100 @ -b1011111011110110011011111111100 F -b10101001101110110011000010001110 ) -#31680000 -0& -#31696000 -b111101111 , -#31712000 -1& -#31728000 -b10010110001110010111001011111010 " -b10010110001110010111001011111010 4 -b10010110001110100000010000000000 1 -b10010110001110100000010000000000 C -b11101100101100101100000000000000 0 -b11101100101100101100000000000000 H -18 -09 -b10010110001110010111001011111010 2 -b10010110001110010111001011111010 = -b11111110101111100100011001001010 < -b10010110001110010111001011111010 : -b10010111011110110010110010110000 $ -b10010111011110110010110010110000 - -b10010111011110110010110010110000 5 -b10010111011110110010110010110000 ? -b10010111011110110010110010110000 D -b11111110101111100100011001001010 % -b11111110101111100100011001001010 . -b11111110101111100100011001001010 6 -b11111110101111100100011001001010 @ -b11111110101111100100011001001010 F -b10010110001110010111001011111010 ) -#31744000 -0& -#31760000 -b111110000 , -#31776000 -1& -#31792000 -b111010001011101010011010000001 " -b111010001011101010011010000001 4 -b111010001011101010100000000010 1 -b111010001011101010100000000010 C -b11110110001010010000000000000000 0 -b11110110001010010000000000000000 H -08 -b111010001011101010011010000001 2 -b111010001011101010011010000001 = -b111011111011101011101000101111 < -b111010001011101010011010000001 : -b11111110001111111110110001010010 $ -b11111110001111111110110001010010 - -b11111110001111111110110001010010 5 -b11111110001111111110110001010010 ? -b11111110001111111110110001010010 D -b111011111011101011101000101111 % -b111011111011101011101000101111 . -b111011111011101011101000101111 6 -b111011111011101011101000101111 @ -b111011111011101011101000101111 F -b111010001011101010011010000001 ) -#31808000 -0& -#31824000 -b111110001 , -#31840000 -1& -#31856000 -b11010101111101001011101111111010 " -b11010101111101001011101111111010 4 -b1010110011101010100000000000101 1 -b1010110011101010100000000000101 C -b10100101000000000000000000000 0 -b10100101000000000000000000000 H -19 -08 -b11010101111101001011101111111010 2 -b11010101111101001011101111111010 = -b1010110011101010111001101010101 < -b11010101111101001011101111111010 : -b1111111011111110100100010100101 $ -b1111111011111110100100010100101 - -b1111111011111110100100010100101 5 -b1111111011111110100100010100101 ? -b1111111011111110100100010100101 D -b1010110011101010111001101010101 % -b1010110011101010111001101010101 . -b1010110011101010111001101010101 6 -b1010110011101010111001101010101 @ -b1010110011101010111001101010101 F -b11010101111101001011101111111010 ) -#31872000 -0& -#31888000 -b111110010 , -#31904000 -1& -#31920000 -b110111111111100011001011101110 " -b110111111111100011001011101110 4 -b1011011111111100100010100000001 1 -b1011011111111100100010100000001 C -b11111100100110110000101000000000 0 -b11111100100110110000101000000000 H -09 -08 -b110111111111100011001011101110 2 -b110111111111100011001011101110 = -b1011011111111111110010101101001 < -b110111111111100011001011101110 : -b11011011111111100100110110000101 $ -b11011011111111100100110110000101 - -b11011011111111100100110110000101 5 -b11011011111111100100110110000101 ? -b11011011111111100100110110000101 D -b1011011111111111110010101101001 % -b1011011111111111110010101101001 . -b1011011111111111110010101101001 6 -b1011011111111111110010101101001 @ -b1011011111111111110010101101001 F -b110111111111100011001011101110 ) -#31936000 -0& -#31952000 -b111110011 , -#31968000 -1& -#31984000 -b1110111101111000010100110011000 " -b1110111101111000010100110011000 4 -b10111101111000010100111000001 1 -b10111101111000010100111000001 C -b11111111111011010100111010101000 0 -b11111111111011010100111010101000 H -b1110111101111000010100110011000 2 -b1110111101111000010100110011000 = -b10111101111100111111111000011 < -b1110111101111000010100110011000 : -b1011111111111011010100111010101 $ -b1011111111111011010100111010101 - -b1011111111111011010100111010101 5 -b1011111111111011010100111010101 ? -b1011111111111011010100111010101 D -b10111101111100111111111000011 % -b10111101111100111111111000011 . -b10111101111100111111111000011 6 -b10111101111100111111111000011 @ -b10111101111100111111111000011 F -b1110111101111000010100110011000 ) -#32000000 -0& -#32016000 -b111110100 , -#32032000 -1& -#32048000 -b101111111101000100101000001000 " -b101111111101000100101000001000 4 -b110111111101000110000000100100 1 -b110111111101000110000000100100 C -b1111111011011101000011001000000 0 -b1111111011011101000011001000000 H -08 -09 -b101111111101000100101000001000 2 -b101111111101000100101000001000 = -b110111111111010110000110100100 < -b101111111101000100101000001000 : -b11110111111101101110100001100100 $ -b11110111111101101110100001100100 - -b11110111111101101110100001100100 5 -b11110111111101101110100001100100 ? -b11110111111101101110100001100100 D -b110111111111010110000110100100 % -b110111111111010110000110100100 . -b110111111111010110000110100100 6 -b110111111111010110000110100100 @ -b110111111111010110000110100100 F -b101111111101000100101000001000 ) -#32064000 -0& -#32080000 -b111110101 , -#32096000 -1& -#32112000 -b1000011111110000011000100110110 " -b1000011111110000011000100110110 4 -b1000011111110000101100001011000 1 -b1000011111110000101100001011000 C -b0 0 -b0 H -b1000011111110000011000100110110 2 -b1000011111110000011000100110110 = -b11001111111110011101100001011110 < -b1000011111110000011000100110110 : -b1110011111111100101100011011000 $ -b1110011111111100101100011011000 - -b1110011111111100101100011011000 5 -b1110011111111100101100011011000 ? -b1110011111111100101100011011000 D -b11001111111110011101100001011110 % -b11001111111110011101100001011110 . -b11001111111110011101100001011110 6 -b11001111111110011101100001011110 @ -b11001111111110011101100001011110 F -b1000011111110000011000100110110 ) -#32128000 -0& -#32144000 -b111110110 , -#32160000 -1& -#32176000 -b1010101011110101110111101001 " -b1010101011110101110111101001 4 -b1010110011110101111000101010 1 -b1010110011110101111000101010 C -b10111111010111010000000000000000 0 -b10111111010111010000000000000000 H -b1010101011110101110111101001 2 -b1010101011110101110111101001 = -b11111111110011111101111100101111 < -b1010101011110101110111101001 : -b1010110111110111111010111010 $ -b1010110111110111111010111010 - -b1010110111110111111010111010 5 -b1010110111110111111010111010 ? -b1010110111110111111010111010 D -b11111111110011111101111100101111 % -b11111111110011111101111100101111 . -b11111111110011111101111100101111 6 -b11111111110011111101111100101111 @ -b11111111110011111101111100101111 F -b1010101011110101110111101001 ) -#32192000 -0& -#32208000 -b111110111 , -#32224000 -1& -#32240000 -b10001101011101111000000111111100 " -b10001101011101111000000111111100 4 -b10010101100000000000001000000010 1 -b10010101100000000000001000000010 C -b11011111000110010000100001101000 0 -b11011111000110010000100001101000 H -18 -b10001101011101111000000111111100 2 -b10001101011101111000000111111100 = -b11010101101100010011111111100010 < -b10001101011101111000000111111100 : -b10110111110001100100001000011010 $ -b10110111110001100100001000011010 - -b10110111110001100100001000011010 5 -b10110111110001100100001000011010 ? -b10110111110001100100001000011010 D -b11010101101100010011111111100010 % -b11010101101100010011111111100010 . -b11010101101100010011111111100010 6 -b11010101101100010011111111100010 @ -b11010101101100010011111111100010 F -b10001101011101111000000111111100 ) -#32256000 -0& -#32272000 -b111111000 , -#32288000 -1& -#32304000 -b10111000001001001110110101000011 " -b10111000001001001110110101000011 4 -b111000001001010000000101000100 1 -b111000001001010000000101000100 C -b1111110111110010011101111000000 0 -b1111110111110010011101111000000 H -08 -19 -b10111000001001001110110101000011 2 -b10111000001001001110110101000011 = -b1111100001011010010001101100101 < -b10111000001001001110110101000011 : -b111011111101111100100111011110 $ -b111011111101111100100111011110 - -b111011111101111100100111011110 5 -b111011111101111100100111011110 ? -b111011111101111100100111011110 D -b1111100001011010010001101100101 % -b1111100001011010010001101100101 . -b1111100001011010010001101100101 6 -b1111100001011010010001101100101 @ -b1111100001011010010001101100101 F -b10111000001001001110110101000011 ) -#32320000 -0& -#32336000 -b111111001 , -#32352000 -1& -#32368000 -b111001100111001001010001101101 " -b111001100111001001010001101101 4 -b111010001001010000000100000010 1 -b111010001001010000000100000010 C -b111100000010100001000000000000 0 -b111100000010100001000000000000 H -09 -08 -b111001100111001001010001101101 2 -b111001100111001001010001101101 = -b111110011101010001001100101011 < -b111001100111001001010001101101 : -b11111011001001111000000101000010 $ -b11111011001001111000000101000010 - -b11111011001001111000000101000010 5 -b11111011001001111000000101000010 ? -b11111011001001111000000101000010 D -b111110011101010001001100101011 % -b111110011101010001001100101011 . -b111110011101010001001100101011 6 -b111110011101010001001100101011 @ -b111110011101010001001100101011 F -b111001100111001001010001101101 ) -#32384000 -0& -#32400000 -b111111010 , -#32416000 -1& -#32432000 -b110101011011011010000100101101 " -b110101011011011010000100101101 4 -b110101011011100010001000010000 1 -b110101011011100010001000010000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -b110101011011011010000100101101 2 -b110101011011011010000100101101 = -b10110111011111100011101000011100 < -b110101011011011010000100101101 : -b1111101111011110110011100010001 $ -b1111101111011110110011100010001 - -b1111101111011110110011100010001 5 -b1111101111011110110011100010001 ? -b1111101111011110110011100010001 D -b10110111011111100011101000011100 % -b10110111011111100011101000011100 . -b10110111011111100011101000011100 6 -b10110111011111100011101000011100 @ -b10110111011111100011101000011100 F -b110101011011011010000100101101 ) -#32448000 -0& -#32464000 -b111111011 , -#32480000 -1& -#32496000 -b11101111110010000010111010001 " -b11101111110010000010111010001 4 -b11110111110010000100001100010 1 -b11110111110010000100001100010 C -b10111111010001110101101011000000 0 -b10111111010001110101101011000000 H -b11101111110010000010111010001 2 -b11101111110010000010111010001 = -b10011110111110111110100001100110 < -b11101111110010000010111010001 : -b1111110111111010001110101101011 $ -b1111110111111010001110101101011 - -b1111110111111010001110101101011 5 -b1111110111111010001110101101011 ? -b1111110111111010001110101101011 D -b10011110111110111110100001100110 % -b10011110111110111110100001100110 . -b10011110111110111110100001100110 6 -b10011110111110111110100001100110 @ -b10011110111110111110100001100110 F -b11101111110010000010111010001 ) -#32512000 -0& -#32528000 -b111111100 , -#32544000 -1& -#32560000 -b11000111001011100010101101010001 " -b11000111001011100010101101010001 4 -b11000111001011100010110010000010 1 -b11000111001011100010110010000010 C -b11111011011110111111010001110000 0 -b11111011011110111111010001110000 H -18 -b11000111001011100010101101010001 2 -b11000111001011100010101101010001 = -b11100111101111101010110011000011 < -b11000111001011100010101101010001 : -b11011111011011110111111010001110 $ -b11011111011011110111111010001110 - -b11011111011011110111111010001110 5 -b11011111011011110111111010001110 ? -b11011111011011110111111010001110 D -b11100111101111101010110011000011 % -b11100111101111101010110011000011 . -b11100111101111101010110011000011 6 -b11100111101111101010110011000011 @ -b11100111101111101010110011000011 F -b11000111001011100010101101010001 ) -#32576000 -0& -#32592000 -b111111101 , -#32608000 -1& -#32624000 -b111010001001111010101010100001 " -b111010001001111010101010100001 4 -b111011000010000010101010100010 1 -b111011000010000010101010100010 C -b11100011010111011011000000000000 0 -b11100011010111011011000000000000 H -08 -b111010001001111010101010100001 2 -b111010001001111010101010100001 = -b10111111000010110011111011101011 < -b111010001001111010101010100001 : -b1111011000111000110101110110110 $ -b1111011000111000110101110110110 - -b1111011000111000110101110110110 5 -b1111011000111000110101110110110 ? -b1111011000111000110101110110110 D -b10111111000010110011111011101011 % -b10111111000010110011111011101011 . -b10111111000010110011111011101011 6 -b10111111000010110011111011101011 @ -b10111111000010110011111011101011 F -b111010001001111010101010100001 ) -#32640000 -0& -#32656000 -b111111110 , -#32672000 -1& -#32688000 -b11001000110101111000111100011011 " -b11001000110101111000111100011011 4 -b11010000110110000000000000100000 1 -b11010000110110000000000000100000 C -b0 0 -b0 H -18 -b11001000110101111000111100011011 2 -b11001000110101111000111100011011 = -b11110011110110011000100001111011 < -b11001000110101111000111100011011 : -b11010100111111100000011010100000 $ -b11010100111111100000011010100000 - -b11010100111111100000011010100000 5 -b11010100111111100000011010100000 ? -b11010100111111100000011010100000 D -b11110011110110011000100001111011 % -b11110011110110011000100001111011 . -b11110011110110011000100001111011 6 -b11110011110110011000100001111011 @ -b11110011110110011000100001111011 F -b11001000110101111000111100011011 ) -#32704000 -0& -#32720000 -b111111111 , -#32736000 -1& -#32752000 -b11110101110110011111001000101110 " -b11110101110110011111001000101110 4 -b1110101110111000001001100010000 1 -b1110101110111000001001100010000 C -b11000110000000000000000000000000 0 -b11000110000000000000000000000000 H -08 -19 -b11110101110110011111001000101110 2 -b11110101110110011111001000101110 = -b1111101111111010001101100010110 < -b11110101110110011111001000101110 : -b1110111110111001101011100011000 $ -b1110111110111001101011100011000 - -b1110111110111001101011100011000 5 -b1110111110111001101011100011000 ? -b1110111110111001101011100011000 D -b1111101111111010001101100010110 % -b1111101111111010001101100010110 . -b1111101111111010001101100010110 6 -b1111101111111010001101100010110 @ -b1111101111111010001101100010110 F -b11110101110110011111001000101110 ) -#32768000 -0& -#32784000 -b1000000000 , -#32800000 -1& -#32816000 -b11101100101011101000100001000010 " -b11101100101011101000100001000010 4 -b1110100101011110000100010001001 1 -b1110100101011110000100010001001 C -b10010000000000000000000000000 0 -b10010000000000000000000000000 H -b11101100101011101000100001000010 2 -b11101100101011101000100001000010 = -b1110110111111110001101010111001 < -b11101100101011101000100001000010 : -b1110101101011110110110110001001 $ -b1110101101011110110110110001001 - -b1110101101011110110110110001001 5 -b1110101101011110110110110001001 ? -b1110101101011110110110110001001 D -b1110110111111110001101010111001 % -b1110110111111110001101010111001 . -b1110110111111110001101010111001 6 -b1110110111111110001101010111001 @ -b1110110111111110001101010111001 F -b11101100101011101000100001000010 ) -#32832000 -0& -#32848000 -b1000000001 , -#32864000 -1& -#32880000 -b1111101100100110010110111010000 " -b1111101100100110010110111010000 4 -b101100100110100001000100000 1 -b101100100110100001000100000 C -b1001011101000000000000000000000 0 -b1001011101000000000000000000000 H -09 -08 -b1111101100100110010110111010000 2 -b1111101100100110010110111010000 = -b101100110111110001000110000 < -b1111101100100110010110111010000 : -b1110111111101110100101110100000 $ -b1110111111101110100101110100000 - -b1110111111101110100101110100000 5 -b1110111111101110100101110100000 ? -b1110111111101110100101110100000 D -b101100110111110001000110000 % -b101100110111110001000110000 . -b101100110111110001000110000 6 -b101100110111110001000110000 @ -b101100110111110001000110000 F -b1111101100100110010110111010000 ) -#32896000 -0& -#32912000 -b1000000010 , -#32928000 -1& -#32944000 -b10001000011111110001111000000101 " -b10001000011111110001111000000101 4 -b10100000011111110010000001000000 1 -b10100000011111110010000001000000 C -b10101111111001111000100000000000 0 -b10101111111001111000100000000000 H -18 -b10001000011111110001111000000101 2 -b10001000011111110001111000000101 = -b10100010111111111110000111000101 < -b10001000011111110001111000000101 : -b11100101011111110011110001000000 $ -b11100101011111110011110001000000 - -b11100101011111110011110001000000 5 -b11100101011111110011110001000000 ? -b11100101011111110011110001000000 D -b10100010111111111110000111000101 % -b10100010111111111110000111000101 . -b10100010111111111110000111000101 6 -b10100010111111111110000111000101 @ -b10100010111111111110000111000101 F -b10001000011111110001111000000101 ) -#32960000 -0& -#32976000 -b1000000011 , -#32992000 -1& -#33008000 -b11100100111110101111110010000100 " -b11100100111110101111110010000100 4 -b100111110110000000100001000 1 -b100111110110000000100001000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -09 -b11100100111110101111110010000100 2 -b11100100111110101111110010000100 = -b10010110111110110110100100111100 < -b11100100111110101111110010000100 : -b1001101111111111001001101001000 $ -b1001101111111111001001101001000 - -b1001101111111111001001101001000 5 -b1001101111111111001001101001000 ? -b1001101111111111001001101001000 D -b10010110111110110110100100111100 % -b10010110111110110110100100111100 . -b10010110111110110110100100111100 6 -b10010110111110110110100100111100 @ -b10010110111110110110100100111100 F -b11100100111110101111110010000100 ) -#33024000 -0& -#33040000 -b1000000100 , -#33056000 -1& -#33072000 -b1101100100100110001001000010101 " -b1101100100100110001001000010101 4 -b1101100100100110001010010001010 1 -b1101100100100110001010010001010 C -b10011100111001000101000000000000 0 -b10011100111001000101000000000000 H -08 -b1101100100100110001001000010101 2 -b1101100100100110001001000010101 = -b1111110110111110111010110001011 < -b1101100100100110001001000010101 : -b11101101101100111001110010001010 $ -b11101101101100111001110010001010 - -b11101101101100111001110010001010 5 -b11101101101100111001110010001010 ? -b11101101101100111001110010001010 D -b1111110110111110111010110001011 % -b1111110110111110111010110001011 . -b1111110110111110111010110001011 6 -b1111110110111110111010110001011 @ -b1111110110111110111010110001011 F -b1101100100100110001001000010101 ) -#33088000 -0& -#33104000 -b1000000101 , -#33120000 -1& -#33136000 -b1111111111001111100111101100110 " -b1111111111001111100111101100110 4 -b11111111010000000000000000000 1 -b11111111010000000000000000000 C -b11111110100000000101000000000000 0 -b11111110100000000101000000000000 H -b1111111111001111100111101100110 2 -b1111111111001111100111101100110 = -b1011111111011011100111000100110 < -b1111111111001111100111101100110 : -b11111111110100000000101000000 $ -b11111111110100000000101000000 - -b11111111110100000000101000000 5 -b11111111110100000000101000000 ? -b11111111110100000000101000000 D -b1011111111011011100111000100110 % -b1011111111011011100111000100110 . -b1011111111011011100111000100110 6 -b1011111111011011100111000100110 @ -b1011111111011011100111000100110 F -b1111111111001111100111101100110 ) -#33152000 -0& -#33168000 -b1000000110 , -#33184000 -1& -#33200000 -b10011110111100100101001100001111 " -b10011110111100100101001100001111 4 -b11111000110010010010101000000 1 -b11111000110010010010101000000 C -b1001011010100000100000000000000 0 -b1001011010100000100000000000000 H -19 -08 -b10011110111100100101001100001111 2 -b10011110111100100101001100001111 = -b111111000110010010010111001110 < -b10011110111100100101001100001111 : -b1011111110110010010110101000001 $ -b1011111110110010010110101000001 - -b1011111110110010010110101000001 5 -b1011111110110010010110101000001 ? -b1011111110110010010110101000001 D -b111111000110010010010111001110 % -b111111000110010010010111001110 . -b111111000110010010010111001110 6 -b111111000110010010010111001110 @ -b111111000110010010010111001110 F -b10011110111100100101001100001111 ) -#33216000 -0& -#33232000 -b1000000111 , -#33248000 -1& -#33264000 -b1100011110111011110010111010100 " -b1100011110111011110010111010100 4 -b11110111100010011001100110 1 -b11110111100010011001100110 C -b11101001110110011000000000000000 0 -b11101001110110011000000000000000 H -09 -08 -b1100011110111011110010111010100 2 -b1100011110111011110010111010100 = -b1011011110111100011111001101110 < -b1100011110111011110010111010100 : -b111111111111010011101100110 $ -b111111111111010011101100110 - -b111111111111010011101100110 5 -b111111111111010011101100110 ? -b111111111111010011101100110 D -b1011011110111100011111001101110 % -b1011011110111100011111001101110 . -b1011011110111100011111001101110 6 -b1011011110111100011111001101110 @ -b1011011110111100011111001101110 F -b1100011110111011110010111010100 ) -#33280000 -0& -#33296000 -b1000001000 , -#33312000 -1& -#33328000 -b11011000000100101010111000011100 " -b11011000000100101010111000011100 4 -b11011000000101000100011001000000 1 -b11011000000101000100011001000000 C -b10101111011001100111110110000000 0 -b10101111011001100111110110000000 H -18 -b11011000000100101010111000011100 2 -b11011000000100101010111000011100 = -b11111101000111000100011001000100 < -b11011000000100101010111000011100 : -b11011010111101100110011111011000 $ -b11011010111101100110011111011000 - -b11011010111101100110011111011000 5 -b11011010111101100110011111011000 ? -b11011010111101100110011111011000 D -b11111101000111000100011001000100 % -b11111101000111000100011001000100 . -b11111101000111000100011001000100 6 -b11111101000111000100011001000100 @ -b11111101000111000100011001000100 F -b11011000000100101010111000011100 ) -#33344000 -0& -#33360000 -b1000001001 , -#33376000 -1& -#33392000 -b10010010011111001000011100111011 " -b10010010011111001000011100111011 4 -b11000101111001000100000111100 1 -b11000101111001000100000111100 C -b0 0 -b0 H -08 -19 -b10010010011111001000011100111011 2 -b10010010011111001000011100111011 = -b11000101111101100101001111111 < -b10010010011111001000011100111011 : -b1111001101111011011110010111100 $ -b1111001101111011011110010111100 - -b1111001101111011011110010111100 5 -b1111001101111011011110010111100 ? -b1111001101111011011110010111100 D -b11000101111101100101001111111 % -b11000101111101100101001111111 . -b11000101111101100101001111111 6 -b11000101111101100101001111111 @ -b11000101111101100101001111111 F -b10010010011111001000011100111011 ) -#33408000 -0& -#33424000 -b1000001010 , -#33440000 -1& -#33456000 -b10111101110000101100000101110010 " -b10111101110000101100000101110010 4 -b111101110000101110000000110011 1 -b111101110000101110000000110011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b10111101110000101100000101110010 2 -b10111101110000101100000101110010 = -b111111111110101110000000111111 < -b10111101110000101100000101110010 : -b1111101110001111110000100110011 $ -b1111101110001111110000100110011 - -b1111101110001111110000100110011 5 -b1111101110001111110000100110011 ? -b1111101110001111110000100110011 D -b111111111110101110000000111111 % -b111111111110101110000000111111 . -b111111111110101110000000111111 6 -b111111111110101110000000111111 @ -b111111111110101110000000111111 F -b10111101110000101100000101110010 ) -#33472000 -0& -#33488000 -b1000001011 , -#33504000 -1& -#33520000 -b11100101011100001101111000000101 " -b11100101011100001101111000000101 4 -b1100101011100100000000000000110 1 -b1100101011100100000000000000110 C -b110111000000000000000000000000 0 -b110111000000000000000000000000 H -b11100101011100001101111000000101 2 -b11100101011100001101111000000101 = -b1101101111111101100010110010111 < -b11100101011100001101111000000101 : -b1110111011100100001100001101110 $ -b1110111011100100001100001101110 - -b1110111011100100001100001101110 5 -b1110111011100100001100001101110 ? -b1110111011100100001100001101110 D -b1101101111111101100010110010111 % -b1101101111111101100010110010111 . -b1101101111111101100010110010111 6 -b1101101111111101100010110010111 @ -b1101101111111101100010110010111 F -b11100101011100001101111000000101 ) -#33536000 -0& -#33552000 -b1000001100 , -#33568000 -1& -#33584000 -b1111011011010111010010101001100 " -b1111011011010111010010101001100 4 -b1111100001011000100011000001101 1 -b1111100001011000100011000001101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b1111011011010111010010101001100 2 -b1111011011010111010010101001100 = -b11111111001011000100011100111111 < -b1111011011010111010010101001100 : -b1111100001111110101111000001101 $ -b1111100001111110101111000001101 - -b1111100001111110101111000001101 5 -b1111100001111110101111000001101 ? -b1111100001111110101111000001101 D -b11111111001011000100011100111111 % -b11111111001011000100011100111111 . -b11111111001011000100011100111111 6 -b11111111001011000100011100111111 @ -b11111111001011000100011100111111 F -b1111011011010111010010101001100 ) -#33600000 -0& -#33616000 -b1000001101 , -#33632000 -1& -#33648000 -b11010110011010000010010101101 " -b11010110011010000010010101101 4 -b11010110011010000010011010000 1 -b11010110011010000010011010000 C -b10101000000000000000000000000000 0 -b10101000000000000000000000000000 H -b11010110011010000010010101101 2 -b11010110011010000010010101101 = -b11011110011111100111011011001 < -b11010110011010000010010101101 : -b11111110111111010011010111010100 $ -b11111110111111010011010111010100 - -b11111110111111010011010111010100 5 -b11111110111111010011010111010100 ? -b11111110111111010011010111010100 D -b11011110011111100111011011001 % -b11011110011111100111011011001 . -b11011110011111100111011011001 6 -b11011110011111100111011011001 @ -b11011110011111100111011011001 F -b11010110011010000010010101101 ) -#33664000 -0& -#33680000 -b1000001110 , -#33696000 -1& -#33712000 -b1100011100010001101001001100 " -b1100011100010001101001001100 4 -b1100011100010010000001001110 1 -b1100011100010010000001001110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1100011100010001101001001100 2 -b1100011100010001101001001100 = -b10011111011110011011000001111110 < -b1100011100010001101001001100 : -b1101100111101110110100111001110 $ -b1101100111101110110100111001110 - -b1101100111101110110100111001110 5 -b1101100111101110110100111001110 ? -b1101100111101110110100111001110 D -b10011111011110011011000001111110 % -b10011111011110011011000001111110 . -b10011111011110011011000001111110 6 -b10011111011110011011000001111110 @ -b10011111011110011011000001111110 F -b1100011100010001101001001100 ) -#33728000 -0& -#33744000 -b1000001111 , -#33760000 -1& -#33776000 -b1011101110101101010101110001101 " -b1011101110101101010101110001101 4 -b1101101110101110011000000010000 1 -b1101101110101110011000000010000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -b1011101110101101010101110001101 2 -b1011101110101101010101110001101 = -b11101101111101110111001100111100 < -b1011101110101101010101110001101 : -b1101111110111110011100001010001 $ -b1101111110111110011100001010001 - -b1101111110111110011100001010001 5 -b1101111110111110011100001010001 ? -b1101111110111110011100001010001 D -b11101101111101110111001100111100 % -b11101101111101110111001100111100 . -b11101101111101110111001100111100 6 -b11101101111101110111001100111100 @ -b11101101111101110111001100111100 F -b1011101110101101010101110001101 ) -#33792000 -0& -#33808000 -b1000010000 , -#33824000 -1& -#33840000 -b11011011001111111110010101011111 " -b11011011001111111110010101011111 4 -b11100000010000000010100000000000 1 -b11100000010000000010100000000000 C -b0 0 -b0 H -18 -b11011011001111111110010101011111 2 -b11011011001111111110010101011111 = -b11111010111000101010110101011111 < -b11011011001111111110010101011111 : -b11100000010111010011100000000000 $ -b11100000010111010011100000000000 - -b11100000010111010011100000000000 5 -b11100000010111010011100000000000 ? -b11100000010111010011100000000000 D -b11111010111000101010110101011111 % -b11111010111000101010110101011111 . -b11111010111000101010110101011111 6 -b11111010111000101010110101011111 @ -b11111010111000101010110101011111 F -b11011011001111111110010101011111 ) -#33856000 -0& -#33872000 -b1000010001 , -#33888000 -1& -#33904000 -b1011001101110101010111111111101 " -b1011001101110101010111111111101 4 -b1011010110110110001000000000000 1 -b1011010110110110001000000000000 C -b11001100100100000000000000000000 0 -b11001100100100000000000000000000 H -08 -b1011001101110101010111111111101 2 -b1011001101110101010111111111101 = -b11011110110111111001001100110100 < -b1011001101110101010111111111101 : -b1111010110110110001110011001001 $ -b1111010110110110001110011001001 - -b1111010110110110001110011001001 5 -b1111010110110110001110011001001 ? -b1111010110110110001110011001001 D -b11011110110111111001001100110100 % -b11011110110111111001001100110100 . -b11011110110111111001001100110100 6 -b11011110110111111001001100110100 @ -b11011110110111111001001100110100 F -b1011001101110101010111111111101 ) -#33920000 -0& -#33936000 -b1000010010 , -#33952000 -1& -#33968000 -b11000001010100110001010010001110 " -b11000001010100110001010010001110 4 -b1000001010100110010100010100111 1 -b1000001010100110010100010100111 C -b10111101111101010111001110000000 0 -b10111101111101010111001110000000 H -19 -08 -b11000001010100110001010010001110 2 -b11000001010100110001010010001110 = -b1011001110101110010100110100111 < -b11000001010100110001010010001110 : -b1100111011110111110101011100111 $ -b1100111011110111110101011100111 - -b1100111011110111110101011100111 5 -b1100111011110111110101011100111 ? -b1100111011110111110101011100111 D -b1011001110101110010100110100111 % -b1011001110101110010100110100111 . -b1011001110101110010100110100111 6 -b1011001110101110010100110100111 @ -b1011001110101110010100110100111 F -b11000001010100110001010010001110 ) -#33984000 -0& -#34000000 -b1000010011 , -#34016000 -1& -#34032000 -b1011111110101001100001111110000 " -b1011111110101001100001111110000 4 -b1100000111001001110000000000010 1 -b1100000111001001110000000000010 C -b10010011100011011001100000000000 0 -b10010011100011011001100000000000 H -09 -08 -b1011111110101001100001111110000 2 -b1011111110101001100001111110000 = -b1111010111011111110000010001010 < -b1011111110101001100001111110000 : -b11100100111001001110001101100110 $ -b11100100111001001110001101100110 - -b11100100111001001110001101100110 5 -b11100100111001001110001101100110 ? -b11100100111001001110001101100110 D -b1111010111011111110000010001010 % -b1111010111011111110000010001010 . -b1111010111011111110000010001010 6 -b1111010111011111110000010001010 @ -b1111010111011111110000010001010 F -b1011111110101001100001111110000 ) -#34048000 -0& -#34064000 -b1000010100 , -#34080000 -1& -#34096000 -b1111000111111011010111111101001 " -b1111000111111011010111111101001 4 -b10001001001111100001000000001100 1 -b10001001001111100001000000001100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -19 -b1111000111111011010111111101001 2 -b1111000111111011010111111101001 = -b11001101101111110001001000011101 < -b1111000111111011010111111101001 : -b10101011001111101001110111001100 $ -b10101011001111101001110111001100 - -b10101011001111101001110111001100 5 -b10101011001111101001110111001100 ? -b10101011001111101001110111001100 D -b11001101101111110001001000011101 % -b11001101101111110001001000011101 . -b11001101101111110001001000011101 6 -b11001101101111110001001000011101 @ -b11001101101111110001001000011101 F -b1111000111111011010111111101001 ) -#34112000 -0& -#34128000 -b1000010101 , -#34144000 -1& -#34160000 -b1111111000110101011100111100 " -b1111111000110101011100111100 4 -b10111111000110101100010000000 1 -b10111111000110101100010000000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -08 -09 -b1111111000110101011100111100 2 -b1111111000110101011100111100 = -b10010111111000111101111010011000 < -b1111111000110101011100111100 : -b1110111111111110111100010100100 $ -b1110111111111110111100010100100 - -b1110111111111110111100010100100 5 -b1110111111111110111100010100100 ? -b1110111111111110111100010100100 D -b10010111111000111101111010011000 % -b10010111111000111101111010011000 . -b10010111111000111101111010011000 6 -b10010111111000111101111010011000 @ -b10010111111000111101111010011000 F -b1111111000110101011100111100 ) -#34176000 -0& -#34192000 -b1000010110 , -#34208000 -1& -#34224000 -b1101100001100101011111000010101 " -b1101100001100101011111000010101 4 -b10010000100000110000000000101000 1 -b10010000100000110000000000101000 C -b10100011100011010010110100000000 0 -b10100011100011010010110100000000 H -18 -19 -b1101100001100101011111000010101 2 -b1101100001100101011111000010101 = -b10010010100011110011000011101000 < -b1101100001100101011111000010101 : -b11011001101000111000110100101101 $ -b11011001101000111000110100101101 - -b11011001101000111000110100101101 5 -b11011001101000111000110100101101 ? -b11011001101000111000110100101101 D -b10010010100011110011000011101000 % -b10010010100011110011000011101000 . -b10010010100011110011000011101000 6 -b10010010100011110011000011101000 @ -b10010010100011110011000011101000 F -b1101100001100101011111000010101 ) -#34240000 -0& -#34256000 -b1000010111 , -#34272000 -1& -#34288000 -b11011001001101010000110110100110 " -b11011001001101010000110110100110 4 -b11011001001110010001001000101011 1 -b11011001001110010001001000101011 C -b11011101110100011101100000000000 0 -b11011101110100011101100000000000 H -09 -18 -b11011001001101010000110110100110 2 -b11011001001101010000110110100110 = -b11111011011110010101001101101011 < -b11011001001101010000110110100110 : -b11011101101110111011101000111011 $ -b11011101101110111011101000111011 - -b11011101101110111011101000111011 5 -b11011101101110111011101000111011 ? -b11011101101110111011101000111011 D -b11111011011110010101001101101011 % -b11111011011110010101001101101011 . -b11111011011110010101001101101011 6 -b11111011011110010101001101101011 @ -b11111011011110010101001101101011 F -b11011001001101010000110110100110 ) -#34304000 -0& -#34320000 -b1000011000 , -#34336000 -1& -#34352000 -b1010111110001110100001100101001 " -b1010111110001110100001100101001 4 -b1010111110010000000001110000010 1 -b1010111110010000000001110000010 C -b1011111101000000011111000011100 0 -b1011111101000000011111000011100 H -08 -b1010111110001110100001100101001 2 -b1010111110001110100001100101001 = -b1111111110111110011001110100010 < -b1010111110001110100001100101001 : -b11010111111010000000111110000111 $ -b11010111111010000000111110000111 - -b11010111111010000000111110000111 5 -b11010111111010000000111110000111 ? -b11010111111010000000111110000111 D -b1111111110111110011001110100010 % -b1111111110111110011001110100010 . -b1111111110111110011001110100010 6 -b1111111110111110011001110100010 @ -b1111111110111110011001110100010 F -b1010111110001110100001100101001 ) -#34368000 -0& -#34384000 -b1000011001 , -#34400000 -1& -#34416000 -b11000100101101101001001011001011 " -b11000100101101101001001011001011 4 -b11001000110101101001100101010000 1 -b11001000110101101001100101010000 C -b1000100000000000000000000000000 0 -b1000100000000000000000000000000 H -18 -b11000100101101101001001011001011 2 -b11000100101101101001001011001011 = -b11011010110111101111100101111010 < -b11000100101101101001001011001011 : -b11101001110101111001100101010001 $ -b11101001110101111001100101010001 - -b11101001110101111001100101010001 5 -b11101001110101111001100101010001 ? -b11101001110101111001100101010001 D -b11011010110111101111100101111010 % -b11011010110111101111100101111010 . -b11011010110111101111100101111010 6 -b11011010110111101111100101111010 @ -b11011010110111101111100101111010 F -b11000100101101101001001011001011 ) -#34432000 -0& -#34448000 -b1000011010 , -#34464000 -1& -#34480000 -b1110100110110001100101001000000 " -b1110100110110001100101001000000 4 -b1110101000110010101000000000010 1 -b1110101000110010101000000000010 C -b11101000101110000000000000000000 0 -b11101000101110000000000000000000 H -08 -b1110100110110001100101001000000 2 -b1110100110110001100101001000000 = -b1111101000110010101000000010010 < -b1110100110110001100101001000000 : -b11110111101111110111101000101110 $ -b11110111101111110111101000101110 - -b11110111101111110111101000101110 5 -b11110111101111110111101000101110 ? -b11110111101111110111101000101110 D -b1111101000110010101000000010010 % -b1111101000110010101000000010010 . -b1111101000110010101000000010010 6 -b1111101000110010101000000010010 @ -b1111101000110010101000000010010 F -b1110100110110001100101001000000 ) -#34496000 -0& -#34512000 -b1000011011 , -#34528000 -1& -#34544000 -b1110110110010111111100011011111 " -b1110110110010111111100011011111 4 -b1110110110100000011101001100000 1 -b1110110110100000011101001100000 C -b11101101111100010111110011111100 0 -b11101101111100010111110011111100 H -b1110110110010111111100011011111 2 -b1110110110010111111100011011111 = -b1111111110100110011101001100001 < -b1110110110010111111100011011111 : -b11110110111110001011111001111110 $ -b11110110111110001011111001111110 - -b11110110111110001011111001111110 5 -b11110110111110001011111001111110 ? -b11110110111110001011111001111110 D -b1111111110100110011101001100001 % -b1111111110100110011101001100001 . -b1111111110100110011101001100001 6 -b1111111110100110011101001100001 @ -b1111111110100110011101001100001 F -b1110110110010111111100011011111 ) -#34560000 -0& -#34576000 -b1000011100 , -#34592000 -1& -#34608000 -b1111011011001101100111010110111 " -b1111011011001101100111010110111 4 -b1111100011001110000000001000000 1 -b1111100011001110000000001000000 C -b10000010000000000000000000000 0 -b10000010000000000000000000000 H -b1111011011001101100111010110111 2 -b1111011011001101100111010110111 = -b1111100011111111000001001110110 < -b1111011011001101100111010110111 : -b11111110111001110100110001000001 $ -b11111110111001110100110001000001 - -b11111110111001110100110001000001 5 -b11111110111001110100110001000001 ? -b11111110111001110100110001000001 D -b1111100011111111000001001110110 % -b1111100011111111000001001110110 . -b1111100011111111000001001110110 6 -b1111100011111111000001001110110 @ -b1111100011111111000001001110110 F -b1111011011001101100111010110111 ) -#34624000 -0& -#34640000 -b1000011101 , -#34656000 -1& -#34672000 -b111111111111011011000011011000 " -b111111111111011011000011011000 4 -b1011111111111100101000100101001 1 -b1011111111111100101000100101001 C -b11111100101010110101111000000000 0 -b11111100101010110101111000000000 H -b111111111111011011000011011000 2 -b111111111111011011000011011000 = -b11011111111111110101101100101001 < -b111111111111011011000011011000 : -b1011111111111100101010110101111 $ -b1011111111111100101010110101111 - -b1011111111111100101010110101111 5 -b1011111111111100101010110101111 ? -b1011111111111100101010110101111 D -b11011111111111110101101100101001 % -b11011111111111110101101100101001 . -b11011111111111110101101100101001 6 -b11011111111111110101101100101001 @ -b11011111111111110101101100101001 F -b111111111111011011000011011000 ) -#34688000 -0& -#34704000 -b1000011110 , -#34720000 -1& -#34736000 -b10100110110101000010100100011100 " -b10100110110101000010100100011100 4 -b110010110101000100100101000010 1 -b110010110101000100100101000010 C -b1101101001010000000000000000000 0 -b1101101001010000000000000000000 H -19 -08 -b10100110110101000010100100011100 2 -b10100110110101000010100100011100 = -b1110010111111001100110111010010 < -b10100110110101000010100100011100 : -b110011110101110101101101001010 $ -b110011110101110101101101001010 - -b110011110101110101101101001010 5 -b110011110101110101101101001010 ? -b110011110101110101101101001010 D -b1110010111111001100110111010010 % -b1110010111111001100110111010010 . -b1110010111111001100110111010010 6 -b1110010111111001100110111010010 @ -b1110010111111001100110111010010 F -b10100110110101000010100100011100 ) -#34752000 -0& -#34768000 -b1000011111 , -#34784000 -1& -#34800000 -b1110000100001101001111001110010 " -b1110000100001101001111001110010 4 -b1110000100001110000000000111000 1 -b1110000100001110000000000111000 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -09 -08 -b1110000100001101001111001110010 2 -b1110000100001101001111001110010 = -b1110110111001110000100000111010 < -b1110000100001101001111001110010 : -b11111001100111111001011000111000 $ -b11111001100111111001011000111000 - -b11111001100111111001011000111000 5 -b11111001100111111001011000111000 ? -b11111001100111111001011000111000 D -b1110110111001110000100000111010 % -b1110110111001110000100000111010 . -b1110110111001110000100000111010 6 -b1110110111001110000100000111010 @ -b1110110111001110000100000111010 F -b1110000100001101001111001110010 ) -#34816000 -0& -#34832000 -b1000100000 , -#34848000 -1& -#34864000 -b1110101000011101111001011010101 " -b1110101000011101111001011010101 4 -b1111001000011110001001100000000 1 -b1111001000011110001001100000000 C -b10111000000100000000000000000000 0 -b10111000000100000000000000000000 H -b1110101000011101111001011010101 2 -b1110101000011101111001011010101 = -b11111001001011111101011101010100 < -b1110101000011101111001011010101 : -b1111011110111110001101110000001 $ -b1111011110111110001101110000001 - -b1111011110111110001101110000001 5 -b1111011110111110001101110000001 ? -b1111011110111110001101110000001 D -b11111001001011111101011101010100 % -b11111001001011111101011101010100 . -b11111001001011111101011101010100 6 -b11111001001011111101011101010100 @ -b11111001001011111101011101010100 F -b1110101000011101111001011010101 ) -#34880000 -0& -#34896000 -b1000100001 , -#34912000 -1& -#34928000 -b10001111010001100010110110101 " -b10001111010001100010110110101 4 -b10001111010010000100000000110 1 -b10001111010010000100000000110 C -b11011100000000110000000000000000 0 -b11011100000000110000000000000000 H -b10001111010001100010110110101 2 -b10001111010001100010110110101 = -b10111101111010010000110110101111 < -b10001111010001100010110110101 : -b1010011111111111011100000000110 $ -b1010011111111111011100000000110 - -b1010011111111111011100000000110 5 -b1010011111111111011100000000110 ? -b1010011111111111011100000000110 D -b10111101111010010000110110101111 % -b10111101111010010000110110101111 . -b10111101111010010000110110101111 6 -b10111101111010010000110110101111 @ -b10111101111010010000110110101111 F -b10001111010001100010110110101 ) -#34944000 -0& -#34960000 -b1000100010 , -#34976000 -1& -#34992000 -b1100101010111111010000111010101 " -b1100101010111111010000111010101 4 -b1100101011000000000001000000000 1 -b1100101011000000000001000000000 C -b11001110111111100011010010101000 0 -b11001110111111100011010010101000 H -b1100101010111111010000111010101 2 -b1100101010111111010000111010101 = -b11111101111000001000011110000001 < -b1100101010111111010000111010101 : -b1100111011111110001101001010100 $ -b1100111011111110001101001010100 - -b1100111011111110001101001010100 5 -b1100111011111110001101001010100 ? -b1100111011111110001101001010100 D -b11111101111000001000011110000001 % -b11111101111000001000011110000001 . -b11111101111000001000011110000001 6 -b11111101111000001000011110000001 @ -b11111101111000001000011110000001 F -b1100101010111111010000111010101 ) -#35008000 -0& -#35024000 -b1000100011 , -#35040000 -1& -#35056000 -b1100011000000111010011100011101 " -b1100011000000111010011100011101 4 -b1100100000000111100100000000000 1 -b1100100000000111100100000000000 C -b11010000100100000000000000000000 0 -b11010000100100000000000000000000 H -b1100011000000111010011100011101 2 -b1100011000000111010011100011101 = -b11101110100000111100101000010100 < -b1100011000000111010011100011101 : -b1110100011111111101110100001001 $ -b1110100011111111101110100001001 - -b1110100011111111101110100001001 5 -b1110100011111111101110100001001 ? -b1110100011111111101110100001001 D -b11101110100000111100101000010100 % -b11101110100000111100101000010100 . -b11101110100000111100101000010100 6 -b11101110100000111100101000010100 @ -b11101110100000111100101000010100 F -b1100011000000111010011100011101 ) -#35072000 -0& -#35088000 -b1000100100 , -#35104000 -1& -#35120000 -b1111110111100101101011101011110 " -b1111110111100101101011101011110 4 -b11111011100110010000000100000 1 -b11111011100110010000000100000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -b1111110111100101101011101011110 2 -b1111110111100101101011101011110 = -b1011111011111110011011000111010 < -b1111110111100101101011101011110 : -b11111011100111010000100100100 $ -b11111011100111010000100100100 - -b11111011100111010000100100100 5 -b11111011100111010000100100100 ? -b11111011100111010000100100100 D -b1011111011111110011011000111010 % -b1011111011111110011011000111010 . -b1011111011111110011011000111010 6 -b1011111011111110011011000111010 @ -b1011111011111110011011000111010 F -b1111110111100101101011101011110 ) -#35136000 -0& -#35152000 -b1000100101 , -#35168000 -1& -#35184000 -b11010000111100001101100111101101 " -b11010000111100001101100111101101 4 -b1010000111100001101110001110000 1 -b1010000111100001101110001110000 C -b10001111000000000000000000000000 0 -b10001111000000000000000000000000 H -19 -08 -b11010000111100001101100111101101 2 -b11010000111100001101100111101101 = -b1110111111110001111110101110101 < -b11010000111100001101100111101101 : -b1011000111101111101110001111000 $ -b1011000111101111101110001111000 - -b1011000111101111101110001111000 5 -b1011000111101111101110001111000 ? -b1011000111101111101110001111000 D -b1110111111110001111110101110101 % -b1110111111110001111110101110101 . -b1110111111110001111110101110101 6 -b1110111111110001111110101110101 @ -b1110111111110001111110101110101 F -b11010000111100001101100111101101 ) -#35200000 -0& -#35216000 -b1000100110 , -#35232000 -1& -#35248000 -b11101100111010010011110100001001 " -b11101100111010010011110100001001 4 -b1101101011010100000000010000010 1 -b1101101011010100000000010000010 C -b10110101101010001110001000011100 0 -b10110101101010001110001000011100 H -b11101100111010010011110100001001 2 -b11101100111010010011110100001001 = -b1111111011111110000010010000010 < -b11101100111010010011110100001001 : -b1101101011010100011100010000111 $ -b1101101011010100011100010000111 - -b1101101011010100011100010000111 5 -b1101101011010100011100010000111 ? -b1101101011010100011100010000111 D -b1111111011111110000010010000010 % -b1111111011111110000010010000010 . -b1111111011111110000010010000010 6 -b1111111011111110000010010000010 @ -b1111111011111110000010010000010 F -b11101100111010010011110100001001 ) -#35264000 -0& -#35280000 -b1000100111 , -#35296000 -1& -#35312000 -b10000010100110110100101101100101 " -b10000010100110110100101101100101 4 -b10100110110110000000101010 1 -b10100110110110000000101010 C -b10101100000000000000000000000000 0 -b10101100000000000000000000000000 H -b10000010100110110100101101100101 2 -b10000010100110110100101101100101 = -b1100110110110111110100100111010 < -b10000010100110110100101101100101 : -b11011101111110110001000101011 $ -b11011101111110110001000101011 - -b11011101111110110001000101011 5 -b11011101111110110001000101011 ? -b11011101111110110001000101011 D -b1100110110110111110100100111010 % -b1100110110110111110100100111010 . -b1100110110110111110100100111010 6 -b1100110110110111110100100111010 @ -b1100110110110111110100100111010 F -b10000010100110110100101101100101 ) -#35328000 -0& -#35344000 -b1000101000 , -#35360000 -1& -#35376000 -b11010110011010100111010110111000 " -b11010110011010100111010110111000 4 -b1011010011100110001010111000000 1 -b1011010011100110001010111000000 C -b1010111110010000000000000000000 0 -b1010111110010000000000000000000 H -b11010110011010100111010110111000 2 -b11010110011010100111010110111000 = -b1111010011100110001110111110000 < -b11010110011010100111010110111000 : -b1011011111101110101011111001000 $ -b1011011111101110101011111001000 - -b1011011111101110101011111001000 5 -b1011011111101110101011111001000 ? -b1011011111101110101011111001000 D -b1111010011100110001110111110000 % -b1111010011100110001110111110000 . -b1111010011100110001110111110000 6 -b1111010011100110001110111110000 @ -b1111010011100110001110111110000 F -b11010110011010100111010110111000 ) -#35392000 -0& -#35408000 -b1000101001 , -#35424000 -1& -#35440000 -b1101110100010100111011000101001 " -b1101110100010100111011000101001 4 -b10101111000010110011011000110010 1 -b10101111000010110011011000110010 C -b10011100110000000000000000000000 0 -b10011100110000000000000000000000 H -18 -b1101110100010100111011000101001 2 -b1101110100010100111011000101001 = -b10111111001111110011111110110110 < -b1101110100010100111011000101001 : -b10101111010010110011011001110011 $ -b10101111010010110011011001110011 - -b10101111010010110011011001110011 5 -b10101111010010110011011001110011 ? -b10101111010010110011011001110011 D -b10111111001111110011111110110110 % -b10111111001111110011111110110110 . -b10111111001111110011111110110110 6 -b10111111001111110011111110110110 @ -b10111111001111110011111110110110 F -b1101110100010100111011000101001 ) -#35456000 -0& -#35472000 -b1000101010 , -#35488000 -1& -#35504000 -b110010100100011001000010000111 " -b110010100100011001000010000111 4 -b1010011000100100100001000001000 1 -b1010011000100100100001000001000 C -b10111100100111001111110000000000 0 -b10111100100111001111110000000000 H -08 -09 -b110010100100011001000010000111 2 -b110010100100011001000010000111 = -b1010011001100110100001000001001 < -b110010100100011001000010000111 : -b11011111010111100100111001111110 $ -b11011111010111100100111001111110 - -b11011111010111100100111001111110 5 -b11011111010111100100111001111110 ? -b11011111010111100100111001111110 D -b1010011001100110100001000001001 % -b1010011001100110100001000001001 . -b1010011001100110100001000001001 6 -b1010011001100110100001000001001 @ -b1010011001100110100001000001001 F -b110010100100011001000010000111 ) -#35520000 -0& -#35536000 -b1000101011 , -#35552000 -1& -#35568000 -b10111110011111110000011010000101 " -b10111110011111110000011010000101 4 -b10111110100011110000100010001000 1 -b10111110100011110000100010001000 C -b1011111101110010111100000000000 0 -b1011111101110010111100000000000 H -18 -b10111110011111110000011010000101 2 -b10111110011111110000011010000101 = -b11111110110011110010100111001001 < -b10111110011111110000011010000101 : -b10111111101011111101110010111100 $ -b10111111101011111101110010111100 - -b10111111101011111101110010111100 5 -b10111111101011111101110010111100 ? -b10111111101011111101110010111100 D -b11111110110011110010100111001001 % -b11111110110011110010100111001001 . -b11111110110011110010100111001001 6 -b11111110110011110010100111001001 @ -b11111110110011110010100111001001 F -b10111110011111110000011010000101 ) -#35584000 -0& -#35600000 -b1000101100 , -#35616000 -1& -#35632000 -b1111111011111010001001010010101 " -b1111111011111010001001010010101 4 -b10000011101111100010010000010110 1 -b10000011101111100010010000010110 C -b0 0 -b0 H -19 -18 -b1111111011111010001001010010101 2 -b1111111011111010001001010010101 = -b10111011101111100010011001111111 < -b1111111011111010001001010010101 : -b11000011101111101110110000010110 $ -b11000011101111101110110000010110 - -b11000011101111101110110000010110 5 -b11000011101111101110110000010110 ? -b11000011101111101110110000010110 D -b10111011101111100010011001111111 % -b10111011101111100010011001111111 . -b10111011101111100010011001111111 6 -b10111011101111100010011001111111 @ -b10111011101111100010011001111111 F -b1111111011111010001001010010101 ) -#35648000 -0& -#35664000 -b1000101101 , -#35680000 -1& -#35696000 -b1011011100110010111110001111011 " -b1011011100110010111110001111011 4 -b1011101100110100000010010000000 1 -b1011101100110100000010010000000 C -b11110110100010010010010000000000 0 -b11110110100010010010010000000000 H -08 -09 -b1011011100110010111110001111011 2 -b1011011100110010111110001111011 = -b11011101100111100011011111101001 < -b1011011100110010111110001111011 : -b1111101111110110100010010010010 $ -b1111101111110110100010010010010 - -b1111101111110110100010010010010 5 -b1111101111110110100010010010010 ? -b1111101111110110100010010010010 D -b11011101100111100011011111101001 % -b11011101100111100011011111101001 . -b11011101100111100011011111101001 6 -b11011101100111100011011111101001 @ -b11011101100111100011011111101001 F -b1011011100110010111110001111011 ) -#35712000 -0& -#35728000 -b1000101110 , -#35744000 -1& -#35760000 -b1111111101010011101001101000011 " -b1111111101010011101001101000011 4 -b1111111101010100101100000000100 1 -b1111111101010100101100000000100 C -b1100101110000000000000000000000 0 -b1100101110000000000000000000000 H -b1111111101010011101001101000011 2 -b1111111101010011101001101000011 = -b1111111101111110111100000010101 < -b1111111101010011101001101000011 : -b11111111111010100101101100101110 $ -b11111111111010100101101100101110 - -b11111111111010100101101100101110 5 -b11111111111010100101101100101110 ? -b11111111111010100101101100101110 D -b1111111101111110111100000010101 % -b1111111101111110111100000010101 . -b1111111101111110111100000010101 6 -b1111111101111110111100000010101 @ -b1111111101111110111100000010101 F -b1111111101010011101001101000011 ) -#35776000 -0& -#35792000 -b1000101111 , -#35808000 -1& -#35824000 -b11000101001011111000111101101001 " -b11000101001011111000111101101001 4 -b11001001001011111010000010001010 1 -b11001001001011111010000010001010 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -18 -b11000101001011111000111101101001 2 -b11000101001011111000111101101001 = -b11011001011111111110110010011011 < -b11000101001011111000111101101001 : -b11101011101011111010001011001110 $ -b11101011101011111010001011001110 - -b11101011101011111010001011001110 5 -b11101011101011111010001011001110 ? -b11101011101011111010001011001110 D -b11011001011111111110110010011011 % -b11011001011111111110110010011011 . -b11011001011111111110110010011011 6 -b11011001011111111110110010011011 @ -b11011001011111111110110010011011 F -b11000101001011111000111101101001 ) -#35840000 -0& -#35856000 -b1000110000 , -#35872000 -1& -#35888000 -b10001011011111010110110111101100 " -b10001011011111010110110111101100 4 -b10100011100111011000000000000001 1 -b10100011100111011000000000000001 C -b11111101001010110000100000000000 0 -b11111101001010110000100000000000 H -b10001011011111010110110111101100 2 -b10001011011111010110110111101100 = -b10100111100111011100100010001011 < -b10001011011111010110110111101100 : -b11100011110111111010010101100001 $ -b11100011110111111010010101100001 - -b11100011110111111010010101100001 5 -b11100011110111111010010101100001 ? -b11100011110111111010010101100001 D -b10100111100111011100100010001011 % -b10100111100111011100100010001011 . -b10100111100111011100100010001011 6 -b10100111100111011100100010001011 @ -b10100111100111011100100010001011 F -b10001011011111010110110111101100 ) -#35904000 -0& -#35920000 -b1000110001 , -#35936000 -1& -#35952000 -b1101010101110101010111101011110 " -b1101010101110101010111101011110 4 -b1101011001110101011000110000000 1 -b1101011001110101011000110000000 C -b0 0 -b0 H -08 -b1101010101110101010111101011110 2 -b1101010101110101010111101011110 = -b11101011011110111011010110011110 < -b1101010101110101010111101011110 : -b1111111001111101111100111000000 $ -b1111111001111101111100111000000 - -b1111111001111101111100111000000 5 -b1111111001111101111100111000000 ? -b1111111001111101111100111000000 D -b11101011011110111011010110011110 % -b11101011011110111011010110011110 . -b11101011011110111011010110011110 6 -b11101011011110111011010110011110 @ -b11101011011110111011010110011110 F -b1101010101110101010111101011110 ) -#35968000 -0& -#35984000 -b1000110010 , -#36000000 -1& -#36016000 -b11101011110111000110011111101110 " -b11101011110111000110011111101110 4 -b101011110111010010100000010000 1 -b101011110111010010100000010000 C -b101010000111100000000000000000 0 -b101010000111100000000000000000 H -18 -b11101011110111000110011111101110 2 -b11101011110111000110011111101110 = -b101011110111110011110111010000 < -b11101011110111000110011111101110 : -b10111111111111010010101000011110 $ -b10111111111111010010101000011110 - -b10111111111111010010101000011110 5 -b10111111111111010010101000011110 ? -b10111111111111010010101000011110 D -b101011110111110011110111010000 % -b101011110111110011110111010000 . -b101011110111110011110111010000 6 -b101011110111110011110111010000 @ -b101011110111110011110111010000 F -b11101011110111000110011111101110 ) -#36032000 -0& -#36048000 -b1000110011 , -#36064000 -1& -#36080000 -b101101111101110001001111001011 " -b101101111101110001001111001011 4 -b101101111110110001010000000100 1 -b101101111110110001010000000100 C -b11111111011111101100100011000000 0 -b11111111011111101100100011000000 H -08 -b101101111101110001001111001011 2 -b101101111101110001001111001011 = -b10111101111110110001110110000101 < -b101101111101110001001111001011 : -b1101111111110111111011001000110 $ -b1101111111110111111011001000110 - -b1101111111110111111011001000110 5 -b1101111111110111111011001000110 ? -b1101111111110111111011001000110 D -b10111101111110110001110110000101 % -b10111101111110110001110110000101 . -b10111101111110110001110110000101 6 -b10111101111110110001110110000101 @ -b10111101111110110001110110000101 F -b101101111101110001001111001011 ) -#36096000 -0& -#36112000 -b1000110100 , -#36128000 -1& -#36144000 -b1010101111110110001101000110111 " -b1010101111110110001101000110111 4 -b10101111110110001110001011000 1 -b10101111110110001110001011000 C -b1110100000000000000000000000000 0 -b1110100000000000000000000000000 H -b1010101111110110001101000110111 2 -b1010101111110110001101000110111 = -b111111111111110011110101011010 < -b1010101111110110001101000110111 : -b10101111110111101110011011101 $ -b10101111110111101110011011101 - -b10101111110111101110011011101 5 -b10101111110111101110011011101 ? -b10101111110111101110011011101 D -b111111111111110011110101011010 % -b111111111111110011110101011010 . -b111111111111110011110101011010 6 -b111111111111110011110101011010 @ -b111111111111110011110101011010 F -b1010101111110110001101000110111 ) -#36160000 -0& -#36176000 -b1000110101 , -#36192000 -1& -#36208000 -b1111010100111101111111011011001 " -b1111010100111101111111011011001 4 -b1111010101000110000000000011100 1 -b1111010101000110000000000011100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -09 -b1111010100111101111111011011001 2 -b1111010100111101111111011011001 = -b1111110101100110010100010011101 < -b1111010100111101111111011011001 : -b11111011111010111101011000111100 $ -b11111011111010111101011000111100 - -b11111011111010111101011000111100 5 -b11111011111010111101011000111100 ? -b11111011111010111101011000111100 D -b1111110101100110010100010011101 % -b1111110101100110010100010011101 . -b1111110101100110010100010011101 6 -b1111110101100110010100010011101 @ -b1111110101100110010100010011101 F -b1111010100111101111111011011001 ) -#36224000 -0& -#36240000 -b1000110110 , -#36256000 -1& -#36272000 -b11111001110001100101011001001 " -b11111001110001100101011001001 4 -b11111001110010001000000001010 1 -b11111001110010001000000001010 C -b10111100000000000000000000000000 0 -b10111100000000000000000000000000 H -b11111001110001100101011001001 2 -b11111001110001100101011001001 = -b1011111001110011011000010011010 < -b11111001110001100101011001001 : -b10111111111111110001101000101111 $ -b10111111111111110001101000101111 - -b10111111111111110001101000101111 5 -b10111111111111110001101000101111 ? -b10111111111111110001101000101111 D -b1011111001110011011000010011010 % -b1011111001110011011000010011010 . -b1011111001110011011000010011010 6 -b1011111001110011011000010011010 @ -b1011111001110011011000010011010 F -b11111001110001100101011001001 ) -#36288000 -0& -#36304000 -b1000110111 , -#36320000 -1& -#36336000 -b1111010000110110100100010011111 " -b1111010000110110100100010011111 4 -b1111010000110110100100100000000 1 -b1111010000110110100100100000000 C -b1111011101000100100000000000000 0 -b1111011101000100100000000000000 H -b1111010000110110100100010011111 2 -b1111010000110110100100010011111 = -b11111011100111110110101110001101 < -b1111010000110110100100010011111 : -b1111110011110111101110100010010 $ -b1111110011110111101110100010010 - -b1111110011110111101110100010010 5 -b1111110011110111101110100010010 ? -b1111110011110111101110100010010 D -b11111011100111110110101110001101 % -b11111011100111110110101110001101 . -b11111011100111110110101110001101 6 -b11111011100111110110101110001101 @ -b11111011100111110110101110001101 F -b1111010000110110100100010011111 ) -#36352000 -0& -#36368000 -b1000111000 , -#36384000 -1& -#36400000 -b11111001100100000100101011001001 " -b11111001100100000100101011001001 4 -b11111001100100010001001100000010 1 -b11111001100100010001001100000010 C -b11111101110001001101000011000000 0 -b11111101110001001101000011000000 H -18 -b11111001100100000100101011001001 2 -b11111001100100000100101011001001 = -b11111101100110010011011110000110 < -b11111001100100000100101011001001 : -b11111011111101110001001101000011 $ -b11111011111101110001001101000011 - -b11111011111101110001001101000011 5 -b11111011111101110001001101000011 ? -b11111011111101110001001101000011 D -b11111101100110010011011110000110 % -b11111101100110010011011110000110 . -b11111101100110010011011110000110 6 -b11111101100110010011011110000110 @ -b11111101100110010011011110000110 F -b11111001100100000100101011001001 ) -#36416000 -0& -#36432000 -b1000111001 , -#36448000 -1& -#36464000 -b10001001100100100001101101001001 " -b10001001100100100001101101001001 4 -b1010000100100010110000000000 1 -b1010000100100010110000000000 C -b11111011011100000000100000000 0 -b11111011011100000000100000000 H -08 -19 -b10001001100100100001101101001001 2 -b10001001100100100001101101001001 = -b1010011100101010110101001000 < -b10001001100100100001101101001001 : -b1111111000111110110111000000001 $ -b1111111000111110110111000000001 - -b1111111000111110110111000000001 5 -b1111111000111110110111000000001 ? -b1111111000111110110111000000001 D -b1010011100101010110101001000 % -b1010011100101010110101001000 . -b1010011100101010110101001000 6 -b1010011100101010110101001000 @ -b1010011100101010110101001000 F -b10001001100100100001101101001001 ) -#36480000 -0& -#36496000 -b1000111010 , -#36512000 -1& -#36528000 -b11110110111101000100010001110010 " -b11110110111101000100010001110010 4 -b1110111000010000100010010110011 1 -b1110111000010000100010010110011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11110110111101000100010001110010 2 -b11110110111101000100010001110010 = -b1111111111010100101111010111111 < -b11110110111101000100010001110010 : -b1110111000010011110010110110011 $ -b1110111000010011110010110110011 - -b1110111000010011110010110110011 5 -b1110111000010011110010110110011 ? -b1110111000010011110010110110011 D -b1111111111010100101111010111111 % -b1111111111010100101111010111111 . -b1111111111010100101111010111111 6 -b1111111111010100101111010111111 @ -b1111111111010100101111010111111 F -b11110110111101000100010001110010 ) -#36544000 -0& -#36560000 -b1000111011 , -#36576000 -1& -#36592000 -b11011011100111011110101001101110 " -b11011011100111011110101001101110 4 -b1101101100111100000001100000111 1 -b1101101100111100000001100000111 C -b11101111111000111001001110000000 0 -b11101111111000111001001110000000 H -b11011011100111011110101001101110 2 -b11011011100111011110101001101110 = -b1101101101111100010001101000111 < -b11011011100111011110101001101110 : -b1101101110111111100011100100111 $ -b1101101110111111100011100100111 - -b1101101110111111100011100100111 5 -b1101101110111111100011100100111 ? -b1101101110111111100011100100111 D -b1101101101111100010001101000111 % -b1101101101111100010001101000111 . -b1101101101111100010001101000111 6 -b1101101101111100010001101000111 @ -b1101101101111100010001101000111 F -b11011011100111011110101001101110 ) -#36608000 -0& -#36624000 -b1000111100 , -#36640000 -1& -#36656000 -b111001101111111101000000010000 " -b111001101111111101000000010000 4 -b1001001110000000001000000100001 1 -b1001001110000000001000000100001 C -b10010111100010010110011101011110 0 -b10010111100010010110011101011110 H -09 -08 -b111001101111111101000000010000 2 -b111001101111111101000000010000 = -b11101101111110110001110001100001 < -b111001101111111101000000010000 : -b1001011110001001011001110101111 $ -b1001011110001001011001110101111 - -b1001011110001001011001110101111 5 -b1001011110001001011001110101111 ? -b1001011110001001011001110101111 D -b11101101111110110001110001100001 % -b11101101111110110001110001100001 . -b11101101111110110001110001100001 6 -b11101101111110110001110001100001 @ -b11101101111110110001110001100001 F -b111001101111111101000000010000 ) -#36672000 -0& -#36688000 -b1000111101 , -#36704000 -1& -#36720000 -b1001001011111011010001001111001 " -b1001001011111011010001001111001 4 -b1001001011111100010001010011100 1 -b1001001011111100010001010011100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1001001011111011010001001111001 2 -b1001001011111011010001001111001 = -b11101011111111110110101110011101 < -b1001001011111011010001001111001 : -b1011101011111100011011011011100 $ -b1011101011111100011011011011100 - -b1011101011111100011011011011100 5 -b1011101011111100011011011011100 ? -b1011101011111100011011011011100 D -b11101011111111110110101110011101 % -b11101011111111110110101110011101 . -b11101011111111110110101110011101 6 -b11101011111111110110101110011101 @ -b11101011111111110110101110011101 F -b1001001011111011010001001111001 ) -#36736000 -0& -#36752000 -b1000111110 , -#36768000 -1& -#36784000 -b1101010011111001001000111001101 " -b1101010011111001001000111001101 4 -b1110010011111001010001000010110 1 -b1110010011111001010001000010110 C -b1001011000000000000000000000000 0 -b1001011000000000000000000000000 H -b1101010011111001001000111001101 2 -b1101010011111001001000111001101 = -b11110111111111111010001100110111 < -b1101010011111001001000111001101 : -b1110010011111001110111010010110 $ -b1110010011111001110111010010110 - -b1110010011111001110111010010110 5 -b1110010011111001110111010010110 ? -b1110010011111001110111010010110 D -b11110111111111111010001100110111 % -b11110111111111111010001100110111 . -b11110111111111111010001100110111 6 -b11110111111111111010001100110111 @ -b11110111111111111010001100110111 F -b1101010011111001001000111001101 ) -#36800000 -0& -#36816000 -b1000111111 , -#36832000 -1& -#36848000 -b10101101111101000100100010000100 " -b10101101111101000100100010000100 4 -b10101101111101000100100100000101 1 -b10101101111101000100100100000101 C -b10101101111000000000000000000000 0 -b10101101111000000000000000000000 H -18 -b10101101111101000100100010000100 2 -b10101101111101000100100010000100 = -b11111111111111001101101100010101 < -b10101101111101000100100010000100 : -b10101101111101110110110101101111 $ -b10101101111101110110110101101111 - -b10101101111101110110110101101111 5 -b10101101111101110110110101101111 ? -b10101101111101110110110101101111 D -b11111111111111001101101100010101 % -b11111111111111001101101100010101 . -b11111111111111001101101100010101 6 -b11111111111111001101101100010101 @ -b11111111111111001101101100010101 F -b10101101111101000100100010000100 ) -#36864000 -0& -#36880000 -b1001000000 , -#36896000 -1& -#36912000 -b11011101010101101001011000001111 " -b11011101010101101001011000001111 4 -b1101101100001110001100001000000 1 -b1101101100001110001100001000000 C -b10111110000111010111010100110100 0 -b10111110000111010111010100110100 H -08 -19 -b11011101010101101001011000001111 2 -b11011101010101101001011000001111 = -b1101101110011110011100011000010 < -b11011101010101101001011000001111 : -b1101111100001110101110101001101 $ -b1101111100001110101110101001101 - -b1101111100001110101110101001101 5 -b1101111100001110101110101001101 ? -b1101111100001110101110101001101 D -b1101101110011110011100011000010 % -b1101101110011110011100011000010 . -b1101101110011110011100011000010 6 -b1101101110011110011100011000010 @ -b1101101110011110011100011000010 F -b11011101010101101001011000001111 ) -#36928000 -0& -#36944000 -b1001000001 , -#36960000 -1& -#36976000 -b111011100111101010110011100110 " -b111011100111101010110011100110 4 -b111011110001101010110100001000 1 -b111011110001101010110100001000 C -b10001110000000000000000000000000 0 -b10001110000000000000000000000000 H -09 -08 -b111011100111101010110011100110 2 -b111011100111101010110011100110 = -b10111111110001111010111101011000 < -b111011100111101010110011100110 : -b1111011110101101111110110001110 $ -b1111011110101101111110110001110 - -b1111011110101101111110110001110 5 -b1111011110101101111110110001110 ? -b1111011110101101111110110001110 D -b10111111110001111010111101011000 % -b10111111110001111010111101011000 . -b10111111110001111010111101011000 6 -b10111111110001111010111101011000 @ -b10111111110001111010111101011000 F -b111011100111101010110011100110 ) -#36992000 -0& -#37008000 -b1001000010 , -#37024000 -1& -#37040000 -b101000111110001111000011110001 " -b101000111110001111000011110001 4 -b101000111110010000000000001000 1 -b101000111110010000000000001000 C -b11111010011000001001000000000000 0 -b11111010011000001001000000000000 H -b101000111110001111000011110001 2 -b101000111110001111000011110001 = -b101100111110111100000010101001 < -b101000111110001111000011110001 : -b11111011111111010011000001001000 $ -b11111011111111010011000001001000 - -b11111011111111010011000001001000 5 -b11111011111111010011000001001000 ? -b11111011111111010011000001001000 D -b101100111110111100000010101001 % -b101100111110111100000010101001 . -b101100111110111100000010101001 6 -b101100111110111100000010101001 @ -b101100111110111100000010101001 F -b101000111110001111000011110001 ) -#37056000 -0& -#37072000 -b1001000011 , -#37088000 -1& -#37104000 -b1111001100100011100100101110101 " -b1111001100100011100100101110101 4 -b10111010000100100010010000000000 1 -b10111010000100100010010000000000 C -b1001010100010000000000000000000 0 -b1001010100010000000000000000000 H -18 -19 -b1111001100100011100100101110101 2 -b1111001100100011100100101110101 = -b10111011010101111010010000110001 < -b1111001100100011100100101110101 : -b10111110001110100010010101000100 $ -b10111110001110100010010101000100 - -b10111110001110100010010101000100 5 -b10111110001110100010010101000100 ? -b10111110001110100010010101000100 D -b10111011010101111010010000110001 % -b10111011010101111010010000110001 . -b10111011010101111010010000110001 6 -b10111011010101111010010000110001 @ -b10111011010101111010010000110001 F -b1111001100100011100100101110101 ) -#37120000 -0& -#37136000 -b1001000100 , -#37152000 -1& -#37168000 -b10001110110010100110000110011010 " -b10001110110010100110000110011010 4 -b10001110110100101010001001000001 1 -b10001110110100101010001001000001 C -b1111101111001110111111010110010 0 -b1111101111001110111111010110010 H -09 -18 -b10001110110010100110000110011010 2 -b10001110110010100110000110011010 = -b11001111110101101010001001000001 < -b10001110110010100110000110011010 : -b10111110111100111011111101011001 $ -b10111110111100111011111101011001 - -b10111110111100111011111101011001 5 -b10111110111100111011111101011001 ? -b10111110111100111011111101011001 D -b11001111110101101010001001000001 % -b11001111110101101010001001000001 . -b11001111110101101010001001000001 6 -b11001111110101101010001001000001 @ -b11001111110101101010001001000001 F -b10001110110010100110000110011010 ) -#37184000 -0& -#37200000 -b1001000101 , -#37216000 -1& -#37232000 -b11101111001101001001110111110000 " -b11101111001101001001110111110000 4 -b1101111001101010010001000000000 1 -b1101111001101010010001000000000 C -b1111111101111110110101111010000 0 -b1111111101111110110101111010000 H -08 -19 -b11101111001101001001110111110000 2 -b11101111001101001001110111110000 = -b1101111011101010011001000100000 < -b11101111001101001001110111110000 : -b1111111101111110110101111010000 $ -b1111111101111110110101111010000 - -b1111111101111110110101111010000 5 -b1111111101111110110101111010000 ? -b1111111101111110110101111010000 D -b1101111011101010011001000100000 % -b1101111011101010011001000100000 . -b1101111011101010011001000100000 6 -b1101111011101010011001000100000 @ -b1101111011101010011001000100000 F -b11101111001101001001110111110000 ) -#37248000 -0& -#37264000 -b1001000110 , -#37280000 -1& -#37296000 -b11100100110100110110001111110110 " -b11100100110100110110001111110110 4 -b11100100110100111010010000000011 1 -b11100100110100111010010000000011 C -b110100000110000000000000000000 0 -b110100000110000000000000000000 H -18 -09 -b11100100110100110110001111110110 2 -b11100100110100110110001111110110 = -b11100101110110111011110101110011 < -b11100100110100110110001111110110 : -b11111110111101111010011010000011 $ -b11111110111101111010011010000011 - -b11111110111101111010011010000011 5 -b11111110111101111010011010000011 ? -b11111110111101111010011010000011 D -b11100101110110111011110101110011 % -b11100101110110111011110101110011 . -b11100101110110111011110101110011 6 -b11100101110110111011110101110011 @ -b11100101110110111011110101110011 F -b11100100110100110110001111110110 ) -#37312000 -0& -#37328000 -b1001000111 , -#37344000 -1& -#37360000 -b10110101110111000111000111010110 " -b10110101110111000111000111010110 4 -b10110101110111010001001000101000 1 -b10110101110111010001001000101000 C -b1000101100010100000000000000000 0 -b1000101100010100000000000000000 H -b10110101110111000111000111010110 2 -b10110101110111000111000111010110 = -b10110111110111110101101110101110 < -b10110101110111000111000111010110 : -b11111101111111010001011000101000 $ -b11111101111111010001011000101000 - -b11111101111111010001011000101000 5 -b11111101111111010001011000101000 ? -b11111101111111010001011000101000 D -b10110111110111110101101110101110 % -b10110111110111110101101110101110 . -b10110111110111110101101110101110 6 -b10110111110111110101101110101110 @ -b10110111110111110101101110101110 F -b10110101110111000111000111010110 ) -#37376000 -0& -#37392000 -b1001001000 , -#37408000 -1& -#37424000 -b11101011011010011010000011101 " -b11101011011010011010000011101 4 -b11110011011010100100010000010 1 -b11110011011010100100010000010 C -b1101010010101001001000000000000 0 -b1101010010101001001000000000000 H -08 -b11101011011010011010000011101 2 -b11101011011010011010000011101 = -b11011110011111111110100110001011 < -b11101011011010011010000011101 : -b111110111011010100101010010010 $ -b111110111011010100101010010010 - -b111110111011010100101010010010 5 -b111110111011010100101010010010 ? -b111110111011010100101010010010 D -b11011110011111111110100110001011 % -b11011110011111111110100110001011 . -b11011110011111111110100110001011 6 -b11011110011111111110100110001011 @ -b11011110011111111110100110001011 F -b11101011011010011010000011101 ) -#37440000 -0& -#37456000 -b1001001001 , -#37472000 -1& -#37488000 -b10110111101101001000100010110110 " -b10110111101101001000100010110110 4 -b10110111101101001100000100000000 1 -b10110111101101001100000100000000 C -b11011110111110110001110001010000 0 -b11011110111110110001110001010000 H -18 -b10110111101101001000100010110110 2 -b10110111101101001000100010110110 = -b11111111111101011100000110100010 < -b10110111101101001000100010110110 : -b10110111101111101100011100010100 $ -b10110111101111101100011100010100 - -b10110111101111101100011100010100 5 -b10110111101111101100011100010100 ? -b10110111101111101100011100010100 D -b11111111111101011100000110100010 % -b11111111111101011100000110100010 . -b11111111111101011100000110100010 6 -b11111111111101011100000110100010 @ -b11111111111101011100000110100010 F -b10110111101101001000100010110110 ) -#37504000 -0& -#37520000 -b1001001010 , -#37536000 -1& -#37552000 -b10100101010001001111010110010000 " -b10100101010001001111010110010000 4 -b10100101010001010000001000010001 1 -b10100101010001010000001000010001 C -b100100011111100000000000000000 0 -b100100011111100000000000000000 H -b10100101010001001111010110010000 2 -b10100101010001001111010110010000 = -b11100101010011110110001101010001 < -b10100101010001001111010110010000 : -b10111111111101011001001000111111 $ -b10111111111101011001001000111111 - -b10111111111101011001001000111111 5 -b10111111111101011001001000111111 ? -b10111111111101011001001000111111 D -b11100101010011110110001101010001 % -b11100101010011110110001101010001 . -b11100101010011110110001101010001 6 -b11100101010011110110001101010001 @ -b11100101010011110110001101010001 F -b10100101010001001111010110010000 ) -#37568000 -0& -#37584000 -b1001001011 , -#37600000 -1& -#37616000 -b10011110101001010110110110011110 " -b10011110101001010110110110011110 4 -b10011110101001010111011000000001 1 -b10011110101001010111011000000001 C -b1010000000000000000000000000 0 -b1010000000000000000000000000 H -b10011110101001010110110110011110 2 -b10011110101001010110110110011110 = -b11011111111111011111011000011001 < -b10011110101001010110110110011110 : -b10111110101001110111011110000101 $ -b10111110101001110111011110000101 - -b10111110101001110111011110000101 5 -b10111110101001110111011110000101 ? -b10111110101001110111011110000101 D -b11011111111111011111011000011001 % -b11011111111111011111011000011001 . -b11011111111111011111011000011001 6 -b11011111111111011111011000011001 @ -b11011111111111011111011000011001 F -b10011110101001010110110110011110 ) -#37632000 -0& -#37648000 -b1001001100 , -#37664000 -1& -#37680000 -b1100011010011100000011110110100 " -b1100011010011100000011110110100 4 -b1100011011001100000011111010101 1 -b1100011011001100000011111010101 C -b11111011111000000000000000000000 0 -b11111011111000000000000000000000 H -08 -b1100011010011100000011110110100 2 -b1100011010011100000011110110100 = -b1110011111001110001011111010101 < -b1100011010011100000011110110100 : -b11101111011001101110111111011111 $ -b11101111011001101110111111011111 - -b11101111011001101110111111011111 5 -b11101111011001101110111111011111 ? -b11101111011001101110111111011111 D -b1110011111001110001011111010101 % -b1110011111001110001011111010101 . -b1110011111001110001011111010101 6 -b1110011111001110001011111010101 @ -b1110011111001110001011111010101 F -b1100011010011100000011110110100 ) -#37696000 -0& -#37712000 -b1001001101 , -#37728000 -1& -#37744000 -b10001111001010011110110110101111 " -b10001111001010011110110110101111 4 -b10010011001010100000000010110000 1 -b10010011001010100000000010110000 C -b10110111000000000000000000000000 0 -b10110111000000000000000000000000 H -18 -b10001111001010011110110110101111 2 -b10001111001010011110110110101111 = -b11010011011110110100010011111000 < -b10001111001010011110110110101111 : -b10111011101011101010100010110111 $ -b10111011101011101010100010110111 - -b10111011101011101010100010110111 5 -b10111011101011101010100010110111 ? -b10111011101011101010100010110111 D -b11010011011110110100010011111000 % -b11010011011110110100010011111000 . -b11010011011110110100010011111000 6 -b11010011011110110100010011111000 @ -b11010011011110110100010011111000 F -b10001111001010011110110110101111 ) -#37760000 -0& -#37776000 -b1001001110 , -#37792000 -1& -#37808000 -b10001100110011010011011111110010 " -b10001100110011010011011111110010 4 -b1100110011100000000000011000 1 -b1100110011100000000000011000 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -08 -19 -b10001100110011010011011111110010 2 -b10001100110011010011011111110010 = -b1101111111011110011001100011010 < -b10001100110011010011011111110010 : -b11100110111100000010011011000 $ -b11100110111100000010011011000 - -b11100110111100000010011011000 5 -b11100110111100000010011011000 ? -b11100110111100000010011011000 D -b1101111111011110011001100011010 % -b1101111111011110011001100011010 . -b1101111111011110011001100011010 6 -b1101111111011110011001100011010 @ -b1101111111011110011001100011010 F -b10001100110011010011011111110010 ) -#37824000 -0& -#37840000 -b1001001111 , -#37856000 -1& -#37872000 -b110010101011100111110111100100 " -b110010101011100111110111100100 4 -b110011001100010000000000000110 1 -b110011001100010000000000000110 C -b11001111010011110101011110000000 0 -b11001111010011110101011110000000 H -09 -08 -b110010101011100111110111100100 2 -b110010101011100111110111100100 = -b11111011011100010100000010000110 < -b110010101011100111110111100100 : -b110111001111010011110101011110 $ -b110111001111010011110101011110 - -b110111001111010011110101011110 5 -b110111001111010011110101011110 ? -b110111001111010011110101011110 D -b11111011011100010100000010000110 % -b11111011011100010100000010000110 . -b11111011011100010100000010000110 6 -b11111011011100010100000010000110 @ -b11111011011100010100000010000110 F -b110010101011100111110111100100 ) -#37888000 -0& -#37904000 -b1001010000 , -#37920000 -1& -#37936000 -b1111111101000011100011101000111 " -b1111111101000011100011101000111 4 -b111111110010000100100001010000 1 -b111111110010000100100001010000 C -b10001101001100000000000000000000 0 -b10001101001100000000000000000000 H -b1111111101000011100011101000111 2 -b1111111101000011100011101000111 = -b111111110110000101111001110100 < -b1111111101000011100011101000111 : -b111111110010010110100011010011 $ -b111111110010010110100011010011 - -b111111110010010110100011010011 5 -b111111110010010110100011010011 ? -b111111110010010110100011010011 D -b111111110110000101111001110100 % -b111111110110000101111001110100 . -b111111110110000101111001110100 6 -b111111110110000101111001110100 @ -b111111110110000101111001110100 F -b1111111101000011100011101000111 ) -#37952000 -0& -#37968000 -b1001010001 , -#37984000 -1& -#38000000 -b11001010010111010011001101111011 " -b11001010010111010011001101111011 4 -b1011000001010100001110000000 1 -b1011000001010100001110000000 C -b11100111101010110000000000000000 0 -b11100111101010110000000000000000 H -18 -b11001010010111010011001101111011 2 -b11001010010111010011001101111011 = -b10011011010001110100101111010000 < -b11001010010111010011001101111011 : -b101111000101011110011110101011 $ -b101111000101011110011110101011 - -b101111000101011110011110101011 5 -b101111000101011110011110101011 ? -b101111000101011110011110101011 D -b10011011010001110100101111010000 % -b10011011010001110100101111010000 . -b10011011010001110100101111010000 6 -b10011011010001110100101111010000 @ -b10011011010001110100101111010000 F -b11001010010111010011001101111011 ) -#38016000 -0& -#38032000 -b1001010010 , -#38048000 -1& -#38064000 -b10110001100111011100100010100101 " -b10110001100111011100100010100101 4 -b110001100111100101000011000110 1 -b110001100111100101000011000110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -19 -b10110001100111011100100010100101 2 -b10110001100111011100100010100101 = -b111111110111110101010011011110 < -b10110001100111011100100010100101 : -b1110001101111100111001111000111 $ -b1110001101111100111001111000111 - -b1110001101111100111001111000111 5 -b1110001101111100111001111000111 ? -b1110001101111100111001111000111 D -b111111110111110101010011011110 % -b111111110111110101010011011110 . -b111111110111110101010011011110 6 -b111111110111110101010011011110 @ -b111111110111110101010011011110 F -b10110001100111011100100010100101 ) -#38080000 -0& -#38096000 -b1001010011 , -#38112000 -1& -#38128000 -b11001101101100100010110011001000 " -b11001101101100100010110011001000 4 -b11001101101100100100110100000010 1 -b11001101101100100100110100000010 C -b1110110111010110111011000011000 0 -b1110110111010110111011000011000 H -18 -09 -b11001101101100100010110011001000 2 -b11001101101100100010110011001000 = -b11101111111101110100111101000010 < -b11001101101100100010110011001000 : -b11011101101110101101110110000110 $ -b11011101101110101101110110000110 - -b11011101101110101101110110000110 5 -b11011101101110101101110110000110 ? -b11011101101110101101110110000110 D -b11101111111101110100111101000010 % -b11101111111101110100111101000010 . -b11101111111101110100111101000010 6 -b11101111111101110100111101000010 @ -b11101111111101110100111101000010 F -b11001101101100100010110011001000 ) -#38144000 -0& -#38160000 -b1001010100 , -#38176000 -1& -#38192000 -b100111100101110000100111100000 " -b100111100101110000100111100000 4 -b10000111101001110000101000100010 1 -b10000111101001110000101000100010 C -b1101110101110000000000000000000 0 -b1101110101110000000000000000000 H -19 -18 -b100111100101110000100111100000 2 -b100111100101110000100111100000 = -b10000111101011110010111000110010 < -b100111100101110000100111100000 : -b10011111111001111101101110101110 $ -b10011111111001111101101110101110 - -b10011111111001111101101110101110 5 -b10011111111001111101101110101110 ? -b10011111111001111101101110101110 D -b10000111101011110010111000110010 % -b10000111101011110010111000110010 . -b10000111101011110010111000110010 6 -b10000111101011110010111000110010 @ -b10000111101011110010111000110010 F -b100111100101110000100111100000 ) -#38208000 -0& -#38224000 -b1001010101 , -#38240000 -1& -#38256000 -b11111010001001010000011000100011 " -b11111010001001010000011000100011 4 -b11010001001011000000001000100 1 -b11010001001011000000001000100 C -b10111010111110000101110111110000 0 -b10111010111110000101110111110000 H -09 -18 -b11111010001001010000011000100011 2 -b11111010001001010000011000100011 = -b11110011101011000000001000100 < -b11111010001001010000011000100011 : -b11011011101011111000010111011111 $ -b11011011101011111000010111011111 - -b11011011101011111000010111011111 5 -b11011011101011111000010111011111 ? -b11011011101011111000010111011111 D -b11110011101011000000001000100 % -b11110011101011000000001000100 . -b11110011101011000000001000100 6 -b11110011101011000000001000100 @ -b11110011101011000000001000100 F -b11111010001001010000011000100011 ) -#38272000 -0& -#38288000 -b1001010110 , -#38304000 -1& -#38320000 -b11111100010111110100001001101100 " -b11111100010111110100001001101100 4 -b11111100011011111000010000010101 1 -b11111100011011111000010000010101 C -b1010100000000000000000000000 0 -b1010100000000000000000000000 H -b11111100010111110100001001101100 2 -b11111100010111110100001001101100 = -b11111101011011111001110001010111 < -b11111100010111110100001001101100 : -b11111110111011111010011000010101 $ -b11111110111011111010011000010101 - -b11111110111011111010011000010101 5 -b11111110111011111010011000010101 ? -b11111110111011111010011000010101 D -b11111101011011111001110001010111 % -b11111101011011111001110001010111 . -b11111101011011111001110001010111 6 -b11111101011011111001110001010111 @ -b11111101011011111001110001010111 F -b11111100010111110100001001101100 ) -#38336000 -0& -#38352000 -b1001010111 , -#38368000 -1& -#38384000 -b11011111001101001001100001101101 " -b11011111001101001001100001101101 4 -b1100111001101001010100001110000 1 -b1100111001101001010100001110000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -08 -19 -b11011111001101001001100001101101 2 -b11011111001101001001100001101101 = -b1110111101111111010111111111100 < -b11011111001101001001100001101101 : -b1100111011101001110100001110001 $ -b1100111011101001110100001110001 - -b1100111011101001110100001110001 5 -b1100111011101001110100001110001 ? -b1100111011101001110100001110001 D -b1110111101111111010111111111100 % -b1110111101111111010111111111100 . -b1110111101111111010111111111100 6 -b1110111101111111010111111111100 @ -b1110111101111111010111111111100 F -b11011111001101001001100001101101 ) -#38400000 -0& -#38416000 -b1001011000 , -#38432000 -1& -#38448000 -b111110011001101001111011100100 " -b111110011001101001111011100100 4 -b1000010011001110100000100001010 1 -b1000010011001110100000100001010 C -b101000000000000000000000000000 0 -b101000000000000000000000000000 H -09 -08 -b111110011001101001111011100100 2 -b111110011001101001111011100100 = -b1110010111001110101010100011010 < -b111110011001101001111011100100 : -b11001011011111110100100111001010 $ -b11001011011111110100100111001010 - -b11001011011111110100100111001010 5 -b11001011011111110100100111001010 ? -b11001011011111110100100111001010 D -b1110010111001110101010100011010 % -b1110010111001110101010100011010 . -b1110010111001110101010100011010 6 -b1110010111001110101010100011010 @ -b1110010111001110101010100011010 F -b111110011001101001111011100100 ) -#38464000 -0& -#38480000 -b1001011001 , -#38496000 -1& -#38512000 -b1101111100000110011011101111100 " -b1101111100000110011011101111100 4 -b1101111100000110101100000000000 1 -b1101111100000110101100000000000 C -b11111001101111011000010110000000 0 -b11111001101111011000010110000000 H -b1101111100000110011011101111100 2 -b1101111100000110011011101111100 = -b11111111111001110101111100100100 < -b1101111100000110011011101111100 : -b1101111100110111101100001011000 $ -b1101111100110111101100001011000 - -b1101111100110111101100001011000 5 -b1101111100110111101100001011000 ? -b1101111100110111101100001011000 D -b11111111111001110101111100100100 % -b11111111111001110101111100100100 . -b11111111111001110101111100100100 6 -b11111111111001110101111100100100 @ -b11111111111001110101111100100100 F -b1101111100000110011011101111100 ) -#38528000 -0& -#38544000 -b1001011010 , -#38560000 -1& -#38576000 -b11001101101001111110101111100011 " -b11001101101001111110101111100011 4 -b1010110001001111111000000000100 1 -b1010110001001111111000000000100 C -b1001001110000000000000000000000 0 -b1001001110000000000000000000000 H -19 -08 -b11001101101001111110101111100011 2 -b11001101101001111110101111100011 = -b1010110001001111111000110010101 < -b11001101101001111110101111100011 : -b1110111011111111111101001001110 $ -b1110111011111111111101001001110 - -b1110111011111111111101001001110 5 -b1110111011111111111101001001110 ? -b1110111011111111111101001001110 D -b1010110001001111111000110010101 % -b1010110001001111111000110010101 . -b1010110001001111111000110010101 6 -b1010110001001111111000110010101 @ -b1010110001001111111000110010101 F -b11001101101001111110101111100011 ) -#38592000 -0& -#38608000 -b1001011011 , -#38624000 -1& -#38640000 -b1111001111001111110100000001111 " -b1111001111001111110100000001111 4 -b1111001111010000110100000010000 1 -b1111001111010000110100000010000 C -b1010100000000000000000000000000 0 -b1010100000000000000000000000000 H -09 -08 -b1111001111001111110100000001111 2 -b1111001111001111110100000001111 = -b11111101111011000110110011111010 < -b1111001111001111110100000001111 : -b1111011111110110111101100010101 $ -b1111011111110110111101100010101 - -b1111011111110110111101100010101 5 -b1111011111110110111101100010101 ? -b1111011111110110111101100010101 D -b11111101111011000110110011111010 % -b11111101111011000110110011111010 . -b11111101111011000110110011111010 6 -b11111101111011000110110011111010 @ -b11111101111011000110110011111010 F -b1111001111001111110100000001111 ) -#38656000 -0& -#38672000 -b1001011100 , -#38688000 -1& -#38704000 -b10001101110111110111100010111100 " -b10001101110111110111100010111100 4 -b10001101110111111000100100000101 1 -b10001101110111111000100100000101 C -b11010010100000000000000000000000 0 -b11010010100000000000000000000000 H -18 -b10001101110111110111100010111100 2 -b10001101110111110111100010111100 = -b10111111111111111100100100010111 < -b10001101110111110111100010111100 : -b11001101110111111010111110100101 $ -b11001101110111111010111110100101 - -b11001101110111111010111110100101 5 -b11001101110111111010111110100101 ? -b11001101110111111010111110100101 D -b10111111111111111100100100010111 % -b10111111111111111100100100010111 . -b10111111111111111100100100010111 6 -b10111111111111111100100100010111 @ -b10111111111111111100100100010111 F -b10001101110111110111100010111100 ) -#38720000 -0& -#38736000 -b1001011101 , -#38752000 -1& -#38768000 -b1110111011001101011100001101110 " -b1110111011001101011100001101110 4 -b11001011001110000000010000000 1 -b11001011001110000000010000000 C -b1110111111111000000111100110000 0 -b1110111111111000000111100110000 H -08 -b1110111011001101011100001101110 2 -b1110111011001101011100001101110 = -b1011001011001111011010010100010 < -b1110111011001101011100001101110 : -b11101111111110000001111001100 $ -b11101111111110000001111001100 - -b11101111111110000001111001100 5 -b11101111111110000001111001100 ? -b11101111111110000001111001100 D -b1011001011001111011010010100010 % -b1011001011001111011010010100010 . -b1011001011001111011010010100010 6 -b1011001011001111011010010100010 @ -b1011001011001111011010010100010 F -b1110111011001101011100001101110 ) -#38784000 -0& -#38800000 -b1001011110 , -#38816000 -1& -#38832000 -b1001111010101000000001011000101 " -b1001111010101000000001011000101 4 -b1001111010101000000100001001000 1 -b1001111010101000000100001001000 C -b1110101001110000101110100000000 0 -b1110101001110000101110100000000 H -b1001111010101000000001011000101 2 -b1001111010101000000001011000101 = -b11001111110111101100101001101000 < -b1001111010101000000001011000101 : -b1111111011101010011100001011101 $ -b1111111011101010011100001011101 - -b1111111011101010011100001011101 5 -b1111111011101010011100001011101 ? -b1111111011101010011100001011101 D -b11001111110111101100101001101000 % -b11001111110111101100101001101000 . -b11001111110111101100101001101000 6 -b11001111110111101100101001101000 @ -b11001111110111101100101001101000 F -b1001111010101000000001011000101 ) -#38848000 -0& -#38864000 -b1001011111 , -#38880000 -1& -#38896000 -b11011010001010001101001111101 " -b11011010001010001101001111101 4 -b11011010001100000000010000000 1 -b11011010001100000000010000000 C -b11010100100000000000000000000 0 -b11010100100000000000000000000 H -b11011010001010001101001111101 2 -b11011010001010001101001111101 = -b111111010011110001100011010100 < -b11011010001010001101001111101 : -b11011011111101100000000110101001 $ -b11011011111101100000000110101001 - -b11011011111101100000000110101001 5 -b11011011111101100000000110101001 ? -b11011011111101100000000110101001 D -b111111010011110001100011010100 % -b111111010011110001100011010100 . -b111111010011110001100011010100 6 -b111111010011110001100011010100 @ -b111111010011110001100011010100 F -b11011010001010001101001111101 ) -#38912000 -0& -#38928000 -b1001100000 , -#38944000 -1& -#38960000 -b111111111111010101001000111110 " -b111111111111010101001000111110 4 -b111111111111010110001010000000 1 -b111111111111010110001010000000 C -b11011000101001000000000000000000 0 -b11011000101001000000000000000000 H -b111111111111010101001000111110 2 -b111111111111010101001000111110 = -b11111111111111011110111110101110 < -b111111111111010101001000111110 : -b111111111111110110001010010000 $ -b111111111111110110001010010000 - -b111111111111110110001010010000 5 -b111111111111110110001010010000 ? -b111111111111110110001010010000 D -b11111111111111011110111110101110 % -b11111111111111011110111110101110 . -b11111111111111011110111110101110 6 -b11111111111111011110111110101110 @ -b11111111111111011110111110101110 F -b111111111111010101001000111110 ) -#38976000 -0& -#38992000 -b1001100001 , -#39008000 -1& -#39024000 -b11101100001100011101100000111010 " -b11101100001100011101100000111010 4 -b11101100001100011101100010000011 1 -b11101100001100011101100010000011 C -b10011001111111010100100110000000 0 -b10011001111111010100100110000000 H -18 -b11101100001100011101100000111010 2 -b11101100001100011101100000111010 = -b11111110111111011101110110100111 < -b11101100001100011101100000111010 : -b11101101001100111111101010010011 $ -b11101101001100111111101010010011 - -b11101101001100111111101010010011 5 -b11101101001100111111101010010011 ? -b11101101001100111111101010010011 D -b11111110111111011101110110100111 % -b11111110111111011101110110100111 . -b11111110111111011101110110100111 6 -b11111110111111011101110110100111 @ -b11111110111111011101110110100111 F -b11101100001100011101100000111010 ) -#39040000 -0& -#39056000 -b1001100010 , -#39072000 -1& -#39088000 -b1110011101011001011111011100000 " -b1110011101011001011111011100000 4 -b110011110100010000000100000100 1 -b110011110100010000000100000100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b1110011101011001011111011100000 2 -b1110011101011001011111011100000 = -b110111110100111011100101011100 < -b1110011101011001011111011100000 : -b111011110110010000010110000100 $ -b111011110110010000010110000100 - -b111011110110010000010110000100 5 -b111011110110010000010110000100 ? -b111011110110010000010110000100 D -b110111110100111011100101011100 % -b110111110100111011100101011100 . -b110111110100111011100101011100 6 -b110111110100111011100101011100 @ -b110111110100111011100101011100 F -b1110011101011001011111011100000 ) -#39104000 -0& -#39120000 -b1001100011 , -#39136000 -1& -#39152000 -b11000001100101011101010100000001 " -b11000001100101011101010100000001 4 -b1000001100101100000001000000010 1 -b1000001100101100000001000000010 C -b11111100010010110101110000000000 0 -b11111100010010110101110000000000 H -19 -08 -b11000001100101011101010100000001 2 -b11000001100101011101010100000001 = -b1110111100101101100001000101010 < -b11000001100101011101010100000001 : -b1001001111111110001001011010111 $ -b1001001111111110001001011010111 - -b1001001111111110001001011010111 5 -b1001001111111110001001011010111 ? -b1001001111111110001001011010111 D -b1110111100101101100001000101010 % -b1110111100101101100001000101010 . -b1110111100101101100001000101010 6 -b1110111100101101100001000101010 @ -b1110111100101101100001000101010 F -b11000001100101011101010100000001 ) -#39168000 -0& -#39184000 -b1001100100 , -#39200000 -1& -#39216000 -b110110110000101001110000011010 " -b110110110000101001110000011010 4 -b111001000000110000110100000000 1 -b111001000000110000110100000000 C -b10000000000000000000000000 0 -b10000000000000000000000000 H -09 -08 -b110110110000101001110000011010 2 -b110110110000101001110000011010 = -b1111001100011111000110100011000 < -b110110110000101001110000011010 : -b10111101001100110000111100000010 $ -b10111101001100110000111100000010 - -b10111101001100110000111100000010 5 -b10111101001100110000111100000010 ? -b10111101001100110000111100000010 D -b1111001100011111000110100011000 % -b1111001100011111000110100011000 . -b1111001100011111000110100011000 6 -b1111001100011111000110100011000 @ -b1111001100011111000110100011000 F -b110110110000101001110000011010 ) -#39232000 -0& -#39248000 -b1001100101 , -#39264000 -1& -#39280000 -b1101101100110111100000000001101 " -b1101101100110111100000000001101 4 -b1110001101011000000000000010000 1 -b1110001101011000000000000010000 C -b101000000000000000000000000000 0 -b101000000000000000000000000000 H -b1101101100110111100000000001101 2 -b1101101100110111100000000001101 = -b11110011111011100010100101111001 < -b1101101100110111100000000001101 : -b1111001101011011001011010010100 $ -b1111001101011011001011010010100 - -b1111001101011011001011010010100 5 -b1111001101011011001011010010100 ? -b1111001101011011001011010010100 D -b11110011111011100010100101111001 % -b11110011111011100010100101111001 . -b11110011111011100010100101111001 6 -b11110011111011100010100101111001 @ -b11110011111011100010100101111001 F -b1101101100110111100000000001101 ) -#39296000 -0& -#39312000 -b1001100110 , -#39328000 -1& -#39344000 -b11100001101010101111111001000001 " -b11100001101010101111111001000001 4 -b1100001101011010011111001000010 1 -b1100001101011010011111001000010 C -b1101101111101111011000000000000 0 -b1101101111101111011000000000000 H -19 -08 -b11100001101010101111111001000001 2 -b11100001101010101111111001000001 = -b1100111101111010011111101001011 < -b11100001101010101111111001000001 : -b1111001111011011011111011110110 $ -b1111001111011011011111011110110 - -b1111001111011011011111011110110 5 -b1111001111011011011111011110110 ? -b1111001111011011011111011110110 D -b1100111101111010011111101001011 % -b1100111101111010011111101001011 . -b1100111101111010011111101001011 6 -b1100111101111010011111101001011 @ -b1100111101111010011111101001011 F -b11100001101010101111111001000001 ) -#39360000 -0& -#39376000 -b1001100111 , -#39392000 -1& -#39408000 -b111101000111101011101001011 " -b111101000111101011101001011 4 -b111101001000010100001010000 1 -b111101001000010100001010000 C -b10101010010110110000000000000000 0 -b10101010010110110000000000000000 H -09 -08 -b111101000111101011101001011 2 -b111101000111101011101001011 = -b1111111101011100010110011110000 < -b111101000111101011101001011 : -b10000111111101011010101001011011 $ -b10000111111101011010101001011011 - -b10000111111101011010101001011011 5 -b10000111111101011010101001011011 ? -b10000111111101011010101001011011 D -b1111111101011100010110011110000 % -b1111111101011100010110011110000 . -b1111111101011100010110011110000 6 -b1111111101011100010110011110000 @ -b1111111101011100010110011110000 F -b111101000111101011101001011 ) -#39424000 -0& -#39440000 -b1001101000 , -#39456000 -1& -#39472000 -b1010111010000110110100000101000 " -b1010111010000110110100000101000 4 -b1010111010000110110100000110001 1 -b1010111010000110110100000110001 C -b1111000100000000000000000000000 0 -b1111000100000000000000000000000 H -b1010111010000110110100000101000 2 -b1010111010000110110100000101000 = -b11010111010101111111111100110111 < -b1010111010000110110100000101000 : -b1111111111010110110100011110001 $ -b1111111111010110110100011110001 - -b1111111111010110110100011110001 5 -b1111111111010110110100011110001 ? -b1111111111010110110100011110001 D -b11010111010101111111111100110111 % -b11010111010101111111111100110111 . -b11010111010101111111111100110111 6 -b11010111010101111111111100110111 @ -b11010111010101111111111100110111 F -b1010111010000110110100000101000 ) -#39488000 -0& -#39504000 -b1001101001 , -#39520000 -1& -#39536000 -b10100101110001000000111000001 " -b10100101110001000000111000001 4 -b10100101110001000001000000010 1 -b10100101110001000001000000010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b10100101110001000000111000001 2 -b10100101110001000000111000001 = -b1010100111111001001111100011110 < -b10100101110001000000111000001 : -b10111111101110111110001010100011 $ -b10111111101110111110001010100011 - -b10111111101110111110001010100011 5 -b10111111101110111110001010100011 ? -b10111111101110111110001010100011 D -b1010100111111001001111100011110 % -b1010100111111001001111100011110 . -b1010100111111001001111100011110 6 -b1010100111111001001111100011110 @ -b1010100111111001001111100011110 F -b10100101110001000000111000001 ) -#39552000 -0& -#39568000 -b1001101010 , -#39584000 -1& -#39600000 -b1010101110111110011000000100100 " -b1010101110111110011000000100100 4 -b1100101110111110011000100000101 1 -b1100101110111110011000100000101 C -b11111110100010101000000000000000 0 -b11111110100010101000000000000000 H -b1010101110111110011000000100100 2 -b1010101110111110011000000100100 = -b11100111111111110011001100001111 < -b1010101110111110011000000100100 : -b1101101110111111111110100010101 $ -b1101101110111111111110100010101 - -b1101101110111111111110100010101 5 -b1101101110111111111110100010101 ? -b1101101110111111111110100010101 D -b11100111111111110011001100001111 % -b11100111111111110011001100001111 . -b11100111111111110011001100001111 6 -b11100111111111110011001100001111 @ -b11100111111111110011001100001111 F -b1010101110111110011000000100100 ) -#39616000 -0& -#39632000 -b1001101011 , -#39648000 -1& -#39664000 -b111101000101110110000001000001 " -b111101000101110110000001000001 4 -b1011101000101111000000001000100 1 -b1011101000101111000000001000100 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -b111101000101110110000001000001 2 -b111101000101110110000001000001 = -b11011101011111111101110111011100 < -b111101000101110110000001000001 : -b1011111100101111000001001100101 $ -b1011111100101111000001001100101 - -b1011111100101111000001001100101 5 -b1011111100101111000001001100101 ? -b1011111100101111000001001100101 D -b11011101011111111101110111011100 % -b11011101011111111101110111011100 . -b11011101011111111101110111011100 6 -b11011101011111111101110111011100 @ -b11011101011111111101110111011100 F -b111101000101110110000001000001 ) -#39680000 -0& -#39696000 -b1001101100 , -#39712000 -1& -#39728000 -b1100111101011011011100011011101 " -b1100111101011011011100011011101 4 -b1100111101101011100001000100010 1 -b1100111101101011100001000100010 C -b10110101010100000000000000000000 0 -b10110101010100000000000000000000 H -b1100111101011011011100011011101 2 -b1100111101011011011100011011101 = -b1111111111101011110001000110011 < -b1100111101011011011100011011101 : -b11100111101101111101011010101010 $ -b11100111101101111101011010101010 - -b11100111101101111101011010101010 5 -b11100111101101111101011010101010 ? -b11100111101101111101011010101010 D -b1111111111101011110001000110011 % -b1111111111101011110001000110011 . -b1111111111101011110001000110011 6 -b1111111111101011110001000110011 @ -b1111111111101011110001000110011 F -b1100111101011011011100011011101 ) -#39744000 -0& -#39760000 -b1001101101 , -#39776000 -1& -#39792000 -b11100001101111101101000010110101 " -b11100001101111101101000010110101 4 -b100001110011110010000011000000 1 -b100001110011110010000011000000 C -b100001110001010000000000000000 0 -b100001110001010000000000000000 H -18 -b11100001101111101101000010110101 2 -b11100001101111101101000010110101 = -b110011110011111010111011110000 < -b11100001101111101101000010110101 : -b10101101111011110010000111000101 $ -b10101101111011110010000111000101 - -b10101101111011110010000111000101 5 -b10101101111011110010000111000101 ? -b10101101111011110010000111000101 D -b110011110011111010111011110000 % -b110011110011111010111011110000 . -b110011110011111010111011110000 6 -b110011110011111010111011110000 @ -b110011110011111010111011110000 F -b11100001101111101101000010110101 ) -#39808000 -0& -#39824000 -b1001101110 , -#39840000 -1& -#39856000 -b10011100011110011100111101110001 " -b10011100011110011100111101110001 4 -b11100011110011101000001110010 1 -b11100011110011101000001110010 C -b11010111111100000000000000000000 0 -b11010111111100000000000000000000 H -08 -19 -b10011100011110011100111101110001 2 -b10011100011110011100111101110001 = -b1111101111111011101010001110011 < -b10011100011110011100111101110001 : -b11110011110111111101011111110 $ -b11110011110111111101011111110 - -b11110011110111111101011111110 5 -b11110011110111111101011111110 ? -b11110011110111111101011111110 D -b1111101111111011101010001110011 % -b1111101111111011101010001110011 . -b1111101111111011101010001110011 6 -b1111101111111011101010001110011 @ -b1111101111111011101010001110011 F -b10011100011110011100111101110001 ) -#39872000 -0& -#39888000 -b1001101111 , -#39904000 -1& -#39920000 -b1111111101100000001100011110111 " -b1111111101100000001100011110111 4 -b1111111101100000001100100000000 1 -b1111111101100000001100100000000 C -b11111111010011011011100000000000 0 -b11111111010011011011100000000000 H -09 -08 -b1111111101100000001100011110111 2 -b1111111101100000001100011110111 = -b1111111101100010111110110000111 < -b1111111101100000001100011110111 : -b11111111111111101001101101110000 $ -b11111111111111101001101101110000 - -b11111111111111101001101101110000 5 -b11111111111111101001101101110000 ? -b11111111111111101001101101110000 D -b1111111101100010111110110000111 % -b1111111101100010111110110000111 . -b1111111101100010111110110000111 6 -b1111111101100010111110110000111 @ -b1111111101100010111110110000111 F -b1111111101100000001100011110111 ) -#39936000 -0& -#39952000 -b1001110000 , -#39968000 -1& -#39984000 -b11000110111110110101001111110 " -b11000110111110110101001111110 4 -b101000111000001001000000000001 1 -b101000111000001001000000000001 C -b10100000110110100000000000000000 0 -b10100000110110100000000000000000 H -b11000110111110110101001111110 2 -b11000110111110110101001111110 = -b10101000111001101001101000010001 < -b11000110111110110101001111110 : -b1101111111110001101000001101101 $ -b1101111111110001101000001101101 - -b1101111111110001101000001101101 5 -b1101111111110001101000001101101 ? -b1101111111110001101000001101101 D -b10101000111001101001101000010001 % -b10101000111001101001101000010001 . -b10101000111001101001101000010001 6 -b10101000111001101001101000010001 @ -b10101000111001101001101000010001 F -b11000110111110110101001111110 ) -#40000000 -0& -#40016000 -b1001110001 , -#40032000 -1& -#40048000 -b1010110010111101110110111110001 " -b1010110010111101110110111110001 4 -b1100110010111110001000001110100 1 -b1100110010111110001000001110100 C -b101111100000000000000000000000 0 -b101111100000000000000000000000 H -b1010110010111101110110111110001 2 -b1010110010111101110110111110001 = -b1101111011111111101010001110101 < -b1010110010111101110110111110001 : -b11100110110111110001100101111100 $ -b11100110110111110001100101111100 - -b11100110110111110001100101111100 5 -b11100110110111110001100101111100 ? -b11100110110111110001100101111100 D -b1101111011111111101010001110101 % -b1101111011111111101010001110101 . -b1101111011111111101010001110101 6 -b1101111011111111101010001110101 @ -b1101111011111111101010001110101 F -b1010110010111101110110111110001 ) -#40064000 -0& -#40080000 -b1001110010 , -#40096000 -1& -#40112000 -b1111001110111000000111011100111 " -b1111001110111000000111011100111 4 -b10001010010111000001000001101000 1 -b10001010010111000001000001101000 C -b10111101001100001111110000000000 0 -b10111101001100001111110000000000 H -18 -19 -b1111001110111000000111011100111 2 -b1111001110111000000111011100111 = -b11001110011111010111011001101001 < -b1111001110111000000111011100111 : -b10101011010111101001100001111110 $ -b10101011010111101001100001111110 - -b10101011010111101001100001111110 5 -b10101011010111101001100001111110 ? -b10101011010111101001100001111110 D -b11001110011111010111011001101001 % -b11001110011111010111011001101001 . -b11001110011111010111011001101001 6 -b11001110011111010111011001101001 @ -b11001110011111010111011001101001 F -b1111001110111000000111011100111 ) -#40128000 -0& -#40144000 -b1001110011 , -#40160000 -1& -#40176000 -b10011011110010010010100001000100 " -b10011011110010010010100001000100 4 -b11101110100010011000001000101 1 -b11101110100010011000001000101 C -b101110110010101110000000000000 0 -b101110110010101110000000000000 H -08 -b10011011110010010010100001000100 2 -b10011011110010010010100001000100 = -b1111101111101111011000111101101 < -b10011011110010010010100001000100 : -b11101110100010111011001010111 $ -b11101110100010111011001010111 - -b11101110100010111011001010111 5 -b11101110100010111011001010111 ? -b11101110100010111011001010111 D -b1111101111101111011000111101101 % -b1111101111101111011000111101101 . -b1111101111101111011000111101101 6 -b1111101111101111011000111101101 @ -b1111101111101111011000111101101 F -b10011011110010010010100001000100 ) -#40192000 -0& -#40208000 -b1001110100 , -#40224000 -1& -#40240000 -b1001111011101010101010111011010 " -b1001111011101010101010111011010 4 -b1001111011101010110000000000011 1 -b1001111011101010110000000000011 C -b10101110001110000000000000000000 0 -b10101110001110000000000000000000 H -09 -08 -b1001111011101010101010111011010 2 -b1001111011101010101010111011010 = -b11001111111101111110000000010011 < -b1001111011101010101010111011010 : -b1111111011111010111010111000111 $ -b1111111011111010111010111000111 - -b1111111011111010111010111000111 5 -b1111111011111010111010111000111 ? -b1111111011111010111010111000111 D -b11001111111101111110000000010011 % -b11001111111101111110000000010011 . -b11001111111101111110000000010011 6 -b11001111111101111110000000010011 @ -b11001111111101111110000000010011 F -b1001111011101010101010111011010 ) -#40256000 -0& -#40272000 -b1001110101 , -#40288000 -1& -#40304000 -b10111111101011001101101001001011 " -b10111111101011001101101001001011 4 -b111111101011001110000010000000 1 -b111111101011001110000010000000 C -b11111101111001110000011001000000 0 -b11111101111001110000011001000000 H -19 -08 -b10111111101011001101101001001011 2 -b10111111101011001101101001001011 = -b1111111111011111111100110000011 < -b10111111101011001101101001001011 : -b111111101111001110000011001000 $ -b111111101111001110000011001000 - -b111111101111001110000011001000 5 -b111111101111001110000011001000 ? -b111111101111001110000011001000 D -b1111111111011111111100110000011 % -b1111111111011111111100110000011 . -b1111111111011111111100110000011 6 -b1111111111011111111100110000011 @ -b1111111111011111111100110000011 F -b10111111101011001101101001001011 ) -#40320000 -0& -#40336000 -b1001110110 , -#40352000 -1& -#40368000 -b1011011100110011011001100001100 " -b1011011100110011011001100001100 4 -b1011011100110100000010000100001 1 -b1011011100110100000010000100001 C -b10111111011101000101100001010110 0 -b10111111011101000101100001010110 H -09 -08 -b1011011100110011011001100001100 2 -b1011011100110011011001100001100 = -b11111011110111111000011011100001 < -b1011011100110011011001100001100 : -b1011111101110100010110000101011 $ -b1011111101110100010110000101011 - -b1011111101110100010110000101011 5 -b1011111101110100010110000101011 ? -b1011111101110100010110000101011 D -b11111011110111111000011011100001 % -b11111011110111111000011011100001 . -b11111011110111111000011011100001 6 -b11111011110111111000011011100001 @ -b11111011110111111000011011100001 F -b1011011100110011011001100001100 ) -#40384000 -0& -#40400000 -b1001110111 , -#40416000 -1& -#40432000 -b11111110111011100100111101010001 " -b11111110111011100100111101010001 4 -b1111110111011101000000110000100 1 -b1111110111011101000000110000100 C -b11110001101110001000000000000000 0 -b11110001101110001000000000000000 H -19 -08 -b11111110111011100100111101010001 2 -b11111110111011100100111101010001 = -b1111111111111101100000110001101 < -b11111110111011100100111101010001 : -b1111110111011111000110111000100 $ -b1111110111011111000110111000100 - -b1111110111011111000110111000100 5 -b1111110111011111000110111000100 ? -b1111110111011111000110111000100 D -b1111111111111101100000110001101 % -b1111111111111101100000110001101 . -b1111111111111101100000110001101 6 -b1111111111111101100000110001101 @ -b1111111111111101100000110001101 F -b11111110111011100100111101010001 ) -#40448000 -0& -#40464000 -b1001111000 , -#40480000 -1& -#40496000 -b1110011111011100110100111101100 " -b1110011111011100110100111101100 4 -b1110011111100000011010000000001 1 -b1110011111100000011010000000001 C -b10111111100100011010110001001000 0 -b10111111100100011010110001001000 H -09 -08 -b1110011111011100110100111101100 2 -b1110011111011100110100111101100 = -b11111011111111000011010001100011 < -b1110011111011100110100111101100 : -b1110111111100100011010110001001 $ -b1110111111100100011010110001001 - -b1110111111100100011010110001001 5 -b1110111111100100011010110001001 ? -b1110111111100100011010110001001 D -b11111011111111000011010001100011 % -b11111011111111000011010001100011 . -b11111011111111000011010001100011 6 -b11111011111111000011010001100011 @ -b11111011111111000011010001100011 F -b1110011111011100110100111101100 ) -#40512000 -0& -#40528000 -b1001111001 , -#40544000 -1& -#40560000 -b11101100110011011001010101001000 " -b11101100110011011001010101001000 4 -b11101100110011011001011010001001 1 -b11101100110011011001011010001001 C -b10111011011011010111111000000000 0 -b10111011011011010111111000000000 H -18 -b11101100110011011001010101001000 2 -b11101100110011011001010101001000 = -b11111101111011111101111010001001 < -b11101100110011011001010101001000 : -b11101110110111011011011010111111 $ -b11101110110111011011011010111111 - -b11101110110111011011011010111111 5 -b11101110110111011011011010111111 ? -b11101110110111011011011010111111 D -b11111101111011111101111010001001 % -b11111101111011111101111010001001 . -b11111101111011111101111010001001 6 -b11111101111011111101111010001001 @ -b11111101111011111101111010001001 F -b11101100110011011001010101001000 ) -#40576000 -0& -#40592000 -b1001111010 , -#40608000 -1& -#40624000 -b1011111011110101010111111100101 " -b1011111011110101010111111100101 4 -b1011111100010101101000000001000 1 -b1011111100010101101000000001000 C -b1001101000000000000000000000000 0 -b1001101000000000000000000000000 H -08 -b1011111011110101010111111100101 2 -b1011111011110101010111111100101 = -b1011111111010101101100010011000 < -b1011111011110101010111111100101 : -b11111111100011111101011101001101 $ -b11111111100011111101011101001101 - -b11111111100011111101011101001101 5 -b11111111100011111101011101001101 ? -b11111111100011111101011101001101 D -b1011111111010101101100010011000 % -b1011111111010101101100010011000 . -b1011111111010101101100010011000 6 -b1011111111010101101100010011000 @ -b1011111111010101101100010011000 F -b1011111011110101010111111100101 ) -#40640000 -0& -#40656000 -b1001111011 , -#40672000 -1& -#40688000 -b1011011011101100110001000110000 " -b1011011011101100110001000110000 4 -b11100011110010011000001000001 1 -b11100011110010011000001000001 C -b10011000001000001000000000000000 0 -b10011000001000001000000000000000 H -b1011011011101100110001000110000 2 -b1011011011101100110001000110000 = -b111110011110010011000111101111 < -b1011011011101100110001000110000 : -b11100111111010011000001000001 $ -b11100111111010011000001000001 - -b11100111111010011000001000001 5 -b11100111111010011000001000001 ? -b11100111111010011000001000001 D -b111110011110010011000111101111 % -b111110011110010011000111101111 . -b111110011110010011000111101111 6 -b111110011110010011000111101111 @ -b111110011110010011000111101111 F -b1011011011101100110001000110000 ) -#40704000 -0& -#40720000 -b1001111100 , -#40736000 -1& -#40752000 -b11111010111111011000101011000110 " -b11111010111111011000101011000110 4 -b1111010111111011000110100100000 1 -b1111010111111011000110100100000 C -b11111111011101110110100000000000 0 -b11111111011101110110100000000000 H -19 -08 -b11111010111111011000101011000110 2 -b11111010111111011000101011000110 = -b1111110111111111010110100100110 < -b11111010111111011000101011000110 : -b1111011111111011101110110100000 $ -b1111011111111011101110110100000 - -b1111011111111011101110110100000 5 -b1111011111111011101110110100000 ? -b1111011111111011101110110100000 D -b1111110111111111010110100100110 % -b1111110111111111010110100100110 . -b1111110111111111010110100100110 6 -b1111110111111111010110100100110 @ -b1111110111111111010110100100110 F -b11111010111111011000101011000110 ) -#40768000 -0& -#40784000 -b1001111101 , -#40800000 -1& -#40816000 -b1011001011101101000110101111100 " -b1011001011101101000110101111100 4 -b1011010011101110010000000000010 1 -b1011010011101110010000000000010 C -b11101011111111011001000001101000 0 -b11101011111111011001000001101000 H -09 -08 -b1011001011101101000110101111100 2 -b1011001011101101000110101111100 = -b1011110011101110010100101100010 < -b1011001011101101000110101111100 : -b11111010111111110110010000011010 $ -b11111010111111110110010000011010 - -b11111010111111110110010000011010 5 -b11111010111111110110010000011010 ? -b11111010111111110110010000011010 D -b1011110011101110010100101100010 % -b1011110011101110010100101100010 . -b1011110011101110010100101100010 6 -b1011110011101110010100101100010 @ -b1011110011101110010100101100010 F -b1011001011101101000110101111100 ) -#40832000 -0& -#40848000 -b1001111110 , -#40864000 -1& -#40880000 -b1111110001111000011001110100101 " -b1111110001111000011001110100101 4 -b1111110001111000011100010110000 1 -b1111110001111000011100010110000 C -b1010110000000000000000000000000 0 -b1010110000000000000000000000000 H -b1111110001111000011001110100101 2 -b1111110001111000011001110100101 = -b11111110011111001011100011110101 < -b1111110001111000011001110100101 : -b1111111101111110111101010110000 $ -b1111111101111110111101010110000 - -b1111111101111110111101010110000 5 -b1111111101111110111101010110000 ? -b1111111101111110111101010110000 D -b11111110011111001011100011110101 % -b11111110011111001011100011110101 . -b11111110011111001011100011110101 6 -b11111110011111001011100011110101 @ -b11111110011111001011100011110101 F -b1111110001111000011001110100101 ) -#40896000 -0& -#40912000 -b1001111111 , -#40928000 -1& -#40944000 -b11000111100100110100000010100010 " -b11000111100100110100000010100010 4 -b11000111100100110100000100000001 1 -b11000111100100110100000100000001 C -b11001111011101101110101001000010 0 -b11001111011101101110101001000010 H -18 -b11000111100100110100000010100010 2 -b11000111100100110100000010100010 = -b11011111110101111100101110000001 < -b11000111100100110100000010100010 : -b11100111101110110111010100100001 $ -b11100111101110110111010100100001 - -b11100111101110110111010100100001 5 -b11100111101110110111010100100001 ? -b11100111101110110111010100100001 D -b11011111110101111100101110000001 % -b11011111110101111100101110000001 . -b11011111110101111100101110000001 6 -b11011111110101111100101110000001 @ -b11011111110101111100101110000001 F -b11000111100100110100000010100010 ) -#40960000 -0& -#40976000 -b1010000000 , -#40992000 -1& -#41008000 -b1010101011100101010110100000011 " -b1010101011100101010110100000011 4 -b10010101011110010000111000001000 1 -b10010101011110010000111000001000 C -b1111001000111100011101100000000 0 -b1111001000111100011101100000000 H -19 -18 -b1010101011100101010110100000011 2 -b1010101011100101010110100000011 = -b10111101111110011000111011001000 < -b1010101011100101010110100000011 : -b10010111011110010001111000111011 $ -b10010111011110010001111000111011 - -b10010111011110010001111000111011 5 -b10010111011110010001111000111011 ? -b10010111011110010001111000111011 D -b10111101111110011000111011001000 % -b10111101111110011000111011001000 . -b10111101111110011000111011001000 6 -b10111101111110011000111011001000 @ -b10111101111110011000111011001000 F -b1010101011100101010110100000011 ) -#41024000 -0& -#41040000 -b1010000001 , -#41056000 -1& -#41072000 -b10011101001111101000110000101010 " -b10011101001111101000110000101010 4 -b101101010011110010010000101011 1 -b101101010011110010010000101011 C -b1111001001100111111100000000000 0 -b1111001001100111111100000000000 H -08 -b10011101001111101000110000101010 2 -b10011101001111101000110000101010 = -b1101111010011110110010110101011 < -b10011101001111101000110000101010 : -b101101111011110010011001111111 $ -b101101111011110010011001111111 - -b101101111011110010011001111111 5 -b101101111011110010011001111111 ? -b101101111011110010011001111111 D -b1101111010011110110010110101011 % -b1101111010011110110010110101011 . -b1101111010011110110010110101011 6 -b1101111010011110110010110101011 @ -b1101111010011110110010110101011 F -b10011101001111101000110000101010 ) -#41088000 -0& -#41104000 -b1010000010 , -#41120000 -1& -#41136000 -b11101101000011101101110111110 " -b11101101000011101101110111110 4 -b11101101000100000000000000000 1 -b11101101000100000000000000000 C -b1001010000011100000000000000000 0 -b1001010000011100000000000000000 H -09 -08 -b11101101000011101101110111110 2 -b11101101000011101101110111110 = -b11101111001101001000110110000 < -b11101101000011101101110111110 : -b11111111101110110100101000001110 $ -b11111111101110110100101000001110 - -b11111111101110110100101000001110 5 -b11111111101110110100101000001110 ? -b11111111101110110100101000001110 D -b11101111001101001000110110000 % -b11101111001101001000110110000 . -b11101111001101001000110110000 6 -b11101111001101001000110110000 @ -b11101111001101001000110110000 F -b11101101000011101101110111110 ) -#41152000 -0& -#41168000 -b1010000011 , -#41184000 -1& -#41200000 -b1111110010111011011100101011100 " -b1111110010111011011100101011100 4 -b1111110010111100000000110100000 1 -b1111110010111100000000110100000 C -b11100011011110110000000000000000 0 -b11100011011110110000000000000000 H -b1111110010111011011100101011100 2 -b1111110010111011011100101011100 = -b1111111010111111000000110101100 < -b1111110010111011011100101011100 : -b11111110111111100011011110110000 $ -b11111110111111100011011110110000 - -b11111110111111100011011110110000 5 -b11111110111111100011011110110000 ? -b11111110111111100011011110110000 D -b1111111010111111000000110101100 % -b1111111010111111000000110101100 . -b1111111010111111000000110101100 6 -b1111111010111111000000110101100 @ -b1111111010111111000000110101100 F -b1111110010111011011100101011100 ) -#41216000 -0& -#41232000 -b1010000100 , -#41248000 -1& -#41264000 -b1111100111101000000101011010111 " -b1111100111101000000101011010111 4 -b10011100111101000000110100000000 1 -b10011100111101000000110100000000 C -b11101111110110101101100100110000 0 -b11101111110110101101100100110000 H -18 -19 -b1111100111101000000101011010111 2 -b1111100111101000000101011010111 = -b11011101111101100101110101000100 < -b1111100111101000000101011010111 : -b10011110111111011010110110010011 $ -b10011110111111011010110110010011 - -b10011110111111011010110110010011 5 -b10011110111111011010110110010011 ? -b10011110111111011010110110010011 D -b11011101111101100101110101000100 % -b11011101111101100101110101000100 . -b11011101111101100101110101000100 6 -b11011101111101100101110101000100 @ -b11011101111101100101110101000100 F -b1111100111101000000101011010111 ) -#41280000 -0& -#41296000 -b1010000101 , -#41312000 -1& -#41328000 -b11001010011101101000010101010000 " -b11001010011101101000010101010000 4 -b1001010011101101000010110000001 1 -b1001010011101101000010110000001 C -b10111111101011100010100000000000 0 -b10111111101011100010100000000000 H -08 -b11001010011101101000010101010000 2 -b11001010011101101000010101010000 = -b1111110111111101000111110001011 < -b11001010011101101000010101010000 : -b1001011011101111111010111000101 $ -b1001011011101111111010111000101 - -b1001011011101111111010111000101 5 -b1001011011101111111010111000101 ? -b1001011011101111111010111000101 D -b1111110111111101000111110001011 % -b1111110111111101000111110001011 . -b1111110111111101000111110001011 6 -b1111110111111101000111110001011 @ -b1111110111111101000111110001011 F -b11001010011101101000010101010000 ) -#41344000 -0& -#41360000 -b1010000110 , -#41376000 -1& -#41392000 -b11111000011111110011001111101111 " -b11111000011111110011001111101111 4 -b1111000011111110011010000010000 1 -b1111000011111110011010000010000 C -b11011000011101000000000000000000 0 -b11011000011101000000000000000000 H -b11111000011111110011001111101111 2 -b11111000011111110011001111101111 = -b1111110011111110011110111010010 < -b11111000011111110011001111101111 : -b1111001111111111111011000011101 $ -b1111001111111111111011000011101 - -b1111001111111111111011000011101 5 -b1111001111111111111011000011101 ? -b1111001111111111111011000011101 D -b1111110011111110011110111010010 % -b1111110011111110011110111010010 . -b1111110011111110011110111010010 6 -b1111110011111110011110111010010 @ -b1111110011111110011110111010010 F -b11111000011111110011001111101111 ) -#41408000 -0& -#41424000 -b1010000111 , -#41440000 -1& -#41456000 -b101110110001111101100010000010 " -b101110110001111101100010000010 4 -b1001110110010000000000100000011 1 -b1001110110010000000000100000011 C -b10001010011110000000000000000000 0 -b10001010011110000000000000000000 H -09 -08 -b101110110001111101100010000010 2 -b101110110001111101100010000010 = -b1001110111010011000011100110011 < -b101110110001111101100010000010 : -b11011111110111100101000101001111 $ -b11011111110111100101000101001111 - -b11011111110111100101000101001111 5 -b11011111110111100101000101001111 ? -b11011111110111100101000101001111 D -b1001110111010011000011100110011 % -b1001110111010011000011100110011 . -b1001110111010011000011100110011 6 -b1001110111010011000011100110011 @ -b1001110111010011000011100110011 F -b101110110001111101100010000010 ) -#41472000 -0& -#41488000 -b1010001000 , -#41504000 -1& -#41520000 -b10010001111011101010110111010111 " -b10010001111011101010110111010111 4 -b10001111011110100111000000000 1 -b10001111011110100111000000000 C -b111011111011110101111101010111 0 -b111011111011110101111101010111 H -19 -08 -b10010001111011101010110111010111 2 -b10010001111011101010110111010111 = -b1010101111111110100111010000000 < -b10010001111011101010110111010111 : -b111011111011110101111101010111 $ -b111011111011110101111101010111 - -b111011111011110101111101010111 5 -b111011111011110101111101010111 ? -b111011111011110101111101010111 D -b1010101111111110100111010000000 % -b1010101111111110100111010000000 . -b1010101111111110100111010000000 6 -b1010101111111110100111010000000 @ -b1010101111111110100111010000000 F -b10010001111011101010110111010111 ) -#41536000 -0& -#41552000 -b1010001001 , -#41568000 -1& -#41584000 -b11100100100001011101101111110110 " -b11100100100001011101101111110110 4 -b11100101000010000000000000001011 1 -b11100101000010000000000000001011 C -b11000000100100100101100000000000 0 -b11000000100100100101100000000000 H -18 -09 -b11100100100001011101101111110110 2 -b11100100100001011101101111110110 = -b11111101001011011100100110101011 < -b11100100100001011101101111110110 : -b11100111010110000001001001001011 $ -b11100111010110000001001001001011 - -b11100111010110000001001001001011 5 -b11100111010110000001001001001011 ? -b11100111010110000001001001001011 D -b11111101001011011100100110101011 % -b11111101001011011100100110101011 . -b11111101001011011100100110101011 6 -b11111101001011011100100110101011 @ -b11111101001011011100100110101011 F -b11100100100001011101101111110110 ) -#41600000 -0& -#41616000 -b1010001010 , -#41632000 -1& -#41648000 -b100001101100101011110110001110 " -b100001101100101011110110001110 4 -b100001110000110000001000100011 1 -b100001110000110000001000100011 C -b11011111010111011101001101011000 0 -b11011111010111011101001101011000 H -08 -b100001101100101011110110001110 2 -b100001101100101011110110001110 = -b11100101110001110000001100100011 < -b100001101100101011110110001110 : -b111011111010111011101001101011 $ -b111011111010111011101001101011 - -b111011111010111011101001101011 5 -b111011111010111011101001101011 ? -b111011111010111011101001101011 D -b11100101110001110000001100100011 % -b11100101110001110000001100100011 . -b11100101110001110000001100100011 6 -b11100101110001110000001100100011 @ -b11100101110001110000001100100011 F -b100001101100101011110110001110 ) -#41664000 -0& -#41680000 -b1010001011 , -#41696000 -1& -#41712000 -b10010000110100110001010111010001 " -b10010000110100110001010111010001 4 -b10001010100110010000001010010 1 -b10001010100110010000001010010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -19 -08 -b10010000110100110001010111010001 2 -b10010000110100110001010111010001 = -b1011001010100110011010001011110 < -b10010000110100110001010111010001 : -b110111011111111110000101110011 $ -b110111011111111110000101110011 - -b110111011111111110000101110011 5 -b110111011111111110000101110011 ? -b110111011111111110000101110011 D -b1011001010100110011010001011110 % -b1011001010100110011010001011110 . -b1011001010100110011010001011110 6 -b1011001010100110011010001011110 @ -b1011001010100110011010001011110 F -b10010000110100110001010111010001 ) -#41728000 -0& -#41744000 -b1010001100 , -#41760000 -1& -#41776000 -b10111010011011100011111100010000 " -b10111010011011100011111100010000 4 -b111010011100110000000000100001 1 -b111010011100110000000000100001 C -b10001000011100001000000000000000 0 -b10001000011100001000000000000000 H -b10111010011011100011111100010000 2 -b10111010011011100011111100010000 = -b1111111011100110010111000101111 < -b10111010011011100011111100010000 : -b111010111110110001000011100001 $ -b111010111110110001000011100001 - -b111010111110110001000011100001 5 -b111010111110110001000011100001 ? -b111010111110110001000011100001 D -b1111111011100110010111000101111 % -b1111111011100110010111000101111 . -b1111111011100110010111000101111 6 -b1111111011100110010111000101111 @ -b1111111011100110010111000101111 F -b10111010011011100011111100010000 ) -#41792000 -0& -#41808000 -b1010001101 , -#41824000 -1& -#41840000 -b1110110101000001110101100101011 " -b1110110101000001110101100101011 4 -b1110110110000100011000001010100 1 -b1110110110000100011000001010100 C -b10000101011100000000000000000000 0 -b10000101011100000000000000000000 H -09 -08 -b1110110101000001110101100101011 2 -b1110110101000001110101100101011 = -b11110111110101101011001011010100 < -b1110110101000001110101100101011 : -b1111110110010100011100001010111 $ -b1111110110010100011100001010111 - -b1111110110010100011100001010111 5 -b1111110110010100011100001010111 ? -b1111110110010100011100001010111 D -b11110111110101101011001011010100 % -b11110111110101101011001011010100 . -b11110111110101101011001011010100 6 -b11110111110101101011001011010100 @ -b11110111110101101011001011010100 F -b1110110101000001110101100101011 ) -#41856000 -0& -#41872000 -b1010001110 , -#41888000 -1& -#41904000 -b11110111010100110001100011011100 " -b11110111010100110001100011011100 4 -b11110111100100110010100100100010 1 -b11110111100100110010100100100010 C -b1001101101001001100100000000000 0 -b1001101101001001100100000000000 H -18 -b11110111010100110001100011011100 2 -b11110111010100110001100011011100 = -b11110111101111111010111110101010 < -b11110111010100110001100011011100 : -b11111111100100110110100100110010 $ -b11111111100100110110100100110010 - -b11111111100100110110100100110010 5 -b11111111100100110110100100110010 ? -b11111111100100110110100100110010 D -b11110111101111111010111110101010 % -b11110111101111111010111110101010 . -b11110111101111111010111110101010 6 -b11110111101111111010111110101010 @ -b11110111101111111010111110101010 F -b11110111010100110001100011011100 ) -#41920000 -0& -#41936000 -b1010001111 , -#41952000 -1& -#41968000 -b1111111001111101010110100010101 " -b1111111001111101010110100010101 4 -b1111111001111101011000100010110 1 -b1111111001111101011000100010110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -b1111111001111101010110100010101 2 -b1111111001111101010110100010101 = -b1111111101111101111000110111110 < -b1111111001111101010110100010101 : -b11111111011111111011101101010111 $ -b11111111011111111011101101010111 - -b11111111011111111011101101010111 5 -b11111111011111111011101101010111 ? -b11111111011111111011101101010111 D -b1111111101111101111000110111110 % -b1111111101111101111000110111110 . -b1111111101111101111000110111110 6 -b1111111101111101111000110111110 @ -b1111111101111101111000110111110 F -b1111111001111101010110100010101 ) -#41984000 -0& -#42000000 -b1010010000 , -#42016000 -1& -#42032000 -b11101111011101101011110011110000 " -b11101111011101101011110011110000 4 -b1111101110010000000100010001 1 -b1111101110010000000100010001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11101111011101101011110011110000 2 -b11101111011101101011110011110000 = -b11011111101111011010101110011111 < -b11101111011101101011110011110000 : -b1111101110010001000101010001 $ -b1111101110010001000101010001 - -b1111101110010001000101010001 5 -b1111101110010001000101010001 ? -b1111101110010001000101010001 D -b11011111101111011010101110011111 % -b11011111101111011010101110011111 . -b11011111101111011010101110011111 6 -b11011111101111011010101110011111 @ -b11011111101111011010101110011111 F -b11101111011101101011110011110000 ) -#42048000 -0& -#42064000 -b1010010001 , -#42080000 -1& -#42096000 -b11111011110110000001110101111 " -b11111011110110000001110101111 4 -b11111101110110000010010110000 1 -b11111101110110000010010110000 C -b11101110111111110000000000000000 0 -b11101110111111110000000000000000 H -08 -b11111011110110000001110101111 2 -b11111011110110000001110101111 = -b10111111101111110001010010110000 < -b11111011110110000001110101111 : -b1011111101110111110111011111111 $ -b1011111101110111110111011111111 - -b1011111101110111110111011111111 5 -b1011111101110111110111011111111 ? -b1011111101110111110111011111111 D -b10111111101111110001010010110000 % -b10111111101111110001010010110000 . -b10111111101111110001010010110000 6 -b10111111101111110001010010110000 @ -b10111111101111110001010010110000 F -b11111011110110000001110101111 ) -#42112000 -0& -#42128000 -b1010010010 , -#42144000 -1& -#42160000 -b10011001110011110011000011011110 " -b10011001110011110011000011011110 4 -b101001110011110011010000100011 1 -b101001110011110011010000100011 C -b1011110111110111010000111011000 0 -b1011110111110111010000111011000 H -19 -08 -b10011001110011110011000011011110 2 -b10011001110011110011000011011110 = -b101101111011111011110010100011 < -b10011001110011110011000011011110 : -b1101011110111110111010000111011 $ -b1101011110111110111010000111011 - -b1101011110111110111010000111011 5 -b1101011110111110111010000111011 ? -b1101011110111110111010000111011 D -b101101111011111011110010100011 % -b101101111011111011110010100011 . -b101101111011111011110010100011 6 -b101101111011111011110010100011 @ -b101101111011111011110010100011 F -b10011001110011110011000011011110 ) -#42176000 -0& -#42192000 -b1010010011 , -#42208000 -1& -#42224000 -b10101100001101011010100100010011 " -b10101100001101011010100100010011 4 -b10101100001101011101010000101000 1 -b10101100001101011101010000101000 C -b10110111110101001110101100000000 0 -b10110111110101001110101100000000 H -18 -09 -b10101100001101011010100100010011 2 -b10101100001101011010100100010011 = -b11101101011111011101010000101000 < -b10101100001101011010100100010011 : -b10111110101101111101010011101011 $ -b10111110101101111101010011101011 - -b10111110101101111101010011101011 5 -b10111110101101111101010011101011 ? -b10111110101101111101010011101011 D -b11101101011111011101010000101000 % -b11101101011111011101010000101000 . -b11101101011111011101010000101000 6 -b11101101011111011101010000101000 @ -b11101101011111011101010000101000 F -b10101100001101011010100100010011 ) -#42240000 -0& -#42256000 -b1010010100 , -#42272000 -1& -#42288000 -b10001101111110011111001101000110 " -b10001101111110011111001101000110 4 -b10001110111110100001010010001011 1 -b10001110111110100001010010001011 C -b1011000000000000000000000000000 0 -b1011000000000000000000000000000 H -b10001101111110011111001101000110 2 -b10001101111110011111001101000110 = -b10111110111111110001110010011011 < -b10001101111110011111001101000110 : -b11001110111110101101011010101011 $ -b11001110111110101101011010101011 - -b11001110111110101101011010101011 5 -b11001110111110101101011010101011 ? -b11001110111110101101011010101011 D -b10111110111111110001110010011011 % -b10111110111111110001110010011011 . -b10111110111111110001110010011011 6 -b10111110111111110001110010011011 @ -b10111110111111110001110010011011 F -b10001101111110011111001101000110 ) -#42304000 -0& -#42320000 -b1010010101 , -#42336000 -1& -#42352000 -b1111111110100001110111000011101 " -b1111111110100001110111000011101 4 -b1111111110100010000001000100000 1 -b1111111110100010000001000100000 C -b11101110100001011110100000000000 0 -b11101110100001011110100000000000 H -08 -b1111111110100001110111000011101 2 -b1111111110100001110111000011101 = -b11111111110110011010101100101001 < -b1111111110100001110111000011101 : -b1111111111101110100001011110100 $ -b1111111111101110100001011110100 - -b1111111111101110100001011110100 5 -b1111111111101110100001011110100 ? -b1111111111101110100001011110100 D -b11111111110110011010101100101001 % -b11111111110110011010101100101001 . -b11111111110110011010101100101001 6 -b11111111110110011010101100101001 @ -b11111111110110011010101100101001 F -b1111111110100001110111000011101 ) -#42368000 -0& -#42384000 -b1010010110 , -#42400000 -1& -#42416000 -b1001011101111011111011000001011 " -b1001011101111011111011000001011 4 -b1001101101111100000000001000000 1 -b1001101101111100000000001000000 C -b11111101101000100000000000000000 0 -b11111101101000100000000000000000 H -b1001011101111011111011000001011 2 -b1001011101111011111011000001011 = -b11111101111111100100000111001011 < -b1001011101111011111011000001011 : -b1001101101111111011010001000000 $ -b1001101101111111011010001000000 - -b1001101101111111011010001000000 5 -b1001101101111111011010001000000 ? -b1001101101111111011010001000000 D -b11111101111111100100000111001011 % -b11111101111111100100000111001011 . -b11111101111111100100000111001011 6 -b11111101111111100100000111001011 @ -b11111101111111100100000111001011 F -b1001011101111011111011000001011 ) -#42432000 -0& -#42448000 -b1010010111 , -#42464000 -1& -#42480000 -b1010101111001011111111011010110 " -b1010101111001011111111011010110 4 -b1010101111001100000001011011000 1 -b1010101111001100000001011011000 C -b11011110000000000000000000000000 0 -b11011110000000000000000000000000 H -b1010101111001011111111011010110 2 -b1010101111001011111111011010110 = -b1110111111001110110101111111000 < -b1010101111001011111111011010110 : -b11011101111111101001001011011110 $ -b11011101111111101001001011011110 - -b11011101111111101001001011011110 5 -b11011101111111101001001011011110 ? -b11011101111111101001001011011110 D -b1110111111001110110101111111000 % -b1110111111001110110101111111000 . -b1110111111001110110101111111000 6 -b1110111111001110110101111111000 @ -b1110111111001110110101111111000 F -b1010101111001011111111011010110 ) -#42496000 -0& -#42512000 -b1010011000 , -#42528000 -1& -#42544000 -b1110000010000100000011000000011 " -b1110000010000100000011000000011 4 -b1110000010010010000001010000000 1 -b1110000010010010000001010000000 C -b11010110010010000001010000000000 0 -b11010110010010000001010000000000 H -b1110000010000100000011000000011 2 -b1110000010000100000011000000011 = -b1110101011110010000001110000011 < -b1110000010000100000011000000011 : -b11111010110010010000001010000000 $ -b11111010110010010000001010000000 - -b11111010110010010000001010000000 5 -b11111010110010010000001010000000 ? -b11111010110010010000001010000000 D -b1110101011110010000001110000011 % -b1110101011110010000001110000011 . -b1110101011110010000001110000011 6 -b1110101011110010000001110000011 @ -b1110101011110010000001110000011 F -b1110000010000100000011000000011 ) -#42560000 -0& -#42576000 -b1010011001 , -#42592000 -1& -#42608000 -b10000010001101010100000010000010 " -b10000010001101010100000010000010 4 -b10000100001101010100000010000100 1 -b10000100001101010100000010000100 C -b11111111111001010000000000000000 0 -b11111111111001010000000000000000 H -18 -b10000010001101010100000010000010 2 -b10000010001101010100000010000010 = -b10000101001111010100000011101110 < -b10000010001101010100000010000010 : -b11111100111101111111111110010100 $ -b11111100111101111111111110010100 - -b11111100111101111111111110010100 5 -b11111100111101111111111110010100 ? -b11111100111101111111111110010100 D -b10000101001111010100000011101110 % -b10000101001111010100000011101110 . -b10000101001111010100000011101110 6 -b10000101001111010100000011101110 @ -b10000101001111010100000011101110 F -b10000010001101010100000010000010 ) -#42624000 -0& -#42640000 -b1010011010 , -#42656000 -1& -#42672000 -b11100111011010111000111001000000 " -b11100111011010111000111001000000 4 -b11101011011011000010001100000010 1 -b11101011011011000010001100000010 C -b10101100001110000000000000000000 0 -b10101100001110000000000000000000 H -b11100111011010111000111001000000 2 -b11100111011010111000111001000000 = -b11111011111111110110001100110010 < -b11100111011010111000111001000000 : -b11101011011011000010101100001110 $ -b11101011011011000010101100001110 - -b11101011011011000010101100001110 5 -b11101011011011000010101100001110 ? -b11101011011011000010101100001110 D -b11111011111111110110001100110010 % -b11111011111111110110001100110010 . -b11111011111111110110001100110010 6 -b11111011111111110110001100110010 @ -b11111011111111110110001100110010 F -b11100111011010111000111001000000 ) -#42688000 -0& -#42704000 -b1010011011 , -#42720000 -1& -#42736000 -b11110011000111101001100100010100 " -b11110011000111101001100100010100 4 -b11110011000111101010000100100101 1 -b11110011000111101010000100100101 C -b1100011110101001010010111100000 0 -b1100011110101001010010111100000 H -b11110011000111101001100100010100 2 -b11110011000111101001100100010100 = -b11111111111111111111001111100101 < -b11110011000111101001100100010100 : -b11110011000111101010010100101111 $ -b11110011000111101010010100101111 - -b11110011000111101010010100101111 5 -b11110011000111101010010100101111 ? -b11110011000111101010010100101111 D -b11111111111111111111001111100101 % -b11111111111111111111001111100101 . -b11111111111111111111001111100101 6 -b11111111111111111111001111100101 @ -b11111111111111111111001111100101 F -b11110011000111101001100100010100 ) -#42752000 -0& -#42768000 -b1010011100 , -#42784000 -1& -#42800000 -b11101110010000111001010101011111 " -b11101110010000111001010101011111 4 -b1101110010000111010011001100000 1 -b1101110010000111010011001100000 C -b11111101110111100100000000000000 0 -b11111101110111100100000000000000 H -08 -19 -b11101110010000111001010101011111 2 -b11101110010000111001010101011111 = -b1111110011110111010011001101101 < -b11101110010000111001010101011111 : -b1101111110001111110111011110010 $ -b1101111110001111110111011110010 - -b1101111110001111110111011110010 5 -b1101111110001111110111011110010 ? -b1101111110001111110111011110010 D -b1111110011110111010011001101101 % -b1111110011110111010011001101101 . -b1111110011110111010011001101101 6 -b1111110011110111010011001101101 @ -b1111110011110111010011001101101 F -b11101110010000111001010101011111 ) -#42816000 -0& -#42832000 -b1010011101 , -#42848000 -1& -#42864000 -b11111111001100100010011111110100 " -b11111111001100100010011111110100 4 -b1111111100000100100000000011000 1 -b1111111100000100100000000011000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11111111001100100010011111110100 2 -b11111111001100100010011111110100 = -b1111111101011100110011111011100 < -b11111111001100100010011111110100 : -b1111111100000111100000000011000 $ -b1111111100000111100000000011000 - -b1111111100000111100000000011000 5 -b1111111100000111100000000011000 ? -b1111111100000111100000000011000 D -b1111111101011100110011111011100 % -b1111111101011100110011111011100 . -b1111111101011100110011111011100 6 -b1111111101011100110011111011100 @ -b1111111101011100110011111011100 F -b11111111001100100010011111110100 ) -#42880000 -0& -#42896000 -b1010011110 , -#42912000 -1& -#42928000 -b1000111101010000101000101110000 " -b1000111101010000101000101110000 4 -b1000111101010000110000000000001 1 -b1000111101010000110000000000001 C -b111110001001000110000000000000 0 -b111110001001000110000000000000 H -09 -08 -b1000111101010000101000101110000 2 -b1000111101010000101000101110000 = -b1010111111111100110000001001101 < -b1000111101010000101000101110000 : -b11101111101010011111000100100011 $ -b11101111101010011111000100100011 - -b11101111101010011111000100100011 5 -b11101111101010011111000100100011 ? -b11101111101010011111000100100011 D -b1010111111111100110000001001101 % -b1010111111111100110000001001101 . -b1010111111111100110000001001101 6 -b1010111111111100110000001001101 @ -b1010111111111100110000001001101 F -b1000111101010000101000101110000 ) -#42944000 -0& -#42960000 -b1010011111 , -#42976000 -1& -#42992000 -b11110010111111011000010101011111 " -b11110010111111011000010101011111 4 -b1110011001111011010000000000000 1 -b1110011001111011010000000000000 C -b10110011110111100000000110110000 0 -b10110011110111100000000110110000 H -19 -08 -b11110010111111011000010101011111 2 -b11110010111111011000010101011111 = -b1110111101111111010010101000100 < -b11110010111111011000010101011111 : -b1111011001111011110000000011011 $ -b1111011001111011110000000011011 - -b1111011001111011110000000011011 5 -b1111011001111011110000000011011 ? -b1111011001111011110000000011011 D -b1110111101111111010010101000100 % -b1110111101111111010010101000100 . -b1110111101111111010010101000100 6 -b1110111101111111010010101000100 @ -b1110111101111111010010101000100 F -b11110010111111011000010101011111 ) -#43008000 -0& -#43024000 -b1010100000 , -#43040000 -1& -#43056000 -b10001010101010010001101110111001 " -b10001010101010010001101110111001 4 -b1010101100010100001111000000 1 -b1010101100010100001111000000 C -b101111101101110100011111011001 0 -b101111101101110100011111011001 H -b10001010101010010001101110111001 2 -b10001010101010010001101110111001 = -b1011010111100011101001111100000 < -b10001010101010010001101110111001 : -b101111101101110100011111011001 $ -b101111101101110100011111011001 - -b101111101101110100011111011001 5 -b101111101101110100011111011001 ? -b101111101101110100011111011001 D -b1011010111100011101001111100000 % -b1011010111100011101001111100000 . -b1011010111100011101001111100000 6 -b1011010111100011101001111100000 @ -b1011010111100011101001111100000 F -b10001010101010010001101110111001 ) -#43072000 -0& -#43088000 -b1010100001 , -#43104000 -1& -#43120000 -b11011101010110111111110110001000 " -b11011101010110111111110110001000 4 -b1011101010111000000111010100001 1 -b1011101010111000000111010100001 C -b10111011111101011101010001100000 0 -b10111011111101011101010001100000 H -b11011101010110111111110110001000 2 -b11011101010110111111110110001000 = -b1111111011111000100111011100101 < -b11011101010110111111110110001000 : -b1011101110111111010111010100011 $ -b1011101110111111010111010100011 - -b1011101110111111010111010100011 5 -b1011101110111111010111010100011 ? -b1011101110111111010111010100011 D -b1111111011111000100111011100101 % -b1111111011111000100111011100101 . -b1111111011111000100111011100101 6 -b1111111011111000100111011100101 @ -b1111111011111000100111011100101 F -b11011101010110111111110110001000 ) -#43136000 -0& -#43152000 -b1010100010 , -#43168000 -1& -#43184000 -b1100001011101000100010111101 " -b1100001011101000100010111101 4 -b1100001011101000100100000000 1 -b1100001011101000100100000000 C -b11110111111110011010011100000000 0 -b11110111111110011010011100000000 H -09 -08 -b1100001011101000100010111101 2 -b1100001011101000100010111101 = -b111100011011101011101110000101 < -b1100001011101000100010111101 : -b11001111101111111100110100111000 $ -b11001111101111111100110100111000 - -b11001111101111111100110100111000 5 -b11001111101111111100110100111000 ? -b11001111101111111100110100111000 D -b111100011011101011101110000101 % -b111100011011101011101110000101 . -b111100011011101011101110000101 6 -b111100011011101011101110000101 @ -b111100011011101011101110000101 F -b1100001011101000100010111101 ) -#43200000 -0& -#43216000 -b1010100011 , -#43232000 -1& -#43248000 -b110101101100101101000110000010 " -b110101101100101101000110000010 4 -b110101110110010000001010000100 1 -b110101110110010000001010000100 C -b1000000101111010000000000000000 0 -b1000000101111010000000000000000 H -b110101101100101101000110000010 2 -b110101101100101101000110000010 = -b11111101110110011100111010001110 < -b110101101100101101000110000010 : -b110111110110010000001011110100 $ -b110111110110010000001011110100 - -b110111110110010000001011110100 5 -b110111110110010000001011110100 ? -b110111110110010000001011110100 D -b11111101110110011100111010001110 % -b11111101110110011100111010001110 . -b11111101110110011100111010001110 6 -b11111101110110011100111010001110 @ -b11111101110110011100111010001110 F -b110101101100101101000110000010 ) -#43264000 -0& -#43280000 -b1010100100 , -#43296000 -1& -#43312000 -b1000010110111100000111010110111 " -b1000010110111100000111010110111 4 -b1000011010111100001000011001000 1 -b1000011010111100001000011001000 C -b11111100001110011101110000000000 0 -b11111100001110011101110000000000 H -b1000010110111100000111010110111 2 -b1000010110111100000111010110111 = -b1101111010111111111000111001001 < -b1000010110111100000111010110111 : -b11010011011111100001110011101110 $ -b11010011011111100001110011101110 - -b11010011011111100001110011101110 5 -b11010011011111100001110011101110 ? -b11010011011111100001110011101110 D -b1101111010111111111000111001001 % -b1101111010111111111000111001001 . -b1101111010111111111000111001001 6 -b1101111010111111111000111001001 @ -b1101111010111111111000111001001 F -b1000010110111100000111010110111 ) -#43328000 -0& -#43344000 -b1010100101 , -#43360000 -1& -#43376000 -b11110000101111010010100110110010 " -b11110000101111010010100110110010 4 -b11110000101111010010100110111000 1 -b11110000101111010010100110111000 C -b11111010000000000000000000000000 0 -b11111010000000000000000000000000 H -18 -b11110000101111010010100110110010 2 -b11110000101111010010100110110010 = -b11110101101111111111101110111000 < -b11110000101111010010100110110010 : -b11111010111111010010110111111010 $ -b11111010111111010010110111111010 - -b11111010111111010010110111111010 5 -b11111010111111010010110111111010 ? -b11111010111111010010110111111010 D -b11110101101111111111101110111000 % -b11110101101111111111101110111000 . -b11110101101111111111101110111000 6 -b11110101101111111111101110111000 @ -b11110101101111111111101110111000 F -b11110000101111010010100110110010 ) -#43392000 -0& -#43408000 -b1010100110 , -#43424000 -1& -#43440000 -b1110111000111010110110010001100 " -b1110111000111010110110010001100 4 -b1110111001001010110110010010000 1 -b1110111001001010110110010010000 C -b0 0 -b0 H -08 -b1110111000111010110110010001100 2 -b1110111000111010110110010001100 = -b11111111111001011111111110111100 < -b1110111000111010110110010001100 : -b1110111001101110110110011010000 $ -b1110111001101110110110011010000 - -b1110111001101110110110011010000 5 -b1110111001101110110110011010000 ? -b1110111001101110110110011010000 D -b11111111111001011111111110111100 % -b11111111111001011111111110111100 . -b11111111111001011111111110111100 6 -b11111111111001011111111110111100 @ -b11111111111001011111111110111100 F -b1110111000111010110110010001100 ) -#43456000 -0& -#43472000 -b1010100111 , -#43488000 -1& -#43504000 -b10101101111011010111100101110100 " -b10101101111011010111100101110100 4 -b10101101111011011000100110000001 1 -b10101101111011011000100110000001 C -b11010011000001100000000000000000 0 -b11010011000001100000000000000000 H -18 -b10101101111011010111100101110100 2 -b10101101111011010111100101110100 = -b11101101111011011000111111110001 < -b10101101111011010111100101110100 : -b10111111111111111110100110000011 $ -b10111111111111111110100110000011 - -b10111111111111111110100110000011 5 -b10111111111111111110100110000011 ? -b10111111111111111110100110000011 D -b11101101111011011000111111110001 % -b11101101111011011000111111110001 . -b11101101111011011000111111110001 6 -b11101101111011011000111111110001 @ -b11101101111011011000111111110001 F -b10101101111011010111100101110100 ) -#43520000 -0& -#43536000 -b1010101000 , -#43552000 -1& -#43568000 -b101000011011100001011010010101 " -b101000011011100001011010010101 4 -b101000011100000001011010100010 1 -b101000011100000001011010100010 C -b11011010100011000000000000000000 0 -b11011010100011000000000000000000 H -08 -b101000011011100001011010010101 2 -b101000011011100001011010010101 = -b11101001011101010101111111110010 < -b101000011011100001011010010101 : -b111110111110001011011010100011 $ -b111110111110001011011010100011 - -b111110111110001011011010100011 5 -b111110111110001011011010100011 ? -b111110111110001011011010100011 D -b11101001011101010101111111110010 % -b11101001011101010101111111110010 . -b11101001011101010101111111110010 6 -b11101001011101010101111111110010 @ -b11101001011101010101111111110010 F -b101000011011100001011010010101 ) -#43584000 -0& -#43600000 -b1010101001 , -#43616000 -1& -#43632000 -b11111101111000101101111001111000 " -b11111101111000101101111001111000 4 -b111110011000110000000010001001 1 -b111110011000110000000010001001 C -b1111000001011010110100000000000 0 -b1111000001011010110100000000000 H -18 -b11111101111000101101111001111000 2 -b11111101111000101101111001111000 = -b10111110011100111101100011001011 < -b11111101111000101101111001111000 : -b111111011011110000010110101101 $ -b111111011011110000010110101101 - -b111111011011110000010110101101 5 -b111111011011110000010110101101 ? -b111111011011110000010110101101 D -b10111110011100111101100011001011 % -b10111110011100111101100011001011 . -b10111110011100111101100011001011 6 -b10111110011100111101100011001011 @ -b10111110011100111101100011001011 F -b11111101111000101101111001111000 ) -#43648000 -0& -#43664000 -b1010101010 , -#43680000 -1& -#43696000 -b1101010110010011101001111110100 " -b1101010110010011101001111110100 4 -b1101010110010011110010000000110 1 -b1101010110010011110010000000110 C -b1111011010000011000000000000000 0 -b1111011010000011000000000000000 H -08 -b1101010110010011101001111110100 2 -b1101010110010011101001111110100 = -b1101011111110111110011011101110 < -b1101010110010011101001111110100 : -b11111110110011011110110100000110 $ -b11111110110011011110110100000110 - -b11111110110011011110110100000110 5 -b11111110110011011110110100000110 ? -b11111110110011011110110100000110 D -b1101011111110111110011011101110 % -b1101011111110111110011011101110 . -b1101011111110111110011011101110 6 -b1101011111110111110011011101110 @ -b1101011111110111110011011101110 F -b1101010110010011101001111110100 ) -#43712000 -0& -#43728000 -b1010101011 , -#43744000 -1& -#43760000 -b10100111010010110000001110011101 " -b10100111010010110000001110011101 4 -b1000111010100110000100001000010 1 -b1000111010100110000100001000010 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -19 -08 -b10100111010010110000001110011101 2 -b10100111010010110000001110011101 = -b1011111110101110001100001011011 < -b10100111010010110000001110011101 : -b1000111011100111110101101000010 $ -b1000111011100111110101101000010 - -b1000111011100111110101101000010 5 -b1000111011100111110101101000010 ? -b1000111011100111110101101000010 D -b1011111110101110001100001011011 % -b1011111110101110001100001011011 . -b1011111110101110001100001011011 6 -b1011111110101110001100001011011 @ -b1011111110101110001100001011011 F -b10100111010010110000001110011101 ) -#43776000 -0& -#43792000 -b1010101100 , -#43808000 -1& -#43824000 -b10001101011101100111010101111001 " -b10001101011101100111010101111001 4 -b1101101101101000101000011010 1 -b1101101101101000101000011010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b10001101011101100111010101111001 2 -b10001101011101100111010101111001 = -b1001111101101111110101101011110 < -b10001101011101100111010101111001 : -b111101101111101000101000011011 $ -b111101101111101000101000011011 - -b111101101111101000101000011011 5 -b111101101111101000101000011011 ? -b111101101111101000101000011011 D -b1001111101101111110101101011110 % -b1001111101101111110101101011110 . -b1001111101101111110101101011110 6 -b1001111101101111110101101011110 @ -b1001111101101111110101101011110 F -b10001101011101100111010101111001 ) -#43840000 -0& -#43856000 -b1010101101 , -#43872000 -1& -#43888000 -b10001111101110010010000100001111 " -b10001111101110010010000100001111 4 -b10010011110110011000000100010000 1 -b10010011110110011000000100010000 C -b10101100000000000000000000000000 0 -b10101100000000000000000000000000 H -18 -09 -b10001111101110010010000100001111 2 -b10001111101110010010000100001111 = -b11110011110111111001101100111001 < -b10001111101110010010000100001111 : -b10011011110110011000010111010110 $ -b10011011110110011000010111010110 - -b10011011110110011000010111010110 5 -b10011011110110011000010111010110 ? -b10011011110110011000010111010110 D -b11110011110111111001101100111001 % -b11110011110111111001101100111001 . -b11110011110111111001101100111001 6 -b11110011110111111001101100111001 @ -b11110011110111111001101100111001 F -b10001111101110010010000100001111 ) -#43904000 -0& -#43920000 -b1010101110 , -#43936000 -1& -#43952000 -b11010110011000110101100001110010 " -b11010110011000110101100001110010 4 -b11100110100001011000000010000101 1 -b11100110100001011000000010000101 C -b10110000111111001010000000000000 0 -b10110000111111001010000000000000 H -b11010110011000110101100001110010 2 -b11010110011000110101100001110010 = -b11101111110011011101000010001101 < -b11010110011000110101100001110010 : -b11100110100101011000011111100101 $ -b11100110100101011000011111100101 - -b11100110100101011000011111100101 5 -b11100110100101011000011111100101 ? -b11100110100101011000011111100101 D -b11101111110011011101000010001101 % -b11101111110011011101000010001101 . -b11101111110011011101000010001101 6 -b11101111110011011101000010001101 @ -b11101111110011011101000010001101 F -b11010110011000110101100001110010 ) -#43968000 -0& -#43984000 -b1010101111 , -#44000000 -1& -#44016000 -b1110111011011101011110011100110 " -b1110111011011101011110011100110 4 -b1111001011011110000000100000001 1 -b1111001011011110000000100000001 C -b10101101111100000011000000100000 0 -b10101101111100000011000000100000 H -08 -b1110111011011101011110011100110 2 -b1110111011011101011110011100110 = -b1111001111111110011101101100101 < -b1110111011011101011110011100110 : -b11111101011011111000000110000001 $ -b11111101011011111000000110000001 - -b11111101011011111000000110000001 5 -b11111101011011111000000110000001 ? -b11111101011011111000000110000001 D -b1111001111111110011101101100101 % -b1111001111111110011101101100101 . -b1111001111111110011101101100101 6 -b1111001111111110011101101100101 @ -b1111001111111110011101101100101 F -b1110111011011101011110011100110 ) -#44032000 -0& -#44048000 -b1010110000 , -#44064000 -1& -#44080000 -b11111001101100011010101100110100 " -b11111001101100011010101100110100 4 -b11111001101100011101000001000001 1 -b11111001101100011101000001000001 C -b11011101100011101000001110001000 0 -b11011101100011101000001110001000 H -18 -b11111001101100011010101100110100 2 -b11111001101100011010101100110100 = -b11111101111111111101101011000011 < -b11111001101100011010101100110100 : -b11111011101100011101000001110001 $ -b11111011101100011101000001110001 - -b11111011101100011101000001110001 5 -b11111011101100011101000001110001 ? -b11111011101100011101000001110001 D -b11111101111111111101101011000011 % -b11111101111111111101101011000011 . -b11111101111111111101101011000011 6 -b11111101111111111101101011000011 @ -b11111101111111111101101011000011 F -b11111001101100011010101100110100 ) -#44096000 -0& -#44112000 -b1010110001 , -#44128000 -1& -#44144000 -b1000110010010100010001101111011 " -b1000110010010100010001101111011 4 -b1000110010011000000000000000000 1 -b1000110010011000000000000000000 C -b0 0 -b0 H -08 -b1000110010010100010001101111011 2 -b1000110010010100010001101111011 = -b11010111010111100010001100011011 < -b1000110010010100010001101111011 : -b1101110111011000000000001100000 $ -b1101110111011000000000001100000 - -b1101110111011000000000001100000 5 -b1101110111011000000000001100000 ? -b1101110111011000000000001100000 D -b11010111010111100010001100011011 % -b11010111010111100010001100011011 . -b11010111010111100010001100011011 6 -b11010111010111100010001100011011 @ -b11010111010111100010001100011011 F -b1000110010010100010001101111011 ) -#44160000 -0& -#44176000 -b1010110010 , -#44192000 -1& -#44208000 -b11100111100110101100001010111111 " -b11100111100110101100001010111111 4 -b11100111100111010110000101000000 1 -b11100111100111010110000101000000 C -b1000111000000000000000000000000 0 -b1000111000000000000000000000000 H -18 -b11100111100110101100001010111111 2 -b11100111100110101100001010111111 = -b11111111111111010110000101111000 < -b11100111100110101100001010111111 : -b11100111100111010110000101000111 $ -b11100111100111010110000101000111 - -b11100111100111010110000101000111 5 -b11100111100111010110000101000111 ? -b11100111100111010110000101000111 D -b11111111111111010110000101111000 % -b11111111111111010110000101111000 . -b11111111111111010110000101111000 6 -b11111111111111010110000101111000 @ -b11111111111111010110000101111000 F -b11100111100110101100001010111111 ) -#44224000 -0& -#44240000 -b1010110011 , -#44256000 -1& -#44272000 -b111010111101010110010010111101 " -b111010111101010110010010111101 4 -b1000010111101100010010100000000 1 -b1000010111101100010010100000000 C -b101111100011010000000000000000 0 -b101111100011010000000000000000 H -08 -b111010111101010110010010111101 2 -b111010111101010110010010111101 = -b11110111111111100011010100110000 < -b111010111101010110010010111101 : -b1000010111101110010111110001101 $ -b1000010111101110010111110001101 - -b1000010111101110010111110001101 5 -b1000010111101110010111110001101 ? -b1000010111101110010111110001101 D -b11110111111111100011010100110000 % -b11110111111111100011010100110000 . -b11110111111111100011010100110000 6 -b11110111111111100011010100110000 @ -b11110111111111100011010100110000 F -b111010111101010110010010111101 ) -#44288000 -0& -#44304000 -b1010110100 , -#44320000 -1& -#44336000 -b11100011011110100110011000011010 " -b11100011011110100110011000011010 4 -b11100101011110101000100000100001 1 -b11100101011110101000100000100001 C -b11001011111101011011000111110010 0 -b11001011111101011011000111110010 H -18 -b11100011011110100110011000011010 2 -b11100011011110100110011000011010 = -b11111101011111111000110100100001 < -b11100011011110100110011000011010 : -b11100101111110101101100011111001 $ -b11100101111110101101100011111001 - -b11100101111110101101100011111001 5 -b11100101111110101101100011111001 ? -b11100101111110101101100011111001 D -b11111101011111111000110100100001 % -b11111101011111111000110100100001 . -b11111101011111111000110100100001 6 -b11111101011111111000110100100001 @ -b11111101011111111000110100100001 F -b11100011011110100110011000011010 ) -#44352000 -0& -#44368000 -b1010110101 , -#44384000 -1& -#44400000 -b11101000011001100110011110010110 " -b11101000011001100110011110010110 4 -b101000011001101000100000011000 1 -b101000011001101000100000011000 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -b11101000011001100110011110010110 2 -b11101000011001100110011110010110 = -b101000111101101001111100111010 < -b11101000011001100110011110010110 : -b10111111011011111100100001011100 $ -b10111111011011111100100001011100 - -b10111111011011111100100001011100 5 -b10111111011011111100100001011100 ? -b10111111011011111100100001011100 D -b101000111101101001111100111010 % -b101000111101101001111100111010 . -b101000111101101001111100111010 6 -b101000111101101001111100111010 @ -b101000111101101001111100111010 F -b11101000011001100110011110010110 ) -#44416000 -0& -#44432000 -b1010110110 , -#44448000 -1& -#44464000 -b101001111011010101110110111000 " -b101001111011010101110110111000 4 -b101001111011011000001001000010 1 -b101001111011011000001001000010 C -b1111011011101001101110010000000 0 -b1111011011101001101110010000000 H -08 -b101001111011010101110110111000 2 -b101001111011010101110110111000 = -b111111111111111000101001000110 < -b101001111011010101110110111000 : -b11101001111011011101001101110010 $ -b11101001111011011101001101110010 - -b11101001111011011101001101110010 5 -b11101001111011011101001101110010 ? -b11101001111011011101001101110010 D -b111111111111111000101001000110 % -b111111111111111000101001000110 . -b111111111111111000101001000110 6 -b111111111111111000101001000110 @ -b111111111111111000101001000110 F -b101001111011010101110110111000 ) -#44480000 -0& -#44496000 -b1010110111 , -#44512000 -1& -#44528000 -b111001110110000111100101100101 " -b111001110110000111100101100101 4 -b1110110000111101000010000 1 -b1110110000111101000010000 C -b1111110010101010000000000000000 0 -b1111110010101010000000000000000 H -b111001110110000111100101100101 2 -b111001110110000111100101100101 = -b100001111111111111101100010000 < -b111001110110000111100101100101 : -b10111110110000111111001010101 $ -b10111110110000111111001010101 - -b10111110110000111111001010101 5 -b10111110110000111111001010101 ? -b10111110110000111111001010101 D -b100001111111111111101100010000 % -b100001111111111111101100010000 . -b100001111111111111101100010000 6 -b100001111111111111101100010000 @ -b100001111111111111101100010000 F -b111001110110000111100101100101 ) -#44544000 -0& -#44560000 -b1010111000 , -#44576000 -1& -#44592000 -b11111000111111110110100001010000 " -b11111000111111110110100001010000 4 -b11111000111111110110100010000000 1 -b11111000111111110110100010000000 C -b11111000111111110110100010010000 0 -b11111000111111110110100010010000 H -18 -b11111000111111110110100001010000 2 -b11111000111111110110100001010000 = -b11111111111111111111111111000000 < -b11111000111111110110100001010000 : -b11111000111111110110100010010000 $ -b11111000111111110110100010010000 - -b11111000111111110110100010010000 5 -b11111000111111110110100010010000 ? -b11111000111111110110100010010000 D -b11111111111111111111111111000000 % -b11111111111111111111111111000000 . -b11111111111111111111111111000000 6 -b11111111111111111111111111000000 @ -b11111111111111111111111111000000 F -b11111000111111110110100001010000 ) -#44608000 -0& -#44624000 -b1010111001 , -#44640000 -1& -#44656000 -b11101001111001110110111000000100 " -b11101001111001110110111000000100 4 -b11101100000001111001000000000101 1 -b11101100000001111001000000000101 C -b11010110100000000000000000000000 0 -b11010110100000000000000000000000 H -b11101001111001110110111000000100 2 -b11101001111001110110111000000100 = -b11111100100101111001110001010111 < -b11101001111001110110111000000100 : -b11101101010011111101000110101101 $ -b11101101010011111101000110101101 - -b11101101010011111101000110101101 5 -b11101101010011111101000110101101 ? -b11101101010011111101000110101101 D -b11111100100101111001110001010111 % -b11111100100101111001110001010111 . -b11111100100101111001110001010111 6 -b11111100100101111001110001010111 @ -b11111100100101111001110001010111 F -b11101001111001110110111000000100 ) -#44672000 -0& -#44688000 -b1010111010 , -#44704000 -1& -#44720000 -b1111011000111001011011111111000 " -b1111011000111001011011111111000 4 -b1111011000111010000000000000100 1 -b1111011000111010000000000000100 C -b10111001111100000100000101000000 0 -b10111001111100000100000101000000 H -08 -b1111011000111001011011111111000 2 -b1111011000111001011011111111000 = -b11111111011111011011001111100100 < -b1111011000111001011011111111000 : -b1111011100111110000010000010100 $ -b1111011100111110000010000010100 - -b1111011100111110000010000010100 5 -b1111011100111110000010000010100 ? -b1111011100111110000010000010100 D -b11111111011111011011001111100100 % -b11111111011111011011001111100100 . -b11111111011111011011001111100100 6 -b11111111011111011011001111100100 @ -b11111111011111011011001111100100 F -b1111011000111001011011111111000 ) -#44736000 -0& -#44752000 -b1010111011 , -#44768000 -1& -#44784000 -b11001100111001000010111100110010 " -b11001100111001000010111100110010 4 -b1001101001001000100000000000000 1 -b1001101001001000100000000000000 C -b100100000000000000000000000 0 -b100100000000000000000000000 H -19 -08 -b11001100111001000010111100110010 2 -b11001100111001000010111100110010 = -b1101111101001110110111000010010 < -b11001100111001000010111100110010 : -b1011101001111001100000100100000 $ -b1011101001111001100000100100000 - -b1011101001111001100000100100000 5 -b1011101001111001100000100100000 ? -b1011101001111001100000100100000 D -b1101111101001110110111000010010 % -b1101111101001110110111000010010 . -b1101111101001110110111000010010 6 -b1101111101001110110111000010010 @ -b1101111101001110110111000010010 F -b11001100111001000010111100110010 ) -#44800000 -0& -#44816000 -b1010111100 , -#44832000 -1& -#44848000 -b1100100011100010001101111000110 " -b1100100011100010001101111000110 4 -b1100100011100010010110001001000 1 -b1100100011100010010110001001000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -09 -08 -b1100100011100010001101111000110 2 -b1100100011100010001101111000110 = -b11101100011101111110110001011100 < -b1100100011100010001101111000110 : -b1110111111110010010111101101010 $ -b1110111111110010010111101101010 - -b1110111111110010010111101101010 5 -b1110111111110010010111101101010 ? -b1110111111110010010111101101010 D -b11101100011101111110110001011100 % -b11101100011101111110110001011100 . -b11101100011101111110110001011100 6 -b11101100011101111110110001011100 @ -b11101100011101111110110001011100 F -b1100100011100010001101111000110 ) -#44864000 -0& -#44880000 -b1010111101 , -#44896000 -1& -#44912000 -b1100001110110101010101101011011 " -b1100001110110101010101101011011 4 -b10100010000010110001000110000100 1 -b10100010000010110001000110000100 C -b11000010000000000000000000000000 0 -b11000010000000000000000000000000 H -18 -19 -b1100001110110101010101101011011 2 -b1100001110110101010101101011011 = -b10101110110011110001100111010111 < -b1100001110110101010101101011011 : -b10110011000010111001000110000100 $ -b10110011000010111001000110000100 - -b10110011000010111001000110000100 5 -b10110011000010111001000110000100 ? -b10110011000010111001000110000100 D -b10101110110011110001100111010111 % -b10101110110011110001100111010111 . -b10101110110011110001100111010111 6 -b10101110110011110001100111010111 @ -b10101110110011110001100111010111 F -b1100001110110101010101101011011 ) -#44928000 -0& -#44944000 -b1010111110 , -#44960000 -1& -#44976000 -b1111101101010000110001110111110 " -b1111101101010000110001110111110 4 -b10000110000000010000010000000001 1 -b10000110000000010000010000000001 C -b1001010001011010110101000000000 0 -b1001010001011010110101000000000 H -b1111101101010000110001110111110 2 -b1111101101010000110001110111110 = -b11010111100000110100110100001001 < -b1111101101010000110001110111110 : -b10100110001001010001011010110101 $ -b10100110001001010001011010110101 - -b10100110001001010001011010110101 5 -b10100110001001010001011010110101 ? -b10100110001001010001011010110101 D -b11010111100000110100110100001001 % -b11010111100000110100110100001001 . -b11010111100000110100110100001001 6 -b11010111100000110100110100001001 @ -b11010111100000110100110100001001 F -b1111101101010000110001110111110 ) -#44992000 -0& -#45008000 -b1010111111 , -#45024000 -1& -#45040000 -b110110110101110000110111010100 " -b110110110101110000110111010100 4 -b110110110101110001011001010101 1 -b110110110101110001011001010101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -08 -09 -b110110110101110000110111010100 2 -b110110110101110000110111010100 = -b1111111110101111011011001111101 < -b110110110101110000110111010100 : -b10110110111111110101011101010111 $ -b10110110111111110101011101010111 - -b10110110111111110101011101010111 5 -b10110110111111110101011101010111 ? -b10110110111111110101011101010111 D -b1111111110101111011011001111101 % -b1111111110101111011011001111101 . -b1111111110101111011011001111101 6 -b1111111110101111011011001111101 @ -b1111111110101111011011001111101 F -b110110110101110000110111010100 ) -#45056000 -0& -#45072000 -b1011000000 , -#45088000 -1& -#45104000 -b1010111010110000001100101110110 " -b1010111010110000001100101110110 4 -b1011001010110000100101010000111 1 -b1011001010110000100101010000111 C -b1100101010100111000000000000000 0 -b1100101010100111000000000000000 H -b1010111010110000001100101110110 2 -b1010111010110000001100101110110 = -b11011101010111010100111011001111 < -b1010111010110000001100101110110 : -b1111001111110101100101010100111 $ -b1111001111110101100101010100111 - -b1111001111110101100101010100111 5 -b1111001111110101100101010100111 ? -b1111001111110101100101010100111 D -b11011101010111010100111011001111 % -b11011101010111010100111011001111 . -b11011101010111010100111011001111 6 -b11011101010111010100111011001111 @ -b11011101010111010100111011001111 F -b1010111010110000001100101110110 ) -#45120000 -0& -#45136000 -b1011000001 , -#45152000 -1& -#45168000 -b11110000100011101100111111100110 " -b11110000100011101100111111100110 4 -b11110000100011110000000111101011 1 -b11110000100011110000000111101011 C -b1011000000000000000000000000000 0 -b1011000000000000000000000000000 H -18 -b11110000100011101100111111100110 2 -b11110000100011101100111111100110 = -b11110001110011111100110111111011 < -b11110000100011101100111111100110 : -b11111110101111110000000111101011 $ -b11111110101111110000000111101011 - -b11111110101111110000000111101011 5 -b11111110101111110000000111101011 ? -b11111110101111110000000111101011 D -b11110001110011111100110111111011 % -b11110001110011111100110111111011 . -b11110001110011111100110111111011 6 -b11110001110011111100110111111011 @ -b11110001110011111100110111111011 F -b11110000100011101100111111100110 ) -#45184000 -0& -#45200000 -b1011000010 , -#45216000 -1& -#45232000 -b1010010100111100011011001100011 " -b1010010100111100011011001100011 4 -b10010100111100011100001101000 1 -b10010100111100011100001101000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -08 -b1010010100111100011011001100011 2 -b1010010100111100011011001100011 = -b110111101111100111100001111010 < -b1010010100111100011011001100011 : -b11010110111111011110111101001 $ -b11010110111111011110111101001 - -b11010110111111011110111101001 5 -b11010110111111011110111101001 ? -b11010110111111011110111101001 D -b110111101111100111100001111010 % -b110111101111100111100001111010 . -b110111101111100111100001111010 6 -b110111101111100111100001111010 @ -b110111101111100111100001111010 F -b1010010100111100011011001100011 ) -#45248000 -0& -#45264000 -b1011000011 , -#45280000 -1& -#45296000 -b11101010001010011000000101101111 " -b11101010001010011000000101101111 4 -b11110010001010011000010000000000 1 -b11110010001010011000010000000000 C -b10111011101011000100000000000000 0 -b10111011101011000100000000000000 H -18 -b11101010001010011000000101101111 2 -b11101010001010011000000101101111 = -b11110110111110111010010000001101 < -b11101010001010011000000101101111 : -b11110011001011011101110101100010 $ -b11110011001011011101110101100010 - -b11110011001011011101110101100010 5 -b11110011001011011101110101100010 ? -b11110011001011011101110101100010 D -b11110110111110111010010000001101 % -b11110110111110111010010000001101 . -b11110110111110111010010000001101 6 -b11110110111110111010010000001101 @ -b11110110111110111010010000001101 F -b11101010001010011000000101101111 ) -#45312000 -0& -#45328000 -b1011000100 , -#45344000 -1& -#45360000 -b10111011011001101100100000 " -b10111011011001101100100000 4 -b100111011100100000010000001 1 -b100111011100100000010000001 C -b11111110101001010010111000000000 0 -b11111110101001010010111000000000 H -08 -b10111011011001101100100000 2 -b10111011011001101100100000 = -b1100100111011100100100010001001 < -b10111011011001101100100000 : -b10011101111111110101001010010111 $ -b10011101111111110101001010010111 - -b10011101111111110101001010010111 5 -b10011101111111110101001010010111 ? -b10011101111111110101001010010111 D -b1100100111011100100100010001001 % -b1100100111011100100100010001001 . -b1100100111011100100100010001001 6 -b1100100111011100100100010001001 @ -b1100100111011100100100010001001 F -b10111011011001101100100000 ) -#45376000 -0& -#45392000 -b1011000101 , -#45408000 -1& -#45424000 -b1011000101111011001101010101001 " -b1011000101111011001101010101001 4 -b11000110111101100000100010100 1 -b11000110111101100000100010100 C -b100010100000000000000000000000 0 -b100010100000000000000000000000 H -b1011000101111011001101010101001 2 -b1011000101111011001101010101001 = -b11000110111101101100110010101 < -b1011000101111011001101010101001 : -b111111110111101100000100010100 $ -b111111110111101100000100010100 - -b111111110111101100000100010100 5 -b111111110111101100000100010100 ? -b111111110111101100000100010100 D -b11000110111101101100110010101 % -b11000110111101101100110010101 . -b11000110111101101100110010101 6 -b11000110111101101100110010101 @ -b11000110111101101100110010101 F -b1011000101111011001101010101001 ) -#45440000 -0& -#45456000 -b1011000110 , -#45472000 -1& -#45488000 -b1011011100110010110001000110011 " -b1011011100110010110001000110011 4 -b1011011100110010110010000110100 1 -b1011011100110010110010000110100 C -b1000111111100000000000000000000 0 -b1000111111100000000000000000000 H -08 -09 -b1011011100110010110001000110011 2 -b1011011100110010110001000110011 = -b1111111111111111110110110110100 < -b1011011100110010110001000110011 : -b11011011100110010111010001111111 $ -b11011011100110010111010001111111 - -b11011011100110010111010001111111 5 -b11011011100110010111010001111111 ? -b11011011100110010111010001111111 D -b1111111111111111110110110110100 % -b1111111111111111110110110110100 . -b1111111111111111110110110110100 6 -b1111111111111111110110110110100 @ -b1111111111111111110110110110100 F -b1011011100110010110001000110011 ) -#45504000 -0& -#45520000 -b1011000111 , -#45536000 -1& -#45552000 -b11001001000111110000001000100111 " -b11001001000111110000001000100111 4 -b11010001000111110000001001001000 1 -b11010001000111110000001001001000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b11001001000111110000001000100111 2 -b11001001000111110000001000100111 = -b11010101100111111001111101011101 < -b11001001000111110000001000100111 : -b11110011011111110110001011001010 $ -b11110011011111110110001011001010 - -b11110011011111110110001011001010 5 -b11110011011111110110001011001010 ? -b11110011011111110110001011001010 D -b11010101100111111001111101011101 % -b11010101100111111001111101011101 . -b11010101100111111001111101011101 6 -b11010101100111111001111101011101 @ -b11010101100111111001111101011101 F -b11001001000111110000001000100111 ) -#45568000 -0& -#45584000 -b1011001000 , -#45600000 -1& -#45616000 -b1001101110000110010000111111001 " -b1001101110000110010000111111001 4 -b1001101110000110010001000000000 1 -b1001101110000110010001000000000 C -b11111111110011110011001010011001 0 -b11111111110011110011001010011001 H -08 -b1001101110000110010000111111001 2 -b1001101110000110010000111111001 = -b1001101111100111110111101100000 < -b1001101110000110010000111111001 : -b11111111110011110011001010011001 $ -b11111111110011110011001010011001 - -b11111111110011110011001010011001 5 -b11111111110011110011001010011001 ? -b11111111110011110011001010011001 D -b1001101111100111110111101100000 % -b1001101111100111110111101100000 . -b1001101111100111110111101100000 6 -b1001101111100111110111101100000 @ -b1001101111100111110111101100000 F -b1001101110000110010000111111001 ) -#45632000 -0& -#45648000 -b1011001001 , -#45664000 -1& -#45680000 -b101111111011010111111110111001 " -b101111111011010111111110111001 4 -b101111111011011000000000000000 1 -b101111111011011000000000000000 C -b11111011111001000010000000000000 0 -b11111011111001000010000000000000 H -b101111111011010111111110111001 2 -b101111111011010111111110111001 = -b111111111011111000110110101001 < -b101111111011010111111110111001 : -b11101111111111011111001000010000 $ -b11101111111111011111001000010000 - -b11101111111111011111001000010000 5 -b11101111111111011111001000010000 ? -b11101111111111011111001000010000 D -b111111111011111000110110101001 % -b111111111011111000110110101001 . -b111111111011111000110110101001 6 -b111111111011111000110110101001 @ -b111111111011111000110110101001 F -b101111111011010111111110111001 ) -#45696000 -0& -#45712000 -b1011001010 , -#45728000 -1& -#45744000 -b1011110111111101011100110000101 " -b1011110111111101011100110000101 4 -b1011110111111110000000111000000 1 -b1011110111111110000000111000000 C -b1111110111111110001000111000101 0 -b1111110111111110001000111000101 H -b1011110111111101011100110000101 2 -b1011110111111101011100110000101 = -b11011111111111111010011111000000 < -b1011110111111101011100110000101 : -b1111110111111110001000111000101 $ -b1111110111111110001000111000101 - -b1111110111111110001000111000101 5 -b1111110111111110001000111000101 ? -b1111110111111110001000111000101 D -b11011111111111111010011111000000 % -b11011111111111111010011111000000 . -b11011111111111111010011111000000 6 -b11011111111111111010011111000000 @ -b11011111111111111010011111000000 F -b1011110111111101011100110000101 ) -#45760000 -0& -#45776000 -b1011001011 , -#45792000 -1& -#45808000 -b10110111101100001000010011001010 " -b10110111101100001000010011001010 4 -b111001110000010000100101010000 1 -b111001110000010000100101010000 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -19 -08 -b10110111101100001000010011001010 2 -b10110111101100001000010011001010 = -b1111101111011010100101101011010 < -b10110111101100001000010011001010 : -b111001110000110011100101110000 $ -b111001110000110011100101110000 - -b111001110000110011100101110000 5 -b111001110000110011100101110000 ? -b111001110000110011100101110000 D -b1111101111011010100101101011010 % -b1111101111011010100101101011010 . -b1111101111011010100101101011010 6 -b1111101111011010100101101011010 @ -b1111101111011010100101101011010 F -b10110111101100001000010011001010 ) -#45824000 -0& -#45840000 -b1011001100 , -#45856000 -1& -#45872000 -b10101111011010001110110010110 " -b10101111011010001110110010110 4 -b10110001011010100000010101000 1 -b10110001011010100000010101000 C -b1110000001010100000000000000000 0 -b1110000001010100000000000000000 H -09 -08 -b10101111011010001110110010110 2 -b10101111011010001110110010110 = -b10010110001111110101110011101110 < -b10101111011010001110110010110 : -b1111111101011011100000010101000 $ -b1111111101011011100000010101000 - -b1111111101011011100000010101000 5 -b1111111101011011100000010101000 ? -b1111111101011011100000010101000 D -b10010110001111110101110011101110 % -b10010110001111110101110011101110 . -b10010110001111110101110011101110 6 -b10010110001111110101110011101110 @ -b10010110001111110101110011101110 F -b10101111011010001110110010110 ) -#45888000 -0& -#45904000 -b1011001101 , -#45920000 -1& -#45936000 -b11110110011001110101100010 " -b11110110011001110101100010 4 -b11110110100000000000000100 1 -b11110110100000000000000100 C -b11111000010100010110000000000000 0 -b11111000010100010110000000000000 H -b11110110011001110101100010 2 -b11110110011001110101100010 = -b11001011111110100001100001001100 < -b11110110011001110101100010 : -b110111110111111000010100010110 $ -b110111110111111000010100010110 - -b110111110111111000010100010110 5 -b110111110111111000010100010110 ? -b110111110111111000010100010110 D -b11001011111110100001100001001100 % -b11001011111110100001100001001100 . -b11001011111110100001100001001100 6 -b11001011111110100001100001001100 @ -b11001011111110100001100001001100 F -b11110110011001110101100010 ) -#45952000 -0& -#45968000 -b1011001110 , -#45984000 -1& -#46000000 -b11011001100111010011100000110101 " -b11011001100111010011100000110101 4 -b1011001110001100000000100000000 1 -b1011001110001100000000100000000 C -b10000000100000000000000000000 0 -b10000000100000000000000000000 H -19 -08 -b11011001100111010011100000110101 2 -b11011001100111010011100000110101 = -b1111001110101100011011100110100 < -b11011001100111010011100000110101 : -b1011111110001110000000100000001 $ -b1011111110001110000000100000001 - -b1011111110001110000000100000001 5 -b1011111110001110000000100000001 ? -b1011111110001110000000100000001 D -b1111001110101100011011100110100 % -b1111001110101100011011100110100 . -b1111001110101100011011100110100 6 -b1111001110101100011011100110100 @ -b1111001110101100011011100110100 F -b11011001100111010011100000110101 ) -#46016000 -0& -#46032000 -b1011001111 , -#46048000 -1& -#46064000 -b111110110111010111100110110001 " -b111110110111010111100110110001 4 -b111111001011100000000110110010 1 -b111111001011100000000110110010 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -09 -08 -b111110110111010111100110110001 2 -b111110110111010111100110110001 = -b10111111101011110001001111111011 < -b111110110111010111100110110001 : -b1111111001011100110010110110110 $ -b1111111001011100110010110110110 - -b1111111001011100110010110110110 5 -b1111111001011100110010110110110 ? -b1111111001011100110010110110110 D -b10111111101011110001001111111011 % -b10111111101011110001001111111011 . -b10111111101011110001001111111011 6 -b10111111101011110001001111111011 @ -b10111111101011110001001111111011 F -b111110110111010111100110110001 ) -#46080000 -0& -#46096000 -b1011010000 , -#46112000 -1& -#46128000 -b1111011101000101000111110000111 " -b1111011101000101000111110000111 4 -b1111011101000110001000000100000 1 -b1111011101000110001000000100000 C -b11111100111011100000110001000000 0 -b11111100111011100000110001000000 H -b1111011101000101000111110000111 2 -b1111011101000101000111110000111 = -b1111011101110110001111100100101 < -b1111011101000101000111110000111 : -b11111111111001110111000001100010 $ -b11111111111001110111000001100010 - -b11111111111001110111000001100010 5 -b11111111111001110111000001100010 ? -b11111111111001110111000001100010 D -b1111011101110110001111100100101 % -b1111011101110110001111100100101 . -b1111011101110110001111100100101 6 -b1111011101110110001111100100101 @ -b1111011101110110001111100100101 F -b1111011101000101000111110000111 ) -#46144000 -0& -#46160000 -b1011010001 , -#46176000 -1& -#46192000 -b1100000101111100000000001001111 " -b1100000101111100000000001001111 4 -b1100001001111100000000010000000 1 -b1100001001111100000000010000000 C -b11100101001111111001111011001111 0 -b11100101001111111001111011001111 H -b1100000101111100000000001001111 2 -b1100000101111100000000001001111 = -b1111011011111100110000110000000 < -b1100000101111100000000001001111 : -b11100101001111111001111011001111 $ -b11100101001111111001111011001111 - -b11100101001111111001111011001111 5 -b11100101001111111001111011001111 ? -b11100101001111111001111011001111 D -b1111011011111100110000110000000 % -b1111011011111100110000110000000 . -b1111011011111100110000110000000 6 -b1111011011111100110000110000000 @ -b1111011011111100110000110000000 F -b1100000101111100000000001001111 ) -#46208000 -0& -#46224000 -b1011010010 , -#46240000 -1& -#46256000 -b1100011101101011111111011001 " -b1100011101101011111111011001 4 -b1100011110110000000000000010 1 -b1100011110110000000000000010 C -b10111101000111000000000000000000 0 -b10111101000111000000000000000000 H -b1100011101101011111111011001 2 -b1100011101101011111111011001 = -b10101110011110110001000010010010 < -b1100011101101011111111011001 : -b1011101111110111010111101000111 $ -b1011101111110111010111101000111 - -b1011101111110111010111101000111 5 -b1011101111110111010111101000111 ? -b1011101111110111010111101000111 D -b10101110011110110001000010010010 % -b10101110011110110001000010010010 . -b10101110011110110001000010010010 6 -b10101110011110110001000010010010 @ -b10101110011110110001000010010010 F -b1100011101101011111111011001 ) -#46272000 -0& -#46288000 -b1011010011 , -#46304000 -1& -#46320000 -b1010001101111011111101111000110 " -b1010001101111011111101111000110 4 -b1010001101111100000001111001011 1 -b1010001101111100000001111001011 C -b1011000000000000000000000000000 0 -b1011000000000000000000000000000 H -b1010001101111011111101111000110 2 -b1010001101111011111101111000110 = -b1011111111111111000011111011011 < -b1010001101111011111101111000110 : -b11110001101111100111001111101011 $ -b11110001101111100111001111101011 - -b11110001101111100111001111101011 5 -b11110001101111100111001111101011 ? -b11110001101111100111001111101011 D -b1011111111111111000011111011011 % -b1011111111111111000011111011011 . -b1011111111111111000011111011011 6 -b1011111111111111000011111011011 @ -b1011111111111111000011111011011 F -b1010001101111011111101111000110 ) -#46336000 -0& -#46352000 -b1011010100 , -#46368000 -1& -#46384000 -b1001001101111011001101111110110 " -b1001001101111011001101111110110 4 -b1001001110111100000000000011001 1 -b1001001110111100000000000011001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b1001001101111011001101111110110 2 -b1001001101111011001101111110110 = -b11111101110111111001100111011101 < -b1001001101111011001101111110110 : -b1001011110111100000001000011001 $ -b1001011110111100000001000011001 - -b1001011110111100000001000011001 5 -b1001011110111100000001000011001 ? -b1001011110111100000001000011001 D -b11111101110111111001100111011101 % -b11111101110111111001100111011101 . -b11111101110111111001100111011101 6 -b11111101110111111001100111011101 @ -b11111101110111111001100111011101 F -b1001001101111011001101111110110 ) -#46400000 -0& -#46416000 -b1011010101 , -#46432000 -1& -#46448000 -b1110101011101011010110010110100 " -b1110101011101011010110010110100 4 -b1111001011101100010110100111001 1 -b1111001011101100010110100111001 C -b11110110000000000000000000000000 0 -b11110110000000000000000000000000 H -b1110101011101011010110010110100 2 -b1110101011101011010110010110100 = -b1111001111111110110111100111001 < -b1110101011101011010110010110100 : -b11111011011101100011110101111011 $ -b11111011011101100011110101111011 - -b11111011011101100011110101111011 5 -b11111011011101100011110101111011 ? -b11111011011101100011110101111011 D -b1111001111111110110111100111001 % -b1111001111111110110111100111001 . -b1111001111111110110111100111001 6 -b1111001111111110110111100111001 @ -b1111001111111110110111100111001 F -b1110101011101011010110010110100 ) -#46464000 -0& -#46480000 -b1011010110 , -#46496000 -1& -#46512000 -b10111000001010100001101000001010 " -b10111000001010100001101000001010 4 -b111000001010100100000000100100 1 -b111000001010100100000000100100 C -b11011010111111011001101001100000 0 -b11011010111111011001101001100000 H -19 -08 -b10111000001010100001101000001010 2 -b10111000001010100001101000001010 = -b1111010011110100100000001100100 < -b10111000001010100001101000001010 : -b111101101011111101100110100110 $ -b111101101011111101100110100110 - -b111101101011111101100110100110 5 -b111101101011111101100110100110 ? -b111101101011111101100110100110 D -b1111010011110100100000001100100 % -b1111010011110100100000001100100 . -b1111010011110100100000001100100 6 -b1111010011110100100000001100100 @ -b1111010011110100100000001100100 F -b10111000001010100001101000001010 ) -#46528000 -0& -#46544000 -b1011010111 , -#46560000 -1& -#46576000 -b10001101111110100010000010001 " -b10001101111110100010000010001 4 -b10010110000010100100000100010 1 -b10010110000010100100000100010 C -b1110101111011001001110000000000 0 -b1110101111011001001110000000000 H -09 -08 -b10001101111110100010000010001 2 -b10001101111110100010000010001 = -b1111010111000011100100011101010 < -b10001101111110100010000010001 : -b10010110110111010111101100100111 $ -b10010110110111010111101100100111 - -b10010110110111010111101100100111 5 -b10010110110111010111101100100111 ? -b10010110110111010111101100100111 D -b1111010111000011100100011101010 % -b1111010111000011100100011101010 . -b1111010111000011100100011101010 6 -b1111010111000011100100011101010 @ -b1111010111000011100100011101010 F -b10001101111110100010000010001 ) -#46592000 -0& -#46608000 -b1011011000 , -#46624000 -1& -#46640000 -b11111101010110010100000100001011 " -b11111101010110010100000100001011 4 -b111101010110011000000100100000 1 -b111101010110011000000100100000 C -b10110011010111111100010000000000 0 -b10110011010111111100010000000000 H -18 -b11111101010110010100000100001011 2 -b11111101010110010100000100001011 = -b111111111111111001000100101001 < -b11111101010110010100000100001011 : -b10111101010110011010111111100010 $ -b10111101010110011010111111100010 - -b10111101010110011010111111100010 5 -b10111101010110011010111111100010 ? -b10111101010110011010111111100010 D -b111111111111111001000100101001 % -b111111111111111001000100101001 . -b111111111111111001000100101001 6 -b111111111111111001000100101001 @ -b111111111111111001000100101001 F -b11111101010110010100000100001011 ) -#46656000 -0& -#46672000 -b1011011001 , -#46688000 -1& -#46704000 -b100000010101100101000001011 " -b100000010101100101000001011 4 -b100000010110000001000001100 1 -b100000010110000001000001100 C -b0 0 -b0 H -08 -b100000010101100101000001011 2 -b100000010101100101000001011 = -b11010110010011110100001100111111 < -b100000010101100101000001011 : -b101101101110111000011011001100 $ -b101101101110111000011011001100 - -b101101101110111000011011001100 5 -b101101101110111000011011001100 ? -b101101101110111000011011001100 D -b11010110010011110100001100111111 % -b11010110010011110100001100111111 . -b11010110010011110100001100111111 6 -b11010110010011110100001100111111 @ -b11010110010011110100001100111111 F -b100000010101100101000001011 ) -#46720000 -0& -#46736000 -b1011011010 , -#46752000 -1& -#46768000 -b1101011001101000001100111111100 " -b1101011001101000001100111111100 4 -b1110001001101000010000000000101 1 -b1110001001101000010000000000101 C -b10000010100000000000000000000000 0 -b10000010100000000000000000000000 H -b1101011001101000001100111111100 2 -b1101011001101000001100111111100 = -b1111001111111110111100011110111 < -b1101011001101000001100111111100 : -b11110001001101001010000100000101 $ -b11110001001101001010000100000101 - -b11110001001101001010000100000101 5 -b11110001001101001010000100000101 ? -b11110001001101001010000100000101 D -b1111001111111110111100011110111 % -b1111001111111110111100011110111 . -b1111001111111110111100011110111 6 -b1111001111111110111100011110111 @ -b1111001111111110111100011110111 F -b1101011001101000001100111111100 ) -#46784000 -0& -#46800000 -b1011011011 , -#46816000 -1& -#46832000 -b10100001111110011110000101110111 " -b10100001111110011110000101110111 4 -b10100001111110100000100000000000 1 -b10100001111110100000100000000000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b10100001111110011110000101110111 2 -b10100001111110011110000101110111 = -b11101001111110100000100001110111 < -b10100001111110011110000101110111 : -b10110111111111111101100100000000 $ -b10110111111111111101100100000000 - -b10110111111111111101100100000000 5 -b10110111111111111101100100000000 ? -b10110111111111111101100100000000 D -b11101001111110100000100001110111 % -b11101001111110100000100001110111 . -b11101001111110100000100001110111 6 -b11101001111110100000100001110111 @ -b11101001111110100000100001110111 F -b10100001111110011110000101110111 ) -#46848000 -0& -#46864000 -b1011011100 , -#46880000 -1& -#46896000 -b1111101111010110000001001110100 " -b1111101111010110000001001110100 4 -b1111110111010110000100000111001 1 -b1111110111010110000100000111001 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -08 -b1111101111010110000001001110100 2 -b1111101111010110000001001110100 = -b1111110111111111101100000111011 < -b1111101111010110000001001110100 : -b11111110111010110010101000111001 $ -b11111110111010110010101000111001 - -b11111110111010110010101000111001 5 -b11111110111010110010101000111001 ? -b11111110111010110010101000111001 D -b1111110111111111101100000111011 % -b1111110111111111101100000111011 . -b1111110111111111101100000111011 6 -b1111110111111111101100000111011 @ -b1111110111111111101100000111011 F -b1111101111010110000001001110100 ) -#46912000 -0& -#46928000 -b1011011101 , -#46944000 -1& -#46960000 -b10100010110110101100011110111100 " -b10100010110110101100011110111100 4 -b1000010110110101110000000000001 1 -b1000010110110101110000000000001 C -b1000000000000000000000000000 0 -b1000000000000000000000000000 H -19 -08 -b10100010110110101100011110111100 2 -b10100010110110101100011110111100 = -b1011111111111101110001110111011 < -b10100010110110101100011110111100 : -b1000010110110111110010000000001 $ -b1000010110110111110010000000001 - -b1000010110110111110010000000001 5 -b1000010110110111110010000000001 ? -b1000010110110111110010000000001 D -b1011111111111101110001110111011 % -b1011111111111101110001110111011 . -b1011111111111101110001110111011 6 -b1011111111111101110001110111011 @ -b1011111111111101110001110111011 F -b10100010110110101100011110111100 ) -#46976000 -0& -#46992000 -b1011011110 , -#47008000 -1& -#47024000 -b111001010110110001111110010110 " -b111001010110110001111110010110 4 -b111001101011000010000001000111 1 -b111001101011000010000001000111 C -b11010111001100111010011110000000 0 -b11010111001100111010011110000000 H -09 -08 -b111001010110110001111110010110 2 -b111001010110110001111110010110 = -b10111101101011001011100001000111 < -b111001010110110001111110010110 : -b1111011101011100110011101001111 $ -b1111011101011100110011101001111 - -b1111011101011100110011101001111 5 -b1111011101011100110011101001111 ? -b1111011101011100110011101001111 D -b10111101101011001011100001000111 % -b10111101101011001011100001000111 . -b10111101101011001011100001000111 6 -b10111101101011001011100001000111 @ -b10111101101011001011100001000111 F -b111001010110110001111110010110 ) -#47040000 -0& -#47056000 -b1011011111 , -#47072000 -1& -#47088000 -b11000100011011110100100011001000 " -b11000100011011110100100011001000 4 -b1000100011100110100100100010001 1 -b1000100011100110100100100010001 C -b11010011001011100000000000000000 0 -b11010011001011100000000000000000 H -19 -08 -b11000100011011110100100011001000 2 -b11000100011011110100100011001000 = -b1100100011110111101111100110001 < -b11000100011011110100100011001000 : -b1011111111100110110100110010111 $ -b1011111111100110110100110010111 - -b1011111111100110110100110010111 5 -b1011111111100110110100110010111 ? -b1011111111100110110100110010111 D -b1100100011110111101111100110001 % -b1100100011110111101111100110001 . -b1100100011110111101111100110001 6 -b1100100011110111101111100110001 @ -b1100100011110111101111100110001 F -b11000100011011110100100011001000 ) -#47104000 -0& -#47120000 -b1011100000 , -#47136000 -1& -#47152000 -b1000011011100110001110100001010 " -b1000011011100110001110100001010 4 -b1000011101100110100000000010101 1 -b1000011101100110100000000010101 C -b10011110101000000000000000000000 0 -b10011110101000000000000000000000 H -09 -08 -b1000011011100110001110100001010 2 -b1000011011100110001110100001010 = -b11100011101110110100000000010101 < -b1000011011100110001110100001010 : -b1011111101101111101110011110101 $ -b1011111101101111101110011110101 - -b1011111101101111101110011110101 5 -b1011111101101111101110011110101 ? -b1011111101101111101110011110101 D -b11100011101110110100000000010101 % -b11100011101110110100000000010101 . -b11100011101110110100000000010101 6 -b11100011101110110100000000010101 @ -b11100011101110110100000000010101 F -b1000011011100110001110100001010 ) -#47168000 -0& -#47184000 -b1011100001 , -#47200000 -1& -#47216000 -b1101001011110100011100101001011 " -b1101001011110100011100101001011 4 -b1110001011110110000010001001100 1 -b1110001011110110000010001001100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b1101001011110100011100101001011 2 -b1101001011110100011100101001011 = -b11110011111111110000010001111101 < -b1101001011110100011100101001011 : -b1110101011110110011010011001110 $ -b1110101011110110011010011001110 - -b1110101011110110011010011001110 5 -b1110101011110110011010011001110 ? -b1110101011110110011010011001110 D -b11110011111111110000010001111101 % -b11110011111111110000010001111101 . -b11110011111111110000010001111101 6 -b11110011111111110000010001111101 @ -b11110011111111110000010001111101 F -b1101001011110100011100101001011 ) -#47232000 -0& -#47248000 -b1011100010 , -#47264000 -1& -#47280000 -b111101011000101010001000111111 " -b111101011000101010001000111111 4 -b111101011000101010010001000000 1 -b111101011000101010010001000000 C -b11111010111010000000000000000000 0 -b11111010111010000000000000000000 H -b111101011000101010001000111111 2 -b111101011000101010001000111111 = -b1111111011110101010110001101111 < -b111101011000101010001000111111 : -b10111101111001111111010111010000 $ -b10111101111001111111010111010000 - -b10111101111001111111010111010000 5 -b10111101111001111111010111010000 ? -b10111101111001111111010111010000 D -b1111111011110101010110001101111 % -b1111111011110101010110001101111 . -b1111111011110101010110001101111 6 -b1111111011110101010110001101111 @ -b1111111011110101010110001101111 F -b111101011000101010001000111111 ) -#47296000 -0& -#47312000 -b1011100011 , -#47328000 -1& -#47344000 -b1101101101101010111100100111000 " -b1101101101101010111100100111000 4 -b1101101101110100111100101000001 1 -b1101101101110100111100101000001 C -b11101100011000000000000000000000 0 -b11101100011000000000000000000000 H -b1101101101101010111100100111000 2 -b1101101101101010111100100111000 = -b1111111101110101111100111010101 < -b1101101101101010111100100111000 : -b11101101111110100111111101100011 $ -b11101101111110100111111101100011 - -b11101101111110100111111101100011 5 -b11101101111110100111111101100011 ? -b11101101111110100111111101100011 D -b1111111101110101111100111010101 % -b1111111101110101111100111010101 . -b1111111101110101111100111010101 6 -b1111111101110101111100111010101 @ -b1111111101110101111100111010101 F -b1101101101101010111100100111000 ) -#47360000 -0& -#47376000 -b1011100100 , -#47392000 -1& -#47408000 -b10100011111010111001001010110100 " -b10100011111010111001001010110100 4 -b100101111010111001100001010101 1 -b100101111010111001100001010101 C -b1011111000000000000000000000 0 -b1011111000000000000000000000 H -19 -08 -b10100011111010111001001010110100 2 -b10100011111010111001001010110100 = -b1101101111011111011101001010101 < -b10100011111010111001001010110100 : -b110101111110111101100001011111 $ -b110101111110111101100001011111 - -b110101111110111101100001011111 5 -b110101111110111101100001011111 ? -b110101111110111101100001011111 D -b1101101111011111011101001010101 % -b1101101111011111011101001010101 . -b1101101111011111011101001010101 6 -b1101101111011111011101001010101 @ -b1101101111011111011101001010101 F -b10100011111010111001001010110100 ) -#47424000 -0& -#47440000 -b1011100101 , -#47456000 -1& -#47472000 -b110011110110101111111101011000 " -b110011110110101111111101011000 4 -b110011110110110000000000011001 1 -b110011110110110000000000011001 C -b111110000000000000000000000000 0 -b111110000000000000000000000000 H -09 -08 -b110011110110101111111101011000 2 -b110011110110101111111101011000 = -b10110111110110110100100000111001 < -b110011110110101111111101011000 : -b1111011111111111011011100011111 $ -b1111011111111111011011100011111 - -b1111011111111111011011100011111 5 -b1111011111111111011011100011111 ? -b1111011111111111011011100011111 D -b10110111110110110100100000111001 % -b10110111110110110100100000111001 . -b10110111110110110100100000111001 6 -b10110111110110110100100000111001 @ -b10110111110110110100100000111001 F -b110011110110101111111101011000 ) -#47488000 -0& -#47504000 -b1011100110 , -#47520000 -1& -#47536000 -b10001101111100110011111001001010 " -b10001101111100110011111001001010 4 -b10010001111100111000000100100000 1 -b10010001111100111000000100100000 C -b11100111110111100101010010100000 0 -b11100111110111100101010010100000 H -18 -b10001101111100110011111001001010 2 -b10001101111100110011111001001010 = -b11010011111110111010100100100010 < -b10001101111100110011111001001010 : -b10111001111101111001010100101000 $ -b10111001111101111001010100101000 - -b10111001111101111001010100101000 5 -b10111001111101111001010100101000 ? -b10111001111101111001010100101000 D -b11010011111110111010100100100010 % -b11010011111110111010100100100010 . -b11010011111110111010100100100010 6 -b11010011111110111010100100100010 @ -b11010011111110111010100100100010 F -b10001101111100110011111001001010 ) -#47552000 -0& -#47568000 -b1011100111 , -#47584000 -1& -#47600000 -b11010111101101110110001011101100 " -b11010111101101110110001011101100 4 -b1101000001101110110010000101101 1 -b1101000001101110110010000101101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b11010111101101110110001011101100 2 -b11010111101101110110001011101100 = -b1101101011101110111111010111111 < -b11010111101101110110001011101100 : -b1101010001111111110010000101101 $ -b1101010001111111110010000101101 - -b1101010001111111110010000101101 5 -b1101010001111111110010000101101 ? -b1101010001111111110010000101101 D -b1101101011101110111111010111111 % -b1101101011101110111111010111111 . -b1101101011101110111111010111111 6 -b1101101011101110111111010111111 @ -b1101101011101110111111010111111 F -b11010111101101110110001011101100 ) -#47616000 -0& -#47632000 -b1011101000 , -#47648000 -1& -#47664000 -b1101101101011001101001001010010 " -b1101101101011001101001001010010 4 -b1101101110100001110001001011001 1 -b1101101110100001110001001011001 C -b11110010000000000000000000000000 0 -b11110010000000000000000000000000 H -09 -08 -b1101101101011001101001001010010 2 -b1101101101011001101001001010010 = -b11101101110110111110111111011001 < -b1101101101011001101001001010010 : -b1111111110100001110001001111001 $ -b1111111110100001110001001111001 - -b1111111110100001110001001111001 5 -b1111111110100001110001001111001 ? -b1111111110100001110001001111001 D -b11101101110110111110111111011001 % -b11101101110110111110111111011001 . -b11101101110110111110111111011001 6 -b11101101110110111110111111011001 @ -b11101101110110111110111111011001 F -b1101101101011001101001001010010 ) -#47680000 -0& -#47696000 -b1011101001 , -#47712000 -1& -#47728000 -b10101111001111101100000010011000 " -b10101111001111101100000010011000 4 -b10110111001111110000000100011001 1 -b10110111001111110000000100011001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -18 -b10101111001111101100000010011000 2 -b10101111001111101100000010011000 = -b11110111111111110011111100011101 < -b10101111001111101100000010011000 : -b10110111001111111000000101111011 $ -b10110111001111111000000101111011 - -b10110111001111111000000101111011 5 -b10110111001111111000000101111011 ? -b10110111001111111000000101111011 D -b11110111111111110011111100011101 % -b11110111111111110011111100011101 . -b11110111111111110011111100011101 6 -b11110111111111110011111100011101 @ -b11110111111111110011111100011101 F -b10101111001111101100000010011000 ) -#47744000 -0& -#47760000 -b1011101010 , -#47776000 -1& -#47792000 -b1011011110110011100001010001110 " -b1011011110110011100001010001110 4 -b1100101110110100100100100010000 1 -b1100101110110100100100100010000 C -b10010001101000000000000000000000 0 -b10010001101000000000000000000000 H -08 -b1011011110110011100001010001110 2 -b1011011110110011100001010001110 = -b1100101111111100101100101110100 < -b1011011110110011100001010001110 : -b11110101110110110110100100011010 $ -b11110101110110110110100100011010 - -b11110101110110110110100100011010 5 -b11110101110110110110100100011010 ? -b11110101110110110110100100011010 D -b1100101111111100101100101110100 % -b1100101111111100101100101110100 . -b1100101111111100101100101110100 6 -b1100101111111100101100101110100 @ -b1100101111111100101100101110100 F -b1011011110110011100001010001110 ) -#47808000 -0& -#47824000 -b1011101011 , -#47840000 -1& -#47856000 -b11011111011001110000110111000100 " -b11011111011001110000110111000100 4 -b11101111011001110000111000100001 1 -b11101111011001110000111000100001 C -b11011110111111101001111101000110 0 -b11011110111111101001111101000110 H -18 -b11011111011001110000110111000100 2 -b11011111011001110000110111000100 = -b11101111111001111011111000100001 < -b11011111011001110000110111000100 : -b11101111011111110100111110100011 $ -b11101111011111110100111110100011 - -b11101111011111110100111110100011 5 -b11101111011111110100111110100011 ? -b11101111011111110100111110100011 D -b11101111111001111011111000100001 % -b11101111111001111011111000100001 . -b11101111111001111011111000100001 6 -b11101111111001111011111000100001 @ -b11101111111001111011111000100001 F -b11011111011001110000110111000100 ) -#47872000 -0& -#47888000 -b1011101100 , -#47904000 -1& -#47920000 -b10101111101110110011011111011110 " -b10101111101110110011011111011110 4 -b10101111110011000100000000000001 1 -b10101111110011000100000000000001 C -b11111001110110101000001100100000 0 -b11111001110110101000001100100000 H -b10101111101110110011011111011110 2 -b10101111101110110011011111011110 = -b11111111111011000110001111000101 < -b10101111101110110011011111011110 : -b10101111110011101101010000011001 $ -b10101111110011101101010000011001 - -b10101111110011101101010000011001 5 -b10101111110011101101010000011001 ? -b10101111110011101101010000011001 D -b11111111111011000110001111000101 % -b11111111111011000110001111000101 . -b11111111111011000110001111000101 6 -b11111111111011000110001111000101 @ -b11111111111011000110001111000101 F -b10101111101110110011011111011110 ) -#47936000 -0& -#47952000 -b1011101101 , -#47968000 -1& -#47984000 -b10111101101101000000011011110111 " -b10111101101101000000011011110111 4 -b1011101101101000000100000011000 1 -b1011101101101000000100000011000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -08 -19 -b10111101101101000000011011110111 2 -b10111101101101000000011011110111 = -b1011101101101110000101001011100 < -b10111101101101000000011011110111 : -b1011111111111001111110010011011 $ -b1011111111111001111110010011011 - -b1011111111111001111110010011011 5 -b1011111111111001111110010011011 ? -b1011111111111001111110010011011 D -b1011101101101110000101001011100 % -b1011101101101110000101001011100 . -b1011101101101110000101001011100 6 -b1011101101101110000101001011100 @ -b1011101101101110000101001011100 F -b10111101101101000000011011110111 ) -#48000000 -0& -#48016000 -b1011101110 , -#48032000 -1& -#48048000 -b11100110010000111111000111000 " -b11100110010000111111000111000 4 -b101100110010010000000000000001 1 -b101100110010010000000000000001 C -b1000000011000000000000000000000 0 -b1000000011000000000000000000000 H -09 -08 -b11100110010000111111000111000 2 -b11100110010000111111000111000 = -b11101111110111010100010000110101 < -b11100110010000111111000111000 : -b101100111010110011101000000011 $ -b101100111010110011101000000011 - -b101100111010110011101000000011 5 -b101100111010110011101000000011 ? -b101100111010110011101000000011 D -b11101111110111010100010000110101 % -b11101111110111010100010000110101 . -b11101111110111010100010000110101 6 -b11101111110111010100010000110101 @ -b11101111110111010100010000110101 F -b11100110010000111111000111000 ) -#48064000 -0& -#48080000 -b1011101111 , -#48096000 -1& -#48112000 -b111011011101110111000100110011 " -b111011011101110111000100110011 4 -b111011100110011000001000000000 1 -b111011100110011000001000000000 C -b1011001000000000000000000000000 0 -b1011001000000000000000000000000 H -b111011011101110111000100110011 2 -b111011011101110111000100110011 = -b11111011110111011110011000010011 < -b111011011101110111000100110011 : -b111111100110011000101100100000 $ -b111111100110011000101100100000 - -b111111100110011000101100100000 5 -b111111100110011000101100100000 ? -b111111100110011000101100100000 D -b11111011110111011110011000010011 % -b11111011110111011110011000010011 . -b11111011110111011110011000010011 6 -b11111011110111011110011000010011 @ -b11111011110111011110011000010011 F -b111011011101110111000100110011 ) -#48128000 -0& -#48144000 -b1011110000 , -#48160000 -1& -#48176000 -b100101101111100011010010110110 " -b100101101111100011010010110110 4 -b1000101101111110001100100000000 1 -b1000101101111110001100100000000 C -b1011111111111000110010011010000 0 -b1011111111111000110010011010000 H -b100101101111100011010010110110 2 -b100101101111100011010010110110 = -b11001101101111110001101110000010 < -b100101101111100011010010110110 : -b1010111111111110001100100110100 $ -b1010111111111110001100100110100 - -b1010111111111110001100100110100 5 -b1010111111111110001100100110100 ? -b1010111111111110001100100110100 D -b11001101101111110001101110000010 % -b11001101101111110001101110000010 . -b11001101101111110001101110000010 6 -b11001101101111110001101110000010 @ -b11001101101111110001101110000010 F -b100101101111100011010010110110 ) -#48192000 -0& -#48208000 -b1011110001 , -#48224000 -1& -#48240000 -b11111000000110110001000001001100 " -b11111000000110110001000001001100 4 -b11111000000110110010000010000001 1 -b11111000000110110010000010000001 C -b11010001111110110001110001001000 0 -b11010001111110110001110001001000 H -18 -b11111000000110110001000001001100 2 -b11111000000110110001000001001100 = -b11111101110110111010110011000011 < -b11111000000110110001000001001100 : -b11111010001111110110001110001001 $ -b11111010001111110110001110001001 - -b11111010001111110110001110001001 5 -b11111010001111110110001110001001 ? -b11111010001111110110001110001001 D -b11111101110110111010110011000011 % -b11111101110110111010110011000011 . -b11111101110110111010110011000011 6 -b11111101110110111010110011000011 @ -b11111101110110111010110011000011 F -b11111000000110110001000001001100 ) -#48256000 -0& -#48272000 -b1011110010 , -#48288000 -1& -#48304000 -b1010111101100101000111000010001 " -b1010111101100101000111000010001 4 -b1010111110001000010001001000010 1 -b1010111110001000010001001000010 C -b10111110011100110001111001110000 0 -b10111110011100110001111001110000 H -08 -b1010111101100101000111000010001 2 -b1010111101100101000111000010001 = -b11011111111001000010101001000011 < -b1010111101100101000111000010001 : -b1110111110011100110001111001110 $ -b1110111110011100110001111001110 - -b1110111110011100110001111001110 5 -b1110111110011100110001111001110 ? -b1110111110011100110001111001110 D -b11011111111001000010101001000011 % -b11011111111001000010101001000011 . -b11011111111001000010101001000011 6 -b11011111111001000010101001000011 @ -b11011111111001000010101001000011 F -b1010111101100101000111000010001 ) -#48320000 -0& -#48336000 -b1011110011 , -#48352000 -1& -#48368000 -b11010001111110110111101010011011 " -b11010001111110110111101010011011 4 -b11100001111111000000000010011100 1 -b11100001111111000000000010011100 C -b0 0 -b0 H -18 -b11010001111110110111101010011011 2 -b11010001111110110111101010011011 = -b11101111111111100001100111011111 < -b11010001111110110111101010011011 : -b11100001111111010110000010111100 $ -b11100001111111010110000010111100 - -b11100001111111010110000010111100 5 -b11100001111111010110000010111100 ? -b11100001111111010110000010111100 D -b11101111111111100001100111011111 % -b11101111111111100001100111011111 . -b11101111111111100001100111011111 6 -b11101111111111100001100111011111 @ -b11101111111111100001100111011111 F -b11010001111110110111101010011011 ) -#48384000 -0& -#48400000 -b1011110100 , -#48416000 -1& -#48432000 -b10000000001001011101000001111001 " -b10000000001001011101000001111001 4 -b10000000001001100010000010001100 1 -b10000000001001100010000010001100 C -b11110101110110011000000000000000 0 -b11110101110110011000000000000000 H -b10000000001001011101000001111001 2 -b10000000001001011101000001111001 = -b11010101101111100010000110101101 < -b10000000001001011101000001111001 : -b10101010011001111010111011001100 $ -b10101010011001111010111011001100 - -b10101010011001111010111011001100 5 -b10101010011001111010111011001100 ? -b10101010011001111010111011001100 D -b11010101101111100010000110101101 % -b11010101101111100010000110101101 . -b11010101101111100010000110101101 6 -b11010101101111100010000110101101 @ -b11010101101111100010000110101101 F -b10000000001001011101000001111001 ) -#48448000 -0& -#48464000 -b1011110101 , -#48480000 -1& -#48496000 -b1100110000111001010100100101100 " -b1100110000111001010100100101100 4 -b1100110000111100001000100110001 1 -b1100110000111100001000100110001 C -b101111011101100000000000000000 0 -b101111011101100000000000000000 H -08 -b1100110000111001010100100101100 2 -b1100110000111001010100100101100 = -b1111110010111100001000101110001 < -b1100110000111001010100100101100 : -b11100111101111101001011110111011 $ -b11100111101111101001011110111011 - -b11100111101111101001011110111011 5 -b11100111101111101001011110111011 ? -b11100111101111101001011110111011 D -b1111110010111100001000101110001 % -b1111110010111100001000101110001 . -b1111110010111100001000101110001 6 -b1111110010111100001000101110001 @ -b1111110010111100001000101110001 F -b1100110000111001010100100101100 ) -#48512000 -0& -#48528000 -b1011110110 , -#48544000 -1& -#48560000 -b1111010100011011110011110000111 " -b1111010100011011110011110000111 4 -b111010101000100000000111000000 1 -b111010101000100000000111000000 C -b1110001101100101110000000000000 0 -b1110001101100101110000000000000 H -b1111010100011011110011110000111 2 -b1111010100011011110011110000111 = -b111011101010101000000111000111 < -b1111010100011011110011110000111 : -b111110111000110110010111000000 $ -b111110111000110110010111000000 - -b111110111000110110010111000000 5 -b111110111000110110010111000000 ? -b111110111000110110010111000000 D -b111011101010101000000111000111 % -b111011101010101000000111000111 . -b111011101010101000000111000111 6 -b111011101010101000000111000111 @ -b111011101010101000000111000111 F -b1111010100011011110011110000111 ) -#48576000 -0& -#48592000 -b1011110111 , -#48608000 -1& -#48624000 -b1101010110111001001111001001 " -b1101010110111001001111001001 4 -b1101011000111100100000000010 1 -b1101011000111100100000000010 C -b1111001111100100001000011000000 0 -b1111001111100100001000011000000 H -b1101010110111001001111001001 2 -b1101010110111001001111001001 = -b11001111011100111100101110000110 < -b1101010110111001001111001001 : -b111101111001111100100001000011 $ -b111101111001111100100001000011 - -b111101111001111100100001000011 5 -b111101111001111100100001000011 ? -b111101111001111100100001000011 D -b11001111011100111100101110000110 % -b11001111011100111100101110000110 . -b11001111011100111100101110000110 6 -b11001111011100111100101110000110 @ -b11001111011100111100101110000110 F -b1101010110111001001111001001 ) -#48640000 -0& -#48656000 -b1011111000 , -#48672000 -1& -#48688000 -b11100100110110101111110011110101 " -b11100100110110101111110011110101 4 -b11100101000111000000010100000000 1 -b11100101000111000000010100000000 C -b1010100000100000000000000000000 0 -b1010100000100000000000000000000 H -18 -b11100100110110101111110011110101 2 -b11100100110110101111110011110101 = -b11101111101111000110011110110100 < -b11100100110110101111110011110101 : -b11110101000111101001010101000001 $ -b11110101000111101001010101000001 - -b11110101000111101001010101000001 5 -b11110101000111101001010101000001 ? -b11110101000111101001010101000001 D -b11101111101111000110011110110100 % -b11101111101111000110011110110100 . -b11101111101111000110011110110100 6 -b11101111101111000110011110110100 @ -b11101111101111000110011110110100 F -b11100100110110101111110011110101 ) -#48704000 -0& -#48720000 -b1011111001 , -#48736000 -1& -#48752000 -b111110010101000000101010000010 " -b111110010101000000101010000010 4 -b111110011010000100001010000100 1 -b111110011010000100001010000100 C -b11100011000000000000000000000000 0 -b11100011000000000000000000000000 H -08 -b111110010101000000101010000010 2 -b111110010101000000101010000010 = -b10111111011010111100011011110110 < -b111110010101000000101010000010 : -b1111110111010000100001110001100 $ -b1111110111010000100001110001100 - -b1111110111010000100001110001100 5 -b1111110111010000100001110001100 ? -b1111110111010000100001110001100 D -b10111111011010111100011011110110 % -b10111111011010111100011011110110 . -b10111111011010111100011011110110 6 -b10111111011010111100011011110110 @ -b10111111011010111100011011110110 F -b111110010101000000101010000010 ) -#48768000 -0& -#48784000 -b1011111010 , -#48800000 -1& -#48816000 -b111111100010100001110101010110 " -b111111100010100001110101010110 4 -b111111100010100010011000100000 1 -b111111100010100010011000100000 C -b1100010001000000000000000000000 0 -b1100010001000000000000000000000 H -b111111100010100001110101010110 2 -b111111100010100001110101010110 = -b10111111111011110110011100110100 < -b111111100010100001110101010110 : -b1111111100110101011011000100010 $ -b1111111100110101011011000100010 - -b1111111100110101011011000100010 5 -b1111111100110101011011000100010 ? -b1111111100110101011011000100010 D -b10111111111011110110011100110100 % -b10111111111011110110011100110100 . -b10111111111011110110011100110100 6 -b10111111111011110110011100110100 @ -b10111111111011110110011100110100 F -b111111100010100001110101010110 ) -#48832000 -0& -#48848000 -b1011111011 , -#48864000 -1& -#48880000 -b11010001101111000101100001000000 " -b11010001101111000101100001000000 4 -b11100010101111010000100100000001 1 -b11100010101111010000100100000001 C -b11001101011111100001111000111110 0 -b11001101011111100001111000111110 H -18 -b11010001101111000101100001000000 2 -b11010001101111000101100001000000 = -b11101010111111010100100100100001 < -b11010001101111000101100001000000 : -b11100110101111110000111100011111 $ -b11100110101111110000111100011111 - -b11100110101111110000111100011111 5 -b11100110101111110000111100011111 ? -b11100110101111110000111100011111 D -b11101010111111010100100100100001 % -b11101010111111010100100100100001 . -b11101010111111010100100100100001 6 -b11101010111111010100100100100001 @ -b11101010111111010100100100100001 F -b11010001101111000101100001000000 ) -#48896000 -0& -#48912000 -b1011111100 , -#48928000 -1& -#48944000 -b11010011110010000100001110000100 " -b11010011110010000100001110000100 4 -b10011110010010000100001000001 1 -b10011110010010000100001000001 C -b11011111010010011101001000001000 0 -b11011111010010011101001000001000 H -18 -09 -b11010011110010000100001110000100 2 -b11010011110010000100001110000100 = -b10010111110111110000100101000011 < -b11010011110010000100001110000100 : -b111011111010010011101001000001 $ -b111011111010010011101001000001 - -b111011111010010011101001000001 5 -b111011111010010011101001000001 ? -b111011111010010011101001000001 D -b10010111110111110000100101000011 % -b10010111110111110000100101000011 . -b10010111110111110000100101000011 6 -b10010111110111110000100101000011 @ -b10010111110111110000100101000011 F -b11010011110010000100001110000100 ) -#48960000 -0& -#48976000 -b1011111101 , -#48992000 -1& -#49008000 -b11101111001011111101000111111010 " -b11101111001011111101000111111010 4 -b1110011100011111110010000001100 1 -b1110011100011111110010000001100 C -b11111110010110101110000000000000 0 -b11111110010110101110000000000000 H -08 -19 -b11101111001011111101000111111010 2 -b11101111001011111101000111111010 = -b1110011100111111110110001001100 < -b11101111001011111101000111111010 : -b1111011100011111110010110101110 $ -b1111011100011111110010110101110 - -b1111011100011111110010110101110 5 -b1111011100011111110010110101110 ? -b1111011100011111110010110101110 D -b1110011100111111110110001001100 % -b1110011100111111110110001001100 . -b1110011100111111110110001001100 6 -b1110011100111111110110001001100 @ -b1110011100111111110110001001100 F -b11101111001011111101000111111010 ) -#49024000 -0& -#49040000 -b1011111110 , -#49056000 -1& -#49072000 -b11101101011011110101000001000001 " -b11101101011011110101000001000001 4 -b11101101011011111001000010000010 1 -b11101101011011111001000010000010 C -b11101100001011001100000000000000 0 -b11101100001011001100000000000000 H -18 -09 -b11101101011011110101000001000001 2 -b11101101011011110101000001000001 = -b11111111111111111001111110001110 < -b11101101011011110101000001000001 : -b11101101011011111011000010110011 $ -b11101101011011111011000010110011 - -b11101101011011111011000010110011 5 -b11101101011011111011000010110011 ? -b11101101011011111011000010110011 D -b11111111111111111001111110001110 % -b11111111111111111001111110001110 . -b11111111111111111001111110001110 6 -b11111111111111111001111110001110 @ -b11111111111111111001111110001110 F -b11101101011011110101000001000001 ) -#49088000 -0& -#49104000 -b1011111111 , -#49120000 -1& -#49136000 -b1101100011111100001011001000011 " -b1101100011111100001011001000011 4 -b1101100011111100001100001000100 1 -b1101100011111100001100001000100 C -b111011010000000000000000000000 0 -b111011010000000000000000000000 H -08 -b1101100011111100001011001000011 2 -b1101100011111100001011001000011 = -b11101101111111111111110101010110 < -b1101100011111100001011001000011 : -b1111110011111100001100011101101 $ -b1111110011111100001100011101101 - -b1111110011111100001100011101101 5 -b1111110011111100001100011101101 ? -b1111110011111100001100011101101 D -b11101101111111111111110101010110 % -b11101101111111111111110101010110 . -b11101101111111111111110101010110 6 -b11101101111111111111110101010110 @ -b11101101111111111111110101010110 F -b1101100011111100001011001000011 ) -#49152000 -0& -#49168000 -b1100000000 , -#49184000 -1& -#49200000 -b1011101101111001010001000000100 " -b1011101101111001010001000000100 4 -b1011101101111001010001000100001 1 -b1011101101111001010001000100001 C -b10111011111111010110110001000110 0 -b10111011111111010110110001000110 H -b1011101101111001010001000000100 2 -b1011101101111001010001000000100 = -b11111111101111011110101111100001 < -b1011101101111001010001000000100 : -b1011101111111101011011000100011 $ -b1011101111111101011011000100011 - -b1011101111111101011011000100011 5 -b1011101111111101011011000100011 ? -b1011101111111101011011000100011 D -b11111111101111011110101111100001 % -b11111111101111011110101111100001 . -b11111111101111011110101111100001 6 -b11111111101111011110101111100001 @ -b11111111101111011110101111100001 F -b1011101101111001010001000000100 ) -#49216000 -0& -#49232000 -b1100000001 , -#49248000 -1& -#49264000 -b11111010111111110001010110001101 " -b11111010111111110001010110001101 4 -b1111010111111110001100110100110 1 -b1111010111111110001100110100110 C -b11111111110001100110100111000000 0 -b11111111110001100110100111000000 H -19 -08 -b11111010111111110001010110001101 2 -b11111010111111110001010110001101 = -b1111110111111111111101111100110 < -b11111010111111110001010110001101 : -b1111011111111110001100110100111 $ -b1111011111111110001100110100111 - -b1111011111111110001100110100111 5 -b1111011111111110001100110100111 ? -b1111011111111110001100110100111 D -b1111110111111111111101111100110 % -b1111110111111111111101111100110 . -b1111110111111111111101111100110 6 -b1111110111111111111101111100110 @ -b1111110111111111111101111100110 F -b11111010111111110001010110001101 ) -#49280000 -0& -#49296000 -b1100000010 , -#49312000 -1& -#49328000 -b11111100011111100101011110001110 " -b11111100011111100101011110001110 4 -b11111100011111101010100000000000 1 -b11111100011111101010100000000000 C -b11101010100100000010000000000000 0 -b11101010100100000010000000000000 H -18 -09 -b11111100011111100101011110001110 2 -b11111100011111100101011110001110 = -b11111111111111111010111010001100 < -b11111100011111100101011110001110 : -b11111100011111101010100100000010 $ -b11111100011111101010100100000010 - -b11111100011111101010100100000010 5 -b11111100011111101010100100000010 ? -b11111100011111101010100100000010 D -b11111111111111111010111010001100 % -b11111111111111111010111010001100 . -b11111111111111111010111010001100 6 -b11111111111111111010111010001100 @ -b11111111111111111010111010001100 F -b11111100011111100101011110001110 ) -#49344000 -0& -#49360000 -b1100000011 , -#49376000 -1& -#49392000 -b11011111110111101011001110010001 " -b11011111110111101011001110010001 4 -b11011111111011110000010000010010 1 -b11011111111011110000010000010010 C -b1011110110000000000000000000000 0 -b1011110110000000000000000000000 H -b11011111110111101011001110010001 2 -b11011111110111101011001110010001 = -b11111111111011111000111000010110 < -b11011111110111101011001110010001 : -b11011111111011110010010101111011 $ -b11011111111011110010010101111011 - -b11011111111011110010010101111011 5 -b11011111111011110010010101111011 ? -b11011111111011110010010101111011 D -b11111111111011111000111000010110 % -b11111111111011111000111000010110 . -b11111111111011111000111000010110 6 -b11111111111011111000111000010110 @ -b11111111111011111000111000010110 F -b11011111110111101011001110010001 ) -#49408000 -0& -#49424000 -b1100000100 , -#49440000 -1& -#49456000 -b10101001011100110000011000101110 " -b10101001011100110000011000101110 4 -b101001011110010000100100000000 1 -b101001011110010000100100000000 C -b10010100110100100010000000000000 0 -b10010100110100100010000000000000 H -08 -19 -b10101001011100110000011000101110 2 -b10101001011100110000011000101110 = -b101101011110011011100100001100 < -b10101001011100110000011000101110 : -b1111011111110010100110100100010 $ -b1111011111110010100110100100010 - -b1111011111110010100110100100010 5 -b1111011111110010100110100100010 ? -b1111011111110010100110100100010 D -b101101011110011011100100001100 % -b101101011110011011100100001100 . -b101101011110011011100100001100 6 -b101101011110011011100100001100 @ -b101101011110011011100100001100 F -b10101001011100110000011000101110 ) -#49472000 -0& -#49488000 -b1100000101 , -#49504000 -1& -#49520000 -b11111001011110110110010101110110 " -b11111001011110110110010101110110 4 -b11111001100110110110101000111000 1 -b11111001100110110110101000111000 C -b111110000000000000000000000000 0 -b111110000000000000000000000000 H -18 -09 -b11111001011110110110010101110110 2 -b11111001011110110110010101110110 = -b11111111100110111111101000111000 < -b11111001011110110110010101110110 : -b11111001110111110110101100111110 $ -b11111001110111110110101100111110 - -b11111001110111110110101100111110 5 -b11111001110111110110101100111110 ? -b11111001110111110110101100111110 D -b11111111100110111111101000111000 % -b11111111100110111111101000111000 . -b11111111100110111111101000111000 6 -b11111111100110111111101000111000 @ -b11111111100110111111101000111000 F -b11111001011110110110010101110110 ) -#49536000 -0& -#49552000 -b1100000110 , -#49568000 -1& -#49584000 -b100010011100011010001011010011 " -b100010011100011010001011010011 4 -b100010011101000000100000001000 1 -b100010011101000000100000001000 C -b11111100100010100100101100000000 0 -b11111100100010100100101100000000 H -08 -b100010011100011010001011010011 2 -b100010011100011010001011010011 = -b1101110011101010001100010001000 < -b100010011100011010001011010011 : -b10110011111111001000101001001011 $ -b10110011111111001000101001001011 - -b10110011111111001000101001001011 5 -b10110011111111001000101001001011 ? -b10110011111111001000101001001011 D -b1101110011101010001100010001000 % -b1101110011101010001100010001000 . -b1101110011101010001100010001000 6 -b1101110011101010001100010001000 @ -b1101110011101010001100010001000 F -b100010011100011010001011010011 ) -#49600000 -0& -#49616000 -b1100000111 , -#49632000 -1& -#49648000 -b111011001001000100100101010100 " -b111011001001000100100101010100 4 -b111101001001001001000101011000 1 -b111101001001001001000101011000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b111011001001000100100101010100 2 -b111011001001000100100101010100 = -b1111101101011111011011111111100 < -b111011001001000100100101010100 : -b10111101011101001001000101011000 $ -b10111101011101001001000101011000 - -b10111101011101001001000101011000 5 -b10111101011101001001000101011000 ? -b10111101011101001001000101011000 D -b1111101101011111011011111111100 % -b1111101101011111011011111111100 . -b1111101101011111011011111111100 6 -b1111101101011111011011111111100 @ -b1111101101011111011011111111100 F -b111011001001000100100101010100 ) -#49664000 -0& -#49680000 -b1100001000 , -#49696000 -1& -#49712000 -b11111111001111101010000111101111 " -b11111111001111101010000111101111 4 -b111111001111110010010000010000 1 -b111111001111110010010000010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b11111111001111101010000111101111 2 -b11111111001111101010000111101111 = -b10111111111111110011010011011101 < -b11111111001111101010000111101111 : -b111111001111110110110100010010 $ -b111111001111110110110100010010 - -b111111001111110110110100010010 5 -b111111001111110110110100010010 ? -b111111001111110110110100010010 D -b10111111111111110011010011011101 % -b10111111111111110011010011011101 . -b10111111111111110011010011011101 6 -b10111111111111110011010011011101 @ -b10111111111111110011010011011101 F -b11111111001111101010000111101111 ) -#49728000 -0& -#49744000 -b1100001001 , -#49760000 -1& -#49776000 -b1110111010111110110001000010101 " -b1110111010111110110001000010101 4 -b1110111011000011000010000010110 1 -b1110111011000011000010000010110 C -b101111110000000000000000000000 0 -b101111110000000000000000000000 H -08 -b1110111010111110110001000010101 2 -b1110111010111110110001000010101 = -b1110111011111011001010101010110 < -b1110111010111110110001000010101 : -b11111111111000011100110010111111 $ -b11111111111000011100110010111111 - -b11111111111000011100110010111111 5 -b11111111111000011100110010111111 ? -b11111111111000011100110010111111 D -b1110111011111011001010101010110 % -b1110111011111011001010101010110 . -b1110111011111011001010101010110 6 -b1110111011111011001010101010110 @ -b1110111011111011001010101010110 F -b1110111010111110110001000010101 ) -#49792000 -0& -#49808000 -b1100001010 , -#49824000 -1& -#49840000 -b11011001111110101011011101101100 " -b11011001111110101011011101101100 4 -b1011001111111010011100110000000 1 -b1011001111111010011100110000000 C -b11010011100110100000000000000000 0 -b11010011100110100000000000000000 H -19 -08 -b11011001111110101011011101101100 2 -b11011001111110101011011101101100 = -b1011011111111010111110111001100 < -b11011001111110101011011101101100 : -b1111101111111010011100110100000 $ -b1111101111111010011100110100000 - -b1111101111111010011100110100000 5 -b1111101111111010011100110100000 ? -b1111101111111010011100110100000 D -b1011011111111010111110111001100 % -b1011011111111010111110111001100 . -b1011011111111010111110111001100 6 -b1011011111111010111110111001100 @ -b1011011111111010111110111001100 F -b11011001111110101011011101101100 ) -#49856000 -0& -#49872000 -b1100001011 , -#49888000 -1& -#49904000 -b1001101101101110110001101111100 " -b1001101101101110110001101111100 4 -b1001101101101111011000000000000 1 -b1001101101101111011000000000000 C -b11111111101100100001010000000000 0 -b11111111101100100001010000000000 H -09 -08 -b1001101101101110110001101111100 2 -b1001101101101110110001101111100 = -b1101101101101111011000101101000 < -b1001101101101110110001101111100 : -b11011111111111111011001000010100 $ -b11011111111111111011001000010100 - -b11011111111111111011001000010100 5 -b11011111111111111011001000010100 ? -b11011111111111111011001000010100 D -b1101101101101111011000101101000 % -b1101101101101111011000101101000 . -b1101101101101111011000101101000 6 -b1101101101101111011000101101000 @ -b1101101101101111011000101101000 F -b1001101101101110110001101111100 ) -#49920000 -0& -#49936000 -b1100001100 , -#49952000 -1& -#49968000 -b10010101001011110000000111100010 " -b10010101001011110000000111100010 4 -b11001010011110000001000000100 1 -b11001010011110000001000000100 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -19 -08 -b10010101001011110000000111100010 2 -b10010101001011110000000111100010 = -b11001010111111101101011011100 < -b10010101001011110000000111100010 : -b1111011110011110010011100000110 $ -b1111011110011110010011100000110 - -b1111011110011110010011100000110 5 -b1111011110011110010011100000110 ? -b1111011110011110010011100000110 D -b11001010111111101101011011100 % -b11001010111111101101011011100 . -b11001010111111101101011011100 6 -b11001010111111101101011011100 @ -b11001010111111101101011011100 F -b10010101001011110000000111100010 ) -#49984000 -0& -#50000000 -b1100001101 , -#50016000 -1& -#50032000 -b1100011000100100010101100101110 " -b1100011000100100010101100101110 4 -b1100011001000100011000000110001 1 -b1100011001000100011000000110001 C -b10111001000000000000000000000 0 -b10111001000000000000000000000 H -09 -08 -b1100011000100100010101100101110 2 -b1100011000100100010101100101110 = -b1110111101000100011101001110101 < -b1100011000100100010101100101110 : -b11101011011011111111000010111001 $ -b11101011011011111111000010111001 - -b11101011011011111111000010111001 5 -b11101011011011111111000010111001 ? -b11101011011011111111000010111001 D -b1110111101000100011101001110101 % -b1110111101000100011101001110101 . -b1110111101000100011101001110101 6 -b1110111101000100011101001110101 @ -b1110111101000100011101001110101 F -b1100011000100100010101100101110 ) -#50048000 -0& -#50064000 -b1100001110 , -#50080000 -1& -#50096000 -b1110011001000111001101000011100 " -b1110011001000111001101000011100 4 -b1110011001001000010000000100000 1 -b1110011001001000010000000100000 C -b11110011100111110000000000000000 0 -b11110011100111110000000000000000 H -b1110011001000111001101000011100 2 -b1110011001000111001101000011100 = -b11110111011001000110000000101100 < -b1110011001000111001101000011100 : -b1111011101111110011100111110000 $ -b1111011101111110011100111110000 - -b1111011101111110011100111110000 5 -b1111011101111110011100111110000 ? -b1111011101111110011100111110000 D -b11110111011001000110000000101100 % -b11110111011001000110000000101100 . -b11110111011001000110000000101100 6 -b11110111011001000110000000101100 @ -b11110111011001000110000000101100 F -b1110011001000111001101000011100 ) -#50112000 -0& -#50128000 -b1100001111 , -#50144000 -1& -#50160000 -b11010111001011010010100111111011 " -b11010111001011010010100111111011 4 -b1010111001011011000010000000000 1 -b1010111001011011000010000000000 C -b1001010000101000000000000000000 0 -b1001010000101000000000000000000 H -19 -08 -b11010111001011010010100111111011 2 -b11010111001011010010100111111011 = -b1111111101111011000010011110001 < -b11010111001011010010100111111011 : -b1010111011011111010010100001010 $ -b1010111011011111010010100001010 - -b1010111011011111010010100001010 5 -b1010111011011111010010100001010 ? -b1010111011011111010010100001010 D -b1111111101111011000010011110001 % -b1111111101111011000010011110001 . -b1111111101111011000010011110001 6 -b1111111101111011000010011110001 @ -b1111111101111011000010011110001 F -b11010111001011010010100111111011 ) -#50176000 -0& -#50192000 -b1100010000 , -#50208000 -1& -#50224000 -b10001001111101100010111001100000 " -b10001001111101100010111001100000 4 -b1001111101100010111010001000 1 -b1001111101100010111010001000 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -b10001001111101100010111001100000 2 -b10001001111101100010111001100000 = -b1001001111111101111111010011000 < -b10001001111101100010111001100000 : -b111111111101110010111111001000 $ -b111111111101110010111111001000 - -b111111111101110010111111001000 5 -b111111111101110010111111001000 ? -b111111111101110010111111001000 D -b1001001111111101111111010011000 % -b1001001111111101111111010011000 . -b1001001111111101111111010011000 6 -b1001001111111101111111010011000 @ -b1001001111111101111111010011000 F -b10001001111101100010111001100000 ) -#50240000 -0& -#50256000 -b1100010001 , -#50272000 -1& -#50288000 -b11110101111001110111110001001111 " -b11110101111001110111110001001111 4 -b11110110001001111000001000100000 1 -b11110110001001111000001000100000 C -b10110111101000100010011100000000 0 -b10110111101000100010011100000000 H -18 -09 -b11110101111001110111110001001111 2 -b11110101111001110111110001001111 = -b11110111001011111101101000101000 < -b11110101111001110111110001001111 : -b11111110101101111010001000100111 $ -b11111110101101111010001000100111 - -b11111110101101111010001000100111 5 -b11111110101101111010001000100111 ? -b11111110101101111010001000100111 D -b11110111001011111101101000101000 % -b11110111001011111101101000101000 . -b11110111001011111101101000101000 6 -b11110111001011111101101000101000 @ -b11110111001011111101101000101000 F -b11110101111001110111110001001111 ) -#50304000 -0& -#50320000 -b1100010010 , -#50336000 -1& -#50352000 -b101000111011100101001110001110 " -b101000111011100101001110001110 4 -b101001011011110010010010010000 1 -b101001011011110010010010010000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -b101000111011100101001110001110 2 -b101000111011100101001110001110 = -b111111011111110010110010011100 < -b101000111011100101001110001110 : -b11101001011011110010011011110010 $ -b11101001011011110010011011110010 - -b11101001011011110010011011110010 5 -b11101001011011110010011011110010 ? -b11101001011011110010011011110010 D -b111111011111110010110010011100 % -b111111011111110010110010011100 . -b111111011111110010110010011100 6 -b111111011111110010110010011100 @ -b111111011111110010110010011100 F -b101000111011100101001110001110 ) -#50368000 -0& -#50384000 -b1100010011 , -#50400000 -1& -#50416000 -b1101101001010100011100110111110 " -b1101101001010100011100110111110 4 -b1110101010010100100000001000011 1 -b1110101010010100100000001000011 C -b1111110100000111001100000000000 0 -b1111110100000111001100000000000 H -b1101101001010100011100110111110 2 -b1101101001010100011100110111110 = -b1110111110110100110100101001011 < -b1101101001010100011100110111110 : -b11110101010011111101000001110011 $ -b11110101010011111101000001110011 - -b11110101010011111101000001110011 5 -b11110101010011111101000001110011 ? -b11110101010011111101000001110011 D -b1110111110110100110100101001011 % -b1110111110110100110100101001011 . -b1110111110110100110100101001011 6 -b1110111110110100110100101001011 @ -b1110111110110100110100101001011 F -b1101101001010100011100110111110 ) -#50432000 -0& -#50448000 -b1100010100 , -#50464000 -1& -#50480000 -b11110110101001100101101000110100 " -b11110110101001100101101000110100 4 -b11110110101001101010001001001001 1 -b11110110101001101010001001001001 C -b110101100110100100100000000000 0 -b110101100110100100100000000000 H -18 -b11110110101001100101101000110100 2 -b11110110101001100101101000110100 = -b11111111101111111010011011101011 < -b11110110101001100101101000110100 : -b11110110111001101011001101001001 $ -b11110110111001101011001101001001 - -b11110110111001101011001101001001 5 -b11110110111001101011001101001001 ? -b11110110111001101011001101001001 D -b11111111101111111010011011101011 % -b11111111101111111010011011101011 . -b11111111101111111010011011101011 6 -b11111111101111111010011011101011 @ -b11111111101111111010011011101011 F -b11110110101001100101101000110100 ) -#50496000 -0& -#50512000 -b1100010101 , -#50528000 -1& -#50544000 -b1001011111111010000010101100001 " -b1001011111111010000010101100001 4 -b1001100011111010001001000000010 1 -b1001100011111010001001000000010 C -b11111010100110101011000000000000 0 -b11111010100110101011000000000000 H -08 -b1001011111111010000010101100001 2 -b1001011111111010000010101100001 = -b1001110011111011011001000001011 < -b1001011111111010000010101100001 : -b11111101011111110101001101010110 $ -b11111101011111110101001101010110 - -b11111101011111110101001101010110 5 -b11111101011111110101001101010110 ? -b11111101011111110101001101010110 D -b1001110011111011011001000001011 % -b1001110011111011011001000001011 . -b1001110011111011011001000001011 6 -b1001110011111011011001000001011 @ -b1001110011111011011001000001011 F -b1001011111111010000010101100001 ) -#50560000 -0& -#50576000 -b1100010110 , -#50592000 -1& -#50608000 -b11110101001111100100000010011011 " -b11110101001111100100000010011011 4 -b11110101010011100100000010011100 1 -b11110101010011100100000010011100 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -18 -b11110101001111100100000010011011 2 -b11110101001111100100000010011011 = -b11111111111011101101011111111100 < -b11110101001111100100000010011011 : -b11110101010011110110100010011111 $ -b11110101010011110110100010011111 - -b11110101010011110110100010011111 5 -b11110101010011110110100010011111 ? -b11110101010011110110100010011111 D -b11111111111011101101011111111100 % -b11111111111011101101011111111100 . -b11111111111011101101011111111100 6 -b11111111111011101101011111111100 @ -b11111111111011101101011111111100 F -b11110101001111100100000010011011 ) -#50624000 -0& -#50640000 -b1100010111 , -#50656000 -1& -#50672000 -b10010111111011100000100000011110 " -b10010111111011100000100000011110 4 -b100111111011100001000000100000 1 -b100111111011100001000000100000 C -b1111110111011010010111110100000 0 -b1111110111011010010111110100000 H -08 -19 -b10010111111011100000100000011110 2 -b10010111111011100000100000011110 = -b1101111111111110011010100100100 < -b10010111111011100000100000011110 : -b100111111011101101001011111010 $ -b100111111011101101001011111010 - -b100111111011101101001011111010 5 -b100111111011101101001011111010 ? -b100111111011101101001011111010 D -b1101111111111110011010100100100 % -b1101111111111110011010100100100 . -b1101111111111110011010100100100 6 -b1101111111111110011010100100100 @ -b1101111111111110011010100100100 F -b10010111111011100000100000011110 ) -#50688000 -0& -#50704000 -b1100011000 , -#50720000 -1& -#50736000 -b10011101101110011011110000101111 " -b10011101101110011011110000101111 4 -b101101101110100000010000110000 1 -b101101101110100000010000110000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -b10011101101110011011110000101111 2 -b10011101101110011011110000101111 = -b101101101110100011011011111100 < -b10011101101110011011110000101111 : -b1101111111111111000010100110011 $ -b1101111111111111000010100110011 - -b1101111111111111000010100110011 5 -b1101111111111111000010100110011 ? -b1101111111111111000010100110011 D -b101101101110100011011011111100 % -b101101101110100011011011111100 . -b101101101110100011011011111100 6 -b101101101110100011011011111100 @ -b101101101110100011011011111100 F -b10011101101110011011110000101111 ) -#50752000 -0& -#50768000 -b1100011001 , -#50784000 -1& -#50800000 -b11101110010111001000001001001111 " -b11101110010111001000001001001111 4 -b11101110011011001000001010000000 1 -b11101110011011001000001010000000 C -b1101100101111100010000000000000 0 -b1101100101111100010000000000000 H -18 -09 -b11101110010111001000001001001111 2 -b11101110010111001000001001001111 = -b11111110111011101110101010001011 < -b11101110010111001000001001001111 : -b11101111011011011001011111000100 $ -b11101111011011011001011111000100 - -b11101111011011011001011111000100 5 -b11101111011011011001011111000100 ? -b11101111011011011001011111000100 D -b11111110111011101110101010001011 % -b11111110111011101110101010001011 . -b11111110111011101110101010001011 6 -b11111110111011101110101010001011 @ -b11111110111011101110101010001011 F -b11101110010111001000001001001111 ) -#50816000 -0& -#50832000 -b1100011010 , -#50848000 -1& -#50864000 -b1011110100000000010010111010001 " -b1011110100000000010010111010001 4 -b1011110100000000100100000001000 1 -b1011110100000000100100000001000 C -b11011101100100001000000000000 0 -b11011101100100001000000000000 H -08 -b1011110100000000010010111010001 2 -b1011110100000000010010111010001 = -b11011110111100100100110011001001 < -b1011110100000000010010111010001 : -b1111111100011011101100100001000 $ -b1111111100011011101100100001000 - -b1111111100011011101100100001000 5 -b1111111100011011101100100001000 ? -b1111111100011011101100100001000 D -b11011110111100100100110011001001 % -b11011110111100100100110011001001 . -b11011110111100100100110011001001 6 -b11011110111100100100110011001001 @ -b11011110111100100100110011001001 F -b1011110100000000010010111010001 ) -#50880000 -0& -#50896000 -b1100011011 , -#50912000 -1& -#50928000 -b10110111111011110001011111101101 " -b10110111111011110001011111101101 4 -b110111111011110010100000000010 1 -b110111111011110010100000000010 C -b10111111111110010111111001010000 0 -b10111111111110010111111001010000 H -19 -08 -b10110111111011110001011111101101 2 -b10110111111011110001011111101101 = -b1111111111011111110100000100011 < -b10110111111011110001011111101101 : -b110111111111110010111111001010 $ -b110111111111110010111111001010 - -b110111111111110010111111001010 5 -b110111111111110010111111001010 ? -b110111111111110010111111001010 D -b1111111111011111110100000100011 % -b1111111111011111110100000100011 . -b1111111111011111110100000100011 6 -b1111111111011111110100000100011 @ -b1111111111011111110100000100011 F -b10110111111011110001011111101101 ) -#50944000 -0& -#50960000 -b1100011100 , -#50976000 -1& -#50992000 -b1111001111111000111011000000110 " -b1111001111111000111011000000110 4 -b1111010000111010000100100000001 1 -b1111010000111010000100100000001 C -b11111100001111101101101000001010 0 -b11111100001111101101101000001010 H -09 -08 -b1111001111111000111011000000110 2 -b1111001111111000111011000000110 = -b11111011110111010000100100000001 < -b1111001111111000111011000000110 : -b1111110000111110110110100000101 $ -b1111110000111110110110100000101 - -b1111110000111110110110100000101 5 -b1111110000111110110110100000101 ? -b1111110000111110110110100000101 D -b11111011110111010000100100000001 % -b11111011110111010000100100000001 . -b11111011110111010000100100000001 6 -b11111011110111010000100100000001 @ -b11111011110111010000100100000001 F -b1111001111111000111011000000110 ) -#51008000 -0& -#51024000 -b1100011101 , -#51040000 -1& -#51056000 -b10111011111101100100111010011100 " -b10111011111101100100111010011100 4 -b1011011111101110000000001000110 1 -b1011011111101110000000001000110 C -b10010001100000000000000000000000 0 -b10010001100000000000000000000000 H -19 -08 -b10111011111101100100111010011100 2 -b10111011111101100100111010011100 = -b1011011111101110100000001010110 < -b10111011111101100100111010011100 : -b1011111111111110000111001000110 $ -b1011111111111110000111001000110 - -b1011111111111110000111001000110 5 -b1011111111111110000111001000110 ? -b1011111111111110000111001000110 D -b1011011111101110100000001010110 % -b1011011111101110100000001010110 . -b1011011111101110100000001010110 6 -b1011011111101110100000001010110 @ -b1011011111101110100000001010110 F -b10111011111101100100111010011100 ) -#51072000 -0& -#51088000 -b1100011110 , -#51104000 -1& -#51120000 -b111011010000010000100100010011 " -b111011010000010000100100010011 4 -b111011010000010000101000010100 1 -b111011010000010000101000010100 C -b1001111110000000000000000000000 0 -b1001111110000000000000000000000 H -09 -08 -b111011010000010000100100010011 2 -b111011010000010000100100010011 = -b111011011111011111111010010101 < -b111011010000010000100100010011 : -b11111111110000110000101001111110 $ -b11111111110000110000101001111110 - -b11111111110000110000101001111110 5 -b11111111110000110000101001111110 ? -b11111111110000110000101001111110 D -b111011011111011111111010010101 % -b111011011111011111111010010101 . -b111011011111011111111010010101 6 -b111011011111011111111010010101 @ -b111011011111011111111010010101 F -b111011010000010000100100010011 ) -#51136000 -0& -#51152000 -b1100011111 , -#51168000 -1& -#51184000 -b101100110111100010000000111010 " -b101100110111100010000000111010 4 -b101100110111100010000100001011 1 -b101100110111100010000100001011 C -b11110011110110010111100000000000 0 -b11110011110110010111100000000000 H -b101100110111100010000000111010 2 -b101100110111100010000000111010 = -b10101100110111111010010100001011 < -b101100110111100010000000111010 : -b1111111111111100111101100101111 $ -b1111111111111100111101100101111 - -b1111111111111100111101100101111 5 -b1111111111111100111101100101111 ? -b1111111111111100111101100101111 D -b10101100110111111010010100001011 % -b10101100110111111010010100001011 . -b10101100110111111010010100001011 6 -b10101100110111111010010100001011 @ -b10101100110111111010010100001011 F -b101100110111100010000000111010 ) -#51200000 -0& -#51216000 -b1100100000 , -#51232000 -1& -#51248000 -b1000111111101101000101111101000 " -b1000111111101101000101111101000 4 -b1000111111101101100000000001001 1 -b1000111111101101100000000001001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -b1000111111101101000101111101000 2 -b1000111111101101000101111101000 = -b1011111111111101100100001011101 < -b1000111111101101000101111101000 : -b11100111111101111100001110001011 $ -b11100111111101111100001110001011 - -b11100111111101111100001110001011 5 -b11100111111101111100001110001011 ? -b11100111111101111100001110001011 D -b1011111111111101100100001011101 % -b1011111111111101100100001011101 . -b1011111111111101100100001011101 6 -b1011111111111101100100001011101 @ -b1011111111111101100100001011101 F -b1000111111101101000101111101000 ) -#51264000 -0& -#51280000 -b1100100001 , -#51296000 -1& -#51312000 -b10110100011011010100001110110000 " -b10110100011011010100001110110000 4 -b110100011101010110000000000100 1 -b110100011101010110000000000100 C -b11101111010111100001101011000000 0 -b11101111010111100001101011000000 H -19 -08 -b10110100011011010100001110110000 2 -b10110100011011010100001110110000 = -b110101011101110110001000000100 < -b10110100011011010100001110110000 : -b1111110111101011110000110101100 $ -b1111110111101011110000110101100 - -b1111110111101011110000110101100 5 -b1111110111101011110000110101100 ? -b1111110111101011110000110101100 D -b110101011101110110001000000100 % -b110101011101110110001000000100 . -b110101011101110110001000000100 6 -b110101011101110110001000000100 @ -b110101011101110110001000000100 F -b10110100011011010100001110110000 ) -#51328000 -0& -#51344000 -b1100100010 , -#51360000 -1& -#51376000 -b10101011011010110001111111101001 " -b10101011011010110001111111101001 4 -b101011011010110100000011101010 1 -b101011011010110100000011101010 C -b11010111001111101100000000000000 0 -b11010111001111101100000000000000 H -b10101011011010110001111111101001 2 -b10101011011010110001111111101001 = -b1111011011010111100001011101110 < -b10101011011010110001111111101001 : -b101111111111110101110011111011 $ -b101111111111110101110011111011 - -b101111111111110101110011111011 5 -b101111111111110101110011111011 ? -b101111111111110101110011111011 D -b1111011011010111100001011101110 % -b1111011011010111100001011101110 . -b1111011011010111100001011101110 6 -b1111011011010111100001011101110 @ -b1111011011010111100001011101110 F -b10101011011010110001111111101001 ) -#51392000 -0& -#51408000 -b1100100011 , -#51424000 -1& -#51440000 -b11101010011111000000011110001100 " -b11101010011111000000011110001100 4 -b11101100011111000000100000010001 1 -b11101100011111000000100000010001 C -b1011000101101100000000000000000 0 -b1011000101101100000000000000000 H -18 -09 -b11101010011111000000011110001100 2 -b11101010011111000000011110001100 = -b11111100011111010101101100110001 < -b11101010011111000000011110001100 : -b11101101111111101010110001011011 $ -b11101101111111101010110001011011 - -b11101101111111101010110001011011 5 -b11101101111111101010110001011011 ? -b11101101111111101010110001011011 D -b11111100011111010101101100110001 % -b11111100011111010101101100110001 . -b11111100011111010101101100110001 6 -b11111100011111010101101100110001 @ -b11111100011111010101101100110001 F -b11101010011111000000011110001100 ) -#51456000 -0& -#51472000 -b1100100100 , -#51488000 -1& -#51504000 -b111010111011000011010011101011 " -b111010111011000011010011101011 4 -b111011011011010000000100000000 1 -b111011011011010000000100000000 C -b11011010000000111000010000000000 0 -b11011010000000111000010000000000 H -08 -b111010111011000011010011101011 2 -b111010111011000011010011101011 = -b10111011011111110011001100101001 < -b111010111011000011010011101011 : -b1111111011011010000000111000010 $ -b1111111011011010000000111000010 - -b1111111011011010000000111000010 5 -b1111111011011010000000111000010 ? -b1111111011011010000000111000010 D -b10111011011111110011001100101001 % -b10111011011111110011001100101001 . -b10111011011111110011001100101001 6 -b10111011011111110011001100101001 @ -b10111011011111110011001100101001 F -b111010111011000011010011101011 ) -#51520000 -0& -#51536000 -b1100100101 , -#51552000 -1& -#51568000 -b1011010111111100100011000111011 " -b1011010111111100100011000111011 4 -b1101011011111110000100000001100 1 -b1101011011111110000100000001100 C -b10000111000101100000000000000000 0 -b10000111000101100000000000000000 H -b1011010111111100100011000111011 2 -b1011010111111100100011000111011 = -b1101111011111110011100000001111 < -b1011010111111100100011000111011 : -b11101011011111110000111000101100 $ -b11101011011111110000111000101100 - -b11101011011111110000111000101100 5 -b11101011011111110000111000101100 ? -b11101011011111110000111000101100 D -b1101111011111110011100000001111 % -b1101111011111110011100000001111 . -b1101111011111110011100000001111 6 -b1101111011111110011100000001111 @ -b1101111011111110011100000001111 F -b1011010111111100100011000111011 ) -#51584000 -0& -#51600000 -b1100100110 , -#51616000 -1& -#51632000 -b1011101000000010100110001101 " -b1011101000000010100110001101 4 -b1011110000000010100110001110 1 -b1011110000000010100110001110 C -b10011010111101111100000000000000 0 -b10011010111101111100000000000000 H -b1011101000000010100110001101 2 -b1011101000000010100110001101 = -b111111110000011011110110101110 < -b1011101000000010100110001101 : -b11001011110111100110101111011111 $ -b11001011110111100110101111011111 - -b11001011110111100110101111011111 5 -b11001011110111100110101111011111 ? -b11001011110111100110101111011111 D -b111111110000011011110110101110 % -b111111110000011011110110101110 . -b111111110000011011110110101110 6 -b111111110000011011110110101110 @ -b111111110000011011110110101110 F -b1011101000000010100110001101 ) -#51648000 -0& -#51664000 -b1100100111 , -#51680000 -1& -#51696000 -b1001101001110111000000100100000 " -b1001101001110111000000100100000 4 -b1001101001111001000100000000001 1 -b1001101001111001000100000000001 C -b101000000000000000000000000000 0 -b101000000000000000000000000000 H -b1001101001110111000000100100000 2 -b1001101001110111000000100100000 = -b1001111011111001010100000011011 < -b1001101001110111000000100100000 : -b11111101101111101101100100000101 $ -b11111101101111101101100100000101 - -b11111101101111101101100100000101 5 -b11111101101111101101100100000101 ? -b11111101101111101101100100000101 D -b1001111011111001010100000011011 % -b1001111011111001010100000011011 . -b1001111011111001010100000011011 6 -b1001111011111001010100000011011 @ -b1001111011111001010100000011011 F -b1001101001110111000000100100000 ) -#51712000 -0& -#51728000 -b1100101000 , -#51744000 -1& -#51760000 -b1111001000001010111001011100101 " -b1111001000001010111001011100101 4 -b1111010000001011000001100010000 1 -b1111010000001011000001100010000 C -b11110010000000000000000000000000 0 -b11110010000000000000000000000000 H -b1111001000001010111001011100101 2 -b1111001000001010111001011100101 = -b1111110100101111110101101010101 < -b1111001000001010111001011100101 : -b11111010011011011000011110010000 $ -b11111010011011011000011110010000 - -b11111010011011011000011110010000 5 -b11111010011011011000011110010000 ? -b11111010011011011000011110010000 D -b1111110100101111110101101010101 % -b1111110100101111110101101010101 . -b1111110100101111110101101010101 6 -b1111110100101111110101101010101 @ -b1111110100101111110101101010101 F -b1111001000001010111001011100101 ) -#51776000 -0& -#51792000 -b1100101001 , -#51808000 -1& -#51824000 -b1010111001101000000111011011011 " -b1010111001101000000111011011011 4 -b1010111001101001000001011100000 1 -b1010111001101001000001011100000 C -b11100011000000000000000000000000 0 -b11100011000000000000000000000000 H -b1010111001101000000111011011011 2 -b1010111001101000000111011011011 = -b11111111111101101000101011111000 < -b1010111001101000000111011011011 : -b1010111001111011000001111100011 $ -b1010111001111011000001111100011 - -b1010111001111011000001111100011 5 -b1010111001111011000001111100011 ? -b1010111001111011000001111100011 D -b11111111111101101000101011111000 % -b11111111111101101000101011111000 . -b11111111111101101000101011111000 6 -b11111111111101101000101011111000 @ -b11111111111101101000101011111000 F -b1010111001101000000111011011011 ) -#51840000 -0& -#51856000 -b1100101010 , -#51872000 -1& -#51888000 -b11111101011111011011100110000010 " -b11111101011111011011100110000010 4 -b11111101101111100000000010000101 1 -b11111101101111100000000010000101 C -b11100111000100101010000000000000 0 -b11100111000100101010000000000000 H -18 -b11111101011111011011100110000010 2 -b11111101011111011011100110000010 = -b11111111101111101000000011101101 < -b11111101011111011011100110000010 : -b11111101101111110011100010010101 $ -b11111101101111110011100010010101 - -b11111101101111110011100010010101 5 -b11111101101111110011100010010101 ? -b11111101101111110011100010010101 D -b11111111101111101000000011101101 % -b11111111101111101000000011101101 . -b11111111101111101000000011101101 6 -b11111111101111101000000011101101 @ -b11111111101111101000000011101101 F -b11111101011111011011100110000010 ) -#51904000 -0& -#51920000 -b1100101011 , -#51936000 -1& -#51952000 -b10110011010011110100011001010100 " -b10110011010011110100011001010100 4 -b110101010011111000011100000001 1 -b110101010011111000011100000001 C -b11101011110111110101111000100110 0 -b11101011110111110101111000100110 H -08 -19 -b10110011010011110100011001010100 2 -b10110011010011110100011001010100 = -b111101010111111001011101000001 < -b10110011010011110100011001010100 : -b1110101111011111010111100010011 $ -b1110101111011111010111100010011 - -b1110101111011111010111100010011 5 -b1110101111011111010111100010011 ? -b1110101111011111010111100010011 D -b111101010111111001011101000001 % -b111101010111111001011101000001 . -b111101010111111001011101000001 6 -b111101010111111001011101000001 @ -b111101010111111001011101000001 F -b10110011010011110100011001010100 ) -#51968000 -0& -#51984000 -b1100101100 , -#52000000 -1& -#52016000 -b1101111111110000110100100001100 " -b1101111111110000110100100001100 4 -b1101111111110100000100100010000 1 -b1101111111110100000100100010000 C -b0 0 -b0 H -09 -08 -b1101111111110000110100100001100 2 -b1101111111110000110100100001100 = -b11101111111111100000111110011100 < -b1101111111110000110100100001100 : -b1111111111110100101100101110000 $ -b1111111111110100101100101110000 - -b1111111111110100101100101110000 5 -b1111111111110100101100101110000 ? -b1111111111110100101100101110000 D -b11101111111111100000111110011100 % -b11101111111111100000111110011100 . -b11101111111111100000111110011100 6 -b11101111111111100000111110011100 @ -b11101111111111100000111110011100 F -b1101111111110000110100100001100 ) -#52032000 -0& -#52048000 -b1100101101 , -#52064000 -1& -#52080000 -b111010010011100010010001011100 " -b111010010011100010010001011100 4 -b1000101000101100100000010100010 1 -b1000101000101100100000010100010 C -b10001000000000000000000000000000 0 -b10001000000000000000000000000000 H -b111010010011100010010001011100 2 -b111010010011100010010001011100 = -b11110101001101100110000010111010 < -b111010010011100010010001011100 : -b1000101000101111100001110100010 $ -b1000101000101111100001110100010 - -b1000101000101111100001110100010 5 -b1000101000101111100001110100010 ? -b1000101000101111100001110100010 D -b11110101001101100110000010111010 % -b11110101001101100110000010111010 . -b11110101001101100110000010111010 6 -b11110101001101100110000010111010 @ -b11110101001101100110000010111010 F -b111010010011100010010001011100 ) -#52096000 -0& -#52112000 -b1100101110 , -#52128000 -1& -#52144000 -b1010101101100000000100001111000 " -b1010101101100000000100001111000 4 -b1010101101100000000100010000001 1 -b1010101101100000000100010000001 C -b11000011000000000000000000000 0 -b11000011000000000000000000000 H -b1010101101100000000100001111000 2 -b1010101101100000000100001111000 = -b1110111101100100010111110110101 < -b1010101101100000000100001111000 : -b11011101111111011101100011000011 $ -b11011101111111011101100011000011 - -b11011101111111011101100011000011 5 -b11011101111111011101100011000011 ? -b11011101111111011101100011000011 D -b1110111101100100010111110110101 % -b1110111101100100010111110110101 . -b1110111101100100010111110110101 6 -b1110111101100100010111110110101 @ -b1110111101100100010111110110101 F -b1010101101100000000100001111000 ) -#52160000 -0& -#52176000 -b1100101111 , -#52192000 -1& -#52208000 -b10101111001011101001010101010011 " -b10101111001011101001010101010011 4 -b1001111001100110000100101010100 1 -b1001111001100110000100101010100 C -b0 0 -b0 H -19 -08 -b10101111001011101001010101010011 2 -b10101111001011101001010101010011 = -b1001111101100110000101111011111 < -b10101111001011101001010101010011 : -b1011111011110111000100101110100 $ -b1011111011110111000100101110100 - -b1011111011110111000100101110100 5 -b1011111011110111000100101110100 ? -b1011111011110111000100101110100 D -b1001111101100110000101111011111 % -b1001111101100110000101111011111 . -b1001111101100110000101111011111 6 -b1001111101100110000101111011111 @ -b1001111101100110000101111011111 F -b10101111001011101001010101010011 ) -#52224000 -0& -#52240000 -b1100110000 , -#52256000 -1& -#52272000 -b1111111101010010101111111011 " -b1111111101010010101111111011 4 -b100111111101010010110000000000 1 -b100111111101010010110000000000 C -b111111101011110110110111000000 0 -b111111101011110110110111000000 H -09 -08 -b1111111101010010101111111011 2 -b1111111101010010101111111011 = -b100111111111110011111001000011 < -b1111111101010010101111111011 : -b11100111111101011110110110111000 $ -b11100111111101011110110110111000 - -b11100111111101011110110110111000 5 -b11100111111101011110110110111000 ? -b11100111111101011110110110111000 D -b100111111111110011111001000011 % -b100111111111110011111001000011 . -b100111111111110011111001000011 6 -b100111111111110011111001000011 @ -b100111111111110011111001000011 F -b1111111101010010101111111011 ) -#52288000 -0& -#52304000 -b1100110001 , -#52320000 -1& -#52336000 -b11100001111011011111011010110110 " -b11100001111011011111011010110110 4 -b100010011100000000100000000000 1 -b100010011100000000100000000000 C -b11011101111001110011001001010000 0 -b11011101111001110011001001010000 H -18 -b11100001111011011111011010110110 2 -b11100001111011011111011010110110 = -b101010011101000010101000100010 < -b11100001111011011111011010110110 : -b10110111011110011100110010010100 $ -b10110111011110011100110010010100 - -b10110111011110011100110010010100 5 -b10110111011110011100110010010100 ? -b10110111011110011100110010010100 D -b101010011101000010101000100010 % -b101010011101000010101000100010 . -b101010011101000010101000100010 6 -b101010011101000010101000100010 @ -b101010011101000010101000100010 F -b11100001111011011111011010110110 ) -#52352000 -0& -#52368000 -b1100110010 , -#52384000 -1& -#52400000 -b1111111111110100100101110010000 " -b1111111111110100100101110010000 4 -b1111111111110101001000000000010 1 -b1111111111110101001000000000010 C -b11111111111001101110001010000000 0 -b11111111111001101110001010000000 H -08 -b1111111111110100100101110010000 2 -b1111111111110100100101110010000 = -b11111111111110101011000000000110 < -b1111111111110100100101110010000 : -b1111111111111111001101110001010 $ -b1111111111111111001101110001010 - -b1111111111111111001101110001010 5 -b1111111111111111001101110001010 ? -b1111111111111111001101110001010 D -b11111111111110101011000000000110 % -b11111111111110101011000000000110 . -b11111111111110101011000000000110 6 -b11111111111110101011000000000110 @ -b11111111111110101011000000000110 F -b1111111111110100100101110010000 ) -#52416000 -0& -#52432000 -b1100110011 , -#52448000 -1& -#52464000 -b1101100110100011100010101011001 " -b1101100110100011100010101011001 4 -b1110100110100100000100001100000 1 -b1110100110100100000100001100000 C -b11101011101101100111100011110000 0 -b11101011101101100111100011110000 H -b1101100110100011100010101011001 2 -b1101100110100011100010101011001 = -b1110110111101101000100011100001 < -b1101100110100011100010101011001 : -b11110101110110110011110001111000 $ -b11110101110110110011110001111000 - -b11110101110110110011110001111000 5 -b11110101110110110011110001111000 ? -b11110101110110110011110001111000 D -b1110110111101101000100011100001 % -b1110110111101101000100011100001 . -b1110110111101101000100011100001 6 -b1110110111101101000100011100001 @ -b1110110111101101000100011100001 F -b1101100110100011100010101011001 ) -#52480000 -0& -#52496000 -b1100110100 , -#52512000 -1& -#52528000 -b11100101010001011100010000001010 " -b11100101010001011100010000001010 4 -b11100101010001011100100001000001 1 -b11100101010001011100100001000001 C -b11001011100101001000001000000000 0 -b11001011100101001000001000000000 H -18 -b11100101010001011100010000001010 2 -b11100101010001011100010000001010 = -b11101111010111111111100111001001 < -b11100101010001011100010000001010 : -b11110101111001011100101001000001 $ -b11110101111001011100101001000001 - -b11110101111001011100101001000001 5 -b11110101111001011100101001000001 ? -b11110101111001011100101001000001 D -b11101111010111111111100111001001 % -b11101111010111111111100111001001 . -b11101111010111111111100111001001 6 -b11101111010111111111100111001001 @ -b11101111010111111111100111001001 F -b11100101010001011100010000001010 ) -#52544000 -0& -#52560000 -b1100110101 , -#52576000 -1& -#52592000 -b11001101011111101110000000010110 " -b11001101011111101110000000010110 4 -b1101011111110000000000100011 1 -b1101011111110000000000100011 C -b11111011111111101110010110011000 0 -b11111011111111101110010110011000 H -18 -09 -b11001101011111101110000000010110 2 -b11001101011111101110000000010110 = -b10001101111111110000001101100011 < -b11001101011111101110000000010110 : -b111111011111111101110010110011 $ -b111111011111111101110010110011 - -b111111011111111101110010110011 5 -b111111011111111101110010110011 ? -b111111011111111101110010110011 D -b10001101111111110000001101100011 % -b10001101111111110000001101100011 . -b10001101111111110000001101100011 6 -b10001101111111110000001101100011 @ -b10001101111111110000001101100011 F -b11001101011111101110000000010110 ) -#52608000 -0& -#52624000 -b1100110110 , -#52640000 -1& -#52656000 -b1100011101011000101011101000011 " -b1100011101011000101011101000011 4 -b1100101101101001000100001000100 1 -b1100101101101001000100001000100 C -b110011100111010100000000000000 0 -b110011100111010100000000000000 H -08 -b1100011101011000101011101000011 2 -b1100011101011000101011101000011 = -b11100101111101111000100011001110 < -b1100011101011000101011101000011 : -b1111101101101001100111001110101 $ -b1111101101101001100111001110101 - -b1111101101101001100111001110101 5 -b1111101101101001100111001110101 ? -b1111101101101001100111001110101 D -b11100101111101111000100011001110 % -b11100101111101111000100011001110 . -b11100101111101111000100011001110 6 -b11100101111101111000100011001110 @ -b11100101111101111000100011001110 F -b1100011101011000101011101000011 ) -#52672000 -0& -#52688000 -b1100110111 , -#52704000 -1& -#52720000 -b100111100100101101111110110001 " -b100111100100101101111110110001 4 -b110000100100110000000110110010 1 -b110000100100110000000110110010 C -b0 0 -b0 H -b100111100100101101111110110001 2 -b100111100100101101111110110001 = -b110110110101111001110111111111 < -b100111100100101101111110110001 : -b11110000101110110100000110110010 $ -b11110000101110110100000110110010 - -b11110000101110110100000110110010 5 -b11110000101110110100000110110010 ? -b11110000101110110100000110110010 D -b110110110101111001110111111111 % -b110110110101111001110111111111 . -b110110110101111001110111111111 6 -b110110110101111001110111111111 @ -b110110110101111001110111111111 F -b100111100100101101111110110001 ) -#52736000 -0& -#52752000 -b1100111000 , -#52768000 -1& -#52784000 -b10100001001010001110101000111110 " -b10100001001010001110101000111110 4 -b10100010001010010000001001000001 1 -b10100010001010010000001001000001 C -b11011100110100110100010111111010 0 -b11011100110100110100010111111010 H -18 -b10100001001010001110101000111110 2 -b10100001001010001110101000111110 = -b10110010101111110100011101000001 < -b10100001001010001110101000111110 : -b11101110011010011010001011111101 $ -b11101110011010011010001011111101 - -b11101110011010011010001011111101 5 -b11101110011010011010001011111101 ? -b11101110011010011010001011111101 D -b10110010101111110100011101000001 % -b10110010101111110100011101000001 . -b10110010101111110100011101000001 6 -b10110010101111110100011101000001 @ -b10110010101111110100011101000001 F -b10100001001010001110101000111110 ) -#52800000 -0& -#52816000 -b1100111001 , -#52832000 -1& -#52848000 -b1111101000101001100000111000100 " -b1111101000101001100000111000100 4 -b1111101001001001101000001001001 1 -b1111101001001001101000001001001 C -b1001000000000000000000000000000 0 -b1001000000000000000000000000000 H -08 -b1111101000101001100000111000100 2 -b1111101000101001100000111000100 = -b11111111011011111101000101011011 < -b1111101000101001100000111000100 : -b1111101101001001111000001101001 $ -b1111101101001001111000001101001 - -b1111101101001001111000001101001 5 -b1111101101001001111000001101001 ? -b1111101101001001111000001101001 D -b11111111011011111101000101011011 % -b11111111011011111101000101011011 . -b11111111011011111101000101011011 6 -b11111111011011111101000101011011 @ -b11111111011011111101000101011011 F -b1111101000101001100000111000100 ) -#52864000 -0& -#52880000 -b1100111010 , -#52896000 -1& -#52912000 -b101100001010101010111011000100 " -b101100001010101010111011000100 4 -b101100001100110001000100000101 1 -b101100001100110001000100000101 C -b11001000110010101000000000000000 0 -b11001000110010101000000000000000 H -b101100001010101010111011000100 2 -b101100001010101010111011000100 = -b101100011101110001110100101111 < -b101100001010101010111011000100 : -b11111111101100111001000110010101 $ -b11111111101100111001000110010101 - -b11111111101100111001000110010101 5 -b11111111101100111001000110010101 ? -b11111111101100111001000110010101 D -b101100011101110001110100101111 % -b101100011101110001110100101111 . -b101100011101110001110100101111 6 -b101100011101110001110100101111 @ -b101100011101110001110100101111 F -b101100001010101010111011000100 ) -#52928000 -0& -#52944000 -b1100111011 , -#52960000 -1& -#52976000 -b11011011101010101010000111011000 " -b11011011101010101010000111011000 4 -b1011011101010110100010001011001 1 -b1011011101010110100010001011001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -19 -08 -b11011011101010101010000111011000 2 -b11011011101010101010000111011000 = -b1011111111011110100010101111011 < -b11011011101010101010000111011000 : -b1111011101110110101110001011101 $ -b1111011101110110101110001011101 - -b1111011101110110101110001011101 5 -b1111011101110110101110001011101 ? -b1111011101110110101110001011101 D -b1011111111011110100010101111011 % -b1011111111011110100010101111011 . -b1011111111011110100010101111011 6 -b1011111111011110100010101111011 @ -b1011111111011110100010101111011 F -b11011011101010101010000111011000 ) -#52992000 -0& -#53008000 -b1100111100 , -#53024000 -1& -#53040000 -b11001001110101100111011010010001 " -b11001001110101100111011010010001 4 -b11001001110110010000000100010010 1 -b11001001110110010000000100010010 C -b10111101000000000000000000000000 0 -b10111101000000000000000000000000 H -18 -09 -b11001001110101100111011010010001 2 -b11001001110101100111011010010001 = -b11001001110110010001010100010111 < -b11001001110101100111011010010001 : -b11111111111111010110000101111010 $ -b11111111111111010110000101111010 - -b11111111111111010110000101111010 5 -b11111111111111010110000101111010 ? -b11111111111111010110000101111010 D -b11001001110110010001010100010111 % -b11001001110110010001010100010111 . -b11001001110110010001010100010111 6 -b11001001110110010001010100010111 @ -b11001001110110010001010100010111 F -b11001001110101100111011010010001 ) -#53056000 -0& -#53072000 -b1100111101 , -#53088000 -1& -#53104000 -b11111100110111011000010110110101 " -b11111100110111011000010110110101 4 -b11111101010111100000100000001000 1 -b11111101010111100000100000001000 C -b11100100100110001001000000000000 0 -b11100100100110001001000000000000 H -b11111100110111011000010110110101 2 -b11111100110111011000010110110101 = -b11111101010111110011110000101100 < -b11111100110111011000010110110101 : -b11111111011111100100100110001001 $ -b11111111011111100100100110001001 - -b11111111011111100100100110001001 5 -b11111111011111100100100110001001 ? -b11111111011111100100100110001001 D -b11111101010111110011110000101100 % -b11111101010111110011110000101100 . -b11111101010111110011110000101100 6 -b11111101010111110011110000101100 @ -b11111101010111110011110000101100 F -b11111100110111011000010110110101 ) -#53120000 -0& -#53136000 -b1100111110 , -#53152000 -1& -#53168000 -b11110010110111000111011101101101 " -b11110010110111000111011101101101 4 -b11110010110111010000100000000010 1 -b11110010110111010000100000000010 C -b10011111111110110110001101010000 0 -b10011111111110110110001101010000 H -b11110010110111000111011101101101 2 -b11110010110111000111011101101101 = -b11111110110111010000101100000011 < -b11110010110111000111011101101101 : -b11110011111111110110110001101010 $ -b11110011111111110110110001101010 - -b11110011111111110110110001101010 5 -b11110011111111110110110001101010 ? -b11110011111111110110110001101010 D -b11111110110111010000101100000011 % -b11111110110111010000101100000011 . -b11111110110111010000101100000011 6 -b11111110110111010000101100000011 @ -b11111110110111010000101100000011 F -b11110010110111000111011101101101 ) -#53184000 -0& -#53200000 -b1100111111 , -#53216000 -1& -#53232000 -b1011011111111101100011000000001 " -b1011011111111101100011000000001 4 -b11100011111110000101000001000 1 -b11100011111110000101000001000 C -b11111110011101000011000000000000 0 -b11111110011101000011000000000000 H -08 -b1011011111111101100011000000001 2 -b1011011111111101100011000000001 = -b111101011111111000101111101001 < -b1011011111111101100011000000001 : -b11110011111110011101000011000 $ -b11110011111110011101000011000 - -b11110011111110011101000011000 5 -b11110011111110011101000011000 ? -b11110011111110011101000011000 D -b111101011111111000101111101001 % -b111101011111111000101111101001 . -b111101011111111000101111101001 6 -b111101011111111000101111101001 @ -b111101011111111000101111101001 F -b1011011111111101100011000000001 ) -#53248000 -0& -#53264000 -b1101000000 , -#53280000 -1& -#53296000 -b11001111111000100000010010111101 " -b11001111111000100000010010111101 4 -b11010111111000100000100101000000 1 -b11010111111000100000100101000000 C -b1101101000000000000000000000000 0 -b1101101000000000000000000000000 H -18 -b11001111111000100000010010111101 2 -b11001111111000100000010010111101 = -b11110111111111100110100101010101 < -b11001111111000100000010010111101 : -b11010111111000111001101101101000 $ -b11010111111000111001101101101000 - -b11010111111000111001101101101000 5 -b11010111111000111001101101101000 ? -b11010111111000111001101101101000 D -b11110111111111100110100101010101 % -b11110111111111100110100101010101 . -b11110111111111100110100101010101 6 -b11110111111111100110100101010101 @ -b11110111111111100110100101010101 F -b11001111111000100000010010111101 ) -#53312000 -0& -#53328000 -b1101000001 , -#53344000 -1& -#53360000 -b11000011110101110100100111010010 " -b11000011110101110100100111010010 4 -b1000011110101110101000000100011 1 -b1000011110101110101000000100011 C -b1111111111111101000110101111000 0 -b1111111111111101000110101111000 H -08 -19 -b11000011110101110100100111010010 2 -b11000011110101110100100111010010 = -b1010011110101110111100000100011 < -b11000011110101110100100111010010 : -b1101111111111111101000110101111 $ -b1101111111111111101000110101111 - -b1101111111111111101000110101111 5 -b1101111111111111101000110101111 ? -b1101111111111111101000110101111 D -b1010011110101110111100000100011 % -b1010011110101110111100000100011 . -b1010011110101110111100000100011 6 -b1010011110101110111100000100011 @ -b1010011110101110111100000100011 F -b11000011110101110100100111010010 ) -#53376000 -0& -#53392000 -b1101000010 , -#53408000 -1& -#53424000 -b101111101011001010000100000000 " -b101111101011001010000100000000 4 -b101111101011010000000100000010 1 -b101111101011010000000100000010 C -b1101010100000000000000000000000 0 -b1101010100000000000000000000000 H -09 -08 -b101111101011001010000100000000 2 -b101111101011001010000100000000 = -b10111111101011111001011101010110 < -b101111101011001010000100000000 : -b1101111111111010000100110101010 $ -b1101111111111010000100110101010 - -b1101111111111010000100110101010 5 -b1101111111111010000100110101010 ? -b1101111111111010000100110101010 D -b10111111101011111001011101010110 % -b10111111101011111001011101010110 . -b10111111101011111001011101010110 6 -b10111111101011111001011101010110 @ -b10111111101011111001011101010110 F -b101111101011001010000100000000 ) -#53440000 -0& -#53456000 -b1101000011 , -#53472000 -1& -#53488000 -b11101011000101101010100101000101 " -b11101011000101101010100101000101 4 -b11101011001001110000000110010000 1 -b11101011001001110000000110010000 C -b10100011100101010000000000000000 0 -b10100011100101010000000000000000 H -18 -b11101011000101101010100101000101 2 -b11101011000101101010100101000101 = -b11111111111011110000010110110000 < -b11101011000101101010100101000101 : -b11101011001001111010001110010101 $ -b11101011001001111010001110010101 - -b11101011001001111010001110010101 5 -b11101011001001111010001110010101 ? -b11101011001001111010001110010101 D -b11111111111011110000010110110000 % -b11111111111011110000010110110000 . -b11111111111011110000010110110000 6 -b11111111111011110000010110110000 @ -b11111111111011110000010110110000 F -b11101011000101101010100101000101 ) -#53504000 -0& -#53520000 -b1101000100 , -#53536000 -1& -#53552000 -b1111111001110110110110001011011 " -b1111111001110110110110001011011 4 -b10111111010110110110110010101100 1 -b10111111010110110110110010101100 C -b10111110110101100000000000000000 0 -b10111110110101100000000000000000 H -19 -18 -b1111111001110110110110001011011 2 -b1111111001110110110110001011011 = -b10111111110111111110111010101111 < -b1111111001110110110110001011011 : -b10111111010110110111110110101100 $ -b10111111010110110111110110101100 - -b10111111010110110111110110101100 5 -b10111111010110110111110110101100 ? -b10111111010110110111110110101100 D -b10111111110111111110111010101111 % -b10111111110111111110111010101111 . -b10111111110111111110111010101111 6 -b10111111110111111110111010101111 @ -b10111111110111111110111010101111 F -b1111111001110110110110001011011 ) -#53568000 -0& -#53584000 -b1101000101 , -#53600000 -1& -#53616000 -b10110111101111110111101001010100 " -b10110111101111110111101001010100 4 -b110111110111111000001010100000 1 -b110111110111111000001010100000 C -b1111101111110010010101100000000 0 -b1111101111110010010101100000000 H -08 -b10110111101111110111101001010100 2 -b10110111101111110111101001010100 = -b1111111110111111110011110100100 < -b10110111101111110111101001010100 : -b110111110111111001001010110000 $ -b110111110111111001001010110000 - -b110111110111111001001010110000 5 -b110111110111111001001010110000 ? -b110111110111111001001010110000 D -b1111111110111111110011110100100 % -b1111111110111111110011110100100 . -b1111111110111111110011110100100 6 -b1111111110111111110011110100100 @ -b1111111110111111110011110100100 F -b10110111101111110111101001010100 ) -#53632000 -0& -#53648000 -b1101000110 , -#53664000 -1& -#53680000 -b1100111011111111101000011101101 " -b1100111011111111101000011101101 4 -b1100111011111111101000100000000 1 -b1100111011111111101000100000000 C -b1111111111101011010010100000000 0 -b1111111111101011010010100000000 H -09 -08 -b1100111011111111101000011101101 2 -b1100111011111111101000011101101 = -b1100111111111111101101101001000 < -b1100111011111111101000011101101 : -b11111111011111111111010110100101 $ -b11111111011111111111010110100101 - -b11111111011111111111010110100101 5 -b11111111011111111111010110100101 ? -b11111111011111111111010110100101 D -b1100111111111111101101101001000 % -b1100111111111111101101101001000 . -b1100111111111111101101101001000 6 -b1100111111111111101101101001000 @ -b1100111111111111101101101001000 F -b1100111011111111101000011101101 ) -#53696000 -0& -#53712000 -b1101000111 , -#53728000 -1& -#53744000 -b10100011101110100010011110000010 " -b10100011101110100010011110000010 4 -b10101001101110101000100000100001 1 -b10101001101110101000100000100001 C -b1010011111111110011100011000010 0 -b1010011111111110011100011000010 H -18 -b10100011101110100010011110000010 2 -b10100011101110100010011110000010 = -b11111001101110101000101100100001 < -b10100011101110100010011110000010 : -b10101001111111111001110001100001 $ -b10101001111111111001110001100001 - -b10101001111111111001110001100001 5 -b10101001111111111001110001100001 ? -b10101001111111111001110001100001 D -b11111001101110101000101100100001 % -b11111001101110101000101100100001 . -b11111001101110101000101100100001 6 -b11111001101110101000101100100001 @ -b11111001101110101000101100100001 F -b10100011101110100010011110000010 ) -#53760000 -0& -#53776000 -b1101001000 , -#53792000 -1& -#53808000 -b1100111001100011011010111100100 " -b1100111001100011011010111100100 4 -b1100111001100011100100000001001 1 -b1100111001100011100100000001001 C -b11001111010010101100100000000000 0 -b11001111010010101100100000000000 H -08 -b1100111001100011011010111100100 2 -b1100111001100011011010111100100 = -b11100111011101111100110010001011 < -b1100111001100011011010111100100 : -b1111111101110011110100101011001 $ -b1111111101110011110100101011001 - -b1111111101110011110100101011001 5 -b1111111101110011110100101011001 ? -b1111111101110011110100101011001 D -b11100111011101111100110010001011 % -b11100111011101111100110010001011 . -b11100111011101111100110010001011 6 -b11100111011101111100110010001011 @ -b11100111011101111100110010001011 F -b1100111001100011011010111100100 ) -#53824000 -0& -#53840000 -b1101001001 , -#53856000 -1& -#53872000 -b10001010011111101010011000011110 " -b10001010011111101010011000011110 4 -b10001010011111101010100010000111 1 -b10001010011111101010100010000111 C -b11000011100000000000000000000000 0 -b11000011100000000000000000000000 H -18 -b10001010011111101010011000011110 2 -b10001010011111101010011000011110 = -b10001010011111101110110010010111 < -b10001010011111101010011000011110 : -b11111111111111111011100110000111 $ -b11111111111111111011100110000111 - -b11111111111111111011100110000111 5 -b11111111111111111011100110000111 ? -b11111111111111111011100110000111 D -b10001010011111101110110010010111 % -b10001010011111101110110010010111 . -b10001010011111101110110010010111 6 -b10001010011111101110110010010111 @ -b10001010011111101110110010010111 F -b10001010011111101010011000011110 ) -#53888000 -0& -#53904000 -b1101001010 , -#53920000 -1& -#53936000 -b1110011110111001011100001010101 " -b1110011110111001011100001010101 4 -b10110011110111010000101000000110 1 -b10110011110111010000101000000110 C -b10000111000000110000000000000000 0 -b10000111000000110000000000000000 H -19 -18 -b1110011110111001011100001010101 2 -b1110011110111001011100001010101 = -b10110011111111011010101001001111 < -b1110011110111001011100001010101 : -b10111111110111110000111000000110 $ -b10111111110111110000111000000110 - -b10111111110111110000111000000110 5 -b10111111110111110000111000000110 ? -b10111111110111110000111000000110 D -b10110011111111011010101001001111 % -b10110011111111011010101001001111 . -b10110011111111011010101001001111 6 -b10110011111111011010101001001111 @ -b10110011111111011010101001001111 F -b1110011110111001011100001010101 ) -#53952000 -0& -#53968000 -b1101001011 , -#53984000 -1& -#54000000 -b11000000011110101110000011011010 " -b11000000011110101110000011011010 4 -b1000000101110110000000100000011 1 -b1000000101110110000000100000011 C -b11011111110001111000100110000000 0 -b11011111110001111000100110000000 H -08 -b11000000011110101110000011011010 2 -b11000000011110101110000011011010 = -b1110000101110110101000111000111 < -b11000000011110101110000011011010 : -b1001111101111111000111100010011 $ -b1001111101111111000111100010011 - -b1001111101111111000111100010011 5 -b1001111101111111000111100010011 ? -b1001111101111111000111100010011 D -b1110000101110110101000111000111 % -b1110000101110110101000111000111 . -b1110000101110110101000111000111 6 -b1110000101110110101000111000111 @ -b1110000101110110101000111000111 F -b11000000011110101110000011011010 ) -#54016000 -0& -#54032000 -b1101001100 , -#54048000 -1& -#54064000 -b1010010001110010000000111011011 " -b1010010001110010000000111011011 4 -b1010100010110010000001000000000 1 -b1010100010110010000001000000000 C -b11111100010000000000000000000000 0 -b11111100010000000000000000000000 H -09 -08 -b1010010001110010000000111011011 2 -b1010010001110010000000111011011 = -b11111100110110010110001001010011 < -b1010010001110010000000111011011 : -b1010101010111111001111110001000 $ -b1010101010111111001111110001000 - -b1010101010111111001111110001000 5 -b1010101010111111001111110001000 ? -b1010101010111111001111110001000 D -b11111100110110010110001001010011 % -b11111100110110010110001001010011 . -b11111100110110010110001001010011 6 -b11111100110110010110001001010011 @ -b11111100110110010110001001010011 F -b1010010001110010000000111011011 ) -#54080000 -0& -#54096000 -b1101001101 , -#54112000 -1& -#54128000 -b110111101011001110011001111 " -b110111101011001110011001111 4 -b110111101100000011001010000 1 -b110111101100000011001010000 C -b0 0 -b0 H -b110111101011001110011001111 2 -b110111101011001110011001111 = -b10010110111101110000011001111111 < -b110111101011001110011001111 : -b1101111111111101001011001010000 $ -b1101111111111101001011001010000 - -b1101111111111101001011001010000 5 -b1101111111111101001011001010000 ? -b1101111111111101001011001010000 D -b10010110111101110000011001111111 % -b10010110111101110000011001111111 . -b10010110111101110000011001111111 6 -b10010110111101110000011001111111 @ -b10010110111101110000011001111111 F -b110111101011001110011001111 ) -#54144000 -0& -#54160000 -b1101001110 , -#54176000 -1& -#54192000 -b1111101100111100100011110010010 " -b1111101100111100100011110010010 4 -b1111110110011101000100000001001 1 -b1111110110011101000100000001001 C -b10011101010100010001001000000000 0 -b10011101010100010001001000000000 H -b1111101100111100100011110010010 2 -b1111101100111100100011110010010 = -b11111110110011111001111100001001 < -b1111101100111100100011110010010 : -b1111110110011101010100010001001 $ -b1111110110011101010100010001001 - -b1111110110011101010100010001001 5 -b1111110110011101010100010001001 ? -b1111110110011101010100010001001 D -b11111110110011111001111100001001 % -b11111110110011111001111100001001 . -b11111110110011111001111100001001 6 -b11111110110011111001111100001001 @ -b11111110110011111001111100001001 F -b1111101100111100100011110010010 ) -#54208000 -0& -#54224000 -b1101001111 , -#54240000 -1& -#54256000 -b11110101110001101101010001110110 " -b11110101110001101101010001110110 4 -b110101110001110000000100000111 1 -b110101110001110000000100000111 C -b11000001101000111000000000000000 0 -b11000001101000111000000000000000 H -18 -b11110101110001101101010001110110 2 -b11110101110001101101010001110110 = -b10111101111111110101000100101111 < -b11110101110001101101010001110110 : -b110111110001111000001101000111 $ -b110111110001111000001101000111 - -b110111110001111000001101000111 5 -b110111110001111000001101000111 ? -b110111110001111000001101000111 D -b10111101111111110101000100101111 % -b10111101111111110101000100101111 . -b10111101111111110101000100101111 6 -b10111101111111110101000100101111 @ -b10111101111111110101000100101111 F -b11110101110001101101010001110110 ) -#54272000 -0& -#54288000 -b1101010000 , -#54304000 -1& -#54320000 -b1111010111010010000000111110000 " -b1111010111010010000000111110000 4 -b1111100111010010000001000000001 1 -b1111100111010010000001000000001 C -b1111001000101110010100000000000 0 -b1111001000101110010100000000000 H -08 -b1111010111010010000000111110000 2 -b1111010111010010000000111110000 = -b1111100111110011101111100001011 < -b1111010111010010000000111110000 : -b11111101111011110010001011100101 $ -b11111101111011110010001011100101 - -b11111101111011110010001011100101 5 -b11111101111011110010001011100101 ? -b11111101111011110010001011100101 D -b1111100111110011101111100001011 % -b1111100111110011101111100001011 . -b1111100111110011101111100001011 6 -b1111100111110011101111100001011 @ -b1111100111110011101111100001011 F -b1111010111010010000000111110000 ) -#54336000 -0& -#54352000 -b1101010001 , -#54368000 -1& -#54384000 -b10111110010111011000111010100001 " -b10111110010111011000111010100001 4 -b111110010111100001001011001000 1 -b111110010111100001001011001000 C -b11111100001101111011000000000000 0 -b11111100001101111011000000000000 H -19 -08 -b10111110010111011000111010100001 2 -b10111110010111011000111010100001 = -b111110010111110111001011001001 < -b10111110010111011000111010100001 : -b1111111111111100001101111011000 $ -b1111111111111100001101111011000 - -b1111111111111100001101111011000 5 -b1111111111111100001101111011000 ? -b1111111111111100001101111011000 D -b111110010111110111001011001001 % -b111110010111110111001011001001 . -b111110010111110111001011001001 6 -b111110010111110111001011001001 @ -b111110010111110111001011001001 F -b10111110010111011000111010100001 ) -#54400000 -0& -#54416000 -b1101010010 , -#54432000 -1& -#54448000 -b1101101110011101111111011111011 " -b1101101110011101111111011111011 4 -b1101101110011110000000000000000 1 -b1101101110011110000000000000000 C -b11100000101001000000000000000000 0 -b11100000101001000000000000000000 H -09 -08 -b1101101110011101111111011111011 2 -b1101101110011101111111011111011 = -b1101111111011110000011011010010 < -b1101101110011101111111011111011 : -b11111101110111111111100000101001 $ -b11111101110111111111100000101001 - -b11111101110111111111100000101001 5 -b11111101110111111111100000101001 ? -b11111101110111111111100000101001 D -b1101111111011110000011011010010 % -b1101111111011110000011011010010 . -b1101111111011110000011011010010 6 -b1101111111011110000011011010010 @ -b1101111111011110000011011010010 F -b1101101110011101111111011111011 ) -#54464000 -0& -#54480000 -b1101010011 , -#54496000 -1& -#54512000 -b1110101010110001101110000100000 " -b1110101010110001101110000100000 4 -b1110101010110010010010000100001 1 -b1110101010110010010010000100001 C -b11100101011000000000000000000000 0 -b11100101011000000000000000000000 H -b1110101010110001101110000100000 2 -b1110101010110001101110000100000 = -b1110111011111010010010011110101 < -b1110101010110001101110000100000 : -b11111101110110111011011100101011 $ -b11111101110110111011011100101011 - -b11111101110110111011011100101011 5 -b11111101110110111011011100101011 ? -b11111101110110111011011100101011 D -b1110111011111010010010011110101 % -b1110111011111010010010011110101 . -b1110111011111010010010011110101 6 -b1110111011111010010010011110101 @ -b1110111011111010010010011110101 F -b1110101010110001101110000100000 ) -#54528000 -0& -#54544000 -b1101010100 , -#54560000 -1& -#54576000 -b1110011110110010111001011100001 " -b1110011110110010111001011100001 4 -b1110011110110011001010100100010 1 -b1110011110110011001010100100010 C -b10011111110111101010110111110000 0 -b10011111110111101010110111110000 H -b1110011110110010111001011100001 2 -b1110011110110010111001011100001 = -b11111111110111011001110100100011 < -b1110011110110010111001011100001 : -b1110011111110111101010110111110 $ -b1110011111110111101010110111110 - -b1110011111110111101010110111110 5 -b1110011111110111101010110111110 ? -b1110011111110111101010110111110 D -b11111111110111011001110100100011 % -b11111111110111011001110100100011 . -b11111111110111011001110100100011 6 -b11111111110111011001110100100011 @ -b11111111110111011001110100100011 F -b1110011110110010111001011100001 ) -#54592000 -0& -#54608000 -b1101010101 , -#54624000 -1& -#54640000 -b1100001101010110110100111001001 " -b1100001101010110110100111001001 4 -b1100001101010110110101001001010 1 -b1100001101010110110101001001010 C -b111100000000000000000000000000 0 -b111100000000000000000000000000 H -b1100001101010110110100111001001 2 -b1100001101010110110100111001001 = -b1100101111110111111111001111010 < -b1100001101010110110100111001001 : -b11111011101011110110101101001111 $ -b11111011101011110110101101001111 - -b11111011101011110110101101001111 5 -b11111011101011110110101101001111 ? -b11111011101011110110101101001111 D -b1100101111110111111111001111010 % -b1100101111110111111111001111010 . -b1100101111110111111111001111010 6 -b1100101111110111111111001111010 @ -b1100101111110111111111001111010 F -b1100001101010110110100111001001 ) -#54656000 -0& -#54672000 -b1101010110 , -#54688000 -1& -#54704000 -b10111111010000100000011011100011 " -b10111111010000100000011011100011 4 -b1001111010000100100000000000000 1 -b1001111010000100100000000000000 C -b1001111011011101100010011000011 0 -b1001111011011101100010011000011 H -19 -08 -b10111111010000100000011011100011 2 -b10111111010000100000011011100011 = -b1101111110100110100001000100000 < -b10111111010000100000011011100011 : -b1001111011011101100010011000011 $ -b1001111011011101100010011000011 - -b1001111011011101100010011000011 5 -b1001111011011101100010011000011 ? -b1001111011011101100010011000011 D -b1101111110100110100001000100000 % -b1101111110100110100001000100000 . -b1101111110100110100001000100000 6 -b1101111110100110100001000100000 @ -b1101111110100110100001000100000 F -b10111111010000100000011011100011 ) -#54720000 -0& -#54736000 -b1101010111 , -#54752000 -1& -#54768000 -b1001101111010110010010111011010 " -b1001101111010110010010111011010 4 -b1001110111010110100011000100100 1 -b1001110111010110100011000100100 C -b1110010011000000000000000000000 0 -b1110010011000000000000000000000 H -09 -08 -b1001101111010110010010111011010 2 -b1001101111010110010010111011010 = -b11001110111111110101111010110100 < -b1001101111010110010010111011010 : -b1111110111010111100011100100110 $ -b1111110111010111100011100100110 - -b1111110111010111100011100100110 5 -b1111110111010111100011100100110 ? -b1111110111010111100011100100110 D -b11001110111111110101111010110100 % -b11001110111111110101111010110100 . -b11001110111111110101111010110100 6 -b11001110111111110101111010110100 @ -b11001110111111110101111010110100 F -b1001101111010110010010111011010 ) -#54784000 -0& -#54800000 -b1101011000 , -#54816000 -1& -#54832000 -b1010110110000101110100001101000 " -b1010110110000101110100001101000 4 -b1010110110000110110100100001001 1 -b1010110110000110110100100001001 C -b10001110111100101011111000000000 0 -b10001110111100101011111000000000 H -b1010110110000101110100001101000 2 -b1010110110000101110100001101000 = -b1110110111110110110111100001001 < -b1010110110000101110100001101000 : -b11011111110001110111100101011111 $ -b11011111110001110111100101011111 - -b11011111110001110111100101011111 5 -b11011111110001110111100101011111 ? -b11011111110001110111100101011111 D -b1110110111110110110111100001001 % -b1110110111110110110111100001001 . -b1110110111110110110111100001001 6 -b1110110111110110110111100001001 @ -b1110110111110110110111100001001 F -b1010110110000101110100001101000 ) -#54848000 -0& -#54864000 -b1101011001 , -#54880000 -1& -#54896000 -b1001100001011010001110001010100 " -b1001100001011010001110001010100 4 -b1001100001101010010110001100001 1 -b1001100001101010010110001100001 C -b11111001111011111101100111100110 0 -b11111001111011111101100111100110 H -b1001100001011010001110001010100 2 -b1001100001011010001110001010100 = -b1001111001101010010111101100001 < -b1001100001011010001110001010100 : -b11111100111101111110110011110011 $ -b11111100111101111110110011110011 - -b11111100111101111110110011110011 5 -b11111100111101111110110011110011 ? -b11111100111101111110110011110011 D -b1001111001101010010111101100001 % -b1001111001101010010111101100001 . -b1001111001101010010111101100001 6 -b1001111001101010010111101100001 @ -b1001111001101010010111101100001 F -b1001100001011010001110001010100 ) -#54912000 -0& -#54928000 -b1101011010 , -#54944000 -1& -#54960000 -b1011101010111010101111100100110 " -b1011101010111010101111100100110 4 -b1101110010111101000000001000000 1 -b1101110010111101000000001000000 C -b11101111111011000110110000100000 0 -b11101111111011000110110000100000 H -b1011101010111010101111100100110 2 -b1011101010111010101111100100110 = -b1101110010111101001100001100100 < -b1011101010111010101111100100110 : -b11101110111111101100011011000010 $ -b11101110111111101100011011000010 - -b11101110111111101100011011000010 5 -b11101110111111101100011011000010 ? -b11101110111111101100011011000010 D -b1101110010111101001100001100100 % -b1101110010111101001100001100100 . -b1101110010111101001100001100100 6 -b1101110010111101001100001100100 @ -b1101110010111101001100001100100 F -b1011101010111010101111100100110 ) -#54976000 -0& -#54992000 -b1101011011 , -#55008000 -1& -#55024000 -b1111011111110000101001111101011 " -b1111011111110000101001111101011 4 -b1111101111110000101100000010100 1 -b1111101111110000101100000010100 C -b1010000000000000000000000000 0 -b1010000000000000000000000000 H -b1111011111110000101001111101011 2 -b1111011111110000101001111101011 = -b1111101111110100111101111010111 < -b1111011111110000101001111101011 : -b11111101111111011101100000010100 $ -b11111101111111011101100000010100 - -b11111101111111011101100000010100 5 -b11111101111111011101100000010100 ? -b11111101111111011101100000010100 D -b1111101111110100111101111010111 % -b1111101111110100111101111010111 . -b1111101111110100111101111010111 6 -b1111101111110100111101111010111 @ -b1111101111110100111101111010111 F -b1111011111110000101001111101011 ) -#55040000 -0& -#55056000 -b1101011100 , -#55072000 -1& -#55088000 -b1011111100101001100111100011111 " -b1011111100101001100111100011111 4 -b1011111100101010010000100100000 1 -b1011111100101010010000100100000 C -b11111110011111101010011011110100 0 -b11111110011111101010011011110100 H -b1011111100101001100111100011111 2 -b1011111100101001100111100011111 = -b11011111111101010010010101100010 < -b1011111100101001100111100011111 : -b1111111100111111010100110111101 $ -b1111111100111111010100110111101 - -b1111111100111111010100110111101 5 -b1111111100111111010100110111101 ? -b1111111100111111010100110111101 D -b11011111111101010010010101100010 % -b11011111111101010010010101100010 . -b11011111111101010010010101100010 6 -b11011111111101010010010101100010 @ -b11011111111101010010010101100010 F -b1011111100101001100111100011111 ) -#55104000 -0& -#55120000 -b1101011101 , -#55136000 -1& -#55152000 -b11111001011010111011101101110000 " -b11111001011010111011101101110000 4 -b1111001100010111100010000000010 1 -b1111001100010111100010000000010 C -b111111100101000001100000000000 0 -b111111100101000001100000000000 H -19 -08 -b11111001011010111011101101110000 2 -b11111001011010111011101101110000 = -b1111011100110111101011001101010 < -b11111001011010111011101101110000 : -b1111101110011111110010100000110 $ -b1111101110011111110010100000110 - -b1111101110011111110010100000110 5 -b1111101110011111110010100000110 ? -b1111101110011111110010100000110 D -b1111011100110111101011001101010 % -b1111011100110111101011001101010 . -b1111011100110111101011001101010 6 -b1111011100110111101011001101010 @ -b1111011100110111101011001101010 F -b11111001011010111011101101110000 ) -#55168000 -0& -#55184000 -b1101011110 , -#55200000 -1& -#55216000 -b1000110010101010111011101101011 " -b1000110010101010111011101101011 4 -b1000110100001011001100110000000 1 -b1000110100001011001100110000000 C -b111100001011001110111001000000 0 -b111100001011001110111001000000 H -09 -08 -b1000110010101010111011101101011 2 -b1000110010101010111011101101011 = -b11111110110011111101100110100011 < -b1000110010101010111011101101011 : -b1000111100001011001110111001000 $ -b1000111100001011001110111001000 - -b1000111100001011001110111001000 5 -b1000111100001011001110111001000 ? -b1000111100001011001110111001000 D -b11111110110011111101100110100011 % -b11111110110011111101100110100011 . -b11111110110011111101100110100011 6 -b11111110110011111101100110100011 @ -b11111110110011111101100110100011 F -b1000110010101010111011101101011 ) -#55232000 -0& -#55248000 -b1101011111 , -#55264000 -1& -#55280000 -b111001101100110101101010111000 " -b111001101100110101101010111000 4 -b111001101100110110000011000001 1 -b111001101100110110000011000001 C -b10000110001010000000000000000000 0 -b10000110001010000000000000000000 H -b111001101100110101101010111000 2 -b111001101100110101101010111000 = -b1111011101110110110100111110011 < -b111001101100110101101010111000 : -b10111101111101111111000011000101 $ -b10111101111101111111000011000101 - -b10111101111101111111000011000101 5 -b10111101111101111111000011000101 ? -b10111101111101111111000011000101 D -b1111011101110110110100111110011 % -b1111011101110110110100111110011 . -b1111011101110110110100111110011 6 -b1111011101110110110100111110011 @ -b1111011101110110110100111110011 F -b111001101100110101101010111000 ) -#55296000 -0& -#55312000 -b1101100000 , -#55328000 -1& -#55344000 -b11011101111011100101111010000011 " -b11011101111011100101111010000011 4 -b11011110111011100110000000001000 1 -b11011110111011100110000000001000 C -b11010100000000000000000000000000 0 -b11010100000000000000000000000000 H -18 -b11011101111011100101111010000011 2 -b11011101111011100101111010000011 = -b11011110111111100110001000011001 < -b11011101111011100101111010000011 : -b11111110111011111111110001101010 $ -b11111110111011111111110001101010 - -b11111110111011111111110001101010 5 -b11111110111011111111110001101010 ? -b11111110111011111111110001101010 D -b11011110111111100110001000011001 % -b11011110111111100110001000011001 . -b11011110111111100110001000011001 6 -b11011110111111100110001000011001 @ -b11011110111111100110001000011001 F -b11011101111011100101111010000011 ) -#55360000 -0& -#55376000 -b1101100001 , -#55392000 -1& -#55408000 -b10010100100100101110100101111110 " -b10010100100100101110100101111110 4 -b10010100101001000011000010000000 1 -b10010100101001000011000010000000 C -b11111011000011000011111000000000 0 -b11111011000011000011111000000000 H -b10010100100100101110100101111110 2 -b10010100100100101110100101111110 = -b11111100101001101011100010000110 < -b10010100100100101110100101111110 : -b10010111111011000011000011111000 $ -b10010111111011000011000011111000 - -b10010111111011000011000011111000 5 -b10010111111011000011000011111000 ? -b10010111111011000011000011111000 D -b11111100101001101011100010000110 % -b11111100101001101011100010000110 . -b11111100101001101011100010000110 6 -b11111100101001101011100010000110 @ -b11111100101001101011100010000110 F -b10010100100100101110100101111110 ) -#55424000 -0& -#55440000 -b1101100010 , -#55456000 -1& -#55472000 -b1110011101010110010101000111010 " -b1110011101010110010101000111010 4 -b10110011101010110100101010000000 1 -b10110011101010110100101010000000 C -b11001111111111110010111011100000 0 -b11001111111111110010111011100000 H -19 -18 -b1110011101010110010101000111010 2 -b1110011101010110010101000111010 = -b10111111101010110101111010000010 < -b1110011101010110010101000111010 : -b10110011111111111100101110111000 $ -b10110011111111111100101110111000 - -b10110011111111111100101110111000 5 -b10110011111111111100101110111000 ? -b10110011111111111100101110111000 D -b10111111101010110101111010000010 % -b10111111101010110101111010000010 . -b10111111101010110101111010000010 6 -b10111111101010110101111010000010 @ -b10111111101010110101111010000010 F -b1110011101010110010101000111010 ) -#55488000 -0& -#55504000 -b1101100011 , -#55520000 -1& -#55536000 -b1111011111010100011111011011000 " -b1111011111010100011111011011000 4 -b10111011111010110000000000000001 1 -b10111011111010110000000000000001 C -b1010100001010000000000000000000 0 -b1010100001010000000000000000000 H -b1111011111010100011111011011000 2 -b1111011111010100011111011011000 = -b10111011111010110001010001010011 < -b1111011111010100011111011011000 : -b10111111111111110010101010000101 $ -b10111111111111110010101010000101 - -b10111111111111110010101010000101 5 -b10111111111111110010101010000101 ? -b10111111111111110010101010000101 D -b10111011111010110001010001010011 % -b10111011111010110001010001010011 . -b10111011111010110001010001010011 6 -b10111011111010110001010001010011 @ -b10111011111010110001010001010011 F -b1111011111010100011111011011000 ) -#55552000 -0& -#55568000 -b1101100100 , -#55584000 -1& -#55600000 -b1110001111010110100011100111001 " -b1110001111010110100011100111001 4 -b1110010111010111010000010000000 1 -b1110010111010111010000010000000 C -b0 0 -b0 H -08 -09 -b1110001111010110100011100111001 2 -b1110001111010110100011100111001 = -b11111010111110111010001010111001 < -b1110001111010110100011100111001 : -b1110110111011111010010010000000 $ -b1110110111011111010010010000000 - -b1110110111011111010010010000000 5 -b1110110111011111010010010000000 ? -b1110110111011111010010010000000 D -b11111010111110111010001010111001 % -b11111010111110111010001010111001 . -b11111010111110111010001010111001 6 -b11111010111110111010001010111001 @ -b11111010111110111010001010111001 F -b1110001111010110100011100111001 ) -#55616000 -0& -#55632000 -b1101100101 , -#55648000 -1& -#55664000 -b11111111101111011110001000100 " -b11111111101111011110001000100 4 -b100000011101111100000001001001 1 -b100000011101111100000001001001 C -b11010110000000000000000000000000 0 -b11010110000000000000000000000000 H -b11111111101111011110001000100 2 -b11111111101111011110001000100 = -b11110001011101111110101001011001 < -b11111111101111011110001000100 : -b101110011111111101000111101011 $ -b101110011111111101000111101011 - -b101110011111111101000111101011 5 -b101110011111111101000111101011 ? -b101110011111111101000111101011 D -b11110001011101111110101001011001 % -b11110001011101111110101001011001 . -b11110001011101111110101001011001 6 -b11110001011101111110101001011001 @ -b11110001011101111110101001011001 F -b11111111101111011110001000100 ) -#55680000 -0& -#55696000 -b1101100110 , -#55712000 -1& -#55728000 -b11110010001010100110101011011011 " -b11110010001010100110101011011011 4 -b11110010001010101001000100100100 1 -b11110010001010101001000100100100 C -b10010010000000000000000000000000 0 -b10010010000000000000000000000000 H -18 -b11110010001010100110101011011011 2 -b11110010001010100110101011011011 = -b11111111101111111001100110110111 < -b11110010001010100110101011011011 : -b11110010011010101101000100100100 $ -b11110010011010101101000100100100 - -b11110010011010101101000100100100 5 -b11110010011010101101000100100100 ? -b11110010011010101101000100100100 D -b11111111101111111001100110110111 % -b11111111101111111001100110110111 . -b11111111101111111001100110110111 6 -b11111111101111111001100110110111 @ -b11111111101111111001100110110111 F -b11110010001010100110101011011011 ) -#55744000 -0& -#55760000 -b1101100111 , -#55776000 -1& -#55792000 -b110101001111101010100010101100 " -b110101001111101010100010101100 4 -b1000101001111110000100011000010 1 -b1000101001111110000100011000010 C -b11111100001000111000100000000000 0 -b11111100001000111000100000000000 H -08 -b110101001111101010100010101100 2 -b110101001111101010100010101100 = -b1000101101111111001111111001010 < -b110101001111101010100010101100 : -b11101111011111110000100011100010 $ -b11101111011111110000100011100010 - -b11101111011111110000100011100010 5 -b11101111011111110000100011100010 ? -b11101111011111110000100011100010 D -b1000101101111111001111111001010 % -b1000101101111111001111111001010 . -b1000101101111111001111111001010 6 -b1000101101111111001111111001010 @ -b1000101101111111001111111001010 F -b110101001111101010100010101100 ) -#55808000 -0& -#55824000 -b1101101000 , -#55840000 -1& -#55856000 -b1001110101011111111001101110 " -b1001110101011111111001101110 4 -b1001110101100000011100010000 1 -b1001110101100000011100010000 C -b11101011100000000000000000000 0 -b11101011100000000000000000000 H -b1001110101011111111001101110 2 -b1001110101011111111001101110 = -b1111111111101110011011100010010 < -b1001110101011111111001101110 : -b10001001110111101100011101011100 $ -b10001001110111101100011101011100 - -b10001001110111101100011101011100 5 -b10001001110111101100011101011100 ? -b10001001110111101100011101011100 D -b1111111111101110011011100010010 % -b1111111111101110011011100010010 . -b1111111111101110011011100010010 6 -b1111111111101110011011100010010 @ -b1111111111101110011011100010010 F -b1001110101011111111001101110 ) -#55872000 -0& -#55888000 -b1101101001 , -#55904000 -1& -#55920000 -b10101100111001111101000001100001 " -b10101100111001111101000001100001 4 -b10101100111010000000000100000010 1 -b10101100111010000000000100000010 C -b11010010110000000000000000000000 0 -b11010010110000000000000000000000 H -18 -b10101100111001111101000001100001 2 -b10101100111001111101000001100001 = -b11101101111010010100110100010110 < -b10101100111001111101000001100001 : -b10111110111111101000001101001011 $ -b10111110111111101000001101001011 - -b10111110111111101000001101001011 5 -b10111110111111101000001101001011 ? -b10111110111111101000001101001011 D -b11101101111010010100110100010110 % -b11101101111010010100110100010110 . -b11101101111010010100110100010110 6 -b11101101111010010100110100010110 @ -b11101101111010010100110100010110 F -b10101100111001111101000001100001 ) -#55936000 -0& -#55952000 -b1101101010 , -#55968000 -1& -#55984000 -b101111010110110111100000111010 " -b101111010110110111100000111010 4 -b110001010110111000000000111011 1 -b110001010110111000000000111011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b101111010110110111100000111010 2 -b101111010110110111100000111010 = -b10111001011110111110000110111111 < -b101111010110110111100000111010 : -b1110101110111111001011001111011 $ -b1110101110111111001011001111011 - -b1110101110111111001011001111011 5 -b1110101110111111001011001111011 ? -b1110101110111111001011001111011 D -b10111001011110111110000110111111 % -b10111001011110111110000110111111 . -b10111001011110111110000110111111 6 -b10111001011110111110000110111111 @ -b10111001011110111110000110111111 F -b101111010110110111100000111010 ) -#56000000 -0& -#56016000 -b1101101011 , -#56032000 -1& -#56048000 -b1110110101111010111000011111101 " -b1110110101111010111000011111101 4 -b1110110110111100011010000000110 1 -b1110110110111100011010000000110 C -b11101111100111100010101100000000 0 -b11101111100111100010101100000000 H -b1110110101111010111000011111101 2 -b1110110101111010111000011111101 = -b1111110110111100011010010100111 < -b1110110101111010111000011111101 : -b11110111110111110011110001010110 $ -b11110111110111110011110001010110 - -b11110111110111110011110001010110 5 -b11110111110111110011110001010110 ? -b11110111110111110011110001010110 D -b1111110110111100011010010100111 % -b1111110110111100011010010100111 . -b1111110110111100011010010100111 6 -b1111110110111100011010010100111 @ -b1111110110111100011010010100111 F -b1110110101111010111000011111101 ) -#56064000 -0& -#56080000 -b1101101100 , -#56096000 -1& -#56112000 -b1101111011010111111011110110100 " -b1101111011010111111011110110100 4 -b1101111011011000000000000110110 1 -b1101111011011000000000000110110 C -b11011111100000000000000000000000 0 -b11011111100000000000000000000000 H -b1101111011010111111011110110100 2 -b1101111011010111111011110110100 = -b1101111011011110001010000110110 < -b1101111011010111111011110110100 : -b11111111111111001110001101111110 $ -b11111111111111001110001101111110 - -b11111111111111001110001101111110 5 -b11111111111111001110001101111110 ? -b11111111111111001110001101111110 D -b1101111011011110001010000110110 % -b1101111011011110001010000110110 . -b1101111011011110001010000110110 6 -b1101111011011110001010000110110 @ -b1101111011011110001010000110110 F -b1101111011010111111011110110100 ) -#56128000 -0& -#56144000 -b1101101101 , -#56160000 -1& -#56176000 -b11110101010100011010001001000000 " -b11110101010100011010001001000000 4 -b1110101100100100010001001000001 1 -b1110101100100100010001001000001 C -b11111011001001001101110110111110 0 -b11111011001001001101110110111110 H -19 -08 -b11110101010100011010001001000000 2 -b11110101010100011010001001000000 = -b1110111101111110011001101100001 < -b11110101010100011010001001000000 : -b1111101100100100110111011011111 $ -b1111101100100100110111011011111 - -b1111101100100100110111011011111 5 -b1111101100100100110111011011111 ? -b1111101100100100110111011011111 D -b1110111101111110011001101100001 % -b1110111101111110011001101100001 . -b1110111101111110011001101100001 6 -b1110111101111110011001101100001 @ -b1110111101111110011001101100001 F -b11110101010100011010001001000000 ) -#56192000 -0& -#56208000 -b1101101110 , -#56224000 -1& -#56240000 -b1110100110100111010011001111101 " -b1110100110100111010011001111101 4 -b1110100110100111010100010000010 1 -b1110100110100111010100010000010 C -b10100110001011000000000000000000 0 -b10100110001011000000000000000000 H -09 -08 -b1110100110100111010011001111101 2 -b1110100110100111010011001111101 = -b11110100110101111111110011110010 < -b1110100110100111010011001111101 : -b1111111111110111010100110001011 $ -b1111111111110111010100110001011 - -b1111111111110111010100110001011 5 -b1111111111110111010100110001011 ? -b1111111111110111010100110001011 D -b11110100110101111111110011110010 % -b11110100110101111111110011110010 . -b11110100110101111111110011110010 6 -b11110100110101111111110011110010 @ -b11110100110101111111110011110010 F -b1110100110100111010011001111101 ) -#56256000 -0& -#56272000 -b1101101111 , -#56288000 -1& -#56304000 -b1000011110110100001100111010110 " -b1000011110110100001100111010110 4 -b1000101110110100001101000101000 1 -b1000101110110100001101000101000 C -b10111110101010100000000000000000 0 -b10111110101010100000000000000000 H -b1000011110110100001100111010110 2 -b1000011110110100001100111010110 = -b1110101110110110001111100101110 < -b1000011110110100001100111010110 : -b11001101111111101111101010101000 $ -b11001101111111101111101010101000 - -b11001101111111101111101010101000 5 -b11001101111111101111101010101000 ? -b11001101111111101111101010101000 D -b1110101110110110001111100101110 % -b1110101110110110001111100101110 . -b1110101110110110001111100101110 6 -b1110101110110110001111100101110 @ -b1110101110110110001111100101110 F -b1000011110110100001100111010110 ) -#56320000 -0& -#56336000 -b1101110000 , -#56352000 -1& -#56368000 -b11111011011100001100011001001111 " -b11111011011100001100011001001111 4 -b1111011011100010000101001010000 1 -b1111011011100010000101001010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -19 -08 -b11111011011100001100011001001111 2 -b11111011011100001100011001001111 = -b1111111111101011001101101011110 < -b11111011011100001100011001001111 : -b1111011011110110010101011110001 $ -b1111011011110110010101011110001 - -b1111011011110110010101011110001 5 -b1111011011110110010101011110001 ? -b1111011011110110010101011110001 D -b1111111111101011001101101011110 % -b1111111111101011001101101011110 . -b1111111111101011001101101011110 6 -b1111111111101011001101101011110 @ -b1111111111101011001101101011110 F -b11111011011100001100011001001111 ) -#56384000 -0& -#56400000 -b1101110001 , -#56416000 -1& -#56432000 -b10110001010010110100111011111111 " -b10110001010010110100111011111111 4 -b110001010011000010011100000000 1 -b110001010011000010011100000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b10110001010010110100111011111111 2 -b10110001010010110100111011111111 = -b110001011011000010011110111011 < -b10110001010010110100111011111111 : -b1111111110111110010011101000100 $ -b1111111110111110010011101000100 - -b1111111110111110010011101000100 5 -b1111111110111110010011101000100 ? -b1111111110111110010011101000100 D -b110001011011000010011110111011 % -b110001011011000010011110111011 . -b110001011011000010011110111011 6 -b110001011011000010011110111011 @ -b110001011011000010011110111011 F -b10110001010010110100111011111111 ) -#56448000 -0& -#56464000 -b1101110010 , -#56480000 -1& -#56496000 -b11110010101000011001110001111101 " -b11110010101000011001110001111101 4 -b1110010101000100000010010000000 1 -b1110010101000100000010010000000 C -b1111110111011110000011110111101 0 -b1111110111011110000011110111101 H -b11110010101000011001110001111101 2 -b11110010101000011001110001111101 = -b1110011101100101001010011000000 < -b11110010101000011001110001111101 : -b1111110111011110000011110111101 $ -b1111110111011110000011110111101 - -b1111110111011110000011110111101 5 -b1111110111011110000011110111101 ? -b1111110111011110000011110111101 D -b1110011101100101001010011000000 % -b1110011101100101001010011000000 . -b1110011101100101001010011000000 6 -b1110011101100101001010011000000 @ -b1110011101100101001010011000000 F -b11110010101000011001110001111101 ) -#56512000 -0& -#56528000 -b1101110011 , -#56544000 -1& -#56560000 -b1111010101011000011111111000101 " -b1111010101011000011111111000101 4 -b1111010101011000100000000010000 1 -b1111010101011000100000000010000 C -b10111000011010000000000000000000 0 -b10111000011010000000000000000000 H -09 -08 -b1111010101011000011111111000101 2 -b1111010101011000011111111000101 = -b1111111101011000110001110010001 < -b1111010101011000011111111000101 : -b11111010111111111101110000110100 $ -b11111010111111111101110000110100 - -b11111010111111111101110000110100 5 -b11111010111111111101110000110100 ? -b11111010111111111101110000110100 D -b1111111101011000110001110010001 % -b1111111101011000110001110010001 . -b1111111101011000110001110010001 6 -b1111111101011000110001110010001 @ -b1111111101011000110001110010001 F -b1111010101011000011111111000101 ) -#56576000 -0& -#56592000 -b1101110100 , -#56608000 -1& -#56624000 -b11011110011111010110000111000111 " -b11011110011111010110000111000111 4 -b1110011111010110010001010000 1 -b1110011111010110010001010000 C -b10110101010101000000000000000000 0 -b10110101010101000000000000000000 H -18 -b11011110011111010110000111000111 2 -b11011110011111010110000111000111 = -b10001110011111010111010001110010 < -b11011110011111010110000111000111 : -b1001111111111111110110101010101 $ -b1001111111111111110110101010101 - -b1001111111111111110110101010101 5 -b1001111111111111110110101010101 ? -b1001111111111111110110101010101 D -b10001110011111010111010001110010 % -b10001110011111010111010001110010 . -b10001110011111010111010001110010 6 -b10001110011111010111010001110010 @ -b10001110011111010111010001110010 F -b11011110011111010110000111000111 ) -#56640000 -0& -#56656000 -b1101110101 , -#56672000 -1& -#56688000 -b10101010110110111101111011100000 " -b10101010110110111101111011100000 4 -b10101010110111000000000000000001 1 -b10101010110111000000000000000001 C -b11100000010000001010100000000000 0 -b11100000010000001010100000000000 H -b10101010110110111101111011100000 2 -b10101010110110111101111011100000 = -b10101010110111111101011011001011 < -b10101010110110111101111011100000 : -b11111111111111000000100000010101 $ -b11111111111111000000100000010101 - -b11111111111111000000100000010101 5 -b11111111111111000000100000010101 ? -b11111111111111000000100000010101 D -b10101010110111111101011011001011 % -b10101010110111111101011011001011 . -b10101010110111111101011011001011 6 -b10101010110111111101011011001011 @ -b10101010110111111101011011001011 F -b10101010110110111101111011100000 ) -#56704000 -0& -#56720000 -b1101110110 , -#56736000 -1& -#56752000 -b10011101101101000010101110111101 " -b10011101101101000010101110111101 4 -b10011110101110000011010000000010 1 -b10011110101110000011010000000010 C -b11101011110110000100110000000000 0 -b11101011110110000100110000000000 H -b10011101101101000010101110111101 2 -b10011101101101000010101110111101 = -b10011110101110010011010110101010 < -b10011101101101000010101110111101 : -b11111110111110101111011000010011 $ -b11111110111110101111011000010011 - -b11111110111110101111011000010011 5 -b11111110111110101111011000010011 ? -b11111110111110101111011000010011 D -b10011110101110010011010110101010 % -b10011110101110010011010110101010 . -b10011110101110010011010110101010 6 -b10011110101110010011010110101010 @ -b10011110101110010011010110101010 F -b10011101101101000010101110111101 ) -#56768000 -0& -#56784000 -b1101110111 , -#56800000 -1& -#56816000 -b1000110100101101110011000000 " -b1000110100101101110011000000 4 -b1000110100110010010100000001 1 -b1000110100110010010100000001 C -b1011011111001101010011101100000 0 -b1011011111001101010011101100000 H -08 -b1000110100101101110011000000 2 -b1000110100101101110011000000 = -b11001101111100111010011110000101 < -b1000110100101101110011000000 : -b111010110111110011010100111011 $ -b111010110111110011010100111011 - -b111010110111110011010100111011 5 -b111010110111110011010100111011 ? -b111010110111110011010100111011 D -b11001101111100111010011110000101 % -b11001101111100111010011110000101 . -b11001101111100111010011110000101 6 -b11001101111100111010011110000101 @ -b11001101111100111010011110000101 F -b1000110100101101110011000000 ) -#56832000 -0& -#56848000 -b1101111000 , -#56864000 -1& -#56880000 -b10100011110101111001110111100111 " -b10100011110101111001110111100111 4 -b100011111001111010000000000000 1 -b100011111001111010000000000000 C -b1111111110111111111101111001100 0 -b1111111110111111111101111001100 H -19 -08 -b10100011110101111001110111100111 2 -b10100011110101111001110111100111 = -b1100011111001111010000000000001 < -b10100011110101111001110111100111 : -b111111111011111111110111100110 $ -b111111111011111111110111100110 - -b111111111011111111110111100110 5 -b111111111011111111110111100110 ? -b111111111011111111110111100110 D -b1100011111001111010000000000001 % -b1100011111001111010000000000001 . -b1100011111001111010000000000001 6 -b1100011111001111010000000000001 @ -b1100011111001111010000000000001 F -b10100011110101111001110111100111 ) -#56896000 -0& -#56912000 -b1101111001 , -#56928000 -1& -#56944000 -b11101100100010110011001001011000 " -b11101100100010110011001001011000 4 -b11110100100011010100000000001001 1 -b11110100100011010100000000001001 C -b10101000000001001000000000000000 0 -b10101000000001001000000000000000 H -18 -09 -b11101100100010110011001001011000 2 -b11101100100010110011001001011000 = -b11110100101011011110001001001111 < -b11101100100010110011001001011000 : -b11110111110111010101000000001001 $ -b11110111110111010101000000001001 - -b11110111110111010101000000001001 5 -b11110111110111010101000000001001 ? -b11110111110111010101000000001001 D -b11110100101011011110001001001111 % -b11110100101011011110001001001111 . -b11110100101011011110001001001111 6 -b11110100101011011110001001001111 @ -b11110100101011011110001001001111 F -b11101100100010110011001001011000 ) -#56960000 -0& -#56976000 -b1101111010 , -#56992000 -1& -#57008000 -b11111010000101101110100100000001 " -b11111010000101101110100100000001 4 -b11111010000101110010101000010000 1 -b11111010000101110010101000010000 C -b1111101001000000000000000000000 0 -b1111101001000000000000000000000 H -b11111010000101101110100100000001 2 -b11111010000101101110100100000001 = -b11111111101101110010101001110001 < -b11111010000101101110100100000001 : -b11111010010111111011111010010000 $ -b11111010010111111011111010010000 - -b11111010010111111011111010010000 5 -b11111010010111111011111010010000 ? -b11111010010111111011111010010000 D -b11111111101101110010101001110001 % -b11111111101101110010101001110001 . -b11111111101101110010101001110001 6 -b11111111101101110010101001110001 @ -b11111111101101110010101001110001 F -b11111010000101101110100100000001 ) -#57024000 -0& -#57040000 -b1101111011 , -#57056000 -1& -#57072000 -b11111101000100101001111101011 " -b11111101000100101001111101011 4 -b11111101000110000010000000000 1 -b11111101000110000010000000000 C -b11011101000101110000010000000000 0 -b11011101000101110000010000000000 H -08 -b11111101000100101001111101011 2 -b11111101000100101001111101011 = -b10011111101010110000111000101010 < -b11111101000100101001111101011 : -b1111111111101110100010111000001 $ -b1111111111101110100010111000001 - -b1111111111101110100010111000001 5 -b1111111111101110100010111000001 ? -b1111111111101110100010111000001 D -b10011111101010110000111000101010 % -b10011111101010110000111000101010 . -b10011111101010110000111000101010 6 -b10011111101010110000111000101010 @ -b10011111101010110000111000101010 F -b11111101000100101001111101011 ) -#57088000 -0& -#57104000 -b1101111100 , -#57120000 -1& -#57136000 -b1100011000111110011011000110101 " -b1100011000111110011011000110101 4 -b1100011000111111001101010011000 1 -b1100011000111111001101010011000 C -b111000000000000000000000000000 0 -b111000000000000000000000000000 H -b1100011000111110011011000110101 2 -b1100011000111110011011000110101 = -b1110011001111111001101010011001 < -b1100011000111110011011000110101 : -b11101111110111111001101110011100 $ -b11101111110111111001101110011100 - -b11101111110111111001101110011100 5 -b11101111110111111001101110011100 ? -b11101111110111111001101110011100 D -b1110011001111111001101010011001 % -b1110011001111111001101010011001 . -b1110011001111111001101010011001 6 -b1110011001111111001101010011001 @ -b1110011001111111001101010011001 F -b1100011000111110011011000110101 ) -#57152000 -0& -#57168000 -b1101111101 , -#57184000 -1& -#57200000 -b11101000110011001100100011001011 " -b11101000110011001100100011001011 4 -b11101000110011010100101000010000 1 -b11101000110011010100101000010000 C -b11000100000000000000000000000000 0 -b11000100000000000000000000000000 H -18 -b11101000110011001100100011001011 2 -b11101000110011001100100011001011 = -b11111000111011010100101000011010 < -b11101000110011001100100011001011 : -b11101111110111110111111010110001 $ -b11101111110111110111111010110001 - -b11101111110111110111111010110001 5 -b11101111110111110111111010110001 ? -b11101111110111110111111010110001 D -b11111000111011010100101000011010 % -b11111000111011010100101000011010 . -b11111000111011010100101000011010 6 -b11111000111011010100101000011010 @ -b11111000111011010100101000011010 F -b11101000110011001100100011001011 ) -#57216000 -0& -#57232000 -b1101111110 , -#57248000 -1& -#57264000 -b1100000110101101100001000001011 " -b1100000110101101100001000001011 4 -b1100000111010000000010000010000 1 -b1100000111010000000010000010000 C -b1110111111001000000000000000000 0 -b1110111111001000000000000000000 H -08 -b1100000110101101100001000001011 2 -b1100000110101101100001000001011 = -b11111001111010101010010000010010 < -b1100000110101101100001000001011 : -b1100110111011000001110111111001 $ -b1100110111011000001110111111001 - -b1100110111011000001110111111001 5 -b1100110111011000001110111111001 ? -b1100110111011000001110111111001 D -b11111001111010101010010000010010 % -b11111001111010101010010000010010 . -b11111001111010101010010000010010 6 -b11111001111010101010010000010010 @ -b11111001111010101010010000010010 F -b1100000110101101100001000001011 ) -#57280000 -0& -#57296000 -b1101111111 , -#57312000 -1& -#57328000 -b100010010000001111100100001011 " -b100010010000001111100100001011 4 -b1000010010000010001100100100000 1 -b1000010010000010001100100100000 C -b11000011000111011110001100000000 0 -b11000011000111011110001100000000 H -b100010010000001111100100001011 2 -b100010010000001111100100001011 = -b1001110011111011101101100101000 < -b100010010000001111100100001011 : -b11010011110000110001110111100011 $ -b11010011110000110001110111100011 - -b11010011110000110001110111100011 5 -b11010011110000110001110111100011 ? -b11010011110000110001110111100011 D -b1001110011111011101101100101000 % -b1001110011111011101101100101000 . -b1001110011111011101101100101000 6 -b1001110011111011101101100101000 @ -b1001110011111011101101100101000 F -b100010010000001111100100001011 ) -#57344000 -0& -#57360000 -b1110000000 , -#57376000 -1& -#57392000 -b1001111010001001001000001000110 " -b1001111010001001001000001000110 4 -b1010111010001001010000001001001 1 -b1010111010001001010000001001001 C -b10011001010110111111101000000000 0 -b10011001010110111111101000000000 H -b1001111010001001001000001000110 2 -b1001111010001001001000001000110 = -b11010111111101111110001001001001 < -b1001111010001001001000001000110 : -b1110111010011001010110111111101 $ -b1110111010011001010110111111101 - -b1110111010011001010110111111101 5 -b1110111010011001010110111111101 ? -b1110111010011001010110111111101 D -b11010111111101111110001001001001 % -b11010111111101111110001001001001 . -b11010111111101111110001001001001 6 -b11010111111101111110001001001001 @ -b11010111111101111110001001001001 F -b1001111010001001001000001000110 ) -#57408000 -0& -#57424000 -b1110000001 , -#57440000 -1& -#57456000 -b11100011000011110010001011010110 " -b11100011000011110010001011010110 4 -b1100011000011110100001100001000 1 -b1100011000011110100001100001000 C -b10101111010010111000111000000000 0 -b10101111010010111000111000000000 H -19 -08 -b11100011000011110010001011010110 2 -b11100011000011110010001011010110 = -b1111011010111111101011101001000 < -b11100011000011110010001011010110 : -b1100111101011110100101110001110 $ -b1100111101011110100101110001110 - -b1100111101011110100101110001110 5 -b1100111101011110100101110001110 ? -b1100111101011110100101110001110 D -b1111011010111111101011101001000 % -b1111011010111111101011101001000 . -b1111011010111111101011101001000 6 -b1111011010111111101011101001000 @ -b1111011010111111101011101001000 F -b11100011000011110010001011010110 ) -#57472000 -0& -#57488000 -b1110000010 , -#57504000 -1& -#57520000 -b10011110010110001001011010010 " -b10011110010110001001011010010 4 -b10011111000000000010000001000 1 -b10011111000000000010000001000 C -b10100100001100000010000000000000 0 -b10100100001100000010000000000000 H -09 -08 -b10011110010110001001011010010 2 -b10011110010110001001011010010 = -b10011111000100000011011001010 < -b10011110010110001001011010010 : -b11111111111010010000110000001000 $ -b11111111111010010000110000001000 - -b11111111111010010000110000001000 5 -b11111111111010010000110000001000 ? -b11111111111010010000110000001000 D -b10011111000100000011011001010 % -b10011111000100000011011001010 . -b10011111000100000011011001010 6 -b10011111000100000011011001010 @ -b10011111000100000011011001010 F -b10011110010110001001011010010 ) -#57536000 -0& -#57552000 -b1110000011 , -#57568000 -1& -#57584000 -b100111011100011010000110011001 " -b100111011100011010000110011001 4 -b1000111011100100010010010100100 1 -b1000111011100100010010010100100 C -b1111111111101101100101101010000 0 -b1111111111101101100101101010000 H -b100111011100011010000110011001 2 -b100111011100011010000110011001 = -b1011111011100100011010011100100 < -b100111011100011010000110011001 : -b11000111111111110110110010110101 $ -b11000111111111110110110010110101 - -b11000111111111110110110010110101 5 -b11000111111111110110110010110101 ? -b11000111111111110110110010110101 D -b1011111011100100011010011100100 % -b1011111011100100011010011100100 . -b1011111011100100011010011100100 6 -b1011111011100100011010011100100 @ -b1011111011100100011010011100100 F -b100111011100011010000110011001 ) -#57600000 -0& -#57616000 -b1110000100 , -#57632000 -1& -#57648000 -b11001101101100110100111100011010 " -b11001101101100110100111100011010 4 -b10101101100110110001101000101 1 -b10101101100110110001101000101 C -b1111000101000000000000000000000 0 -b1111000101000000000000000000000 H -18 -b11001101101100110100111100011010 2 -b11001101101100110100111100011010 = -b110111101111111110101101010101 < -b11001101101100110100111100011010 : -b10010101111100110110001111000101 $ -b10010101111100110110001111000101 - -b10010101111100110110001111000101 5 -b10010101111100110110001111000101 ? -b10010101111100110110001111000101 D -b110111101111111110101101010101 % -b110111101111111110101101010101 . -b110111101111111110101101010101 6 -b110111101111111110101101010101 @ -b110111101111111110101101010101 F -b11001101101100110100111100011010 ) -#57664000 -0& -#57680000 -b1110000101 , -#57696000 -1& -#57712000 -b10101100001101100101011110111100 " -b10101100001101100101011110111100 4 -b101100001110000110000011000101 1 -b101100001110000110000011000101 C -b1100010100000000000000000000000 0 -b1100010100000000000000000000000 H -08 -19 -b10101100001101100101011110111100 2 -b10101100001101100101011110111100 = -b111111101111000110011011110111 < -b10101100001101100101011110111100 : -b1101100011110011111000011000101 $ -b1101100011110011111000011000101 - -b1101100011110011111000011000101 5 -b1101100011110011111000011000101 ? -b1101100011110011111000011000101 D -b111111101111000110011011110111 % -b111111101111000110011011110111 . -b111111101111000110011011110111 6 -b111111101111000110011011110111 @ -b111111101111000110011011110111 F -b10101100001101100101011110111100 ) -#57728000 -0& -#57744000 -b1110000110 , -#57760000 -1& -#57776000 -b1110110110111110001110010100111 " -b1110110110111110001110010100111 4 -b1110110111000010010000010110000 1 -b1110110111000010010000010110000 C -b10011101101000000000000000000000 0 -b10011101101000000000000000000000 H -09 -08 -b1110110110111110001110010100111 2 -b1110110110111110001110010100111 = -b1111110111000010110100011110011 < -b1110110110111110001110010100111 : -b11110111111111011011001110110100 $ -b11110111111111011011001110110100 - -b11110111111111011011001110110100 5 -b11110111111111011011001110110100 ? -b11110111111111011011001110110100 D -b1111110111000010110100011110011 % -b1111110111000010110100011110011 . -b1111110111000010110100011110011 6 -b1111110111000010110100011110011 @ -b1111110111000010110100011110011 F -b1110110110111110001110010100111 ) -#57792000 -0& -#57808000 -b1110000111 , -#57824000 -1& -#57840000 -b1101010100100111101010100101111 " -b1101010100100111101010100101111 4 -b1101010100110011110100010010000 1 -b1101010100110011110100010010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b1101010100100111101010100101111 2 -b1101010100100111101010100101111 = -b11111110111110011110110010011110 < -b1101010100100111101010100101111 : -b1101011100110011110100010010001 $ -b1101011100110011110100010010001 - -b1101011100110011110100010010001 5 -b1101011100110011110100010010001 ? -b1101011100110011110100010010001 D -b11111110111110011110110010011110 % -b11111110111110011110110010011110 . -b11111110111110011110110010011110 6 -b11111110111110011110110010011110 @ -b11111110111110011110110010011110 F -b1101010100100111101010100101111 ) -#57856000 -0& -#57872000 -b1110001000 , -#57888000 -1& -#57904000 -b1101000001111111001100010011100 " -b1101000001111111001100010011100 4 -b1101000001111111010000011000001 1 -b1101000001111111010000011000001 C -b10000110000000000000000000000000 0 -b10000110000000000000000000000000 H -b1101000001111111001100010011100 2 -b1101000001111111001100010011100 = -b1101010011111111110010111011001 < -b1101000001111111001100010011100 : -b11111101101111111011001011000011 $ -b11111101101111111011001011000011 - -b11111101101111111011001011000011 5 -b11111101101111111011001011000011 ? -b11111101101111111011001011000011 D -b1101010011111111110010111011001 % -b1101010011111111110010111011001 . -b1101010011111111110010111011001 6 -b1101010011111111110010111011001 @ -b1101010011111111110010111011001 F -b1101000001111111001100010011100 ) -#57920000 -0& -#57936000 -b1110001001 , -#57952000 -1& -#57968000 -b1011101011011011100101110000 " -b1011101011011011100101110000 4 -b1011101011100000001000000010 1 -b1011101011100000001000000010 C -b11000000100110001000000000000000 0 -b11000000100110001000000000000000 H -b1011101011011011100101110000 2 -b1011101011011011100101110000 = -b11001011111011101011011100001110 < -b1011101011011011100101110000 : -b111111101111110000001001100010 $ -b111111101111110000001001100010 - -b111111101111110000001001100010 5 -b111111101111110000001001100010 ? -b111111101111110000001001100010 D -b11001011111011101011011100001110 % -b11001011111011101011011100001110 . -b11001011111011101011011100001110 6 -b11001011111011101011011100001110 @ -b11001011111011101011011100001110 F -b1011101011011011100101110000 ) -#57984000 -0& -#58000000 -b1110001010 , -#58016000 -1& -#58032000 -b11110110000111011101101010100 " -b11110110000111011101101010100 4 -b11110110000111011110010101010 1 -b11110110000111011110010101010 C -b11101110111110101010100000000000 0 -b11101110111110101010100000000000 H -b11110110000111011101101010100 2 -b11110110000111011101101010100 = -b10111111110001111111110010101010 < -b11110110000111011101101010100 : -b1011110111110111011111010101010 $ -b1011110111110111011111010101010 - -b1011110111110111011111010101010 5 -b1011110111110111011111010101010 ? -b1011110111110111011111010101010 D -b10111111110001111111110010101010 % -b10111111110001111111110010101010 . -b10111111110001111111110010101010 6 -b10111111110001111111110010101010 @ -b10111111110001111111110010101010 F -b11110110000111011101101010100 ) -#58048000 -0& -#58064000 -b1110001011 , -#58080000 -1& -#58096000 -b11111101101011110101011000110101 " -b11111101101011110101011000110101 4 -b11101101101111000000001001000 1 -b11101101101111000000001001000 C -b11101111100010001101100000000000 0 -b11101111100010001101100000000000 H -18 -b11111101101011110101011000110101 2 -b11111101101011110101011000110101 = -b11011111101101111001000111001001 < -b11111101101011110101011000110101 : -b11101111101111100010001101100 $ -b11101111101111100010001101100 - -b11101111101111100010001101100 5 -b11101111101111100010001101100 ? -b11101111101111100010001101100 D -b11011111101101111001000111001001 % -b11011111101101111001000111001001 . -b11011111101101111001000111001001 6 -b11011111101101111001000111001001 @ -b11011111101101111001000111001001 F -b11111101101011110101011000110101 ) -#58112000 -0& -#58128000 -b1110001100 , -#58144000 -1& -#58160000 -b11000111010111010110001001000001 " -b11000111010111010110001001000001 4 -b1001011010111101001000100000010 1 -b1001011010111101001000100000010 C -b11111111101101000100111011000000 0 -b11111111101101000100111011000000 H -08 -19 -b11000111010111010110001001000001 2 -b11000111010111010110001001000001 = -b1111011010111101001000100000110 < -b11000111010111010110001001000001 : -b1001011111111101101000100111011 $ -b1001011111111101101000100111011 - -b1001011111111101101000100111011 5 -b1001011111111101101000100111011 ? -b1001011111111101101000100111011 D -b1111011010111101001000100000110 % -b1111011010111101001000100000110 . -b1111011010111101001000100000110 6 -b1111011010111101001000100000110 @ -b1111011010111101001000100000110 F -b11000111010111010110001001000001 ) -#58176000 -0& -#58192000 -b1110001101 , -#58208000 -1& -#58224000 -b1110001011110101101011111001101 " -b1110001011110101101011111001101 4 -b1101111000000000111001110 1 -b1101111000000000111001110 C -b0 0 -b0 H -09 -08 -b1110001011110101101011111001101 2 -b1110001011110101101011111001101 = -b1001001101111101000000111111111 < -b1110001011110101101011111001101 : -b100111101111000101010111001110 $ -b100111101111000101010111001110 - -b100111101111000101010111001110 5 -b100111101111000101010111001110 ? -b100111101111000101010111001110 D -b1001001101111101000000111111111 % -b1001001101111101000000111111111 . -b1001001101111101000000111111111 6 -b1001001101111101000000111111111 @ -b1001001101111101000000111111111 F -b1110001011110101101011111001101 ) -#58240000 -0& -#58256000 -b1110001110 , -#58272000 -1& -#58288000 -b11010111100110011101000101101100 " -b11010111100110011101000101101100 4 -b10111100110011101100001101110 1 -b10111100110011101100001101110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11010111100110011101000101101100 2 -b11010111100110011101000101101100 = -b110111101110011111100011111110 < -b11010111100110011101000101101100 : -b10011111110111111101100001101110 $ -b10011111110111111101100001101110 - -b10011111110111111101100001101110 5 -b10011111110111111101100001101110 ? -b10011111110111111101100001101110 D -b110111101110011111100011111110 % -b110111101110011111100011111110 . -b110111101110011111100011111110 6 -b110111101110011111100011111110 @ -b110111101110011111100011111110 F -b11010111100110011101000101101100 ) -#58304000 -0& -#58320000 -b1110001111 , -#58336000 -1& -#58352000 -b1101111011010110110101011100011 " -b1101111011010110110101011100011 4 -b1110111011011000000000000001000 1 -b1110111011011000000000000001000 C -b11101100001010001101101100000000 0 -b11101100001010001101101100000000 H -08 -b1101111011010110110101011100011 2 -b1101111011010110110101011100011 = -b1110111011111110100001000001000 < -b1101111011010110110101011100011 : -b11110111111011000010100011011011 $ -b11110111111011000010100011011011 - -b11110111111011000010100011011011 5 -b11110111111011000010100011011011 ? -b11110111111011000010100011011011 D -b1110111011111110100001000001000 % -b1110111011111110100001000001000 . -b1110111011111110100001000001000 6 -b1110111011111110100001000001000 @ -b1110111011111110100001000001000 F -b1101111011010110110101011100011 ) -#58368000 -0& -#58384000 -b1110010000 , -#58400000 -1& -#58416000 -b10100100111000011001101000101000 " -b10100100111000011001101000101000 4 -b100100111000101100101000101001 1 -b100100111000101100101000101001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b10100100111000011001101000101000 2 -b10100100111000011001101000101000 = -b1101100111010101100101100111111 < -b10100100111000011001101000101000 : -b110111111101101100111011101001 $ -b110111111101101100111011101001 - -b110111111101101100111011101001 5 -b110111111101101100111011101001 ? -b110111111101101100111011101001 D -b1101100111010101100101100111111 % -b1101100111010101100101100111111 . -b1101100111010101100101100111111 6 -b1101100111010101100101100111111 @ -b1101100111010101100101100111111 F -b10100100111000011001101000101000 ) -#58432000 -0& -#58448000 -b1110010001 , -#58464000 -1& -#58480000 -b111111101000111010010011111100 " -b111111101000111010010011111100 4 -b1000011101001000000011000000010 1 -b1000011101001000000011000000010 C -b10110110001110100100100000000000 0 -b10110110001110100100100000000000 H -09 -08 -b111111101000111010010011111100 2 -b111111101000111010010011111100 = -b1100011101101100001011001101010 < -b111111101000111010010011111100 : -b11011011111011011000111010010010 $ -b11011011111011011000111010010010 - -b11011011111011011000111010010010 5 -b11011011111011011000111010010010 ? -b11011011111011011000111010010010 D -b1100011101101100001011001101010 % -b1100011101101100001011001101010 . -b1100011101101100001011001101010 6 -b1100011101101100001011001101010 @ -b1100011101101100001011001101010 F -b111111101000111010010011111100 ) -#58496000 -0& -#58512000 -b1110010010 , -#58528000 -1& -#58544000 -b10111110111101101100011001010100 " -b10111110111101101100011001010100 4 -b10111110111101110100100000000001 1 -b10111110111101110100100000000001 C -b11010100000001100000000000000000 0 -b11010100000001100000000000000000 H -18 -b10111110111101101100011001010100 2 -b10111110111101101100011001010100 = -b11111110111111110101110001010001 < -b10111110111101101100011001010100 : -b10111111111101110110101000000011 $ -b10111111111101110110101000000011 - -b10111111111101110110101000000011 5 -b10111111111101110110101000000011 ? -b10111111111101110110101000000011 D -b11111110111111110101110001010001 % -b11111110111111110101110001010001 . -b11111110111111110101110001010001 6 -b11111110111111110101110001010001 @ -b11111110111111110101110001010001 F -b10111110111101101100011001010100 ) -#58560000 -0& -#58576000 -b1110010011 , -#58592000 -1& -#58608000 -b1011100011111011111110011100011 " -b1011100011111011111110011100011 4 -b1011100101111100000010100000000 1 -b1011100101111100000010100000000 C -b11011111101111101101011101000011 0 -b11011111101111101101011101000011 H -08 -b1011100011111011111110011100011 2 -b1011100011111011111110011100011 = -b1111100101111110010010110100000 < -b1011100011111011111110011100011 : -b11011111101111101101011101000011 $ -b11011111101111101101011101000011 - -b11011111101111101101011101000011 5 -b11011111101111101101011101000011 ? -b11011111101111101101011101000011 D -b1111100101111110010010110100000 % -b1111100101111110010010110100000 . -b1111100101111110010010110100000 6 -b1111100101111110010010110100000 @ -b1111100101111110010010110100000 F -b1011100011111011111110011100011 ) -#58624000 -0& -#58640000 -b1110010100 , -#58656000 -1& -#58672000 -b10110011101010011110011010000011 " -b10110011101010011110011010000011 4 -b110011110010100000001100000100 1 -b110011110010100000001100000100 C -b1101001110000000000000000000000 0 -b1101001110000000000000000000000 H -19 -08 -b10110011101010011110011010000011 2 -b10110011101010011110011010000011 = -b110011110011110000001100110101 < -b10110011101010011110011010000011 : -b1111111110110101110001101001110 $ -b1111111110110101110001101001110 - -b1111111110110101110001101001110 5 -b1111111110110101110001101001110 ? -b1111111110110101110001101001110 D -b110011110011110000001100110101 % -b110011110011110000001100110101 . -b110011110011110000001100110101 6 -b110011110011110000001100110101 @ -b110011110011110000001100110101 F -b10110011101010011110011010000011 ) -#58688000 -0& -#58704000 -b1110010101 , -#58720000 -1& -#58736000 -b1101100111101000011011010111000 " -b1101100111101000011011010111000 4 -b10101100111101000100000011000100 1 -b10101100111101000100000011000100 C -b11100010000000000000000000000 0 -b11100010000000000000000000000 H -18 -b1101100111101000011011010111000 2 -b1101100111101000011011010111000 = -b10111111111101101111010011110100 < -b1101100111101000011011010111000 : -b10101100111111010100000111000100 $ -b10101100111111010100000111000100 - -b10101100111111010100000111000100 5 -b10101100111111010100000111000100 ? -b10101100111111010100000111000100 D -b10111111111101101111010011110100 % -b10111111111101101111010011110100 . -b10111111111101101111010011110100 6 -b10111111111101101111010011110100 @ -b10111111111101101111010011110100 F -b1101100111101000011011010111000 ) -#58752000 -0& -#58768000 -b1110010110 , -#58784000 -1& -#58800000 -b10100111111001101000101101011010 " -b10100111111001101000101101011010 4 -b11000111111001101001010000100001 1 -b11000111111001101001010000100001 C -b11001101111011100110001000000000 0 -b11001101111011100110001000000000 H -09 -18 -b10100111111001101000101101011010 2 -b10100111111001101000101101011010 = -b11010111111111111001010000101001 < -b10100111111001101000101101011010 : -b11001111111001101111011100110001 $ -b11001111111001101111011100110001 - -b11001111111001101111011100110001 5 -b11001111111001101111011100110001 ? -b11001111111001101111011100110001 D -b11010111111111111001010000101001 % -b11010111111111111001010000101001 . -b11010111111111111001010000101001 6 -b11010111111111111001010000101001 @ -b11010111111111111001010000101001 F -b10100111111001101000101101011010 ) -#58816000 -0& -#58832000 -b1110010111 , -#58848000 -1& -#58864000 -b1000101000100110001011100 " -b1000101000100110001011100 4 -b1000101010000000100101101 1 -b1000101010000000100101101 C -b11101001001001011110000000000000 0 -b11101001001001011110000000000000 H -08 -b1000101000100110001011100 2 -b1000101000100110001011100 = -b11000011101111010000001100101101 < -b1000101000100110001011100 : -b111101010101110100100100101111 $ -b111101010101110100100100101111 - -b111101010101110100100100101111 5 -b111101010101110100100100101111 ? -b111101010101110100100100101111 D -b11000011101111010000001100101101 % -b11000011101111010000001100101101 . -b11000011101111010000001100101101 6 -b11000011101111010000001100101101 @ -b11000011101111010000001100101101 F -b1000101000100110001011100 ) -#58880000 -0& -#58896000 -b1110011000 , -#58912000 -1& -#58928000 -b110000111001110100001000110110 " -b110000111001110100001000110110 4 -b110000111010000100001001000001 1 -b110000111010000100001001000001 C -b10011101010010100111111000100000 0 -b10011101010010100111111000100000 H -b110000111001110100001000110110 2 -b110000111001110100001000110110 = -b110011111111001110111001000101 < -b110000111001110100001000110110 : -b11111100111010100101001111110001 $ -b11111100111010100101001111110001 - -b11111100111010100101001111110001 5 -b11111100111010100101001111110001 ? -b11111100111010100101001111110001 D -b110011111111001110111001000101 % -b110011111111001110111001000101 . -b110011111111001110111001000101 6 -b110011111111001110111001000101 @ -b110011111111001110111001000101 F -b110000111001110100001000110110 ) -#58944000 -0& -#58960000 -b1110011001 , -#58976000 -1& -#58992000 -b111111010100100010000110001 " -b111111010100100010000110001 4 -b111111010110000000000110100 1 -b111111010110000000000110100 C -b1101111100000000000000000000000 0 -b1101111100000000000000000000000 H -b111111010100100010000110001 2 -b111111010100100010000110001 = -b10111111111010110000000010110101 < -b111111010100100010000110001 : -b1000111111111110100001101111100 $ -b1000111111111110100001101111100 - -b1000111111111110100001101111100 5 -b1000111111111110100001101111100 ? -b1000111111111110100001101111100 D -b10111111111010110000000010110101 % -b10111111111010110000000010110101 . -b10111111111010110000000010110101 6 -b10111111111010110000000010110101 @ -b10111111111010110000000010110101 F -b111111010100100010000110001 ) -#59008000 -0& -#59024000 -b1110011010 , -#59040000 -1& -#59056000 -b10011011110000001011110011100011 " -b10011011110000001011110011100011 4 -b10011011110000010100000100100100 1 -b10011011110000010100000100100100 C -b100101110000000000000000000000 0 -b100101110000000000000000000000 H -18 -b10011011110000001011110011100011 2 -b10011011110000001011110011100011 = -b11011011110000010111001110110101 < -b10011011110000001011110011100011 : -b10111111111111110100100100101110 $ -b10111111111111110100100100101110 - -b10111111111111110100100100101110 5 -b10111111111111110100100100101110 ? -b10111111111111110100100100101110 D -b11011011110000010111001110110101 % -b11011011110000010111001110110101 . -b11011011110000010111001110110101 6 -b11011011110000010111001110110101 @ -b11011011110000010111001110110101 F -b10011011110000001011110011100011 ) -#59072000 -0& -#59088000 -b1110011011 , -#59104000 -1& -#59120000 -b10111111010010011101001001001100 " -b10111111010010011101001001001100 4 -b11111010010100000010001100000 1 -b11111010010100000010001100000 C -b10011111011011100100010001101100 0 -b10011111011011100100010001101100 H -b10111111010010011101001001001100 2 -b10111111010010011101001001001100 = -b11111110110111000110111100000 < -b10111111010010011101001001001100 : -b10011111011011100100010001101100 $ -b10011111011011100100010001101100 - -b10011111011011100100010001101100 5 -b10011111011011100100010001101100 ? -b10011111011011100100010001101100 D -b11111110110111000110111100000 % -b11111110110111000110111100000 . -b11111110110111000110111100000 6 -b11111110110111000110111100000 @ -b11111110110111000110111100000 F -b10111111010010011101001001001100 ) -#59136000 -0& -#59152000 -b1110011100 , -#59168000 -1& -#59184000 -b11110101110010111010000001000001 " -b11110101110010111010000001000001 4 -b1110101110100011010000100010000 1 -b1110101110100011010000100010000 C -b1111110011000000000000000000000 0 -b1111110011000000000000000000000 H -08 -19 -b11110101110010111010000001000001 2 -b11110101110010111010000001000001 = -b1110101110110011110000100010001 < -b11110101110010111010000001000001 : -b1111111111100011011111100110000 $ -b1111111111100011011111100110000 - -b1111111111100011011111100110000 5 -b1111111111100011011111100110000 ? -b1111111111100011011111100110000 D -b1110101110110011110000100010001 % -b1110101110110011110000100010001 . -b1110101110110011110000100010001 6 -b1110101110110011110000100010001 @ -b1110101110110011110000100010001 F -b11110101110010111010000001000001 ) -#59200000 -0& -#59216000 -b1110011101 , -#59232000 -1& -#59248000 -b1110110000101111010001100111111 " -b1110110000101111010001100111111 4 -b1110110000110000010001101000000 1 -b1110110000110000010001101000000 C -b10100011101111110011000000000000 0 -b10100011101111110011000000000000 H -09 -08 -b1110110000101111010001100111111 2 -b1110110000101111010001100111111 = -b11111111010111010110011101001100 < -b1110110000101111010001100111111 : -b1110110101110100011101111110011 $ -b1110110101110100011101111110011 - -b1110110101110100011101111110011 5 -b1110110101110100011101111110011 ? -b1110110101110100011101111110011 D -b11111111010111010110011101001100 % -b11111111010111010110011101001100 . -b11111111010111010110011101001100 6 -b11111111010111010110011101001100 @ -b11111111010111010110011101001100 F -b1110110000101111010001100111111 ) -#59264000 -0& -#59280000 -b1110011110 , -#59296000 -1& -#59312000 -b101000010111100100110001101101 " -b101000010111100100110001101101 4 -b101000010111100101000010010010 1 -b101000010111100101000010010010 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -b101000010111100100110001101101 2 -b101000010111100100110001101101 = -b1101000011111100111000110011011 < -b101000010111100100110001101101 : -b10111111110111111101101011010010 $ -b10111111110111111101101011010010 - -b10111111110111111101101011010010 5 -b10111111110111111101101011010010 ? -b10111111110111111101101011010010 D -b1101000011111100111000110011011 % -b1101000011111100111000110011011 . -b1101000011111100111000110011011 6 -b1101000011111100111000110011011 @ -b1101000011111100111000110011011 F -b101000010111100100110001101101 ) -#59328000 -0& -#59344000 -b1110011111 , -#59360000 -1& -#59376000 -b1001010110110100101110100001011 " -b1001010110110100101110100001011 4 -b1001100110110100110000100100100 1 -b1001100110110100110000100100100 C -b1101101111111001011001000000000 0 -b1101101111111001011001000000000 H -b1001010110110100101110100001011 2 -b1001010110110100101110100001011 = -b1001101111111100110001110100111 < -b1001010110110100101110100001011 : -b11111100110110111111100101100100 $ -b11111100110110111111100101100100 - -b11111100110110111111100101100100 5 -b11111100110110111111100101100100 ? -b11111100110110111111100101100100 D -b1001101111111100110001110100111 % -b1001101111111100110001110100111 . -b1001101111111100110001110100111 6 -b1001101111111100110001110100111 @ -b1001101111111100110001110100111 F -b1001010110110100101110100001011 ) -#59392000 -0& -#59408000 -b1110100000 , -#59424000 -1& -#59440000 -b1110100011010110111101011011000 " -b1110100011010110111101011011000 4 -b1110100011011000000110000000001 1 -b1110100011011000000110000000001 C -b11111111011010000110000010101000 0 -b11111111011010000110000010101000 H -b1110100011010110111101011011000 2 -b1110100011010110111101011011000 = -b1110100011111100110111011000011 < -b1110100011010110111101011011000 : -b11111111111011010000110000010101 $ -b11111111111011010000110000010101 - -b11111111111011010000110000010101 5 -b11111111111011010000110000010101 ? -b11111111111011010000110000010101 D -b1110100011111100110111011000011 % -b1110100011111100110111011000011 . -b1110100011111100110111011000011 6 -b1110100011111100110111011000011 @ -b1110100011111100110111011000011 F -b1110100011010110111101011011000 ) -#59456000 -0& -#59472000 -b1110100001 , -#59488000 -1& -#59504000 -b11110111110110100111100011100010 " -b11110111110110100111100011100010 4 -b11110111110110110000000100100011 1 -b11110111110110110000000100100011 C -b101101011110000000000000000000 0 -b101101011110000000000000000000 H -18 -b11110111110110100111100011100010 2 -b11110111110110100111100011100010 = -b11110111110110110001001100110011 < -b11110111110110100111100011100010 : -b11111111111111110110010110101111 $ -b11111111111111110110010110101111 - -b11111111111111110110010110101111 5 -b11111111111111110110010110101111 ? -b11111111111111110110010110101111 D -b11110111110110110001001100110011 % -b11110111110110110001001100110011 . -b11110111110110110001001100110011 6 -b11110111110110110001001100110011 @ -b11110111110110110001001100110011 F -b11110111110110100111100011100010 ) -#59520000 -0& -#59536000 -b1110100010 , -#59552000 -1& -#59568000 -b10010111111110101111011001110101 " -b10010111111110101111011001110101 4 -b10011000011110110001011010010110 1 -b10011000011110110001011010010110 C -b0 0 -b0 H -b10010111111110101111011001110101 2 -b10010111111110101111011001110101 = -b10111010011111110001011010011111 < -b10010111111110101111011001110101 : -b11011101011110111101111111010110 $ -b11011101011110111101111111010110 - -b11011101011110111101111111010110 5 -b11011101011110111101111111010110 ? -b11011101011110111101111111010110 D -b10111010011111110001011010011111 % -b10111010011111110001011010011111 . -b10111010011111110001011010011111 6 -b10111010011111110001011010011111 @ -b10111010011111110001011010011111 F -b10010111111110101111011001110101 ) -#59584000 -0& -#59600000 -b1110100011 , -#59616000 -1& -#59632000 -b11111011010111100100000010110000 " -b11111011010111100100000010110000 4 -b111011011011110000000010110001 1 -b111011011011110000000010110001 C -b1100101011111100000000000000000 0 -b1100101011111100000000000000000 H -18 -09 -b11111011010111100100000010110000 2 -b11111011010111100100000010110000 = -b10111111111011110000110111110001 < -b11111011010111100100000010110000 : -b111011011011110011001010111111 $ -b111011011011110011001010111111 - -b111011011011110011001010111111 5 -b111011011011110011001010111111 ? -b111011011011110011001010111111 D -b10111111111011110000110111110001 % -b10111111111011110000110111110001 . -b10111111111011110000110111110001 6 -b10111111111011110000110111110001 @ -b10111111111011110000110111110001 F -b11111011010111100100000010110000 ) -#59648000 -0& -#59664000 -b1110100100 , -#59680000 -1& -#59696000 -b1101011011001100110100100111100 " -b1101011011001100110100100111100 4 -b1101011011010101010110000011101 1 -b1101011011010101010110000011101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b1101011011001100110100100111100 2 -b1101011011001100110100100111100 = -b11111111011010101010110000011111 < -b1101011011001100110100100111100 : -b1101011111110111011110100011101 $ -b1101011111110111011110100011101 - -b1101011111110111011110100011101 5 -b1101011111110111011110100011101 ? -b1101011111110111011110100011101 D -b11111111011010101010110000011111 % -b11111111011010101010110000011111 . -b11111111011010101010110000011111 6 -b11111111011010101010110000011111 @ -b11111111011010101010110000011111 F -b1101011011001100110100100111100 ) -#59712000 -0& -#59728000 -b1110100101 , -#59744000 -1& -#59760000 -b10110111000101011110000100000110 " -b10110111000101011110000100000110 4 -b1000111000101100000001000001000 1 -b1000111000101100000001000001000 C -b101110000000000000000000000000 0 -b101110000000000000000000000000 H -19 -08 -b10110111000101011110000100000110 2 -b10110111000101011110000100000110 = -b1101111010101110000011011011000 < -b10110111000101011110000100000110 : -b1000111101111101101101000101110 $ -b1000111101111101101101000101110 - -b1000111101111101101101000101110 5 -b1000111101111101101101000101110 ? -b1000111101111101101101000101110 D -b1101111010101110000011011011000 % -b1101111010101110000011011011000 . -b1101111010101110000011011011000 6 -b1101111010101110000011011011000 @ -b1101111010101110000011011011000 F -b10110111000101011110000100000110 ) -#59776000 -0& -#59792000 -b1110100110 , -#59808000 -1& -#59824000 -b11101001011001000011110011001011 " -b11101001011001000011110011001011 4 -b1101001101001000100000100010000 1 -b1101001101001000100000100010000 C -b11000100000000000000000000000000 0 -b11000100000000000000000000000000 H -b11101001011001000011110011001011 2 -b11101001011001000011110011001011 = -b1101001101101010110100100011010 < -b11101001011001000011110011001011 : -b1111111101011101101001110110001 $ -b1111111101011101101001110110001 - -b1111111101011101101001110110001 5 -b1111111101011101101001110110001 ? -b1111111101011101101001110110001 D -b1101001101101010110100100011010 % -b1101001101101010110100100011010 . -b1101001101101010110100100011010 6 -b1101001101101010110100100011010 @ -b1101001101101010110100100011010 F -b11101001011001000011110011001011 ) -#59840000 -0& -#59856000 -b1110100111 , -#59872000 -1& -#59888000 -b111111001111110110111000110111 " -b111111001111110110111000110111 4 -b111111001111110111001001000000 1 -b111111001111110111001001000000 C -b1011100010000000000000000000000 0 -b1011100010000000000000000000000 H -09 -08 -b111111001111110110111000110111 2 -b111111001111110110111000110111 = -b10111111111111111111001101010101 < -b111111001111110110111000110111 : -b1111111001111110111101011100010 $ -b1111111001111110111101011100010 - -b1111111001111110111101011100010 5 -b1111111001111110111101011100010 ? -b1111111001111110111101011100010 D -b10111111111111111111001101010101 % -b10111111111111111111001101010101 . -b10111111111111111111001101010101 6 -b10111111111111111111001101010101 @ -b10111111111111111111001101010101 F -b111111001111110110111000110111 ) -#59904000 -0& -#59920000 -b1110101000 , -#59936000 -1& -#59952000 -b11010110110101111111010101101101 " -b11010110110101111111010101101101 4 -b1010110110110000000000000101110 1 -b1010110110110000000000000101110 C -b10010000111110000000000000000 0 -b10010000111110000000000000000 H -19 -08 -b11010110110101111111010101101101 2 -b11010110110101111111010101101101 = -b1111111111110111101000100101111 < -b11010110110101111111010101101101 : -b1010110110111000010010000111110 $ -b1010110110111000010010000111110 - -b1010110110111000010010000111110 5 -b1010110110111000010010000111110 ? -b1010110110111000010010000111110 D -b1111111111110111101000100101111 % -b1111111111110111101000100101111 . -b1111111111110111101000100101111 6 -b1111111111110111101000100101111 @ -b1111111111110111101000100101111 F -b11010110110101111111010101101101 ) -#59968000 -0& -#59984000 -b1110101001 , -#60000000 -1& -#60016000 -b1101111111010010000000101010110 " -b1101111111010010000000101010110 4 -b1101111111010010000001001011001 1 -b1101111111010010000001001011001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -09 -08 -b1101111111010010000000101010110 2 -b1101111111010010000000101010110 = -b11101111111111111000011011111101 < -b1101111111010010000000101010110 : -b1111111111010010111101001011001 $ -b1111111111010010111101001011001 - -b1111111111010010111101001011001 5 -b1111111111010010111101001011001 ? -b1111111111010010111101001011001 D -b11101111111111111000011011111101 % -b11101111111111111000011011111101 . -b11101111111111111000011011111101 6 -b11101111111111111000011011111101 @ -b11101111111111111000011011111101 F -b1101111111010010000000101010110 ) -#60032000 -0& -#60048000 -b1110101010 , -#60064000 -1& -#60080000 -b1001001110010001000000010100110 " -b1001001110010001000000010100110 4 -b1010010010010001000001000000111 1 -b1010010010010001000001000000111 C -b1011111000010111000000000000000 0 -b1011111000010111000000000000000 H -b1001001110010001000000010100110 2 -b1001001110010001000000010100110 = -b1110111010010111100001010001111 < -b1001001110010001000000010100110 : -b11010010011111001011111000010111 $ -b11010010011111001011111000010111 - -b11010010011111001011111000010111 5 -b11010010011111001011111000010111 ? -b11010010011111001011111000010111 D -b1110111010010111100001010001111 % -b1110111010010111100001010001111 . -b1110111010010111100001010001111 6 -b1110111010010111100001010001111 @ -b1110111010010111100001010001111 F -b1001001110010001000000010100110 ) -#60096000 -0& -#60112000 -b1110101011 , -#60128000 -1& -#60144000 -b11010110001100101101011011001000 " -b11010110001100101101011011001000 4 -b1010110001101010000101011010001 1 -b1010110001101010000101011010001 C -b1011010011000000000000000000000 0 -b1011010011000000000000000000000 H -19 -08 -b11010110001100101101011011001000 2 -b11010110001100101101011011001000 = -b1011111101111010000101111110101 < -b11010110001100101101011011001000 : -b1110110011101011100101011010011 $ -b1110110011101011100101011010011 - -b1110110011101011100101011010011 5 -b1110110011101011100101011010011 ? -b1110110011101011100101011010011 D -b1011111101111010000101111110101 % -b1011111101111010000101111110101 . -b1011111101111010000101111110101 6 -b1011111101111010000101111110101 @ -b1011111101111010000101111110101 F -b11010110001100101101011011001000 ) -#60160000 -0& -#60176000 -b1110101100 , -#60192000 -1& -#60208000 -b11101100111001010001111011010010 " -b11101100111001010001111011010010 4 -b1110100111001010010000011010101 1 -b1110100111001010010000011010101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b11101100111001010001111011010010 2 -b11101100111001010001111011010010 = -b1110100111011111011100111111101 < -b11101100111001010001111011010010 : -b1110111111101010110010011010101 $ -b1110111111101010110010011010101 - -b1110111111101010110010011010101 5 -b1110111111101010110010011010101 ? -b1110111111101010110010011010101 D -b1110100111011111011100111111101 % -b1110100111011111011100111111101 . -b1110100111011111011100111111101 6 -b1110100111011111011100111111101 @ -b1110100111011111011100111111101 F -b11101100111001010001111011010010 ) -#60224000 -0& -#60240000 -b1110101101 , -#60256000 -1& -#60272000 -b101100110010011111111100000000 " -b101100110010011111111100000000 4 -b101100110010100000001100000100 1 -b101100110010100000001100000100 C -b11011100101010111011100111000000 0 -b11011100101010111011100111000000 H -09 -08 -b101100110010011111111100000000 2 -b101100110010011111111100000000 = -b111110111111110100001101100100 < -b101100110010011111111100000000 : -b11101101110010101011101110011100 $ -b11101101110010101011101110011100 - -b11101101110010101011101110011100 5 -b11101101110010101011101110011100 ? -b11101101110010101011101110011100 D -b111110111111110100001101100100 % -b111110111111110100001101100100 . -b111110111111110100001101100100 6 -b111110111111110100001101100100 @ -b111110111111110100001101100100 F -b101100110010011111111100000000 ) -#60288000 -0& -#60304000 -b1110101110 , -#60320000 -1& -#60336000 -b11111010010011101110110110111100 " -b11111010010011101110110110111100 4 -b1111100010011101111011001000101 1 -b1111100010011101111011001000101 C -b101111111110111011101010000000 0 -b101111111110111011101010000000 H -19 -08 -b11111010010011101110110110111100 2 -b11111010010011101110110110111100 = -b1111101111011101111011001000111 < -b11111010010011101110110110111100 : -b1111100010111111111011101110101 $ -b1111100010111111111011101110101 - -b1111100010111111111011101110101 5 -b1111100010111111111011101110101 ? -b1111100010111111111011101110101 D -b1111101111011101111011001000111 % -b1111101111011101111011001000111 . -b1111101111011101111011001000111 6 -b1111101111011101111011001000111 @ -b1111101111011101111011001000111 F -b11111010010011101110110110111100 ) -#60352000 -0& -#60368000 -b1110101111 , -#60384000 -1& -#60400000 -b10110101101111110100100000111110 " -b10110101101111110100100000111110 4 -b11000110110001110110000100000001 1 -b11000110110001110110000100000001 C -b11000110010110100000000000000000 0 -b11000110010110100000000000000000 H -18 -09 -b10110101101111110100100000111110 2 -b10110101101111110100100000111110 = -b11100110111101110110010100010001 < -b10110101101111110100100000111110 : -b11001110110001111110001100101101 $ -b11001110110001111110001100101101 - -b11001110110001111110001100101101 5 -b11001110110001111110001100101101 ? -b11001110110001111110001100101101 D -b11100110111101110110010100010001 % -b11100110111101110110010100010001 . -b11100110111101110110010100010001 6 -b11100110111101110110010100010001 @ -b11100110111101110110010100010001 F -b10110101101111110100100000111110 ) -#60416000 -0& -#60432000 -b1110110000 , -#60448000 -1& -#60464000 -b11011110011001110110111101101101 " -b11011110011001110110111101101101 4 -b1011110011001111000001110001110 1 -b1011110011001111000001110001110 C -b11000101110011110000000000000000 0 -b11000101110011110000000000000000 H -08 -19 -b11011110011001110110111101101101 2 -b11011110011001110110111101101101 = -b1011110111001111110001111001111 < -b11011110011001110110111101101101 : -b1111111011111111000101110011110 $ -b1111111011111111000101110011110 - -b1111111011111111000101110011110 5 -b1111111011111111000101110011110 ? -b1111111011111111000101110011110 D -b1011110111001111110001111001111 % -b1011110111001111110001111001111 . -b1011110111001111110001111001111 6 -b1011110111001111110001111001111 @ -b1011110111001111110001111001111 F -b11011110011001110110111101101101 ) -#60480000 -0& -#60496000 -b1110110001 , -#60512000 -1& -#60528000 -b1000111111101101111100001111110 " -b1000111111101101111100001111110 4 -b1000111111101110000101000000000 1 -b1000111111101110000101000000000 C -b10101001101100000000000000000000 0 -b10101001101100000000000000000000 H -09 -08 -b1000111111101101111100001111110 2 -b1000111111101101111100001111110 = -b11100111111101111000111000010010 < -b1000111111101101111100001111110 : -b1011111111111110110101001101100 $ -b1011111111111110110101001101100 - -b1011111111111110110101001101100 5 -b1011111111111110110101001101100 ? -b1011111111111110110101001101100 D -b11100111111101111000111000010010 % -b11100111111101111000111000010010 . -b11100111111101111000111000010010 6 -b11100111111101111000111000010010 @ -b11100111111101111000111000010010 F -b1000111111101101111100001111110 ) -#60544000 -0& -#60560000 -b1110110010 , -#60576000 -1& -#60592000 -b110010111101101011000000001011 " -b110010111101101011000000001011 4 -b1010010111101110001000000100000 1 -b1010010111101110001000000100000 C -b10100101111111110011111111010100 0 -b10100101111111110011111111010100 H -b110010111101101011000000001011 2 -b110010111101101011000000001011 = -b11011111111101110001000000100001 < -b110010111101101011000000001011 : -b1010010111111111001111111101010 $ -b1010010111111111001111111101010 - -b1010010111111111001111111101010 5 -b1010010111111111001111111101010 ? -b1010010111111111001111111101010 D -b11011111111101110001000000100001 % -b11011111111101110001000000100001 . -b11011111111101110001000000100001 6 -b11011111111101110001000000100001 @ -b11011111111101110001000000100001 F -b110010111101101011000000001011 ) -#60608000 -0& -#60624000 -b1110110011 , -#60640000 -1& -#60656000 -b1100111011111010000110001101010 " -b1100111011111010000110001101010 4 -b1101000011111010000110001110100 1 -b1101000011111010000110001110100 C -b11101000000000000000000000000 0 -b11101000000000000000000000000 H -b1100111011111010000110001101010 2 -b1100111011111010000110001101010 = -b1111100011111011101111111110110 < -b1100111011111010000110001101010 : -b11101010111111110010110001110100 $ -b11101010111111110010110001110100 - -b11101010111111110010110001110100 5 -b11101010111111110010110001110100 ? -b11101010111111110010110001110100 D -b1111100011111011101111111110110 % -b1111100011111011101111111110110 . -b1111100011111011101111111110110 6 -b1111100011111011101111111110110 @ -b1111100011111011101111111110110 F -b1100111011111010000110001101010 ) -#60672000 -0& -#60688000 -b1110110100 , -#60704000 -1& -#60720000 -b1110101111111100011010110010010 " -b1110101111111100011010110010010 4 -b10110110111111100100010110011001 1 -b10110110111111100100010110011001 C -b110010000000000000000000000000 0 -b110010000000000000000000000000 H -18 -19 -b1110101111111100011010110010010 2 -b1110101111111100011010110010010 = -b10110110111111101100110111111001 < -b1110101111111100011010110010010 : -b10111110111111110110011110011001 $ -b10111110111111110110011110011001 - -b10111110111111110110011110011001 5 -b10111110111111110110011110011001 ? -b10111110111111110110011110011001 D -b10110110111111101100110111111001 % -b10110110111111101100110111111001 . -b10110110111111101100110111111001 6 -b10110110111111101100110111111001 @ -b10110110111111101100110111111001 F -b1110101111111100011010110010010 ) -#60736000 -0& -#60752000 -b1110110101 , -#60768000 -1& -#60784000 -b10110111010010100000100101100001 " -b10110111010010100000100101100001 4 -b10110111010010110000000001100100 1 -b10110111010010110000000001100100 C -b11101100000000000000000000000 0 -b11101100000000000000000000000 H -09 -18 -b10110111010010100000100101100001 2 -b10110111010010100000100101100001 = -b10111111011011110000100001110101 < -b10110111010010100000100101100001 : -b11110111110110110000000011101100 $ -b11110111110110110000000011101100 - -b11110111110110110000000011101100 5 -b11110111110110110000000011101100 ? -b11110111110110110000000011101100 D -b10111111011011110000100001110101 % -b10111111011011110000100001110101 . -b10111111011011110000100001110101 6 -b10111111011011110000100001110101 @ -b10111111011011110000100001110101 F -b10110111010010100000100101100001 ) -#60800000 -0& -#60816000 -b1110110110 , -#60832000 -1& -#60848000 -b1110001110000111010000100100111 " -b1110001110000111010000100100111 4 -b1110001110000111010000101010000 1 -b1110001110000111010000101010000 C -b1110100010000000000000000000000 0 -b1110100010000000000000000000000 H -08 -b1110001110000111010000100100111 2 -b1110001110000111010000100100111 = -b11111011111011111111111101010110 < -b1110001110000111010000100100111 : -b1110101110100111010000111010001 $ -b1110101110100111010000111010001 - -b1110101110100111010000111010001 5 -b1110101110100111010000111010001 ? -b1110101110100111010000111010001 D -b11111011111011111111111101010110 % -b11111011111011111111111101010110 . -b11111011111011111111111101010110 6 -b11111011111011111111111101010110 @ -b11111011111011111111111101010110 F -b1110001110000111010000100100111 ) -#60864000 -0& -#60880000 -b1110110111 , -#60896000 -1& -#60912000 -b10010010011000010111001001000 " -b10010010011000010111001001000 4 -b10010010011000100001010010010 1 -b10010010011000100001010010010 C -b10101100100000000000000000000000 0 -b10101100100000000000000000000000 H -b10010010011000010111001001000 2 -b10010010011000010111001001000 = -b11111011111101110001110010110 < -b10010010011000010111001001000 : -b11110010110011010100101010110010 $ -b11110010110011010100101010110010 - -b11110010110011010100101010110010 5 -b11110010110011010100101010110010 ? -b11110010110011010100101010110010 D -b11111011111101110001110010110 % -b11111011111101110001110010110 . -b11111011111101110001110010110 6 -b11111011111101110001110010110 @ -b11111011111101110001110010110 F -b10010010011000010111001001000 ) -#60928000 -0& -#60944000 -b1110111000 , -#60960000 -1& -#60976000 -b1011110100001101011000011101000 " -b1011110100001101011000011101000 4 -b1011110100001110011000100000001 1 -b1011110100001110011000100000001 C -b11101011101111001100000010000000 0 -b11101011101111001100000010000000 H -b1011110100001101011000011101000 2 -b1011110100001101011000011101000 = -b1111110101011110011011101100111 < -b1011110100001101011000011101000 : -b11011111110101110111100110000001 $ -b11011111110101110111100110000001 - -b11011111110101110111100110000001 5 -b11011111110101110111100110000001 ? -b11011111110101110111100110000001 D -b1111110101011110011011101100111 % -b1111110101011110011011101100111 . -b1111110101011110011011101100111 6 -b1111110101011110011011101100111 @ -b1111110101011110011011101100111 F -b1011110100001101011000011101000 ) -#60992000 -0& -#61008000 -b1110111001 , -#61024000 -1& -#61040000 -b111011101100011000101101000001 " -b111011101100011000101101000001 4 -b111011101101000000000000000010 1 -b111011101101000000000000000010 C -b10000100100010010000000000000000 0 -b10000100100010010000000000000000 H -b111011101100011000101101000001 2 -b111011101100011000101101000001 = -b10111111101111001000001000101111 < -b111011101100011000101101000001 : -b1111011111101010000100100010010 $ -b1111011111101010000100100010010 - -b1111011111101010000100100010010 5 -b1111011111101010000100100010010 ? -b1111011111101010000100100010010 D -b10111111101111001000001000101111 % -b10111111101111001000001000101111 . -b10111111101111001000001000101111 6 -b10111111101111001000001000101111 @ -b10111111101111001000001000101111 F -b111011101100011000101101000001 ) -#61056000 -0& -#61072000 -b1110111010 , -#61088000 -1& -#61104000 -b1011101101110010101100010001100 " -b1011101101110010101100010001100 4 -b1011101101110010110000010010001 1 -b1011101101110010110000010010001 C -b10100110000000000000000000000000 0 -b10100110000000000000000000000000 H -b1011101101110010101100010001100 2 -b1011101101110010101100010001100 = -b1011101101111011110000110111001 < -b1011101101110010101100010001100 : -b11111111111110110111011011010011 $ -b11111111111110110111011011010011 - -b11111111111110110111011011010011 5 -b11111111111110110111011011010011 ? -b11111111111110110111011011010011 D -b1011101101111011110000110111001 % -b1011101101111011110000110111001 . -b1011101101111011110000110111001 6 -b1011101101111011110000110111001 @ -b1011101101111011110000110111001 F -b1011101101110010101100010001100 ) -#61120000 -0& -#61136000 -b1110111011 , -#61152000 -1& -#61168000 -b11010001001011110101101110100001 " -b11010001001011110101101110100001 4 -b1100010001011110101101110100010 1 -b1100010001011110101101110100010 C -b11111110101111000000000000000000 0 -b11111110101111000000000000000000 H -19 -08 -b11010001001011110101101110100001 2 -b11010001001011110101101110100001 = -b1100110101011110101101111110010 < -b11010001001011110101101110100001 : -b1101010011111111111111110101111 $ -b1101010011111111111111110101111 - -b1101010011111111111111110101111 5 -b1101010011111111111111110101111 ? -b1101010011111111111111110101111 D -b1100110101011110101101111110010 % -b1100110101011110101101111110010 . -b1100110101011110101101111110010 6 -b1100110101011110101101111110010 @ -b1100110101011110101101111110010 F -b11010001001011110101101110100001 ) -#61184000 -0& -#61200000 -b1110111100 , -#61216000 -1& -#61232000 -b1101111110110001101000111110110 " -b1101111110110001101000111110110 4 -b1110111110110001101001000001011 1 -b1110111110110001101001000001011 C -b11010110100111000101100000000000 0 -b11010110100111000101100000000000 H -09 -08 -b1101111110110001101000111110110 2 -b1101111110110001101000111110110 = -b1110111110111011111111001101011 < -b1101111110110001101000111110110 : -b11110111111110101101001110001011 $ -b11110111111110101101001110001011 - -b11110111111110101101001110001011 5 -b11110111111110101101001110001011 ? -b11110111111110101101001110001011 D -b1110111110111011111111001101011 % -b1110111110111011111111001101011 . -b1110111110111011111111001101011 6 -b1110111110111011111111001101011 @ -b1110111110111011111111001101011 F -b1101111110110001101000111110110 ) -#61248000 -0& -#61264000 -b1110111101 , -#61280000 -1& -#61296000 -b100011011110110110111110111001 " -b100011011110110110111110111001 4 -b100011100000111000000000000100 1 -b100011100000111000000000000100 C -b1100100100000000000000000000000 0 -b1100100100000000000000000000000 H -b100011011110110110111110111001 2 -b100011011110110110111110111001 = -b11110011100100111110010010010101 < -b100011011110110110111110111001 : -b101111111001111000101100100100 $ -b101111111001111000101100100100 - -b101111111001111000101100100100 5 -b101111111001111000101100100100 ? -b101111111001111000101100100100 D -b11110011100100111110010010010101 % -b11110011100100111110010010010101 . -b11110011100100111110010010010101 6 -b11110011100100111110010010010101 @ -b11110011100100111110010010010101 F -b100011011110110110111110111001 ) -#61312000 -0& -#61328000 -b1110111110 , -#61344000 -1& -#61360000 -b11101101000111000110010000100000 " -b11101101000111000110010000100000 4 -b11101101000111010011000100000001 1 -b11101101000111010011000100000001 C -b11111001111010011001100011101000 0 -b11111001111010011001100011101000 H -18 -b11101101000111000110010000100000 2 -b11101101000111000110010000100000 = -b11101101110111110011000100000011 < -b11101101000111000110010000100000 : -b11111111001111010011001100011101 $ -b11111111001111010011001100011101 - -b11111111001111010011001100011101 5 -b11111111001111010011001100011101 ? -b11111111001111010011001100011101 D -b11101101110111110011000100000011 % -b11101101110111110011000100000011 . -b11101101110111110011000100000011 6 -b11101101110111110011000100000011 @ -b11101101110111110011000100000011 F -b11101101000111000110010000100000 ) -#61376000 -0& -#61392000 -b1110111111 , -#61408000 -1& -#61424000 -b1100100010110000100101101010101 " -b1100100010110000100101101010101 4 -b1100100010110000100110010001000 1 -b1100100010110000100110010001000 C -b11111000110111001100110100000000 0 -b11111000110111001100110100000000 H -08 -b1100100010110000100101101010101 2 -b1100100010110000100101101010101 = -b1111100010111110110111010001000 < -b1100100010110000100101101010101 : -b11100111111110001101110011001101 $ -b11100111111110001101110011001101 - -b11100111111110001101110011001101 5 -b11100111111110001101110011001101 ? -b11100111111110001101110011001101 D -b1111100010111110110111010001000 % -b1111100010111110110111010001000 . -b1111100010111110110111010001000 6 -b1111100010111110110111010001000 @ -b1111100010111110110111010001000 F -b1100100010110000100101101010101 ) -#61440000 -0& -#61456000 -b1111000000 , -#61472000 -1& -#61488000 -b1010110100111101011110101001101 " -b1010110100111101011110101001101 4 -b1010110100111110000000101010000 1 -b1010110100111110000000101010000 C -b101111000000000000000000000000 0 -b101111000000000000000000000000 H -b1010110100111101011110101001101 2 -b1010110100111101011110101001101 = -b1010110101111110001001111010101 < -b1010110100111101011110101001101 : -b11111111110111111010100101111000 $ -b11111111110111111010100101111000 - -b11111111110111111010100101111000 5 -b11111111110111111010100101111000 ? -b11111111110111111010100101111000 D -b1010110101111110001001111010101 % -b1010110101111110001001111010101 . -b1010110101111110001001111010101 6 -b1010110101111110001001111010101 @ -b1010110101111110001001111010101 F -b1010110100111101011110101001101 ) -#61504000 -0& -#61520000 -b1111000001 , -#61536000 -1& -#61552000 -b10011011100011011011110101100010 " -b10011011100011011011110101100010 4 -b100001100100000000000000001001 1 -b100001100100000000000000001001 C -b1101011000100000011001000000000 0 -b1101011000100000011001000000000 H -19 -08 -b10011011100011011011110101100010 2 -b10011011100011011011110101100010 = -b1101001110110000011010101001001 < -b10011011100011011011110101100010 : -b110001101101011000100000011001 $ -b110001101101011000100000011001 - -b110001101101011000100000011001 5 -b110001101101011000100000011001 ? -b110001101101011000100000011001 D -b1101001110110000011010101001001 % -b1101001110110000011010101001001 . -b1101001110110000011010101001001 6 -b1101001110110000011010101001001 @ -b1101001110110000011010101001001 F -b10011011100011011011110101100010 ) -#61568000 -0& -#61584000 -b1111000010 , -#61600000 -1& -#61616000 -b1011011111111110001011101111000 " -b1011011111111110001011101111000 4 -b1011100111111110001100000000010 1 -b1011100111111110001100000000010 C -b100000000000000000000000 0 -b100000000000000000000000 H -09 -08 -b1011011111111110001011101111000 2 -b1011011111111110001011101111000 = -b11011110111111110111111101110110 < -b1011011111111110001011101111000 : -b1111100111111111001100000000010 $ -b1111100111111111001100000000010 - -b1111100111111111001100000000010 5 -b1111100111111111001100000000010 ? -b1111100111111111001100000000010 D -b11011110111111110111111101110110 % -b11011110111111110111111101110110 . -b11011110111111110111111101110110 6 -b11011110111111110111111101110110 @ -b11011110111111110111111101110110 F -b1011011111111110001011101111000 ) -#61632000 -0& -#61648000 -b1111000011 , -#61664000 -1& -#61680000 -b11111011011110001101101001001111 " -b11111011011110001101101001001111 4 -b1111011011110010001110001100000 1 -b1111011011110010001110001100000 C -b11110010011110011100110000000000 0 -b11110010011110011100110000000000 H -19 -08 -b11111011011110001101101001001111 2 -b11111011011110001101101001001111 = -b1111111011111111001110101101001 < -b11111011011110001101101001001111 : -b1111011111110010011110011100110 $ -b1111011111110010011110011100110 - -b1111011111110010011110011100110 5 -b1111011111110010011110011100110 ? -b1111011111110010011110011100110 D -b1111111011111111001110101101001 % -b1111111011111111001110101101001 . -b1111111011111111001110101101001 6 -b1111111011111111001110101101001 @ -b1111111011111111001110101101001 F -b11111011011110001101101001001111 ) -#61696000 -0& -#61712000 -b1111000100 , -#61728000 -1& -#61744000 -b11001010001101011101111001110001 " -b11001010001101011101111001110001 4 -b11001010010110000000000010110100 1 -b11001010010110000000000010110100 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -18 -09 -b11001010001101011101111001110001 2 -b11001010001101011101111001110001 = -b11001010110111011101010010111100 < -b11001010001101011101111001110001 : -b11111111010110000000100110110101 $ -b11111111010110000000100110110101 - -b11111111010110000000100110110101 5 -b11111111010110000000100110110101 ? -b11111111010110000000100110110101 D -b11001010110111011101010010111100 % -b11001010110111011101010010111100 . -b11001010110111011101010010111100 6 -b11001010110111011101010010111100 @ -b11001010110111011101010010111100 F -b11001010001101011101111001110001 ) -#61760000 -0& -#61776000 -b1111000101 , -#61792000 -1& -#61808000 -b100111011011110001101011101000 " -b100111011011110001101011101000 4 -b100111011100000010101100001010 1 -b100111011100000010101100001010 C -b11010011101011110111100000000000 0 -b11010011101011110111100000000000 H -09 -08 -b100111011011110001101011101000 2 -b100111011011110001101011101000 = -b1111111011110100010111100001010 < -b100111011011110001101011101000 : -b10100111111101001110101111011110 $ -b10100111111101001110101111011110 - -b10100111111101001110101111011110 5 -b10100111111101001110101111011110 ? -b10100111111101001110101111011110 D -b1111111011110100010111100001010 % -b1111111011110100010111100001010 . -b1111111011110100010111100001010 6 -b1111111011110100010111100001010 @ -b1111111011110100010111100001010 F -b100111011011110001101011101000 ) -#61824000 -0& -#61840000 -b1111000110 , -#61856000 -1& -#61872000 -b10100110110011011101001010111111 " -b10100110110011011101001010111111 4 -b100110110011100000000011000000 1 -b100110110011100000000011000000 C -b10100000000000000000000000000 0 -b10100000000000000000000000000 H -19 -08 -b10100110110011011101001010111111 2 -b10100110110011011101001010111111 = -b111110111111110100000011111010 < -b10100110110011011101001010111111 : -b1100111110011101001000111000101 $ -b1100111110011101001000111000101 - -b1100111110011101001000111000101 5 -b1100111110011101001000111000101 ? -b1100111110011101001000111000101 D -b111110111111110100000011111010 % -b111110111111110100000011111010 . -b111110111111110100000011111010 6 -b111110111111110100000011111010 @ -b111110111111110100000011111010 F -b10100110110011011101001010111111 ) -#61888000 -0& -#61904000 -b1111000111 , -#61920000 -1& -#61936000 -b11101001100100101001100000000111 " -b11101001100100101001100000000111 4 -b11101001100100110010000000010000 1 -b11101001100100110010000000010000 C -b10111100010000000000000000000000 0 -b10111100010000000000000000000000 H -18 -09 -b11101001100100101001100000000111 2 -b11101001100100101001100000000111 = -b11101011100101110011010100010110 < -b11101001100100101001100000000111 : -b11111101111110110110001011110001 $ -b11111101111110110110001011110001 - -b11111101111110110110001011110001 5 -b11111101111110110110001011110001 ? -b11111101111110110110001011110001 D -b11101011100101110011010100010110 % -b11101011100101110011010100010110 . -b11101011100101110011010100010110 6 -b11101011100101110011010100010110 @ -b11101011100101110011010100010110 F -b11101001100100101001100000000111 ) -#61952000 -0& -#61968000 -b1111001000 , -#61984000 -1& -#62000000 -b11011100111010001010010001001001 " -b11011100111010001010010001001001 4 -b1101101011010100010010010000010 1 -b1101101011010100010010010000010 C -b10111111000100100110000100000000 0 -b10111111000100100110000100000000 H -08 -19 -b11011100111010001010010001001001 2 -b11011100111010001010010001001001 = -b1101111011010100111111110000111 < -b11011100111010001010010001001001 : -b1101101011111100010010011000010 $ -b1101101011111100010010011000010 - -b1101101011111100010010011000010 5 -b1101101011111100010010011000010 ? -b1101101011111100010010011000010 D -b1101111011010100111111110000111 % -b1101111011010100111111110000111 . -b1101111011010100111111110000111 6 -b1101111011010100111111110000111 @ -b1101111011010100111111110000111 F -b11011100111010001010010001001001 ) -#62016000 -0& -#62032000 -b1111001001 , -#62048000 -1& -#62064000 -b10111101111110011101000000000110 " -b10111101111110011101000000000110 4 -b10111110011111000001000000000111 1 -b10111110011111000001000000000111 C -b1010111100000000000000000000000 0 -b1010111100000000000000000000000 H -18 -09 -b10111101111110011101000000000110 2 -b10111101111110011101000000000110 = -b11111110011111000011111101010111 < -b10111101111110011101000000000110 : -b10111111011111011001000010101111 $ -b10111111011111011001000010101111 - -b10111111011111011001000010101111 5 -b10111111011111011001000010101111 ? -b10111111011111011001000010101111 D -b11111110011111000011111101010111 % -b11111110011111000011111101010111 . -b11111110011111000011111101010111 6 -b11111110011111000011111101010111 @ -b11111110011111000011111101010111 F -b10111101111110011101000000000110 ) -#62080000 -0& -#62096000 -b1111001010 , -#62112000 -1& -#62128000 -b1101110101001001000110001011111 " -b1101110101001001000110001011111 4 -b1110001001001010001011000100000 1 -b1110001001001010001011000100000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -08 -b1101110101001001000110001011111 2 -b1101110101001001000110001011111 = -b11111101011011010001011000111100 < -b1101110101001001000110001011111 : -b1110001001101110111011000100011 $ -b1110001001101110111011000100011 - -b1110001001101110111011000100011 5 -b1110001001101110111011000100011 ? -b1110001001101110111011000100011 D -b11111101011011010001011000111100 % -b11111101011011010001011000111100 . -b11111101011011010001011000111100 6 -b11111101011011010001011000111100 @ -b11111101011011010001011000111100 F -b1101110101001001000110001011111 ) -#62144000 -0& -#62160000 -b1111001011 , -#62176000 -1& -#62192000 -b110110010011001000001101000110 " -b110110010011001000001101000110 4 -b110110101001001010000101010011 1 -b110110101001001010000101010011 C -b1110100110000000000000000000 0 -b1110100110000000000000000000 H -b110110010011001000001101000110 2 -b110110010011001000001101000110 = -b111111101001001110000101110011 < -b110110010011001000001101000110 : -b11110110101001111010000111010011 $ -b11110110101001111010000111010011 - -b11110110101001111010000111010011 5 -b11110110101001111010000111010011 ? -b11110110101001111010000111010011 D -b111111101001001110000101110011 % -b111111101001001110000101110011 . -b111111101001001110000101110011 6 -b111111101001001110000101110011 @ -b111111101001001110000101110011 F -b110110010011001000001101000110 ) -#62208000 -0& -#62224000 -b1111001100 , -#62240000 -1& -#62256000 -b111000101110001000100001111010 " -b111000101110001000100001111010 4 -b111000110010010000100010001100 1 -b111000110010010000100010001100 C -b11000010111100110000000000000000 0 -b11000010111100110000000000000000 H -b111000101110001000100001111010 2 -b111000101110001000100001111010 = -b10111100111011010111110010101110 < -b111000101110001000100001111010 : -b1111011110010110000101111001100 $ -b1111011110010110000101111001100 - -b1111011110010110000101111001100 5 -b1111011110010110000101111001100 ? -b1111011110010110000101111001100 D -b10111100111011010111110010101110 % -b10111100111011010111110010101110 . -b10111100111011010111110010101110 6 -b10111100111011010111110010101110 @ -b10111100111011010111110010101110 F -b111000101110001000100001111010 ) -#62272000 -0& -#62288000 -b1111001101 , -#62304000 -1& -#62320000 -b11101110101011001111100001010011 " -b11101110101011001111100001010011 4 -b11101110101101010011100001100100 1 -b11101110101101010011100001100100 C -b11111011010100111110111011110000 0 -b11111011010100111110111011110000 H -18 -b11101110101011001111100001010011 2 -b11101110101011001111100001010011 = -b11111110111101111011100101100100 < -b11101110101011001111100001010011 : -b11101111101101010011111011101111 $ -b11101111101101010011111011101111 - -b11101111101101010011111011101111 5 -b11101111101101010011111011101111 ? -b11101111101101010011111011101111 D -b11111110111101111011100101100100 % -b11111110111101111011100101100100 . -b11111110111101111011100101100100 6 -b11111110111101111011100101100100 @ -b11111110111101111011100101100100 F -b11101110101011001111100001010011 ) -#62336000 -0& -#62352000 -b1111001110 , -#62368000 -1& -#62384000 -b1111101001101110111011110001101 " -b1111101001101110111011110001101 4 -b1111110001110011000100011000000 1 -b1111110001110011000100011000000 C -b11111011100100011000100000000000 0 -b11111011100100011000100000000000 H -08 -b1111101001101110111011110001101 2 -b1111101001101110111011110001101 = -b11111110101110011010111011001001 < -b1111101001101110111011110001101 : -b1111110011111011100100011000100 $ -b1111110011111011100100011000100 - -b1111110011111011100100011000100 5 -b1111110011111011100100011000100 ? -b1111110011111011100100011000100 D -b11111110101110011010111011001001 % -b11111110101110011010111011001001 . -b11111110101110011010111011001001 6 -b11111110101110011010111011001001 @ -b11111110101110011010111011001001 F -b1111101001101110111011110001101 ) -#62400000 -0& -#62416000 -b1111001111 , -#62432000 -1& -#62448000 -b111101101101110101000101011011 " -b111101101101110101000101011011 4 -b111101110110000010000110000000 1 -b111101110110000010000110000000 C -b10111110010101110010010000000000 0 -b10111110010101110010010000000000 H -b111101101101110101000101011011 2 -b111101101101110101000101011011 = -b10111101110110000010010111001001 < -b111101101101110101000101011011 : -b1111111110111110010101110010010 $ -b1111111110111110010101110010010 - -b1111111110111110010101110010010 5 -b1111111110111110010101110010010 ? -b1111111110111110010101110010010 D -b10111101110110000010010111001001 % -b10111101110110000010010111001001 . -b10111101110110000010010111001001 6 -b10111101110110000010010111001001 @ -b10111101110110000010010111001001 F -b111101101101110101000101011011 ) -#62464000 -0& -#62480000 -b1111010000 , -#62496000 -1& -#62512000 -b111100100010010000111000110110 " -b111100100010010000111000110110 4 -b1001100100100100000000001000001 1 -b1001100100100100000000001000001 C -b10011101001011100001101010101010 0 -b10011101001011100001101010101010 H -b111100100010010000111000110110 2 -b111100100010010000111000110110 = -b1101101111100100000000011100001 < -b111100100010010000111000110110 : -b11001110100101110000110101010101 $ -b11001110100101110000110101010101 - -b11001110100101110000110101010101 5 -b11001110100101110000110101010101 ? -b11001110100101110000110101010101 D -b1101101111100100000000011100001 % -b1101101111100100000000011100001 . -b1101101111100100000000011100001 6 -b1101101111100100000000011100001 @ -b1101101111100100000000011100001 F -b111100100010010000111000110110 ) -#62528000 -0& -#62544000 -b1111010001 , -#62560000 -1& -#62576000 -b10110110111111100011111010110001 " -b10110110111111100011111010110001 4 -b10110110111111100100000100000010 1 -b10110110111111100100000100000010 C -b11010010010000001100000000000000 0 -b11010010010000001100000000000000 H -18 -b10110110111111100011111010110001 2 -b10110110111111100011111010110001 = -b10111110111111101111010110101110 < -b10110110111111100011111010110001 : -b11110111111111110100100100000011 $ -b11110111111111110100100100000011 - -b11110111111111110100100100000011 5 -b11110111111111110100100100000011 ? -b11110111111111110100100100000011 D -b10111110111111101111010110101110 % -b10111110111111101111010110101110 . -b10111110111111101111010110101110 6 -b10111110111111101111010110101110 @ -b10111110111111101111010110101110 F -b10110110111111100011111010110001 ) -#62592000 -0& -#62608000 -b1111010010 , -#62624000 -1& -#62640000 -b1110111010010000001000100010100 " -b1110111010010000001000100010100 4 -b10010111010010000001000100100001 1 -b10010111010010000001000100100001 C -b10101110100111101110101001100110 0 -b10101110100111101110101001100110 H -19 -18 -b1110111010010000001000100010100 2 -b1110111010010000001000100010100 = -b10011111111110001001101111100001 < -b1110111010010000001000100010100 : -b11010111010011110111010100110011 $ -b11010111010011110111010100110011 - -b11010111010011110111010100110011 5 -b11010111010011110111010100110011 ? -b11010111010011110111010100110011 D -b10011111111110001001101111100001 % -b10011111111110001001101111100001 . -b10011111111110001001101111100001 6 -b10011111111110001001101111100001 @ -b10011111111110001001101111100001 F -b1110111010010000001000100010100 ) -#62656000 -0& -#62672000 -b1111010011 , -#62688000 -1& -#62704000 -b1011101100010010000111001010111 " -b1011101100010010000111001010111 4 -b1011101100010011000000010100000 1 -b1011101100010011000000010100000 C -b11111110110111000110110110100000 0 -b11111110110111000110110110100000 H -08 -09 -b1011101100010010000111001010111 2 -b1011101100010010000111001010111 = -b1011101101011011000000010100011 < -b1011101100010010000111001010111 : -b11111111110110111000110110110100 $ -b11111111110110111000110110110100 - -b11111111110110111000110110110100 5 -b11111111110110111000110110110100 ? -b11111111110110111000110110110100 D -b1011101101011011000000010100011 % -b1011101101011011000000010100011 . -b1011101101011011000000010100011 6 -b1011101101011011000000010100011 @ -b1011101101011011000000010100011 F -b1011101100010010000111001010111 ) -#62720000 -0& -#62736000 -b1111010100 , -#62752000 -1& -#62768000 -b11010110011011001110110101010100 " -b11010110011011001110110101010100 4 -b1011010011011010110111000000101 1 -b1011010011011010110111000000101 C -b10111111001000101000000000000000 0 -b10111111001000101000000000000000 H -19 -08 -b11010110011011001110110101010100 2 -b11010110011011001110110101010100 = -b1111010011011010110111100001111 < -b11010110011011001110110101010100 : -b1011011111111110111111001000101 $ -b1011011111111110111111001000101 - -b1011011111111110111111001000101 5 -b1011011111111110111111001000101 ? -b1011011111111110111111001000101 D -b1111010011011010110111100001111 % -b1111010011011010110111100001111 . -b1111010011011010110111100001111 6 -b1111010011011010110111100001111 @ -b1111010011011010110111100001111 F -b11010110011011001110110101010100 ) -#62784000 -0& -#62800000 -b1111010101 , -#62816000 -1& -#62832000 -b1110001011101000001001111101000 " -b1110001011101000001001111101000 4 -b1110001011101000010000000010100 1 -b1110001011101000010000000010100 C -b111001010000000000000000000000 0 -b111001010000000000000000000000 H -09 -08 -b1110001011101000001001111101000 2 -b1110001011101000001001111101000 = -b11110111111101000110000001010100 < -b1110001011101000001001111101000 : -b1111001011111111011001110010100 $ -b1111001011111111011001110010100 - -b1111001011111111011001110010100 5 -b1111001011111111011001110010100 ? -b1111001011111111011001110010100 D -b11110111111101000110000001010100 % -b11110111111101000110000001010100 . -b11110111111101000110000001010100 6 -b11110111111101000110000001010100 @ -b11110111111101000110000001010100 F -b1110001011101000001001111101000 ) -#62848000 -0& -#62864000 -b1111010110 , -#62880000 -1& -#62896000 -b11000100101111101010110011100110 " -b11000100101111101010110011100110 4 -b1000100101111110100000000000111 1 -b1000100101111110100000000000111 C -b11111111101101100100111110000000 0 -b11111111101101100100111110000000 H -19 -08 -b11000100101111101010110011100110 2 -b11000100101111101010110011100110 = -b1101110101111110100000001000111 < -b11000100101111101010110011100110 : -b1010101111111110110110010011111 $ -b1010101111111110110110010011111 - -b1010101111111110110110010011111 5 -b1010101111111110110110010011111 ? -b1010101111111110110110010011111 D -b1101110101111110100000001000111 % -b1101110101111110100000001000111 . -b1101110101111110100000001000111 6 -b1101110101111110100000001000111 @ -b1101110101111110100000001000111 F -b11000100101111101010110011100110 ) -#62912000 -0& -#62928000 -b1111010111 , -#62944000 -1& -#62960000 -b10011100001010110011101111110101 " -b10011100001010110011101111110101 4 -b11100001011010100010000001000 1 -b11100001011010100010000001000 C -b1101101010001100100110100000000 0 -b1101101010001100100110100000000 H -b10011100001010110011101111110101 2 -b10011100001010110011101111110101 = -b1111111101111011111010110101000 < -b10011100001010110011101111110101 : -b11100011011010100011001001101 $ -b11100011011010100011001001101 - -b11100011011010100011001001101 5 -b11100011011010100011001001101 ? -b11100011011010100011001001101 D -b1111111101111011111010110101000 % -b1111111101111011111010110101000 . -b1111111101111011111010110101000 6 -b1111111101111011111010110101000 @ -b1111111101111011111010110101000 F -b10011100001010110011101111110101 ) -#62976000 -0& -#62992000 -b1111011000 , -#63008000 -1& -#63024000 -b11101011101011110011101011000010 " -b11101011101011110011101011000010 4 -b1101011110011111000000000010001 1 -b1101011110011111000000000010001 C -b10000001000100000000000000000 0 -b10000001000100000000000000000 H -b11101011101011110011101011000010 2 -b11101011101011110011101011000010 = -b1111011110111111011001010110001 < -b11101011101011110011101011000010 : -b1101111110011111000100000010001 $ -b1101111110011111000100000010001 - -b1101111110011111000100000010001 5 -b1101111110011111000100000010001 ? -b1101111110011111000100000010001 D -b1111011110111111011001010110001 % -b1111011110111111011001010110001 . -b1111011110111111011001010110001 6 -b1111011110111111011001010110001 @ -b1111011110111111011001010110001 F -b11101011101011110011101011000010 ) -#63040000 -0& -#63056000 -b1111011001 , -#63072000 -1& -#63088000 -b10100101010101110011000100011110 " -b10100101010101110011000100011110 4 -b10100101011001110101010001000111 1 -b10100101011001110101010001000111 C -b1100011100000000000000000000000 0 -b1100011100000000000000000000000 H -18 -09 -b10100101010101110011000100011110 2 -b10100101010101110011000100011110 = -b11101111011011110101010001010111 < -b10100101010101110011000100011110 : -b10110101111001111101110011000111 $ -b10110101111001111101110011000111 - -b10110101111001111101110011000111 5 -b10110101111001111101110011000111 ? -b10110101111001111101110011000111 D -b11101111011011110101010001010111 % -b11101111011011110101010001010111 . -b11101111011011110101010001010111 6 -b11101111011011110101010001010111 @ -b11101111011011110101010001010111 F -b10100101010101110011000100011110 ) -#63104000 -0& -#63120000 -b1111011010 , -#63136000 -1& -#63152000 -b1100100111011101101011000111111 " -b1100100111011101101011000111111 4 -b1100100111011110000000000000000 1 -b1100100111011110000000000000000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b1100100111011101101011000111111 2 -b1100100111011101101011000111111 = -b11101101111111110000010000011110 < -b1100100111011101101011000111111 : -b1110110111011111101001000100001 $ -b1110110111011111101001000100001 - -b1110110111011111101001000100001 5 -b1110110111011111101001000100001 ? -b1110110111011111101001000100001 D -b11101101111111110000010000011110 % -b11101101111111110000010000011110 . -b11101101111111110000010000011110 6 -b11101101111111110000010000011110 @ -b11101101111111110000010000011110 F -b1100100111011101101011000111111 ) -#63168000 -0& -#63184000 -b1111011011 , -#63200000 -1& -#63216000 -b11010111010010001001000000000001 " -b11010111010010001001000000000001 4 -b11010111010100010001000000001000 1 -b11010111010100010001000000001000 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -18 -b11010111010010001001000000000001 2 -b11010111010010001001000000000001 = -b11111111111101110001110010011001 < -b11010111010010001001000000000001 : -b11010111010100010111001101101000 $ -b11010111010100010111001101101000 - -b11010111010100010111001101101000 5 -b11010111010100010111001101101000 ? -b11010111010100010111001101101000 D -b11111111111101110001110010011001 % -b11111111111101110001110010011001 . -b11111111111101110001110010011001 6 -b11111111111101110001110010011001 @ -b11111111111101110001110010011001 F -b11010111010010001001000000000001 ) -#63232000 -0& -#63248000 -b1111011100 , -#63264000 -1& -#63280000 -b100101001100001001001110000 " -b100101001100001001001110000 4 -b1000101010000001010000000010 1 -b1000101010000001010000000010 C -b11101010111100110111100100111000 0 -b11101010111100110111100100111000 H -08 -b100101001100001001001110000 2 -b100101001100001001001110000 = -b1001111010010011010000100010 < -b100101001100001001001110000 : -b11111010101111001101111001001110 $ -b11111010101111001101111001001110 - -b11111010101111001101111001001110 5 -b11111010101111001101111001001110 ? -b11111010101111001101111001001110 D -b1001111010010011010000100010 % -b1001111010010011010000100010 . -b1001111010010011010000100010 6 -b1001111010010011010000100010 @ -b1001111010010011010000100010 F -b100101001100001001001110000 ) -#63296000 -0& -#63312000 -b1111011101 , -#63328000 -1& -#63344000 -b1101110100100101100111110011010 " -b1101110100100101100111110011010 4 -b1101111000000110010000010011100 1 -b1101111000000110010000010011100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -b1101110100100101100111110011010 2 -b1101110100100101100111110011010 = -b1111111000011110010000011011100 < -b1101110100100101100111110011010 : -b11101111100000111010111010111110 $ -b11101111100000111010111010111110 - -b11101111100000111010111010111110 5 -b11101111100000111010111010111110 ? -b11101111100000111010111010111110 D -b1111111000011110010000011011100 % -b1111111000011110010000011011100 . -b1111111000011110010000011011100 6 -b1111111000011110010000011011100 @ -b1111111000011110010000011011100 F -b1101110100100101100111110011010 ) -#63360000 -0& -#63376000 -b1111011110 , -#63392000 -1& -#63408000 -b10110011101110011010010010110001 " -b10110011101110011010010010110001 4 -b110011101110100011000010110010 1 -b110011101110100011000010110010 C -b10111110110000000000000000000000 0 -b10111110110000000000000000000000 H -19 -08 -b10110011101110011010010010110001 2 -b10110011101110011010010010110001 = -b111111101111100111000110110110 < -b10110011101110011010010010110001 : -b1110011111110110011001011111011 $ -b1110011111110110011001011111011 - -b1110011111110110011001011111011 5 -b1110011111110110011001011111011 ? -b1110011111110110011001011111011 D -b111111101111100111000110110110 % -b111111101111100111000110110110 . -b111111101111100111000110110110 6 -b111111101111100111000110110110 @ -b111111101111100111000110110110 F -b10110011101110011010010010110001 ) -#63424000 -0& -#63440000 -b1111011111 , -#63456000 -1& -#63472000 -b11001011000100101011110001010010 " -b11001011000100101011110001010010 4 -b1010011000100110000000010000101 1 -b1010011000100110000000010000101 C -b11100011111000100111100110100000 0 -b11100011111000100111100110100000 H -b11001011000100101011110001010010 2 -b11001011000100101011110001010010 = -b1010011111100111010100010000101 < -b11001011000100101011110001010010 : -b1110111000111110001001111001101 $ -b1110111000111110001001111001101 - -b1110111000111110001001111001101 5 -b1110111000111110001001111001101 ? -b1110111000111110001001111001101 D -b1010011111100111010100010000101 % -b1010011111100111010100010000101 . -b1010011111100111010100010000101 6 -b1010011111100111010100010000101 @ -b1010011111100111010100010000101 F -b11001011000100101011110001010010 ) -#63488000 -0& -#63504000 -b1111100000 , -#63520000 -1& -#63536000 -b1000110010011000101000111011000 " -b1000110010011000101000111011000 4 -b1001000100101000110001000000001 1 -b1001000100101000110001000000001 C -b10110110101011001101001001100000 0 -b10110110101011001101001001100000 H -09 -08 -b1000110010011000101000111011000 2 -b1000110010011000101000111011000 = -b1101000100101101110101101000101 < -b1000110010011000101000111011000 : -b11011101101101010110011010010011 $ -b11011101101101010110011010010011 - -b11011101101101010110011010010011 5 -b11011101101101010110011010010011 ? -b11011101101101010110011010010011 D -b1101000100101101110101101000101 % -b1101000100101101110101101000101 . -b1101000100101101110101101000101 6 -b1101000100101101110101101000101 @ -b1101000100101101110101101000101 F -b1000110010011000101000111011000 ) -#63552000 -0& -#63568000 -b1111100001 , -#63584000 -1& -#63600000 -b11001101110011110111101010101101 " -b11001101110011110111101010101101 4 -b10101110011111000010000000000 1 -b10101110011111000010000000000 C -b1111100111110100100001010010000 0 -b1111100111110100100001010010000 H -18 -b11001101110011110111101010101101 2 -b11001101110011110111101010101101 = -b10010101111111111101011010000100 < -b11001101110011110111101010101101 : -b110111110011111010010000101001 $ -b110111110011111010010000101001 - -b110111110011111010010000101001 5 -b110111110011111010010000101001 ? -b110111110011111010010000101001 D -b10010101111111111101011010000100 % -b10010101111111111101011010000100 . -b10010101111111111101011010000100 6 -b10010101111111111101011010000100 @ -b10010101111111111101011010000100 F -b11001101110011110111101010101101 ) -#63616000 -0& -#63632000 -b1111100010 , -#63648000 -1& -#63664000 -b10001100001101001101011010011110 " -b10001100001101001101011010011110 4 -b1100010001100001011100000000 1 -b1100010001100001011100000000 C -b11111000101000000000000000000000 0 -b11111000101000000000000000000000 H -08 -19 -b10001100001101001101011010011110 2 -b10001100001101001101011010011110 = -b1100111011100001011100010100 < -b10001100001101001101011010011110 : -b1111111010001101011111110001010 $ -b1111111010001101011111110001010 - -b1111111010001101011111110001010 5 -b1111111010001101011111110001010 ? -b1111111010001101011111110001010 D -b1100111011100001011100010100 % -b1100111011100001011100010100 . -b1100111011100001011100010100 6 -b1100111011100001011100010100 @ -b1100111011100001011100010100 F -b10001100001101001101011010011110 ) -#63680000 -0& -#63696000 -b1111100011 , -#63712000 -1& -#63728000 -b10101101101011111100011000001011 " -b10101101101011111100011000001011 4 -b101110110011111100101000010000 1 -b101110110011111100101000010000 C -b1011000110000000000000000000000 0 -b1011000110000000000000000000000 H -b10101101101011111100011000001011 2 -b10101101101011111100011000001011 = -b1111110110111111101101011110011 < -b10101101101011111100011000001011 : -b101110110011111110101100011000 $ -b101110110011111110101100011000 - -b101110110011111110101100011000 5 -b101110110011111110101100011000 ? -b101110110011111110101100011000 D -b1111110110111111101101011110011 % -b1111110110111111101101011110011 . -b1111110110111111101101011110011 6 -b1111110110111111101101011110011 @ -b1111110110111111101101011110011 F -b10101101101011111100011000001011 ) -#63744000 -0& -#63760000 -b1111100100 , -#63776000 -1& -#63792000 -b10011001110011111000010000111011 " -b10011001110011111000010000111011 4 -b10011010110101111000010010000100 1 -b10011010110101111000010010000100 C -b1101000011100000000000000000000 0 -b1101000011100000000000000000000 H -18 -09 -b10011001110011111000010000111011 2 -b10011001110011111000010000111011 = -b11011110110101111110110110110100 < -b10011001110011111000010000111011 : -b10111010111101111001011010000111 $ -b10111010111101111001011010000111 - -b10111010111101111001011010000111 5 -b10111010111101111001011010000111 ? -b10111010111101111001011010000111 D -b11011110110101111110110110110100 % -b11011110110101111110110110110100 . -b11011110110101111110110110110100 6 -b11011110110101111110110110110100 @ -b11011110110101111110110110110100 F -b10011001110011111000010000111011 ) -#63808000 -0& -#63824000 -b1111100101 , -#63840000 -1& -#63856000 -b11101110110011010010101111100111 " -b11101110110011010010101111100111 4 -b11110001010011100010110000001000 1 -b11110001010011100010110000001000 C -b110100000000000000000000000000 0 -b110100000000000000000000000000 H -b11101110110011010010101111100111 2 -b11101110110011010010101111100111 = -b11111001010111101010110100011010 < -b11101110110011010010101111100111 : -b11110101011011100111111011001101 $ -b11110101011011100111111011001101 - -b11110101011011100111111011001101 5 -b11110101011011100111111011001101 ? -b11110101011011100111111011001101 D -b11111001010111101010110100011010 % -b11111001010111101010110100011010 . -b11111001010111101010110100011010 6 -b11111001010111101010110100011010 @ -b11111001010111101010110100011010 F -b11101110110011010010101111100111 ) -#63872000 -0& -#63888000 -b1111100110 , -#63904000 -1& -#63920000 -b11101000011111101111111001111 " -b11101000011111101111111001111 4 -b11101000011111110000000000000 1 -b11101000011111110000000000000 C -b11111111111111100100010000000000 0 -b11111111111111100100010000000000 H -08 -b11101000011111101111111001111 2 -b11101000011111101111111001111 = -b1011101000011111110001101000111 < -b11101000011111101111111001111 : -b10111111111111111111110010001000 $ -b10111111111111111111110010001000 - -b10111111111111111111110010001000 5 -b10111111111111111111110010001000 ? -b10111111111111111111110010001000 D -b1011101000011111110001101000111 % -b1011101000011111110001101000111 . -b1011101000011111110001101000111 6 -b1011101000011111110001101000111 @ -b1011101000011111110001101000111 F -b11101000011111101111111001111 ) -#63936000 -0& -#63952000 -b1111100111 , -#63968000 -1& -#63984000 -b11111011110111110101110110101110 " -b11111011110111110101110110101110 4 -b1111011110111110110001001000000 1 -b1111011110111110110001001000000 C -b10111101111111111010010010100000 0 -b10111101111111111010010010100000 H -19 -08 -b11111011110111110101110110101110 2 -b11111011110111110101110110101110 = -b1111111111111110110001101100100 < -b11111011110111110101110110101110 : -b1111011110111111111101001001010 $ -b1111011110111111111101001001010 - -b1111011110111111111101001001010 5 -b1111011110111111111101001001010 ? -b1111011110111111111101001001010 D -b1111111111111110110001101100100 % -b1111111111111110110001101100100 . -b1111111111111110110001101100100 6 -b1111111111111110110001101100100 @ -b1111111111111110110001101100100 F -b11111011110111110101110110101110 ) -#64000000 -0& -#64016000 -b1111101000 , -#64032000 -1& -#64048000 -1! -b0 " -b0 4 -b0 1 -b0 C -b0 0 -b0 H -09 -08 -b0 2 -b0 = -b0 < -1# -b0 : -b0 $ -b0 - -b0 5 -b0 ? -b0 D -b0 % -b0 . -b0 6 -b0 @ -b0 F -b0 ) -b1 ( -#64064000 -0& -#64080000 -b1111101001 , -#64096000 -1& -#64112000 -0! -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11111111111111111111111111111110 2 -b11111111111111111111111111111110 = -b11111111111111111111111111111111 < -0# -b11111111111111111111111111111110 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b11111111111111111111111111111111 % -b11111111111111111111111111111111 . -b11111111111111111111111111111111 6 -b11111111111111111111111111111111 @ -b11111111111111111111111111111111 F -b11111111111111111111111111111110 ) -b0 ( -#64128000 -0& -#64144000 -b1111101010 , -#64160000 -1& -#64176000 -1! -b0 " -b0 4 -b1 1 -b1 C -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -08 -b0 2 -b0 = -b0 : -b1 < -1# -b1 % -b1 . -b1 6 -b1 @ -b1 F -b0 ) -b1 ( -#64192000 -0& -#64208000 -b1111101011 , -#64224000 -1& -#64240000 -0! -b1111000001111101000011111010110 " -b1111000001111101000011111010110 4 -b11111111111011111000100011011010 1 -b11111111111011111000100011011010 C -b11101111110110100001000010110 0 -b11101111110110100001000010110 H -1; -b1 7 -b1 A -b1 E -b1111000001111101000011111010110 2 -b1111000001111101000011111010110 = -b10100010111111101111101 < -0# -b1 * -b1 / -b1111000001111101000011111010110 : -b1110111111011010000100001011000 $ -b1110111111011010000100001011000 - -b1110111111011010000100001011000 5 -b1110111111011010000100001011000 ? -b1110111111011010000100001011000 D -b11111111101011101000000010000010 % -b11111111101011101000000010000010 . -b11111111101011101000000010000010 6 -b11111111101011101000000010000010 @ -b11111111101011101000000010000010 F -b1111000001111101000011111010110 ) -b0 ( -#64256000 -0& -#64272000 -b1111101100 , -#64288000 -1& -#64304000 -b1100000000000101000000101010011 " -b1100000000000101000000101010011 4 -b11111111111111111101101101110011 1 -b11111111111111111101101101110011 C -b11011111111111110101101001110011 0 -b11011111111111110101101001110011 H -19 -18 -b10000000000000110010011011011111 < -b1100000000000101000000101010011 2 -b1100000000000101000000101010011 = -b1100000000000101000000101010011 : -b11011111111111110101101001110011 $ -b11011111111111110101101001110011 - -b11011111111111110101101001110011 5 -b11011111111111110101101001110011 ? -b11011111111111110101101001110011 D -b1111111111111001101100100100000 % -b1111111111111001101100100100000 . -b1111111111111001101100100100000 6 -b1111111111111001101100100100000 @ -b1111111111111001101100100100000 F -b1100000000000101000000101010011 ) -#64320000 -0& -#64336000 -b1111101101 , -#64352000 -1& -#64368000 -b111111100100111111011100010000 " -b111111100100111111011100010000 4 -b1100111110111111011100111111010 1 -b1100111110111111011100111111010 C -b11001 0 -b11001 H -09 -08 -b11011000001101000100011000100101 < -b111111100100111111011100010000 2 -b111111100100111111011100010000 = -b111111100100111111011100010000 : -b1100111010111111011000011101010 $ -b1100111010111111011000011101010 - -b1100111010111111011000011101010 5 -b1100111010111111011000011101010 ? -b1100111010111111011000011101010 D -b100111110010111011100111011010 % -b100111110010111011100111011010 . -b100111110010111011100111011010 6 -b100111110010111011100111011010 @ -b100111110010111011100111011010 F -b111111100100111111011100010000 ) -#64384000 -0& -#64400000 -b1111101110 , -#64416000 -1& -#64432000 -b110100010110111000111111010100 " -b110100010110111000111111010100 4 -b11111111111111011101011111111101 1 -b11111111111111011101011111111101 C -b1010101 0 -b1010101 H -19 -18 -b10001000010111101011100011100110 < -b110100010110111000111111010100 2 -b110100010110111000111111010100 = -b110100010110111000111111010100 : -b10101011111111001101011011101101 $ -b10101011111111001101011011101101 - -b10101011111111001101011011101101 5 -b10101011111111001101011011101101 ? -b10101011111111001101011011101101 D -b1110111101000010100011100011001 % -b1110111101000010100011100011001 . -b1110111101000010100011100011001 6 -b1110111101000010100011100011001 @ -b1110111101000010100011100011001 F -b110100010110111000111111010100 ) -#64448000 -0& -#64464000 -b1111101111 , -#64480000 -1& -#64496000 -b10000101001110111101111101010101 " -b10000101001110111101111101010101 4 -b11110111111011111010101101111111 1 -b11110111111011111010101101111111 C -b11110111111 0 -b11110111111 H -09 -18 -b10001101010100000101010011101010 < -b10000101001110111101111101010101 2 -b10000101001110111101111101010101 = -b10000101001110111101111101010101 : -b11110111111010111000101001101010 $ -b11110111111010111000101001101010 - -b11110111111010111000101001101010 5 -b11110111111010111000101001101010 ? -b11110111111010111000101001101010 D -b1110010101011111010101100010101 % -b1110010101011111010101100010101 . -b1110010101011111010101100010101 6 -b1110010101011111010101100010101 @ -b1110010101011111010101100010101 F -b10000101001110111101111101010101 ) -#64512000 -0& -#64528000 -b1111110000 , -#64544000 -1& -#64560000 -b1001001111011001000000111011111 " -b1001001111011001000000111011111 4 -b11111010111101111111011101110001 1 -b11111010111101111111011101110001 C -b1110101110010 0 -b1110101110010 H -08 -09 -b1111000010000000110010001110 < -b1001001111011001000000111011111 2 -b1001001111011001000000111011111 = -b1001001111011001000000111011111 : -b111010111001000111010101010000 $ -b111010111001000111010101010000 - -b111010111001000111010101010000 5 -b111010111001000111010101010000 ? -b111010111001000111010101010000 D -b11110000111101111111001101110001 % -b11110000111101111111001101110001 . -b11110000111101111111001101110001 6 -b11110000111101111111001101110001 @ -b11110000111101111111001101110001 F -b1001001111011001000000111011111 ) -#64576000 -0& -#64592000 -b1111110001 , -#64608000 -1& -#64624000 -b10010100111110000100110110001011 " -b10010100111110000100110110001011 4 -b11111111111111111111111111111011 1 -b11111111111111111111111111111011 C -b1111001111110111 0 -b1111001111110111 H -18 -09 -b10100001000000001010000110001111 < -b10010100111110000100110110001011 2 -b10010100111110000100110110001011 = -b10010100111110000100110110001011 : -b11110011111101111010101111111011 $ -b11110011111101111010101111111011 - -b11110011111101111010101111111011 5 -b11110011111101111010101111111011 ? -b11110011111101111010101111111011 D -b1011110111111110101111001110000 % -b1011110111111110101111001110000 . -b1011110111111110101111001110000 6 -b1011110111111110101111001110000 @ -b1011110111111110101111001110000 F -b10010100111110000100110110001011 ) -#64640000 -0& -#64656000 -b1111110010 , -#64672000 -1& -#64688000 -b10101100111111011001110001000001 " -b10101100111111011001110001000001 4 -b11111111111111111011010011111111 1 -b11111111111111111011010011111111 C -b1111 0 -b1111 H -19 -08 -b110000000000000110101110000100 < -b10101100111111011001110001000001 2 -b10101100111111011001110001000001 = -b10101100111111011001110001000001 : -b1111100111111010011000010111100 $ -b1111100111111010011000010111100 - -b1111100111111010011000010111100 5 -b1111100111111010011000010111100 ? -b1111100111111010011000010111100 D -b11001111111111111001010001111011 % -b11001111111111111001010001111011 . -b11001111111111111001010001111011 6 -b11001111111111111001010001111011 @ -b11001111111111111001010001111011 F -b10101100111111011001110001000001 ) -#64704000 -0& -#64720000 -b1111110011 , -#64736000 -1& -#64752000 -b10100010000000110011101101100000 " -b10100010000000110011101101100000 4 -b11111111111111111111110101101010 1 -b11111111111111111111110101101010 C -b111111111111110111101 0 -b111111111111110111101 H -b100010000001000100011011110101 < -b10100010000000110011101101100000 2 -b10100010000000110011101101100000 = -b10100010000000110011101101100000 : -b1111111111111101111010001101010 $ -b1111111111111101111010001101010 - -b1111111111111101111010001101010 5 -b1111111111111101111010001101010 ? -b1111111111111101111010001101010 D -b11011101111110111011100100001010 % -b11011101111110111011100100001010 . -b11011101111110111011100100001010 6 -b11011101111110111011100100001010 @ -b11011101111110111011100100001010 F -b10100010000000110011101101100000 ) -#64768000 -0& -#64784000 -b1111110100 , -#64800000 -1& -#64816000 -b1111111111100000011110010101000 " -b1111111111100000011110010101000 4 -b11111111111111111111010111111001 1 -b11111111111111111111010111111001 C -b11111111110111 0 -b11111111110111 H -09 -08 -b1000101100001110 < -b1111111111100000011110010101000 2 -b1111111111100000011110010101000 = -b1111111111100000011110010101000 : -b1111111111011111011000110011001 $ -b1111111111011111011000110011001 - -b1111111111011111011000110011001 5 -b1111111111011111011000110011001 ? -b1111111111011111011000110011001 D -b11111111111111110111010011110001 % -b11111111111111110111010011110001 . -b11111111111111110111010011110001 6 -b11111111111111110111010011110001 @ -b11111111111111110111010011110001 F -b1111111111100000011110010101000 ) -#64832000 -0& -#64848000 -b1111110101 , -#64864000 -1& -#64880000 -b10000011000100011011111001110101 " -b10000011000100011011111001110101 4 -b11011111111111111111111110011101 1 -b11011111111111111111111110011101 C -b110111110000 0 -b110111110000 H -18 -b10100100000000101100111101101011 < -b10000011000100011011111001110101 2 -b10000011000100011011111001110101 = -b10000011000100011011111001110101 : -b11011111000011101110111100001001 $ -b11011111000011101110111100001001 - -b11011111000011101110111100001001 5 -b11011111000011101110111100001001 ? -b11011111000011101110111100001001 D -b1011011111111010011000010010100 % -b1011011111111010011000010010100 . -b1011011111111010011000010010100 6 -b1011011111111010011000010010100 @ -b1011011111111010011000010010100 F -b10000011000100011011111001110101 ) -#64896000 -0& -#64912000 -b1111110110 , -#64928000 -1& -#64944000 -b1111111000001110001000111001100 " -b1111111000001110001000111001100 4 -b11111111111111110111111111010100 1 -b11111111111111110111111111010100 C -b111110111111110011111111001 0 -b111110111111110011111111001 H -08 -b1000010001001001000111011 < -b1111111000001110001000111001100 2 -b1111111000001110001000111001100 = -b1111111000001110001000111001100 : -b1111101111111100111111110010000 $ -b1111101111111100111111110010000 - -b1111101111111100111111110010000 5 -b1111101111111100111111110010000 ? -b1111101111111100111111110010000 D -b11111110111101110110110111000100 % -b11111110111101110110110111000100 . -b11111110111101110110110111000100 6 -b11111110111101110110110111000100 @ -b11111110111101110110110111000100 F -b1111111000001110001000111001100 ) -#64960000 -0& -#64976000 -b1111110111 , -#64992000 -1& -#65008000 -b10010101110010111101001100 " -b10010101110010111101001100 4 -b1111011111111011111000111111100 1 -b1111011111111011111000111111100 C -b1111011110101001110 0 -b1111011110101001110 H -b10000110100000100100111010010011 < -b10010101110010111101001100 2 -b10010101110010111101001100 = -b10010101110010111101001100 : -b1111011110101001110000010111000 $ -b1111011110101001110000010111000 - -b1111011110101001110000010111000 5 -b1111011110101001110000010111000 ? -b1111011110101001110000010111000 D -b1111001011111011011000101101100 % -b1111001011111011011000101101100 . -b1111001011111011011000101101100 6 -b1111001011111011011000101101100 @ -b1111001011111011011000101101100 F -b10010101110010111101001100 ) -#65024000 -0& -#65040000 -b1111111000 , -#65056000 -1& -#65072000 -b10011110000110110110011101011110 " -b10011110000110110110011101011110 4 -b11111111111111111110110111111111 1 -b11111111111111111110110111111111 C -b10 0 -b10 H -19 -08 -b1000010000111000011101010100010 < -b10011110000110110110011101011110 2 -b10011110000110110110011101011110 = -b10011110000110110110011101011110 : -b1011011111111110010110010111011 $ -b1011011111111110010110010111011 - -b1011011111111110010110010111011 5 -b1011011111111110010110010111011 ? -b1011011111111110010110010111011 D -b10111101111000111100010101011101 % -b10111101111000111100010101011101 . -b10111101111000111100010101011101 6 -b10111101111000111100010101011101 @ -b10111101111000111100010101011101 F -b10011110000110110110011101011110 ) -#65088000 -0& -#65104000 -b1111111001 , -#65120000 -1& -#65136000 -b11110000001000000010010000101110 " -b11110000001000000010010000101110 4 -b1111111111111110111110111011110 1 -b1111111111111110111110111011110 C -b110 0 -b110 H -18 -09 -b10000000001000001100011001100011 < -b11110000001000000010010000101110 2 -b11110000001000000010010000101110 = -b11110000001000000010010000101110 : -b1101111111111110101110111001010 $ -b1101111111111110101110111001010 - -b1101111111111110101110111001010 5 -b1101111111111110101110111001010 ? -b1101111111111110101110111001010 D -b1111111110111110011100110011100 % -b1111111110111110011100110011100 . -b1111111110111110011100110011100 6 -b1111111110111110011100110011100 @ -b1111111110111110011100110011100 F -b11110000001000000010010000101110 ) -#65152000 -0& -#65168000 -b1111111010 , -#65184000 -1& -#65200000 -b1010101110001100011001111100011 " -b1010101110001100011001111100011 4 -b1111111111111111101111110111101 1 -b1111111111111111101111110111101 C -b1110011101 0 -b1110011101 H -08 -b11100010000001100110010001001010 < -b1010101110001100011001111100011 2 -b1010101110001100011001111100011 = -b1010101110001100011001111100011 : -b1110011101111111100111110011000 $ -b1110011101111111100111110011000 - -b1110011101111111100111110011000 5 -b1110011101111111100111110011000 ? -b1110011101111111100111110011000 D -b11101111110011001101110110101 % -b11101111110011001101110110101 . -b11101111110011001101110110101 6 -b11101111110011001101110110101 @ -b11101111110011001101110110101 F -b1010101110001100011001111100011 ) -#65216000 -0& -#65232000 -b1111111011 , -#65248000 -1& -#65264000 -b11011111011111100001011011101001 " -b11011111011111100001011011101001 4 -b11111111111111111101111101101011 1 -b11111111111111111101111101101011 C -b110111110111101111011111010010 0 -b110111110111101111011111010010 H -18 -b100011011110011101 < -b11011111011111100001011011101001 2 -b11011111011111100001011011101001 = -b11011111011111100001011011101001 : -b11011111011110111101111101001011 $ -b11011111011110111101111101001011 - -b11011111011110111101111101001011 5 -b11011111011110111101111101001011 ? -b11011111011110111101111101001011 D -b11111111111111011100100001100010 % -b11111111111111011100100001100010 . -b11111111111111011100100001100010 6 -b11111111111111011100100001100010 @ -b11111111111111011100100001100010 F -b11011111011111100001011011101001 ) -#65280000 -0& -#65296000 -b1111111100 , -#65312000 -1& -#65328000 -b10110101010101110111011111111111 " -b10110101010101110111011111111111 4 -b11111111111111111101110011001111 1 -b11111111111111111101110011001111 C -b11110101001111110101 0 -b11110101001111110101 H -b11000000000110000010001100110011 < -b10110101010101110111011111111111 2 -b10110101010101110111011111111111 = -b10110101010101110111011111111111 : -b11110101001111110101010011001011 $ -b11110101001111110101010011001011 - -b11110101001111110101010011001011 5 -b11110101001111110101010011001011 ? -b11110101001111110101010011001011 D -b111111111001111101110011001100 % -b111111111001111101110011001100 . -b111111111001111101110011001100 6 -b111111111001111101110011001100 @ -b111111111001111101110011001100 F -b10110101010101110111011111111111 ) -#65344000 -0& -#65360000 -b1111111101 , -#65376000 -1& -#65392000 -b101101111011110001000100011 " -b101101111011110001000100011 4 -b11111111110111111011111111101011 1 -b11111111110111111011111111101011 C -b111110111001011110011111 0 -b111110111001011110011111 H -08 -b1010001001100100001001010111 < -b101101111011110001000100011 2 -b101101111011110001000100011 = -b101101111011110001000100011 : -b11111011100101111001111111001011 $ -b11111011100101111001111111001011 - -b11111011100101111001111111001011 5 -b11111011100101111001111111001011 ? -b11111011100101111001111111001011 D -b11110101110110011011110110101000 % -b11110101110110011011110110101000 . -b11110101110110011011110110101000 6 -b11110101110110011011110110101000 @ -b11110101110110011011110110101000 F -b101101111011110001000100011 ) -#65408000 -0& -#65424000 -b1111111110 , -#65440000 -1& -#65456000 -b1111100101110011110101010111101 " -b1111100101110011110101010111101 4 -b11111111111111111101011101111111 1 -b11111111111111111101011101111111 C -b111101 0 -b111101 H -08 -b110001000010100110000110 < -b1111100101110011110101010111101 2 -b1111100101110011110101010111101 = -b1111100101110011110101010111101 : -b1111011111101011100000100110110 $ -b1111011111101011100000100110110 - -b1111011111101011100000100110110 5 -b1111011111101011100000100110110 ? -b1111011111101011100000100110110 D -b11111111001110111101011001111001 % -b11111111001110111101011001111001 . -b11111111001110111101011001111001 6 -b11111111001110111101011001111001 @ -b11111111001110111101011001111001 F -b1111100101110011110101010111101 ) -#65472000 -0& -#65488000 -b1111111111 , -#65504000 -1& -#65520000 -b1000000010000000011100000011101 " -b1000000010000000011100000011101 4 -b11101111110110111110100000111111 1 -b11101111110110111110100000111111 C -b1010111 0 -b1010111 H -19 -18 -b10010000011001000101011111100110 < -b1000000010000000011100000011101 2 -b1000000010000000011100000011101 = -b1000000010000000011100000011101 : -b10101111110110111110000000110110 $ -b10101111110110111110000000110110 - -b10101111110110111110000000110110 5 -b10101111110110111110000000110110 ? -b10101111110110111110000000110110 D -b1101111100110111010100000011001 % -b1101111100110111010100000011001 . -b1101111100110111010100000011001 6 -b1101111100110111010100000011001 @ -b1101111100110111010100000011001 F -b1000000010000000011100000011101 ) -#65536000 -0& -#65552000 -b10000000000 , -#65568000 -1& -#65584000 -b10011000111111101010101011011101 " -b10011000111111101010101011011101 4 -b11111111111111111101110100111011 1 -b11111111111111111101110100111011 C -b1110 0 -b1110 H -08 -19 -b100010000000001110001011000100 < -b10011000111111101010101011011101 2 -b10011000111111101010101011011101 = -b10011000111111101010101011011101 : -b1110110111111011100100000011000 $ -b1110110111111011100100000011000 - -b1110110111111011100100000011000 5 -b1110110111111011100100000011000 ? -b1110110111111011100100000011000 D -b11011101111111110001110100111011 % -b11011101111111110001110100111011 . -b11011101111111110001110100111011 6 -b11011101111111110001110100111011 @ -b11011101111111110001110100111011 F -b10011000111111101010101011011101 ) -#65600000 -0& -#65616000 -b10000000001 , -#65632000 -1& -#65648000 -b10010111100111101100111000 " -b10010111100111101100111000 4 -b1111011111101111111111111011100 1 -b1111011111101111111111111011100 C -b11110110011 0 -b11110110011 H -09 -08 -b10000111001010001000110010101011 < -b10010111100111101100111000 2 -b10010111100111101100111000 = -b10010111100111101100111000 : -b1111011001101011110111010001100 $ -b1111011001101011110111010001100 - -b1111011001101011110111010001100 5 -b1111011001101011110111010001100 ? -b1111011001101011110111010001100 D -b1111000110101110111001101010100 % -b1111000110101110111001101010100 . -b1111000110101110111001101010100 6 -b1111000110101110111001101010100 @ -b1111000110101110111001101010100 F -b10010111100111101100111000 ) -#65664000 -0& -#65680000 -b10000000010 , -#65696000 -1& -#65712000 -b10111011101110111100111011011111 " -b10111011101110111100111011011111 4 -b11111111111111111101101111110011 1 -b11111111111111111101101111110011 C -b1111101101110 0 -b1111101101110 H -18 -b11000000010001000111010011101100 < -b10111011101110111100111011011111 2 -b10111011101110111100111011011111 = -b10111011101110111100111011011111 : -b11111011011101110101100111110010 $ -b11111011011101110101100111110010 - -b11111011011101110101100111110010 5 -b11111011011101110101100111110010 ? -b11111011011101110101100111110010 D -b111111101110111000101100010011 % -b111111101110111000101100010011 . -b111111101110111000101100010011 6 -b111111101110111000101100010011 @ -b111111101110111000101100010011 F -b10111011101110111100111011011111 ) -#65728000 -0& -#65744000 -b10000000011 , -#65760000 -1& -#65776000 -b11000001101110000111001000011010 " -b11000001101110000111001000011010 4 -b11111110111111111001001001011110 1 -b11111110111111111001001001011110 C -b1111111001110111100000100101 0 -b1111111001110111100000100101 H -b11000011010000001110111110111011 < -b11000001101110000111001000011010 2 -b11000001101110000111001000011010 = -b11000001101110000111001000011010 : -b11111110011101111000001001011110 $ -b11111110011101111000001001011110 - -b11111110011101111000001001011110 5 -b11111110011101111000001001011110 ? -b11111110011101111000001001011110 D -b111100101111110001000001000100 % -b111100101111110001000001000100 . -b111100101111110001000001000100 6 -b111100101111110001000001000100 @ -b111100101111110001000001000100 F -b11000001101110000111001000011010 ) -#65792000 -0& -#65808000 -b10000000100 , -#65824000 -1& -#65840000 -b10010010100011000111111101110010 " -b10010010100011000111111101110010 4 -b11111111111101111000011011111111 1 -b11111111111101111000011011111111 C -b1111111101110011100001100 0 -b1111111101110011100001100 H -b10010011000110001111100100111000 < -b10010010100011000111111101110010 2 -b10010010100011000111111101110010 = -b10010010100011000111111101110010 : -b11111111011100111000011000111001 $ -b11111111011100111000011000111001 - -b11111111011100111000011000111001 5 -b11111111011100111000011000111001 ? -b11111111011100111000011000111001 D -b1101100111001110000011011000111 % -b1101100111001110000011011000111 . -b1101100111001110000011011000111 6 -b1101100111001110000011011000111 @ -b1101100111001110000011011000111 F -b10010010100011000111111101110010 ) -#65856000 -0& -#65872000 -b10000000101 , -#65888000 -1& -#65904000 -b10111010010100101010111111011110 " -b10111010010100101010111111011110 4 -b1111110111111111011001001110110 1 -b1111110111111111011001001110110 C -b11011011 0 -b11011011 H -18 -09 -b10000011011000101111110110101001 < -b10111010010100101010111111011110 2 -b10111010010100101010111111011110 = -b10111010010100101010111111011110 : -b110110111011111011001000110100 $ -b110110111011111011001000110100 - -b110110111011111011001000110100 5 -b110110111011111011001000110100 ? -b110110111011111011001000110100 D -b1111100100111010000001001010110 % -b1111100100111010000001001010110 . -b1111100100111010000001001010110 6 -b1111100100111010000001001010110 @ -b1111100100111010000001001010110 F -b10111010010100101010111111011110 ) -#65920000 -0& -#65936000 -b10000000110 , -#65952000 -1& -#65968000 -b11111111101100000101011111110 " -b11111111101100000101011111110 4 -b1111111111111111101011100001010 1 -b1111111111111111101011100001010 C -b111111111110011110100 0 -b111111111110011110100 H -08 -b10100000000000100011100011110101 < -b11111111101100000101011111110 2 -b11111111101100000101011111110 = -b11111111101100000101011111110 : -b1111111111100111101001000001000 $ -b1111111111100111101001000001000 - -b1111111111100111101001000001000 5 -b1111111111100111101001000001000 ? -b1111111111100111101001000001000 D -b1011111111111011100011100001010 % -b1011111111111011100011100001010 . -b1011111111111011100011100001010 6 -b1011111111111011100011100001010 @ -b1011111111111011100011100001010 F -b11111111101100000101011111110 ) -#65984000 -0& -#66000000 -b10000000111 , -#66016000 -1& -#66032000 -b10011100001000101001110101100000 " -b10011100001000101001110101100000 4 -b11111111111011111111111101101101 1 -b11111111111011111111111101101101 C -b1001101111101110011 0 -b1001101111101110011 H -18 -b1101000010000011110010 < -b10011100001000101001110101100000 2 -b10011100001000101001110101100000 = -b10011100001000101001110101100000 : -b10011011111011100111110001101101 $ -b10011011111011100111110001101101 - -b10011011111011100111110001101101 5 -b10011011111011100111110001101101 ? -b10011011111011100111110001101101 D -b11111111110010111101111100001101 % -b11111111110010111101111100001101 . -b11111111110010111101111100001101 6 -b11111111110010111101111100001101 @ -b11111111110010111101111100001101 F -b10011100001000101001110101100000 ) -#66048000 -0& -#66064000 -b10000001000 , -#66080000 -1& -#66096000 -b11010111001100111110011011011001 " -b11010111001100111110011011011001 4 -b1111011111111110011111111100111 1 -b1111011111111110011111111100111 C -b101001010101011000111011 0 -b101001010101011000111011 H -18 -b10000100100010001100100100011000 < -b11010111001100111110011011011001 2 -b11010111001100111110011011011001 = -b11010111001100111110011011011001 : -b1010010101010110001110111000000 $ -b1010010101010110001110111000000 - -b1010010101010110001110111000000 5 -b1010010101010110001110111000000 ? -b1010010101010110001110111000000 D -b1111011011101110011011011100111 % -b1111011011101110011011011100111 . -b1111011011101110011011011100111 6 -b1111011011101110011011011100111 @ -b1111011011101110011011011100111 F -b11010111001100111110011011011001 ) -#66112000 -0& -#66128000 -b10000001001 , -#66144000 -1& -#66160000 -b1010110011000100111111000010110 " -b1010110011000100111111000010110 4 -b11111111111111111100111001111111 1 -b11111111111111111100111001111111 C -b1 0 -b1 H -19 -18 -b10100000011000101011000110100000 < -b1010110011000100111111000010110 2 -b1010110011000100111111000010110 = -b1010110011000100111111000010110 : -b10110101111111111100110001110101 $ -b10110101111111111100110001110101 - -b10110101111111111100110001110101 5 -b10110101111111111100110001110101 ? -b10110101111111111100110001110101 D -b1011111100111010100111001011111 % -b1011111100111010100111001011111 . -b1011111100111010100111001011111 6 -b1011111100111010100111001011111 @ -b1011111100111010100111001011111 F -b1010110011000100111111000010110 ) -#66176000 -0& -#66192000 -b10000001010 , -#66208000 -1& -#66224000 -b1000100001001010011101100 " -b1000100001001010011101100 4 -b1111111111111111011111101010100 1 -b1111111111111111011111101010100 C -b11111111111 0 -b11111111111 H -08 -09 -b10000001000101000110100010101011 < -b1000100001001010011101100 2 -b1000100001001010011101100 = -b1000100001001010011101100 : -b1111111111111000010110001000000 $ -b1111111111111000010110001000000 - -b1111111111111000010110001000000 5 -b1111111111111000010110001000000 ? -b1111111111111000010110001000000 D -b1111110111010111001011101010100 % -b1111110111010111001011101010100 . -b1111110111010111001011101010100 6 -b1111110111010111001011101010100 @ -b1111110111010111001011101010100 F -b1000100001001010011101100 ) -#66240000 -0& -#66256000 -b10000001011 , -#66272000 -1& -#66288000 -b11100110100000100100110110101 " -b11100110100000100100110110101 4 -b1111111111111111111111111110111 1 -b1111111111111111111111111110111 C -b11110111111111111000111010101 0 -b11110111111111111000111010101 H -08 -b10100000110100001000001001011101 < -b11100110100000100100110110101 2 -b11100110100000100100110110101 = -b11100110100000100100110110101 : -b1111011111111111100011101010111 $ -b1111011111111111100011101010111 - -b1111011111111111100011101010111 5 -b1111011111111111100011101010111 ? -b1111011111111111100011101010111 D -b1011111001011110111110110100010 % -b1011111001011110111110110100010 . -b1011111001011110111110110100010 6 -b1011111001011110111110110100010 @ -b1011111001011110111110110100010 F -b11100110100000100100110110101 ) -#66304000 -0& -#66320000 -b10000001100 , -#66336000 -1& -#66352000 -b1111010001010111100110011100001 " -b1111010001010111100110011100001 4 -b10101111011111111111110111110111 1 -b10101111011111111111110111110111 C -b101001011 0 -b101001011 H -08 -b1010000101100001110011101101010 < -b1111010001010111100110011100001 2 -b1111010001010111100110011100001 = -b1111010001010111100110011100001 : -b101001011110101110010101110110 $ -b101001011110101110010101110110 - -b101001011110101110010101110110 5 -b101001011110101110010101110110 ? -b101001011110101110010101110110 D -b10101111010011110001100010010101 % -b10101111010011110001100010010101 . -b10101111010011110001100010010101 6 -b10101111010011110001100010010101 @ -b10101111010011110001100010010101 F -b1111010001010111100110011100001 ) -#66368000 -0& -#66384000 -b10000001101 , -#66400000 -1& -#66416000 -b10000110011000110001100110111101 " -b10000110011000110001100110111101 4 -b11111111111111110011111011101101 1 -b11111111111111110011111011101101 C -b11111111111111100010 0 -b11111111111111100010 H -18 -09 -b10000110011001001110101100010011 < -b10000110011000110001100110111101 2 -b10000110011000110001100110111101 = -b10000110011000110001100110111101 : -b11111111111111100010111010101001 $ -b11111111111111100010111010101001 - -b11111111111111100010111010101001 5 -b11111111111111100010111010101001 ? -b11111111111111100010111010101001 D -b1111001100110110001010011101100 % -b1111001100110110001010011101100 . -b1111001100110110001010011101100 6 -b1111001100110110001010011101100 @ -b1111001100110110001010011101100 F -b10000110011000110001100110111101 ) -#66432000 -0& -#66448000 -b10000001110 , -#66464000 -1& -#66480000 -b11110101100001011100001111110101 " -b11110101100001011100001111110101 4 -b11111111111111111101011010001111 1 -b11111111111111111101011010001111 C -b11101111011110111 0 -b11101111011110111 H -09 -18 -b110000010011110110101110000 < -b11110101100001011100001111110101 2 -b11110101100001011100001111110101 = -b11110101100001011100001111110101 : -b11101111011110111101011010000100 $ -b11101111011110111101011010000100 - -b11101111011110111101011010000100 5 -b11101111011110111101011010000100 ? -b11101111011110111101011010000100 D -b11111001111101100001001010001111 % -b11111001111101100001001010001111 . -b11111001111101100001001010001111 6 -b11111001111101100001001010001111 @ -b11111001111101100001001010001111 F -b11110101100001011100001111110101 ) -#66496000 -0& -#66512000 -b10000001111 , -#66528000 -1& -#66544000 -b1010001100111100101110000011010 " -b1010001100111100101110000011010 4 -b11111011111111101111011001111111 1 -b11111011111111101111011001111111 C -b10111011011111001101001001111 0 -b10111011011111001101001001111 H -19 -18 -b10010110001000011000100110011100 < -b1010001100111100101110000011010 2 -b1010001100111100101110000011010 = -b1010001100111100101110000011010 : -b10111011011111001101001001111101 $ -b10111011011111001101001001111101 - -b10111011011111001101001001111101 5 -b10111011011111001101001001111101 ? -b10111011011111001101001001111101 D -b1101001110111100111011001100011 % -b1101001110111100111011001100011 . -b1101001110111100111011001100011 6 -b1101001110111100111011001100011 @ -b1101001110111100111011001100011 F -b1010001100111100101110000011010 ) -#66560000 -0& -#66576000 -b10000010000 , -#66592000 -1& -#66608000 -b10010100000010110100110011111011 " -b10010100000010110100110011111011 4 -b11111110111111111111111100001101 1 -b11111110111111111111111100001101 C -b11111110111111111111011 0 -b11111110111111111111011 H -09 -18 -b10010101000010110101010111110110 < -b10010100000010110100110011111011 2 -b10010100000010110100110011111011 = -b10010100000010110100110011111011 : -b11111110111111111111011100000100 $ -b11111110111111111111011100000100 - -b11111110111111111111011100000100 5 -b11111110111111111111011100000100 ? -b11111110111111111111011100000100 D -b1101010111101001010101000001001 % -b1101010111101001010101000001001 . -b1101010111101001010101000001001 6 -b1101010111101001010101000001001 @ -b1101010111101001010101000001001 F -b10010100000010110100110011111011 ) -#66624000 -0& -#66640000 -b10000010001 , -#66656000 -1& -#66672000 -b11000111111100111110101001010100 " -b11000111111100111110101001010100 4 -b1111111011111011111111011111111 1 -b1111111011111011111111011111111 C -b110 0 -b110 H -18 -09 -b10010000100001100000000110100100 < -b11000111111100111110101001010100 2 -b11000111111100111110101001010100 = -b11000111111100111110101001010100 : -b110111011011011110100010101111 $ -b110111011011011110100010101111 - -b110111011011011110100010101111 5 -b110111011011011110100010101111 ? -b110111011011011110100010101111 D -b1101111011110011111111001011011 % -b1101111011110011111111001011011 . -b1101111011110011111111001011011 6 -b1101111011110011111111001011011 @ -b1101111011110011111111001011011 F -b11000111111100111110101001010100 ) -#66688000 -0& -#66704000 -b10000010010 , -#66720000 -1& -#66736000 -b10110001100111111111111100001001 " -b10110001100111111111111100001001 4 -b11111111111111111010100110111111 1 -b11111111111111111010100110111111 C -b111110101 0 -b111110101 H -19 -08 -b110100001000000101011001001001 < -b10110001100111111111111100001001 2 -b10110001100111111111111100001001 = -b10110001100111111111111100001001 : -b1111101011111111010100010111111 $ -b1111101011111111010100010111111 - -b1111101011111111010100010111111 5 -b1111101011111111010100010111111 ? -b1111101011111111010100010111111 D -b11001011110111111010100110110110 % -b11001011110111111010100110110110 . -b11001011110111111010100110110110 6 -b11001011110111111010100110110110 @ -b11001011110111111010100110110110 F -b10110001100111111111111100001001 ) -#66752000 -0& -#66768000 -b10000010011 , -#66784000 -1& -#66800000 -b11010110001100000110111001111010 " -b11010110001100000110111001111010 4 -b11111111111111011111101011011110 1 -b11111111111111011111101011011110 C -b1 0 -b1 H -b1100010010000101001010110100001 < -b11010110001100000110111001111010 2 -b11010110001100000110111001111010 = -b11010110001100000110111001111010 : -b1110011111011011101100011011000 $ -b1110011111011011101100011011000 - -b1110011111011011101100011011000 5 -b1110011111011011101100011011000 ? -b1110011111011011101100011011000 D -b10011101101111010110101001011110 % -b10011101101111010110101001011110 . -b10011101101111010110101001011110 6 -b10011101101111010110101001011110 @ -b10011101101111010110101001011110 F -b11010110001100000110111001111010 ) -#66816000 -0& -#66832000 -b10000010100 , -#66848000 -1& -#66864000 -b1010110101000001000011001110111 " -b1010110101000001000011001110111 4 -b11111111111111111001101010001111 1 -b11111111111111111001101010001111 C -b10101100111111000 0 -b10101100111111000 H -09 -08 -b1000100110110111110001 < -b1010110101000001000011001110111 2 -b1010110101000001000011001110111 = -b1010110101000001000011001110111 : -b1010110011111100001100010000101 $ -b1010110011111100001100010000101 - -b1010110011111100001100010000101 5 -b1010110011111100001100010000101 ? -b1010110011111100001100010000101 D -b11111111110111011001001000001110 % -b11111111110111011001001000001110 . -b11111111110111011001001000001110 6 -b11111111110111011001001000001110 @ -b11111111110111011001001000001110 F -b1010110101000001000011001110111 ) -#66880000 -0& -#66896000 -b10000010101 , -#66912000 -1& -#66928000 -b11111100110111110001011011011101 " -b11111100110111110001011011011101 4 -b11111111111111011111101100111111 1 -b11111111111111011111101100111111 C -b11111010110111 0 -b11111010110111 H -18 -b10000000101000110011001101 < -b11111100110111110001011011011101 2 -b11111100110111110001011011011101 = -b11111100110111110001011011011101 : -b11111010110111001000101000001111 $ -b11111010110111001000101000001111 - -b11111010110111001000101000001111 5 -b11111010110111001000101000001111 ? -b11111010110111001000101000001111 D -b11111101111111010111001100110010 % -b11111101111111010111001100110010 . -b11111101111111010111001100110010 6 -b11111101111111010111001100110010 @ -b11111101111111010111001100110010 F -b11111100110111110001011011011101 ) -#66944000 -0& -#66960000 -b10000010110 , -#66976000 -1& -#66992000 -b11111101011011111000001110011011 " -b11111101011011111000001110011011 4 -b11111111110111111000111011111101 1 -b11111111110111111000111011111101 C -b111 0 -b111 H -b1000011111100100100010 < -b11111101011011111000001110011011 2 -b11111101011011111000001110011011 = -b11111101011011111000001110011011 : -b11111101010011011000101001111000 $ -b11111101010011011000101001111000 - -b11111101010011011000101001111000 5 -b11111101010011011000101001111000 ? -b11111101010011011000101001111000 D -b11111111110111100000011011011101 % -b11111111110111100000011011011101 . -b11111111110111100000011011011101 6 -b11111111110111100000011011011101 @ -b11111111110111100000011011011101 F -b11111101011011111000001110011011 ) -#67008000 -0& -#67024000 -b10000010111 , -#67040000 -1& -#67056000 -b1111010011101100101001100011 " -b1111010011101100101001100011 4 -b11111111011111110011011111101011 1 -b11111111011111110011011111101011 C -b111110110110111000000001 0 -b111110110110111000000001 H -08 -b10011111000001100100010010111 < -b1111010011101100101001100011 2 -b1111010011101100101001100011 = -b1111010011101100101001100011 : -b11111011011011100000000111001011 $ -b11111011011011100000000111001011 - -b11111011011011100000000111001011 5 -b11111011011011100000000111001011 ? -b11111011011011100000000111001011 D -b11101100000111110011011101101000 % -b11101100000111110011011101101000 . -b11101100000111110011011101101000 6 -b11101100000111110011011101101000 @ -b11101100000111110011011101101000 F -b1111010011101100101001100011 ) -#67072000 -0& -#67088000 -b10000011000 , -#67104000 -1& -#67120000 -b10111010100011111000100111001111 " -b10111010100011111000100111001111 4 -b11111111111111011011101111001111 1 -b11111111111111011011101111001111 C -b10110111111111010011001110001111 0 -b10110111111111010011001110001111 H -18 -b10100100100101011000111111 < -b10111010100011111000100111001111 2 -b10111010100011111000100111001111 = -b10111010100011111000100111001111 : -b10110111111111010011001110001111 $ -b10110111111111010011001110001111 - -b10110111111111010011001110001111 5 -b10110111111111010011001110001111 ? -b10110111111111010011001110001111 D -b11111101011011011010100111000000 % -b11111101011011011010100111000000 . -b11111101011011011010100111000000 6 -b11111101011011011010100111000000 @ -b11111101011011011010100111000000 F -b10111010100011111000100111001111 ) -#67136000 -0& -#67152000 -b10000011001 , -#67168000 -1& -#67184000 -b11010000010010100101011111110011 " -b11010000010010100101011111110011 4 -b11111111111111111111101000011111 1 -b11111111111111111111101000011111 C -b110011 0 -b110011 H -b1000101010000010111100101 < -b11010000010010100101011111110011 2 -b11010000010010100101011111110011 = -b11010000010010100101011111110011 : -b11001111001101010101001000001101 $ -b11001111001101010101001000001101 - -b11001111001101010101001000001101 5 -b11001111001101010101001000001101 ? -b11001111001101010101001000001101 D -b11111110111010101111101000011010 % -b11111110111010101111101000011010 . -b11111110111010101111101000011010 6 -b11111110111010101111101000011010 @ -b11111110111010101111101000011010 F -b11010000010010100101011111110011 ) -#67200000 -0& -#67216000 -b10000011010 , -#67232000 -1& -#67248000 -b11111001000000010111000110010001 " -b11111001000000010111000110010001 4 -b11111011111111111101101111111111 1 -b11111011111111111101101111111111 C -b111 0 -b111 H -b1101000001001010011010100010 < -b11111001000000010111000110010001 2 -b11111001000000010111000110010001 = -b11111001000000010111000110010001 : -b11101011111111001100101011101110 $ -b11101011111111001100101011101110 - -b11101011111111001100101011101110 5 -b11101011111111001100101011101110 ? -b11101011111111001100101011101110 D -b11110010111110110101100101011101 % -b11110010111110110101100101011101 . -b11110010111110110101100101011101 6 -b11110010111110110101100101011101 @ -b11110010111110110101100101011101 F -b11111001000000010111000110010001 ) -#67264000 -0& -#67280000 -b10000011011 , -#67296000 -1& -#67312000 -b11100100011010000001010001011 " -b11100100011010000001010001011 4 -b11111111111111010010111011101111 1 -b11111111111111010010111011101111 C -b101110111100100101000111011 0 -b101110111100100101000111011 H -08 -b101000100111101100110011101 < -b11100100011010000001010001011 2 -b11100100011010000001010001011 = -b11100100011010000001010001011 : -b10111011110010010100011101101 $ -b10111011110010010100011101101 - -b10111011110010010100011101101 5 -b10111011110010010100011101101 ? -b10111011110010010100011101101 D -b11111010111011000010011001100010 % -b11111010111011000010011001100010 . -b11111010111011000010011001100010 6 -b11111010111011000010011001100010 @ -b11111010111011000010011001100010 F -b11100100011010000001010001011 ) -#67328000 -0& -#67344000 -b10000011100 , -#67360000 -1& -#67376000 -b110001010101010101000110100010 " -b110001010101010101000110100010 4 -b11011011101111111111011001101110 1 -b11011011101111111111011001101110 C -b1011000100110011 0 -b1011000100110011 H -b100110010000100001101110010011 < -b110001010101010101000110100010 2 -b110001010101010101000110100010 = -b110001010101010101000110100010 : -b1011000100110011011000001110 $ -b1011000100110011011000001110 - -b1011000100110011011000001110 5 -b1011000100110011011000001110 ? -b1011000100110011011000001110 D -b11011001101111011110010001101100 % -b11011001101111011110010001101100 . -b11011001101111011110010001101100 6 -b11011001101111011110010001101100 @ -b11011001101111011110010001101100 F -b110001010101010101000110100010 ) -#67392000 -0& -#67408000 -b10000011101 , -#67424000 -1& -#67440000 -b100001110000010000011000010000 " -b100001110000010000011000010000 4 -b11111111111111110111111010011001 1 -b11111111111111110111111010011001 C -b10011111011111110111111 0 -b10011111011111110111111 H -19 -18 -b10000010010000011000011101110110 < -b100001110000010000011000010000 2 -b100001110000010000011000010000 = -b100001110000010000011000010000 : -b10011111011111110111111010011001 $ -b10011111011111110111111010011001 - -b10011111011111110111111010011001 5 -b10011111011111110111111010011001 ? -b10011111011111110111111010011001 D -b1111101101111100111100010001001 % -b1111101101111100111100010001001 . -b1111101101111100111100010001001 6 -b1111101101111100111100010001001 @ -b1111101101111100111100010001001 F -b100001110000010000011000010000 ) -#67456000 -0& -#67472000 -b10000011110 , -#67488000 -1& -#67504000 -b11000011111101100001101000 " -b11000011111101100001101000 4 -b1111111111111111111110001111100 1 -b1111111111111111111110001111100 C -b1111001110011111011 0 -b1111001110011111011 H -09 -08 -b10001001010000000001101111110011 < -b11000011111101100001101000 2 -b11000011111101100001101000 = -b11000011111101100001101000 : -b1111001110011111011110001110100 $ -b1111001110011111011110001110100 - -b1111001110011111011110001110100 5 -b1111001110011111011110001110100 ? -b1111001110011111011110001110100 D -b1110110101111111110010000001100 % -b1110110101111111110010000001100 . -b1110110101111111110010000001100 6 -b1110110101111111110010000001100 @ -b1110110101111111110010000001100 F -b11000011111101100001101000 ) -#67520000 -0& -#67536000 -b10000011111 , -#67552000 -1& -#67568000 -b11110110000011010011110101011111 " -b11110110000011010011110101011111 4 -b1111111011111111101011011101011 1 -b1111111011111111101011011101011 C -b11011010111111111010 0 -b11011010111111111010 H -18 -b10001000100011010110100100110100 < -b11110110000011010011110101011111 2 -b11110110000011010011110101011111 = -b11110110000011010011110101011111 : -b1101101011111111101010000101010 $ -b1101101011111111101010000101010 - -b1101101011111111101010000101010 5 -b1101101011111111101010000101010 ? -b1101101011111111101010000101010 D -b1110111011100101001011011001011 % -b1110111011100101001011011001011 . -b1110111011100101001011011001011 6 -b1110111011100101001011011001011 @ -b1110111011100101001011011001011 F -b11110110000011010011110101011111 ) -#67584000 -0& -#67600000 -b10000100000 , -#67616000 -1& -#67632000 -b11011111110111000001111010111001 " -b11011111110111000001111010111001 4 -b11111101111111110011111111011111 1 -b11111101111111110011111111011111 C -b111110110110 0 -b111110110110 H -19 -08 -b1100010001001001110000000101100 < -b11011111110111000001111010111001 2 -b11011111110111000001111010111001 = -b11011111110111000001111010111001 : -b1111101101101110011111010001100 $ -b1111101101101110011111010001100 - -b1111101101101110011111010001100 5 -b1111101101101110011111010001100 ? -b1111101101101110011111010001100 D -b10011101110110110001111111010011 % -b10011101110110110001111111010011 . -b10011101110110110001111111010011 6 -b10011101110110110001111111010011 @ -b10011101110110110001111111010011 F -b11011111110111000001111010111001 ) -#67648000 -0& -#67664000 -b10000100001 , -#67680000 -1& -#67696000 -b10100001110111010101010110110000 " -b10100001110111010101010110110000 4 -b11111110111111111111111111110100 1 -b11111110111111111111111111110100 C -b11110001011 0 -b11110001011 H -b101001001000000110100111001011 < -b10100001110111010101010110110000 2 -b10100001110111010101010110110000 = -b10100001110111010101010110110000 : -b1111000101111001110101111100100 $ -b1111000101111001110101111100100 - -b1111000101111001110101111100100 5 -b1111000101111001110101111100100 ? -b1111000101111001110101111100100 D -b11010110110111111001011000110100 % -b11010110110111111001011000110100 . -b11010110110111111001011000110100 6 -b11010110110111111001011000110100 @ -b11010110110111111001011000110100 F -b10100001110111010101010110110000 ) -#67712000 -0& -#67728000 -b10000100010 , -#67744000 -1& -#67760000 -b1110011110010100010001001000100 " -b1110011110010100010001001000100 4 -b11111111111111111111111111011100 1 -b11111111111111111111111111011100 C -b11100111011 0 -b11100111011 H -09 -08 -b10100010100001101011 < -b1110011110010100010001001000100 2 -b1110011110010100010001001000100 = -b1110011110010100010001001000100 : -b1110011101111111111100111011000 $ -b1110011101111111111100111011000 - -b1110011101111111111100111011000 5 -b1110011101111111111100111011000 ? -b1110011101111111111100111011000 D -b11111111111101011101011110010100 % -b11111111111101011101011110010100 . -b11111111111101011101011110010100 6 -b11111111111101011101011110010100 @ -b11111111111101011101011110010100 F -b1110011110010100010001001000100 ) -#67776000 -0& -#67792000 -b10000100011 , -#67808000 -1& -#67824000 -b110000100000001000110010101011 " -b110000100000001000110010101011 4 -b1111111111110111111111110101111 1 -b1111111111110111111111110101111 C -b110111111111011111101111010 0 -b110111111111011111101111010 H -b11000000100001001001010011111011 < -b110000100000001000110010101011 2 -b110000100000001000110010101011 = -b110000100000001000110010101011 : -b1101111111110111111011110101111 $ -b1101111111110111111011110101111 - -b1101111111110111111011110101111 5 -b1101111111110111111011110101111 ? -b1101111111110111111011110101111 D -b111111011110110110101100000100 % -b111111011110110110101100000100 . -b111111011110110110101100000100 6 -b111111011110110110101100000100 @ -b111111011110110110101100000100 F -b110000100000001000110010101011 ) -#67840000 -0& -#67856000 -b10000100100 , -#67872000 -1& -#67888000 -b10100111110010100 " -b10100111110010100 4 -b11101111011011111111111110110111 1 -b11101111011011111111111110110111 C -b11101111011011111011011110110 0 -b11101111011011111011011110110 H -08 -b10000100100011001011111011100 < -b10100111110010100 2 -b10100111110010100 = -b10100111110010100 : -b11101111011011111011011110110111 $ -b11101111011011111011011110110111 - -b11101111011011111011011110110111 5 -b11101111011011111011011110110111 ? -b11101111011011111011011110110111 D -b11101111011011100110100000100011 % -b11101111011011100110100000100011 . -b11101111011011100110100000100011 6 -b11101111011011100110100000100011 @ -b11101111011011100110100000100011 F -b10100111110010100 ) -#67904000 -0& -#67920000 -b10000100101 , -#67936000 -1& -#67952000 -b110100000001000001111100100000 " -b110100000001000001111100100000 4 -b1111111011111110011111101111011 1 -b1111111011111110011111101111011 C -b1101 0 -b1101 H -b11001000100001001110000010100100 < -b110100000001000001111100100000 2 -b110100000001000001111100100000 = -b110100000001000001111100100000 : -b1101011011111110011111001111011 $ -b1101011011111110011111001111011 - -b1101011011111110011111001111011 5 -b1101011011111110011111001111011 ? -b1101011011111110011111001111011 D -b110111011110110001111101011011 % -b110111011110110001111101011011 . -b110111011110110001111101011011 6 -b110111011110110001111101011011 @ -b110111011110110001111101011011 F -b110100000001000001111100100000 ) -#67968000 -0& -#67984000 -b10000100110 , -#68000000 -1& -#68016000 -b10010100001111110101110011110011 " -b10010100001111110101110011110011 4 -b10111111111111111101111110101111 1 -b10111111111111111101111110101111 C -b10111111111111110 0 -b10111111111111110 H -18 -b11010100010000000011111001010000 < -b10010100001111110101110011110011 2 -b10010100001111110101110011110011 = -b10010100001111110101110011110011 : -b10111111111111110001111010100010 $ -b10111111111111110001111010100010 - -b10111111111111110001111010100010 5 -b10111111111111110001111010100010 ? -b10111111111111110001111010100010 D -b101011101111111100000110101111 % -b101011101111111100000110101111 . -b101011101111111100000110101111 6 -b101011101111111100000110101111 @ -b101011101111111100000110101111 F -b10010100001111110101110011110011 ) -#68032000 -0& -#68048000 -b10000100111 , -#68064000 -1& -#68080000 -b11101010111001111000000100010000 " -b11101010111001111000000100010000 4 -b11111111111111111111011101110010 1 -b11111111111111111111011101110010 C -b111111111101111101110100011100 0 -b111111111101111101110100011100 H -b11101011000010000000110010011101 < -b11101010111001111000000100010000 2 -b11101010111001111000000100010000 = -b11101010111001111000000100010000 : -b11111111110111110111010001110010 $ -b11111111110111110111010001110010 - -b11111111110111110111010001110010 5 -b11111111110111110111010001110010 ? -b11111111110111110111010001110010 D -b10100111101111111001101100010 % -b10100111101111111001101100010 . -b10100111101111111001101100010 6 -b10100111101111111001101100010 @ -b10100111101111111001101100010 F -b11101010111001111000000100010000 ) -#68096000 -0& -#68112000 -b10000101000 , -#68128000 -1& -#68144000 -b11001111011000101000000110110101 " -b11001111011000101000000110110101 4 -b11111111111111111000101011011111 1 -b11111111111111111000101011011111 C -b10 0 -b10 H -b100000000000101111011100100001 < -b11001111011000101000000110110101 2 -b11001111011000101000000110110101 = -b11001111011000101000000110110101 : -b10101111010111111000101010010011 $ -b10101111010111111000101010010011 - -b10101111010111111000101010010011 5 -b10101111010111111000101010010011 ? -b10101111010111111000101010010011 D -b11011111111111010000100011011110 % -b11011111111111010000100011011110 . -b11011111111111010000100011011110 6 -b11011111111111010000100011011110 @ -b11011111111111010000100011011110 F -b11001111011000101000000110110101 ) -#68160000 -0& -#68176000 -b10000101001 , -#68192000 -1& -#68208000 -b11000000000011111110100000110111 " -b11000000000011111110100000110111 4 -b1111111111111111111110111011111 1 -b1111111111111111111110111011111 C -b1111111 0 -b1111111 H -18 -b10000000010000000110101001101000 < -b11000000000011111110100000110111 2 -b11000000000011111110100000110111 = -b11000000000011111110100000110111 : -b111111110011110111110111001110 $ -b111111110011110111110111001110 - -b111111110011110111110111001110 5 -b111111110011110111110111001110 ? -b111111110011110111110111001110 D -b1111111101111111001010110010111 % -b1111111101111111001010110010111 . -b1111111101111111001010110010111 6 -b1111111101111111001010110010111 @ -b1111111101111111001010110010111 F -b11000000000011111110100000110111 ) -#68224000 -0& -#68240000 -b10000101010 , -#68256000 -1& -#68272000 -b1110001011010000000100110111100 " -b1110001011010000000100110111100 4 -b11111111110111101111101011110111 1 -b11111111110111101111101011110111 C -b11011110 0 -b11011110 H -08 -b10001000011000011100001000 < -b1110001011010000000100110111100 2 -b1110001011010000000100110111100 = -b1110001011010000000100110111100 : -b1101111010001101000001010110011 $ -b1101111010001101000001010110011 - -b1101111010001101000001010110011 5 -b1101111010001101000001010110011 ? -b1101111010001101000001010110011 D -b11111101110111100111100011110111 % -b11111101110111100111100011110111 . -b11111101110111100111100011110111 6 -b11111101110111100111100011110111 @ -b11111101110111100111100011110111 F -b1110001011010000000100110111100 ) -#68288000 -0& -#68304000 -b10000101011 , -#68320000 -1& -#68336000 -b11100110010001010000101110101011 " -b11100110010001010000101110101011 4 -b11111110111110110100111111101111 1 -b11111110111110110100111111101111 C -b11100100111100000100111110001 0 -b11100100111100000100111110001 H -18 -b1010101001011110000011100 < -b11100110010001010000101110101011 2 -b11100110010001010000101110101011 = -b11100110010001010000101110101011 : -b11100100111100000100111110001110 $ -b11100100111100000100111110001110 - -b11100100111100000100111110001110 5 -b11100100111100000100111110001110 ? -b11100100111100000100111110001110 D -b11111110101010110100001111100011 % -b11111110101010110100001111100011 . -b11111110101010110100001111100011 6 -b11111110101010110100001111100011 @ -b11111110101010110100001111100011 F -b11100110010001010000101110101011 ) -#68352000 -0& -#68368000 -b10000101100 , -#68384000 -1& -#68400000 -b111110111100111101011111001111 " -b111110111100111101011111001111 4 -b11111111011111110111111111111111 1 -b11111111011111110111111111111111 C -b11111001101111 0 -b11111001101111 H -08 -b100001001001100011001111 < -b111110111100111101011111001111 2 -b111110111100111101011111001111 = -b111110111100111101011111001111 : -b111110011011110011111011111111 $ -b111110011011110011111011111111 - -b111110011011110011111011111111 5 -b111110011011110011111011111111 ? -b111110011011110011111011111111 D -b11111111011110110110011100110000 % -b11111111011110110110011100110000 . -b11111111011110110110011100110000 6 -b11111111011110110110011100110000 @ -b11111111011110110110011100110000 F -b111110111100111101011111001111 ) -#68416000 -0& -#68432000 -b10000101101 , -#68448000 -1& -#68464000 -b10100000010000000110110011001100 " -b10100000010000000110110011001100 4 -b11111111111111111111111100111111 1 -b11111111111111111111111100111111 C -b10011 0 -b10011 H -18 -b100000100001000011000100 < -b10100000010000000110110011001100 2 -b10100000010000000110110011001100 = -b10100000010000000110110011001100 : -b10011111101111100101110000000111 $ -b10011111101111100101110000000111 - -b10011111101111100101110000000111 5 -b10011111101111100101110000000111 ? -b10011111101111100101110000000111 D -b11111111011111011110111100111011 % -b11111111011111011110111100111011 . -b11111111011111011110111100111011 6 -b11111111011111011110111100111011 @ -b11111111011111011110111100111011 F -b10100000010000000110110011001100 ) -#68480000 -0& -#68496000 -b10000101110 , -#68512000 -1& -#68528000 -b1100100001100100011100101001001 " -b1100100001100100011100101001001 4 -b11111111011111111101111111111111 1 -b11111111011111111101111111111111 C -b1011 0 -b1011 H -08 -b100110000000010001010000100 < -b1100100001100100011100101001001 2 -b1100100001100100011100101001001 = -b1100100001100100011100101001001 : -b1011111011100100001011011000100 $ -b1011111011100100001011011000100 - -b1011111011100100001011011000100 5 -b1011111011100100001011011000100 ? -b1011111011100100001011011000100 D -b11111011001111111101110101111011 % -b11111011001111111101110101111011 . -b11111011001111111101110101111011 6 -b11111011001111111101110101111011 @ -b11111011001111111101110101111011 F -b1100100001100100011100101001001 ) -#68544000 -0& -#68560000 -b10000101111 , -#68576000 -1& -#68592000 -b1100101000000001101101011011011 " -b1100101000000001101101011011011 4 -b11111111111111111111111111100101 1 -b11111111111111111111111111100101 C -b111111001111110011001101011 0 -b111111001111110011001101011 H -08 -09 -b1101000000001000000110101111010 < -b1100101000000001101101011011011 2 -b1100101000000001101101011011011 = -b1100101000000001101101011011011 : -b11111100111111001100110101100000 $ -b11111100111111001100110101100000 - -b11111100111111001100110101100000 5 -b11111100111111001100110101100000 ? -b11111100111111001100110101100000 D -b10010111111110111111001010000101 % -b10010111111110111111001010000101 . -b10010111111110111111001010000101 6 -b10010111111110111111001010000101 @ -b10010111111110111111001010000101 F -b1100101000000001101101011011011 ) -#68608000 -0& -#68624000 -b10000110000 , -#68640000 -1& -#68656000 -b11000111100101111001100010000000 " -b11000111100101111001100010000000 4 -b1111111111010110111100110110100 1 -b1111111111010110111100110110100 C -b1001011110 0 -b1001011110 H -18 -b10100001101101001000111101001011 < -b11000111100101111001100010000000 2 -b11000111100101111001100010000000 = -b11000111100101111001100010000000 : -b100101111000110000100100110100 $ -b100101111000110000100100110100 - -b100101111000110000100100110100 5 -b100101111000110000100100110100 ? -b100101111000110000100100110100 D -b1011110010010110111000010110100 % -b1011110010010110111000010110100 . -b1011110010010110111000010110100 6 -b1011110010010110111000010110100 @ -b1011110010010110111000010110100 F -b11000111100101111001100010000000 ) -#68672000 -0& -#68688000 -b10000110001 , -#68704000 -1& -#68720000 -b11011111011010010011011001 " -b11011111011010010011011001 4 -b1111111111011110111110100111111 1 -b1111111111011110111110100111111 C -b11 0 -b11 H -08 -b10000011100100001000001111000010 < -b11011111011010010011011001 2 -b11011111011010010011011001 = -b11011111011010010011011001 : -b1111111111011010010000100010110 $ -b1111111111011010010000100010110 - -b1111111111011010010000100010110 5 -b1111111111011010010000100010110 ? -b1111111111011010010000100010110 D -b1111100011011110111110000111101 % -b1111100011011110111110000111101 . -b1111100011011110111110000111101 6 -b1111100011011110111110000111101 @ -b1111100011011110111110000111101 F -b11011111011010010011011001 ) -#68736000 -0& -#68752000 -b10000110010 , -#68768000 -1& -#68784000 -b11100000001110101000101010111 " -b11100000001110101000101010111 4 -b11111111110111111111000111111101 1 -b11111111110111111111000111111101 C -b110110111101111011100001111 0 -b110110111101111011100001111 H -b1000000001010000110111101011010 < -b11100000001110101000101010111 2 -b11100000001110101000101010111 = -b11100000001110101000101010111 : -b11011011110111101110000111111100 $ -b11011011110111101110000111111100 - -b11011011110111101110000111111100 5 -b11011011110111101110000111111100 ? -b11011011110111101110000111111100 D -b10111111110101111001000010100101 % -b10111111110101111001000010100101 . -b10111111110101111001000010100101 6 -b10111111110101111001000010100101 @ -b10111111110101111001000010100101 F -b11100000001110101000101010111 ) -#68800000 -0& -#68816000 -b10000110011 , -#68832000 -1& -#68848000 -b11100100000101111010010101010111 " -b11100100000101111010010101010111 4 -b1111101111111111010111111111111 1 -b1111101111111111010111111111111 C -b11000011111111010101100 0 -b11000011111111010101100 H -18 -b10000010000110001111100010010111 < -b11100100000101111010010101010111 2 -b11100100000101111010010101010111 = -b11100100000101111010010101010111 : -b1100001111111101010110010111111 $ -b1100001111111101010110010111111 - -b1100001111111101010110010111111 5 -b1100001111111101010110010111111 ? -b1100001111111101010110010111111 D -b1111101111001110000011101101000 % -b1111101111001110000011101101000 . -b1111101111001110000011101101000 6 -b1111101111001110000011101101000 @ -b1111101111001110000011101101000 F -b11100100000101111010010101010111 ) -#68864000 -0& -#68880000 -b10000110100 , -#68896000 -1& -#68912000 -b1000100000010110111110011011 " -b1000100000010110111110011011 4 -b1111111101111111001100001110101 1 -b1111111101111111001100001110101 C -b1110111001 0 -b1110111001 H -08 -b10010001010000100110011110001010 < -b1000100000010110111110011011 2 -b1000100000010110111110011011 = -b1000100000010110111110011011 : -b1110111001111110000100000010000 $ -b1110111001111110000100000010000 - -b1110111001111110000100000010000 5 -b1110111001111110000100000010000 ? -b1110111001111110000100000010000 D -b1101110101111011001100001110101 % -b1101110101111011001100001110101 . -b1101110101111011001100001110101 6 -b1101110101111011001100001110101 @ -b1101110101111011001100001110101 F -b1000100000010110111110011011 ) -#68928000 -0& -#68944000 -b10000110101 , -#68960000 -1& -#68976000 -b11100000011111100010110010010111 " -b11100000011111100010110010010111 4 -b1111111110011111101011111111001 1 -b1111111110011111101011111111001 C -b10111111100111 0 -b10111111100111 H -18 -b10000000101100000010100000101110 < -b11100000011111100010110010010111 2 -b11100000011111100010110010010111 = -b11100000011111100010110010010111 : -b1011111110011100000010001101000 $ -b1011111110011100000010001101000 - -b1011111110011100000010001101000 5 -b1011111110011100000010001101000 ? -b1011111110011100000010001101000 D -b1111111010011111101011111010001 % -b1111111010011111101011111010001 . -b1111111010011111101011111010001 6 -b1111111010011111101011111010001 @ -b1111111010011111101011111010001 F -b11100000011111100010110010010111 ) -#68992000 -0& -#69008000 -b10000110110 , -#69024000 -1& -#69040000 -b101000011111110000000101010 " -b101000011111110000000101010 4 -b11111111111111110010011111011110 1 -b11111111111111110010011111011110 C -b111111 0 -b111111 H -08 -b101000100001101100100100101 < -b101000011111110000000101010 2 -b101000011111110000000101010 = -b101000011111110000000101010 : -b11111111111111110000011100000100 $ -b11111111111111110000011100000100 - -b11111111111111110000011100000100 5 -b11111111111111110000011100000100 ? -b11111111111111110000011100000100 D -b11111010111011110010011011011010 % -b11111010111011110010011011011010 . -b11111010111011110010011011011010 6 -b11111010111011110010011011011010 @ -b11111010111011110010011011011010 F -b101000011111110000000101010 ) -#69056000 -0& -#69072000 -b10000110111 , -#69088000 -1& -#69104000 -b11101111111111101000000011111001 " -b11101111111111101000000011111001 4 -b11111110111111111101111101011011 1 -b11111110111111111101111101011011 C -b11101110111111 0 -b11101110111111 H -18 -b1000000000010100010101101 < -b11101111111111101000000011111001 2 -b11101111111111101000000011111001 = -b11101111111111101000000011111001 : -b11101110111111100101100001001011 $ -b11101110111111100101100001001011 - -b11101110111111100101100001001011 5 -b11101110111111100101100001001011 ? -b11101110111111100101100001001011 D -b11111110111111111101011101010010 % -b11111110111111111101011101010010 . -b11111110111111111101011101010010 6 -b11111110111111111101011101010010 @ -b11111110111111111101011101010010 F -b11101111111111101000000011111001 ) -#69120000 -0& -#69136000 -b10000111000 , -#69152000 -1& -#69168000 -b1101110101111101111001011000010 " -b1101110101111101111001011000010 4 -b11111111111111111101111101111110 1 -b11111111111111111101111101111110 C -b10 0 -b10 H -19 -18 -b10110001010000000010000010100001 < -b1101110101111101111001011000010 2 -b1101110101111101111001011000010 = -b1101110101111101111001011000010 : -b10111101011111101101001000100000 $ -b10111101011111101101001000100000 - -b10111101011111101101001000100000 5 -b10111101011111101101001000100000 ? -b10111101011111101101001000100000 D -b1001110101111111101111101011110 % -b1001110101111111101111101011110 . -b1001110101111111101111101011110 6 -b1001110101111111101111101011110 @ -b1001110101111111101111101011110 F -b1101110101111101111001011000010 ) -#69184000 -0& -#69200000 -b10000111001 , -#69216000 -1& -#69232000 -b11011111100001110001101001111101 " -b11011111100001110001101001111101 4 -b11110111111111110011110110101011 1 -b11110111111111110011110110101011 C -b111101111000011000101 0 -b111101111000011000101 H -09 -18 -b11101000000000001110111001010100 < -b11011111100001110001101001111101 2 -b11011111100001110001101001111101 = -b11011111100001110001101001111101 : -b11110111100001100010110000101000 $ -b11110111100001100010110000101000 - -b11110111100001100010110000101000 5 -b11110111100001100010110000101000 ? -b11110111100001100010110000101000 D -b10111111111110001000110101011 % -b10111111111110001000110101011 . -b10111111111110001000110101011 6 -b10111111111110001000110101011 @ -b10111111111110001000110101011 F -b11011111100001110001101001111101 ) -#69248000 -0& -#69264000 -b10000111010 , -#69280000 -1& -#69296000 -b11110101110001110010110101010101 " -b11110101110001110010110101010101 4 -b11111111111111111111101110110111 1 -b11111111111111111111101110110111 C -b111101010111111 0 -b111101010111111 H -b10010001001010011001110 < -b11110101110001110010110101010101 2 -b11110101110001110010110101010101 = -b11110101110001110010110101010101 : -b11110101011111101001100010000110 $ -b11110101011111101001100010000110 - -b11110101011111101001100010000110 5 -b11110101011111101001100010000110 ? -b11110101011111101001100010000110 D -b11111111101101110110101100110001 % -b11111111101101110110101100110001 . -b11111111101101110110101100110001 6 -b11111111101101110110101100110001 @ -b11111111101101110110101100110001 F -b11110101110001110010110101010101 ) -#69312000 -0& -#69328000 -b10000111011 , -#69344000 -1& -#69360000 -b11101001000100010000000101010010 " -b11101001000100010000000101010010 4 -b11111111111111111001111110110011 1 -b11111111111111111001111110110011 C -b110101101111111 0 -b110101101111111 H -b10010000100010110010011001110 < -b11101001000100010000000101010010 2 -b11101001000100010000000101010010 = -b11101001000100010000000101010010 : -b11010110111111111001110010000011 $ -b11010110111111111001110010000011 - -b11010110111111111001110010000011 5 -b11010110111111111001110010000011 ? -b11010110111111111001110010000011 D -b11101101111011101001101100110001 % -b11101101111011101001101100110001 . -b11101101111011101001101100110001 6 -b11101101111011101001101100110001 @ -b11101101111011101001101100110001 F -b11101001000100010000000101010010 ) -#69376000 -0& -#69392000 -b10000111100 , -#69408000 -1& -#69424000 -b11110110011000011011001001110101 " -b11110110011000011011001001110101 4 -b11111111111111111101111011111111 1 -b11111111111111111101111011111111 C -b11101101110 0 -b11101101110 H -b1000100000101110000110001010 < -b11110110011000011011001001110101 2 -b11110110011000011011001001110101 = -b11110110011000011011001001110101 : -b11101101110111101101000011101010 $ -b11101101110111101101000011101010 - -b11101101110111101101000011101010 5 -b11101101110111101101000011101010 ? -b11101101110111101101000011101010 D -b11110111011111010001111001110101 % -b11110111011111010001111001110101 . -b11110111011111010001111001110101 6 -b11110111011111010001111001110101 @ -b11110111011111010001111001110101 F -b11110110011000011011001001110101 ) -#69440000 -0& -#69456000 -b10000111101 , -#69472000 -1& -#69488000 -b11111011001001001110011101000110 " -b11111011001001001110011101000110 4 -b11111111011111010011111101111111 1 -b11111111011111010011111101111111 C -b111 0 -b111 H -b100101010111100011111100010 < -b11111011001001001110011101000110 2 -b11111011001001001110011101000110 = -b11111011001001001110011101000110 : -b11110110011110010001111101100011 $ -b11110110011110010001111101100011 - -b11110110011110010001111101100011 5 -b11110110011110010001111101100011 ? -b11110110011110010001111101100011 D -b11111011010101000011100000011101 % -b11111011010101000011100000011101 . -b11111011010101000011100000011101 6 -b11111011010101000011100000011101 @ -b11111011010101000011100000011101 F -b11111011001001001110011101000110 ) -#69504000 -0& -#69520000 -b10000111110 , -#69536000 -1& -#69552000 -b11000001000000110110110001011110 " -b11000001000000110110110001011110 4 -b1101111101111111011111111100111 1 -b1101111101111111011111111100111 C -b10111110111111000111100 0 -b10111110111111000111100 H -18 -b10010001010001000100111000011000 < -b11000001000000110110110001011110 2 -b11000001000000110110110001011110 = -b11000001000000110110110001011110 : -b101111101111110001111001000101 $ -b101111101111110001111001000101 - -b101111101111110001111001000101 5 -b101111101111110001111001000101 ? -b101111101111110001111001000101 D -b1101110101110111011000111100111 % -b1101110101110111011000111100111 . -b1101110101110111011000111100111 6 -b1101110101110111011000111100111 @ -b1101110101110111011000111100111 F -b11000001000000110110110001011110 ) -#69568000 -0& -#69584000 -b10000111111 , -#69600000 -1& -#69616000 -b11011011111110001110010010010000 " -b11011011111110001110010010010000 4 -b11111111110111111111111110111000 1 -b11111111110111111111111110111000 C -b11011011 0 -b11011011 H -18 -b1010010000001001100111 < -b11011011111110001110010010010000 2 -b11011011111110001110010010010000 = -b11011011111110001110010010010000 : -b11011011110011111110001000101000 $ -b11011011110011111110001000101000 - -b11011011110011111110001000101000 5 -b11011011110011111110001000101000 ? -b11011011110011111110001000101000 D -b11111111110101101111110110011000 % -b11111111110101101111110110011000 . -b11111111110101101111110110011000 6 -b11111111110101101111110110011000 @ -b11111111110101101111110110011000 F -b11011011111110001110010010010000 ) -#69632000 -0& -#69648000 -b10001000000 , -#69664000 -1& -#69680000 -b11011111010110111100001100010000 " -b11011111010110111100001100010000 4 -b1111111111111111110010111110000 1 -b1111111111111111110010111110000 C -b11111101001111 0 -b11111101001111 H -18 -b10100000000011000101111010001111 < -b11011111010110111100001100010000 2 -b11011111010110111100001100010000 = -b11011111010110111100001100010000 : -b111111010011110110010010000000 $ -b111111010011110110010010000000 - -b111111010011110110010010000000 5 -b111111010011110110010010000000 ? -b111111010011110110010010000000 D -b1011111111100111010000101110000 % -b1011111111100111010000101110000 . -b1011111111100111010000101110000 6 -b1011111111100111010000101110000 @ -b1011111111100111010000101110000 F -b11011111010110111100001100010000 ) -#69696000 -0& -#69712000 -b10001000001 , -#69728000 -1& -#69744000 -b11000010000011111110101100010110 " -b11000010000011111110101100010110 4 -b11111111110111111010110110011111 1 -b11111111110111111010110110011111 C -b111111011101111110001100100 0 -b111111011101111110001100100 H -b11000100001100000101111001111010 < -b11000010000011111110101100010110 2 -b11000010000011111110101100010110 = -b11000010000011111110101100010110 : -b11111101110111111000110010011011 $ -b11111101110111111000110010011011 - -b11111101110111111000110010011011 5 -b11111101110111111000110010011011 ? -b11111101110111111000110010011011 D -b111011110011111010000110000101 % -b111011110011111010000110000101 . -b111011110011111010000110000101 6 -b111011110011111010000110000101 @ -b111011110011111010000110000101 F -b11000010000011111110101100010110 ) -#69760000 -0& -#69776000 -b10001000010 , -#69792000 -1& -#69808000 -b10000000001101100111001010110011 " -b10000000001101100111001010110011 4 -b11011111111111111011111111111111 1 -b11011111111111111011111111111111 C -b1011 0 -b1011 H -19 -08 -b100000001110000100000100000100 < -b10000000001101100111001010110011 2 -b10000000001101100111001010110011 = -b10000000001101100111001010110011 : -b1011111111111100011000110101110 $ -b1011111111111100011000110101110 - -b1011111111111100011000110101110 5 -b1011111111111100011000110101110 ? -b1011111111111100011000110101110 D -b11011111110001111011111011111011 % -b11011111110001111011111011111011 . -b11011111110001111011111011111011 6 -b11011111110001111011111011111011 @ -b11011111110001111011111011111011 F -b10000000001101100111001010110011 ) -#69824000 -0& -#69840000 -b10001000011 , -#69856000 -1& -#69872000 -b111000101100000100100101111001 " -b111000101100000100100101111001 4 -b1111111111111100101011111001111 1 -b1111111111111100101011111001111 C -b101111111111110010 0 -b101111111111110010 H -09 -08 -b11011000101100011111100010110010 < -b111000101100000100100101111001 2 -b111000101100000100100101111001 = -b111000101100000100100101111001 : -b1011111111111100101000011000110 $ -b1011111111111100101000011000110 - -b1011111111111100101000011000110 5 -b1011111111111100101000011000110 ? -b1011111111111100101000011000110 D -b100111010011100000011101001101 % -b100111010011100000011101001101 . -b100111010011100000011101001101 6 -b100111010011100000011101001101 @ -b100111010011100000011101001101 F -b111000101100000100100101111001 ) -#69888000 -0& -#69904000 -b10001000100 , -#69920000 -1& -#69936000 -b10100100111010110001100011110001 " -b10100100111010110001100011110001 4 -b11111101111111111101101011110111 1 -b11111101111111111101101011110111 C -b1111101111001111101101011110 0 -b1111101111001111101101011110 H -19 -08 -b100111000000110011110111111100 < -b10100100111010110001100011110001 2 -b10100100111010110001100011110001 = -b10100100111010110001100011110001 : -b1111101111001111101101011110100 $ -b1111101111001111101101011110100 - -b1111101111001111101101011110100 5 -b1111101111001111101101011110100 ? -b1111101111001111101101011110100 D -b11011000111111001100001000000011 % -b11011000111111001100001000000011 . -b11011000111111001100001000000011 6 -b11011000111111001100001000000011 @ -b11011000111111001100001000000011 F -b10100100111010110001100011110001 ) -#69952000 -0& -#69968000 -b10001000101 , -#69984000 -1& -#70000000 -b1000001011110101011110110011111 " -b1000001011110101011110110011111 4 -b1111111101111111111011011111111 1 -b1111111101111111111011011111111 C -b1111111 0 -b1111111 H -09 -08 -b11000001110000110100101100000111 < -b1000001011110101011110110011111 2 -b1000001011110101011110110011111 = -b1000001011110101011110110011111 : -b1111111101101110111001010010111 $ -b1111111101101110111001010010111 - -b1111111101101110111001010010111 5 -b1111111101101110111001010010111 ? -b1111111101101110111001010010111 D -b111110001111001011010011111000 % -b111110001111001011010011111000 . -b111110001111001011010011111000 6 -b111110001111001011010011111000 @ -b111110001111001011010011111000 F -b1000001011110101011110110011111 ) -#70016000 -0& -#70032000 -b10001000110 , -#70048000 -1& -#70064000 -b1001000110110010111001111001100 " -b1001000110110010111001111001100 4 -b11111111111111101101111001111110 1 -b11111111111111101101111001111110 C -b100111101101 0 -b100111101101 H -08 -b100001001000110010010110001101 < -b1001000110110010111001111001100 2 -b1001000110110010111001111001100 = -b1001000110110010111001111001100 : -b100111101101100100111000111110 $ -b100111101101100100111000111110 - -b100111101101100100111000111110 5 -b100111101101100100111000111110 ? -b100111101101100100111000111110 D -b11011110110111001101101001110010 % -b11011110110111001101101001110010 . -b11011110110111001101101001110010 6 -b11011110110111001101101001110010 @ -b11011110110111001101101001110010 F -b1001000110110010111001111001100 ) -#70080000 -0& -#70096000 -b10001000111 , -#70112000 -1& -#70128000 -b10111111001110111100000101110001 " -b10111111001110111100000101110001 4 -b11111111111111110110111110011111 1 -b11111111111111110110111110011111 C -b10 0 -b10 H -18 -b10000001001001011100001 < -b10111111001110111100000101110001 2 -b10111111001110111100000101110001 = -b10111111001110111100000101110001 : -b10111110111110110010111010001111 $ -b10111110111110110010111010001111 - -b10111110111110110010111010001111 5 -b10111110111110110010111010001111 ? -b10111110111110110010111010001111 D -b11111111101111110110110100011110 % -b11111111101111110110110100011110 . -b11111111101111110110110100011110 6 -b11111111101111110110110100011110 @ -b11111111101111110110110100011110 F -b10111111001110111100000101110001 ) -#70144000 -0& -#70160000 -b10001001000 , -#70176000 -1& -#70192000 -b11111111100011011001001011101001 " -b11111111100011011001001011101001 4 -b11111101101111111111111111111111 1 -b11111101101111111111111111111111 C -b1111110010111011011 0 -b1111110010111011011 H -b10110100100001010000110010 < -b11111111100011011001001011101001 2 -b11111111100011011001001011101001 = -b11111111100011011001001011101001 : -b11111100101110110111111010110110 $ -b11111100101110110111111010110110 - -b11111100101110110111111010110110 5 -b11111100101110110111111010110110 ? -b11111100101110110111111010110110 D -b11111101001011011110101111001101 % -b11111101001011011110101111001101 . -b11111101001011011110101111001101 6 -b11111101001011011110101111001101 @ -b11111101001011011110101111001101 F -b11111111100011011001001011101001 ) -#70208000 -0& -#70224000 -b10001001001 , -#70240000 -1& -#70256000 -b1100011110001011011100011110 " -b1100011110001011011100011110 4 -b11111111111111111011111110111110 1 -b11111111111111111011111110111110 C -b1111 0 -b1111 H -08 -b1100101110001111100001100011 < -b1100011110001011011100011110 2 -b1100011110001011011100011110 = -b1100011110001011011100011110 : -b11111111101111111011111010111010 $ -b11111111101111111011111010111010 - -b11111111101111111011111010111010 5 -b11111111101111111011111010111010 ? -b11111111101111111011111010111010 D -b11110011010001110000011110011100 % -b11110011010001110000011110011100 . -b11110011010001110000011110011100 6 -b11110011010001110000011110011100 @ -b11110011010001110000011110011100 F -b1100011110001011011100011110 ) -#70272000 -0& -#70288000 -b10001001010 , -#70304000 -1& -#70320000 -b111111000000001000111111110000 " -b111111000000001000111111110000 4 -b11110101101111111011001110111111 1 -b11110101101111111011001110111111 C -b10110100101111111 0 -b10110100101111111 H -19 -18 -b10001010010000001110110001010000 < -b111111000000001000111111110000 2 -b111111000000001000111111110000 = -b111111000000001000111111110000 : -b10110100101111111010001110011111 $ -b10110100101111111010001110011111 - -b10110100101111111010001110011111 5 -b10110100101111111010001110011111 ? -b10110100101111111010001110011111 D -b1110101101111110001001110101111 % -b1110101101111110001001110101111 . -b1110101101111110001001110101111 6 -b1110101101111110001001110101111 @ -b1110101101111110001001110101111 F -b111111000000001000111111110000 ) -#70336000 -0& -#70352000 -b10001001011 , -#70368000 -1& -#70384000 -b1000000010100010100001001100000 " -b1000000010100010100001001100000 4 -b1111111111110111101001001110001 1 -b1111111111110111101001001110001 C -b11111111110101 0 -b11111111110101 H -08 -09 -b11000000011001010110111111101110 < -b1000000010100010100001001100000 2 -b1000000010100010100001001100000 = -b1000000010100010100001001100000 : -b1111111111010111101001001110001 $ -b1111111111010111101001001110001 - -b1111111111010111101001001110001 5 -b1111111111010111101001001110001 ? -b1111111111010111101001001110001 D -b111111100110101001000000010001 % -b111111100110101001000000010001 . -b111111100110101001000000010001 6 -b111111100110101001000000010001 @ -b111111100110101001000000010001 F -b1000000010100010100001001100000 ) -#70400000 -0& -#70416000 -b10001001100 , -#70432000 -1& -#70448000 -b10000100000110000101010111100110 " -b10000100000110000101010111100110 4 -b11011111111111110111101001111110 1 -b11011111111111110111101001111110 C -b1011111111011110110 0 -b1011111111011110110 H -19 -08 -b100100001010001110110110110011 < -b10000100000110000101010111100110 2 -b10000100000110000101010111100110 = -b10000100000110000101010111100110 : -b1011111111011110110100000110010 $ -b1011111111011110110100000110010 - -b1011111111011110110100000110010 5 -b1011111111011110110100000110010 ? -b1011111111011110110100000110010 D -b11011011110101110001001001001100 % -b11011011110101110001001001001100 . -b11011011110101110001001001001100 6 -b11011011110101110001001001001100 @ -b11011011110101110001001001001100 F -b10000100000110000101010111100110 ) -#70464000 -0& -#70480000 -b10001001101 , -#70496000 -1& -#70512000 -b10000010111101110111000111010100 " -b10000010111101110111000111010100 4 -b11101111111111111111111000110110 1 -b11101111111111111111111000110110 C -b1101110111011 0 -b1101110111011 H -b10100000010001000100111001101 < -b10000010111101110111000111010100 2 -b10000010111101110111000111010100 = -b10000010111101110111000111010100 : -b1101110111011101110100000000110 $ -b1101110111011101110100000000110 - -b1101110111011101110100000000110 5 -b1101110111011101110100000000110 ? -b1101110111011101110100000000110 D -b11101011111101110111011000110010 % -b11101011111101110111011000110010 . -b11101011111101110111011000110010 6 -b11101011111101110111011000110010 @ -b11101011111101110111011000110010 F -b10000010111101110111000111010100 ) -#70528000 -0& -#70544000 -b10001001110 , -#70560000 -1& -#70576000 -b10000010001000001100011010000110 " -b10000010001000001100011010000110 4 -b11011111111111111111101111111011 1 -b11011111111111111111101111111011 C -b1011 0 -b1011 H -19 -08 -b100010001000010000010000100100 < -b10000010001000001100011010000110 2 -b10000010001000001100011010000110 = -b10000010001000001100011010000110 : -b1011111111111111100001001100001 $ -b1011111111111111100001001100001 - -b1011111111111111100001001100001 5 -b1011111111111111100001001100001 ? -b1011111111111111100001001100001 D -b11011101110111101111101111011011 % -b11011101110111101111101111011011 . -b11011101110111101111101111011011 6 -b11011101110111101111101111011011 @ -b11011101110111101111101111011011 F -b10000010001000001100011010000110 ) -#70592000 -0& -#70608000 -b10001001111 , -#70624000 -1& -#70640000 -b11101111100101111111101111100110 " -b11101111100101111111101111100110 4 -b1111110111111110110011101111110 1 -b1111110111111110110011101111110 C -b101111001 0 -b101111001 H -18 -09 -b10010001000110001001100010101001 < -b11101111100101111111101111100110 2 -b11101111100101111111101111100110 = -b11101111100101111111101111100110 : -b1011110011111110110001100111100 $ -b1011110011111110110001100111100 - -b1011110011111110110001100111100 5 -b1011110011111110110001100111100 ? -b1011110011111110110001100111100 D -b1101110111001110110011101010110 % -b1101110111001110110011101010110 . -b1101110111001110110011101010110 6 -b1101110111001110110011101010110 @ -b1101110111001110110011101010110 F -b11101111100101111111101111100110 ) -#70656000 -0& -#70672000 -b10001010000 , -#70688000 -1& -#70704000 -b10111111111100000100010011100010 " -b10111111111100000100010011100010 4 -b11111111111111111111011100101110 1 -b11111111111111111111011100101110 C -b111111111011111111101 0 -b111111111011111111101 H -19 -08 -b1000000000100000100111011010101 < -b10111111111100000100010011100010 2 -b10111111111100000100010011100010 = -b10111111111100000100010011100010 : -b1111111110111111111011000001100 $ -b1111111110111111111011000001100 - -b1111111110111111111011000001100 5 -b1111111110111111111011000001100 ? -b1111111110111111111011000001100 D -b10111111111011111011000100101010 % -b10111111111011111011000100101010 . -b10111111111011111011000100101010 6 -b10111111111011111011000100101010 @ -b10111111111011111011000100101010 F -b10111111111100000100010011100010 ) -#70720000 -0& -#70736000 -b10001010001 , -#70752000 -1& -#70768000 -b1111011111101000101101100000001 " -b1111011111101000101101100000001 4 -b11111111111111010111111100101111 1 -b11111111111111010111111100101111 C -b111011111110001011 0 -b111011111110001011 H -09 -08 -b100000000101101101111010010 < -b1111011111101000101101100000001 2 -b1111011111101000101101100000001 = -b1111011111101000101101100000001 : -b1110111111100010111111100101110 $ -b1110111111100010111111100101110 - -b1110111111100010111111100101110 5 -b1110111111100010111111100101110 ? -b1110111111100010111111100101110 D -b11111011111111010010010000101101 % -b11111011111111010010010000101101 . -b11111011111111010010010000101101 6 -b11111011111111010010010000101101 @ -b11111011111111010010010000101101 F -b1111011111101000101101100000001 ) -#70784000 -0& -#70800000 -b10001010010 , -#70816000 -1& -#70832000 -b1010000000001111100111000000011 " -b1010000000001111100111000000011 4 -b11110111111111100100111001100011 1 -b11110111111111100100111001100011 C -b110111111111100000111001100011 0 -b110111111111100000111001100011 H -b11000000010011011111110011111 < -b1010000000001111100111000000011 2 -b1010000000001111100111000000011 = -b1010000000001111100111000000011 : -b110111111111100000111001100011 $ -b110111111111100000111001100011 - -b110111111111100000111001100011 5 -b110111111111100000111001100011 ? -b110111111111100000111001100011 D -b11100111111101100100000001100000 % -b11100111111101100100000001100000 . -b11100111111101100100000001100000 6 -b11100111111101100100000001100000 @ -b11100111111101100100000001100000 F -b1010000000001111100111000000011 ) -#70848000 -0& -#70864000 -b10001010011 , -#70880000 -1& -#70896000 -b10111111111100100101011011010101 " -b10111111111100100101011011010101 4 -b11111011111111111111111011010111 1 -b11111011111111111111111011010111 C -b1111101111101111111011001101011 0 -b1111101111101111111011001101011 H -18 -09 -b11000100000000100110100111111110 < -b10111111111100100101011011010101 2 -b10111111111100100101011011010101 = -b10111111111100100101011011010101 : -b11111011111011111110110011010110 $ -b11111011111011111110110011010110 - -b11111011111011111110110011010110 5 -b11111011111011111110110011010110 ? -b11111011111011111110110011010110 D -b111011111111011001011000000001 % -b111011111111011001011000000001 . -b111011111111011001011000000001 6 -b111011111111011001011000000001 @ -b111011111111011001011000000001 F -b10111111111100100101011011010101 ) -#70912000 -0& -#70928000 -b10001010100 , -#70944000 -1& -#70960000 -b10111001011110111111001010100110 " -b10111001011110111111001010100110 4 -b1111111111111110011011111111110 1 -b1111111111111110011011111111110 C -b111001011110110010 0 -b111001011110110010 H -18 -09 -b10000000000000001100110000010011 < -b10111001011110111111001010100110 2 -b10111001011110111111001010100110 = -b10111001011110111111001010100110 : -b111001011110110010011010010010 $ -b111001011110110010011010010010 - -b111001011110110010011010010010 5 -b111001011110110010011010010010 ? -b111001011110110010011010010010 D -b1111111111111110011001111101100 % -b1111111111111110011001111101100 . -b1111111111111110011001111101100 6 -b1111111111111110011001111101100 @ -b1111111111111110011001111101100 F -b10111001011110111111001010100110 ) -#70976000 -0& -#70992000 -b10001010101 , -#71008000 -1& -#71024000 -b11101111011100000011000101011001 " -b11101111011100000011000101011001 4 -b11111111111111111111011010101111 1 -b11111111111111111111011010101111 C -b11101111011011111 0 -b11101111011011111 H -18 -b1001101101010000 < -b11101111011100000011000101011001 2 -b11101111011100000011000101011001 = -b11101111011100000011000101011001 : -b11101111011011111001011000001000 $ -b11101111011011111001011000001000 - -b11101111011011111001011000001000 5 -b11101111011011111001011000001000 ? -b11101111011011111001011000001000 D -b11111111111111110110010010101111 % -b11111111111111110110010010101111 . -b11111111111111110110010010101111 6 -b11111111111111110110010010101111 @ -b11111111111111110110010010101111 F -b11101111011100000011000101011001 ) -#71040000 -0& -#71056000 -b10001010110 , -#71072000 -1& -#71088000 -b11011110111001011010110000110110 " -b11011110111001011010110000110110 4 -b11111111111111111111110111110111 1 -b11111111111111111111110111110111 C -b111111011100101101001011001011 0 -b111111011100101101001011001011 H -19 -08 -b1100000000000000000011010011110 < -b11011110111001011010110000110110 2 -b11011110111001011010110000110110 = -b11011110111001011010110000110110 : -b1111110111001011010010110010111 $ -b1111110111001011010010110010111 - -b1111110111001011010010110010111 5 -b1111110111001011010010110010111 ? -b1111110111001011010010110010111 D -b10011111111111111111100101100001 % -b10011111111111111111100101100001 . -b10011111111111111111100101100001 6 -b10011111111111111111100101100001 @ -b10011111111111111111100101100001 F -b11011110111001011010110000110110 ) -#71104000 -0& -#71120000 -b10001010111 , -#71136000 -1& -#71152000 -b1111110111111100011011110100000 " -b1111110111111100011011110100000 4 -b11010111010111101111111001110100 1 -b11010111010111101111111001110100 C -b10100110101 0 -b10100110101 H -09 -08 -b101011101000010011100110001011 < -b1111110111111100011011110100000 2 -b1111110111111100011011110100000 = -b1111110111111100011011110100000 : -b1010011010111001111111000010100 $ -b1010011010111001111111000010100 - -b1010011010111001111111000010100 5 -b1010011010111001111111000010100 ? -b1010011010111001111111000010100 D -b11010100010111101100011001110100 % -b11010100010111101100011001110100 . -b11010100010111101100011001110100 6 -b11010100010111101100011001110100 @ -b11010100010111101100011001110100 F -b1111110111111100011011110100000 ) -#71168000 -0& -#71184000 -b10001011000 , -#71200000 -1& -#71216000 -b111001000111000010010100010110 " -b111001000111000010010100010110 4 -b11111011111111110101111101111011 1 -b11111011111111110101111101111011 C -b11111011111110110100010001111 0 -b11111011111110110100010001111 H -08 -09 -b111101001000001110000010011100 < -b111001000111000010010100010110 2 -b111001000111000010010100010110 = -b111001000111000010010100010110 : -b11111011111110110100010001111001 $ -b11111011111110110100010001111001 - -b11111011111110110100010001111001 5 -b11111011111110110100010001111001 ? -b11111011111110110100010001111001 D -b11000010110111110001111101100011 % -b11000010110111110001111101100011 . -b11000010110111110001111101100011 6 -b11000010110111110001111101100011 @ -b11000010110111110001111101100011 F -b111001000111000010010100010110 ) -#71232000 -0& -#71248000 -b10001011001 , -#71264000 -1& -#71280000 -b10010010111110000100111110011110 " -b10010010111110000100111110011110 4 -b11111111111111111111101111110110 1 -b11111111111111111111101111110110 C -b111111101111 0 -b111111101111 H -18 -b10010100000000001000010110101011 < -b10010010111110000100111110011110 2 -b10010010111110000100111110011110 = -b10010010111110000100111110011110 : -b11111110111101111100100111110010 $ -b11111110111101111100100111110010 - -b11111110111101111100100111110010 5 -b11111110111101111100100111110010 ? -b11111110111101111100100111110010 D -b1101011111111110111101001010100 % -b1101011111111110111101001010100 . -b1101011111111110111101001010100 6 -b1101011111111110111101001010100 @ -b1101011111111110111101001010100 F -b10010010111110000100111110011110 ) -#71296000 -0& -#71312000 -b10001011010 , -#71328000 -1& -#71344000 -b10001000110000110000011001000000 " -b10001000110000110000011001000000 4 -b11111111011110110011011011110111 1 -b11111111011110110011011011110111 C -b11111110 0 -b11111110 H -19 -08 -b1001100001111100111101001000 < -b10001000110000110000011001000000 2 -b10001000110000110000011001000000 = -b10001000110000110000011001000000 : -b1111111001110110011011011110111 $ -b1111111001110110011011011110111 - -b1111111001110110011011011110111 5 -b1111111001110110011011011110111 ? -b1111111001110110011011011110111 D -b11110110011110000011000010110111 % -b11110110011110000011000010110111 . -b11110110011110000011000010110111 6 -b11110110011110000011000010110111 @ -b11110110011110000011000010110111 F -b10001000110000110000011001000000 ) -#71360000 -0& -#71376000 -b10001011011 , -#71392000 -1& -#71408000 -b1111010110010011000100001011011 " -b1111010110010011000100001011011 4 -b11111111111111111001111110111101 1 -b11111111111111111001111110111101 C -b111100 0 -b111100 H -09 -08 -b1000000100110110001000110 < -b1111010110010011000100001011011 2 -b1111010110010011000100001011011 = -b1111010110010011000100001011011 : -b1111001110001110001110000010100 $ -b1111001110001110001110000010100 - -b1111001110001110001110000010100 5 -b1111001110001110001110000010100 ? -b1111001110001110001110000010100 D -b11111110111111011001001110111001 % -b11111110111111011001001110111001 . -b11111110111111011001001110111001 6 -b11111110111111011001001110111001 @ -b11111110111111011001001110111001 F -b1111010110010011000100001011011 ) -#71424000 -0& -#71440000 -b10001011100 , -#71456000 -1& -#71472000 -b10000110000101110100010001100111 " -b10000110000101110100010001100111 4 -b10111111111111111111111010011111 1 -b10111111111111111111111010011111 C -b1011 0 -b1011 H -18 -b11010000001010000000010111100011 < -b10000110000101110100010001100111 2 -b10000110000101110100010001100111 = -b10000110000101110100010001100111 : -b10110101111011110011111010000011 $ -b10110101111011110011111010000011 - -b10110101111011110011111010000011 5 -b10110101111011110011111010000011 ? -b10110101111011110011111010000011 D -b101111110101111111101000011100 % -b101111110101111111101000011100 . -b101111110101111111101000011100 6 -b101111110101111111101000011100 @ -b101111110101111111101000011100 F -b10000110000101110100010001100111 ) -#71488000 -0& -#71504000 -b10001011101 , -#71520000 -1& -#71536000 -b10011110010111010011001010001110 " -b10011110010111010011001010001110 4 -b11111111111110111100111111011110 1 -b11111111111110111100111111011110 C -b11111011111100100000001 0 -b11111011111100100000001 H -19 -08 -b100000011001000011000010110111 < -b10011110010111010011001010001110 2 -b10011110010111010011001010001110 = -b10011110010111010011001010001110 : -b1111101111110010000000111010110 $ -b1111101111110010000000111010110 - -b1111101111110010000000111010110 5 -b1111101111110010000000111010110 ? -b1111101111110010000000111010110 D -b11011111100110111100111101001000 % -b11011111100110111100111101001000 . -b11011111100110111100111101001000 6 -b11011111100110111100111101001000 @ -b11011111100110111100111101001000 F -b10011110010111010011001010001110 ) -#71552000 -0& -#71568000 -b10001011110 , -#71584000 -1& -#71600000 -b1000101110001010000100100111101 " -b1000101110001010000100100111101 4 -b11101111111111111011111111010101 1 -b11101111111111111011111111010101 C -b101011111011 0 -b101011111011 H -18 -19 -b10010110000010010110000001101011 < -b1000101110001010000100100111101 2 -b1000101110001010000100100111101 = -b1000101110001010000100100111101 : -b10101111101110111010100011010001 $ -b10101111101110111010100011010001 - -b10101111101110111010100011010001 5 -b10101111101110111010100011010001 ? -b10101111101110111010100011010001 D -b1101001111101101001111110010100 % -b1101001111101101001111110010100 . -b1101001111101101001111110010100 6 -b1101001111101101001111110010100 @ -b1101001111101101001111110010100 F -b1000101110001010000100100111101 ) -#71616000 -0& -#71632000 -b10001011111 , -#71648000 -1& -#71664000 -b1101111001001111001001000010111 " -b1101111001001111001001000010111 4 -b11111111111111110111101010111111 1 -b11111111111111110111101010111111 C -b111011101 0 -b111011101 H -19 -18 -b10000000010010001000011101101000 < -b1101111001001111001001000010111 2 -b1101111001001111001001000010111 = -b1101111001001111001001000010111 : -b11101110110111110000101010101110 $ -b11101110110111110000101010101110 - -b11101110110111110000101010101110 5 -b11101110110111110000101010101110 ? -b11101110110111110000101010101110 D -b1111111101101110111100010010111 % -b1111111101101110111100010010111 . -b1111111101101110111100010010111 6 -b1111111101101110111100010010111 @ -b1111111101101110111100010010111 F -b1101111001001111001001000010111 ) -#71680000 -0& -#71696000 -b10001100000 , -#71712000 -1& -#71728000 -b101011011010001010101101100110 " -b101011011010001010101101100110 4 -b1111111111111111111111111011010 1 -b1111111111111111111111111011010 C -b11111 0 -b11111 H -09 -08 -b10101100011010010101010000100101 < -b101011011010001010101101100110 2 -b101011011010001010101101100110 = -b101011011010001010101101100110 : -b1111110111111110101011101000000 $ -b1111110111111110101011101000000 - -b1111110111111110101011101000000 5 -b1111110111111110101011101000000 ? -b1111110111111110101011101000000 D -b1010011100101101010101111011010 % -b1010011100101101010101111011010 . -b1010011100101101010101111011010 6 -b1010011100101101010101111011010 @ -b1010011100101101010101111011010 F -b101011011010001010101101100110 ) -#71744000 -0& -#71760000 -b10001100001 , -#71776000 -1& -#71792000 -b1101011111010101101011110011110 " -b1101011111010101101011110011110 4 -b10111111111111110110100110111110 1 -b10111111111111110110100110111110 C -b10 0 -b10 H -18 -19 -b11010100000000111011011011100001 < -b1101011111010101101011110011110 2 -b1101011111010101101011110011110 = -b1101011111010101101011110011110 : -b10010111111001110010000010111100 $ -b10010111111001110010000010111100 - -b10010111111001110010000010111100 5 -b10010111111001110010000010111100 ? -b10010111111001110010000010111100 D -b101011111111000100100100011110 % -b101011111111000100100100011110 . -b101011111111000100100100011110 6 -b101011111111000100100100011110 @ -b101011111111000100100100011110 F -b1101011111010101101011110011110 ) -#71808000 -0& -#71824000 -b10001100010 , -#71840000 -1& -#71856000 -b1110000100010000010000111100110 " -b1110000100010000010000111100110 4 -b11111111111111110111101011111110 1 -b11111111111111110111101011111110 C -b1101111 0 -b1101111 H -08 -09 -b100100001010011101100111 < -b1110000100010000010000111100110 2 -b1110000100010000010000111100110 = -b1110000100010000010000111100110 : -b1101111111101110111101001111110 $ -b1101111111101110111101001111110 - -b1101111111101110111101001111110 5 -b1101111111101110111101001111110 ? -b1101111111101110111101001111110 D -b11111111011011110101100010011000 % -b11111111011011110101100010011000 . -b11111111011011110101100010011000 6 -b11111111011011110101100010011000 @ -b11111111011011110101100010011000 F -b1110000100010000010000111100110 ) -#71872000 -0& -#71888000 -b10001100011 , -#71904000 -1& -#71920000 -b10101000001001000101010111011000 " -b10101000001001000101010111011000 4 -b11111111111101111111011111111000 1 -b11111111111101111111011111111000 C -b1111111111010111 0 -b1111111111010111 H -18 -09 -b10101000010011001000111000101111 < -b10101000001001000101010111011000 2 -b10101000001001000101010111011000 = -b10101000001001000101010111011000 : -b11111111110101111100011110101000 $ -b11111111110101111100011110101000 - -b11111111110101111100011110101000 5 -b11111111110101111100011110101000 ? -b11111111110101111100011110101000 D -b1010111101100110111000111010000 % -b1010111101100110111000111010000 . -b1010111101100110111000111010000 6 -b1010111101100110111000111010000 @ -b1010111101100110111000111010000 F -b10101000001001000101010111011000 ) -#71936000 -0& -#71952000 -b10001100100 , -#71968000 -1& -#71984000 -b1111100111010001010101100100101 " -b1111100111010001010101100100101 4 -b11111111111111011111110111101111 1 -b11111111111111011111110111101111 C -b1111010110111010110010 0 -b1111010110111010110010 H -08 -b10000010110100011000010110 < -b1111100111010001010101100100101 2 -b1111100111010001010101100100101 = -b1111100111010001010101100100101 : -b1111010110111010110010100001110 $ -b1111010110111010110010100001110 - -b1111010110111010110010100001110 5 -b1111010110111010110010100001110 ? -b1111010110111010110010100001110 D -b11111101111101001011100111101001 % -b11111101111101001011100111101001 . -b11111101111101001011100111101001 6 -b11111101111101001011100111101001 @ -b11111101111101001011100111101001 F -b1111100111010001010101100100101 ) -#72000000 -0& -#72016000 -b10001100101 , -#72032000 -1& -#72048000 -b1101111111100111101110001010011 " -b1101111111100111101110001010011 4 -b11111111111111111111111001110111 1 -b11111111111111111111111001110111 C -b1110111111110001111101100111 0 -b1110111111110001111101100111 H -19 -18 -b10000000000000011110010111011011 < -b1101111111100111101110001010011 2 -b1101111111100111101110001010011 = -b1101111111100111101110001010011 : -b11101111111100011111011001110111 $ -b11101111111100011111011001110111 - -b11101111111100011111011001110111 5 -b11101111111100011111011001110111 ? -b11101111111100011111011001110111 D -b1111111111111100001101000100100 % -b1111111111111100001101000100100 . -b1111111111111100001101000100100 6 -b1111111111111100001101000100100 @ -b1111111111111100001101000100100 F -b1101111111100111101110001010011 ) -#72064000 -0& -#72080000 -b10001100110 , -#72096000 -1& -#72112000 -b1111110001000110100010101101110 " -b1111110001000110100010101101110 4 -b11011111111111111100101010111111 1 -b11011111111111111100101010111111 C -b1011101111111110 0 -b1011101111111110 H -09 -08 -b100000001001000011110101010000 < -b1111110001000110100010101101110 2 -b1111110001000110100010101101110 = -b1111110001000110100010101101110 : -b1011101111111110000100000011101 $ -b1011101111111110000100000011101 - -b1011101111111110000100000011101 5 -b1011101111111110000100000011101 ? -b1011101111111110000100000011101 D -b11011111110110111100001010101111 % -b11011111110110111100001010101111 . -b11011111110110111100001010101111 6 -b11011111110110111100001010101111 @ -b11011111110110111100001010101111 F -b1111110001000110100010101101110 ) -#72128000 -0& -#72144000 -b10001100111 , -#72160000 -1& -#72176000 -b1101111000011011010001101101 " -b1101111000011011010001101101 4 -b11111011111111111111111110110111 1 -b11111011111111111111111110110111 C -b111110011 0 -b111110011 H -08 -09 -b10100000000100001101001001000 < -b1101111000011011010001101101 2 -b1101111000011011010001101101 = -b1101111000011011010001101101 : -b11111001110111111001101000100100 $ -b11111001110111111001101000100100 - -b11111001110111111001101000100100 5 -b11111001110111111001101000100100 ? -b11111001110111111001101000100100 D -b11101011111111011110010110110111 % -b11101011111111011110010110110111 . -b11101011111111011110010110110111 6 -b11101011111111011110010110110111 @ -b11101011111111011110010110110111 F -b1101111000011011010001101101 ) -#72192000 -0& -#72208000 -b10001101000 , -#72224000 -1& -#72240000 -b11000011110000101000001100100100 " -b11000011110000101000001100100100 4 -b11011101111111111101111101111100 1 -b11011101111111111101111101111100 C -b1001 0 -b1001 H -18 -b100110010000101010010111000011 < -b11000011110000101000001100100100 2 -b11000011110000101000001100100100 = -b11000011110000101000001100100100 : -b10011101011111111101110101100000 $ -b10011101011111111101110101100000 - -b10011101011111111101110101100000 5 -b10011101011111111101110101100000 ? -b10011101011111111101110101100000 D -b11011001101111010101101000111100 % -b11011001101111010101101000111100 . -b11011001101111010101101000111100 6 -b11011001101111010101101000111100 @ -b11011001101111010101101000111100 F -b11000011110000101000001100100100 ) -#72256000 -0& -#72272000 -b10001101001 , -#72288000 -1& -#72304000 -b10011001100000000111101100101100 " -b10011001100000000111101100101100 4 -b10111111110111111111111110111110 1 -b10111111110111111111111110111110 C -b1111111101111101 0 -b1111111101111101 H -19 -08 -b1011001101000010000000101110001 < -b10011001100000000111101100101100 2 -b10011001100000000111101100101100 = -b10011001100000000111101100101100 : -b111111110111110111100110111010 $ -b111111110111110111100110111010 - -b111111110111110111100110111010 5 -b111111110111110111100110111010 ? -b111111110111110111100110111010 D -b10100110010111101111111010001110 % -b10100110010111101111111010001110 . -b10100110010111101111111010001110 6 -b10100110010111101111111010001110 @ -b10100110010111101111111010001110 F -b10011001100000000111101100101100 ) -#72320000 -0& -#72336000 -b10001101010 , -#72352000 -1& -#72368000 -b11110111111111010001100001011010 " -b11110111111111010001100001011010 4 -b111110111011110111111111011010 1 -b111110111011110111111111011010 C -b101110111011000110110000011010 0 -b101110111011000110110000011010 H -18 -09 -b11001001000100001010110000111111 < -b11110111111111010001100001011010 2 -b11110111111111010001100001011010 = -b11110111111111010001100001011010 : -b101110111011000110110000011010 $ -b101110111011000110110000011010 - -b101110111011000110110000011010 5 -b101110111011000110110000011010 ? -b101110111011000110110000011010 D -b110110111011110101001111000000 % -b110110111011110101001111000000 . -b110110111011110101001111000000 6 -b110110111011110101001111000000 @ -b110110111011110101001111000000 F -b11110111111111010001100001011010 ) -#72384000 -0& -#72400000 -b10001101011 , -#72416000 -1& -#72432000 -b110000000001110100100110101111 " -b110000000001110100100110101111 4 -b1110101101111111101111111010001 1 -b1110101101111111101111111010001 C -b11001011010011 0 -b11001011010011 H -08 -b11001010011000000011000000101110 < -b110000000001110100100110101111 2 -b110000000001110100100110101111 = -b110000000001110100100110101111 : -b1100101101001110001100110000000 $ -b1100101101001110001100110000000 - -b1100101101001110001100110000000 5 -b1100101101001110001100110000000 ? -b1100101101001110001100110000000 D -b110101100111111100111111010001 % -b110101100111111100111111010001 . -b110101100111111100111111010001 6 -b110101100111111100111111010001 @ -b110101100111111100111111010001 F -b110000000001110100100110101111 ) -#72448000 -0& -#72464000 -b10001101100 , -#72480000 -1& -#72496000 -b111101111111101000000110111101 " -b111101111111101000000110111101 4 -b11111111110101111000111011001111 1 -b11111111110101111000111011001111 C -b111111011101001110 0 -b111111011101001110 H -08 -b1000000001010101111100100110001 < -b111101111111101000000110111101 2 -b111101111111101000000110111101 = -b111101111111101000000110111101 : -b11111101110100111000100010001011 $ -b11111101110100111000100010001011 - -b11111101110100111000100010001011 5 -b11111101110100111000100010001011 ? -b11111101110100111000100010001011 D -b10111111110101010000011011001110 % -b10111111110101010000011011001110 . -b10111111110101010000011011001110 6 -b10111111110101010000011011001110 @ -b10111111110101010000011011001110 F -b111101111111101000000110111101 ) -#72512000 -0& -#72528000 -b10001101101 , -#72544000 -1& -#72560000 -b100011101101000110111101101011 " -b100011101101000110111101101011 4 -b11111111111111111111000111111101 1 -b11111111111111111111000111111101 C -b110 0 -b110 H -b1000100001110000011111000000010 < -b100011101101000110111101101011 2 -b100011101101000110111101101011 = -b100011101101000110111101101011 : -b11011111011111000011000101101000 $ -b11011111011111000011000101101000 - -b11011111011111000011000101101000 5 -b11011111011111000011000101101000 ? -b11011111011111000011000101101000 D -b10111011110001111100000111111101 % -b10111011110001111100000111111101 . -b10111011110001111100000111111101 6 -b10111011110001111100000111111101 @ -b10111011110001111100000111111101 F -b100011101101000110111101101011 ) -#72576000 -0& -#72592000 -b10001101110 , -#72608000 -1& -#72624000 -b111000000011110001100110000 " -b111000000011110001100110000 4 -b11111111111111111110011011111000 1 -b11111111111111111110011011111000 C -b111101101111111110100110 0 -b111101101111111110100110 H -b10000000000100011110100010111 < -b111000000011110001100110000 2 -b111000000011110001100110000 = -b111000000011110001100110000 : -b11110110111111111010011000011000 $ -b11110110111111111010011000011000 - -b11110110111111111010011000011000 5 -b11110110111111111010011000011000 ? -b11110110111111111010011000011000 D -b11101111111111011100001011101000 % -b11101111111111011100001011101000 . -b11101111111111011100001011101000 6 -b11101111111111011100001011101000 @ -b11101111111111011100001011101000 F -b111000000011110001100110000 ) -#72640000 -0& -#72656000 -b10001101111 , -#72672000 -1& -#72688000 -b1111010101111100010010111100011 " -b1111010101111100010010111100011 4 -b11111111111111111110111101101111 1 -b11111111111111111110111101101111 C -b11101111111110110001 0 -b11101111111110110001 H -08 -b10110000001001101010010100 < -b1111010101111100010010111100011 2 -b1111010101111100010010111100011 = -b1111010101111100010010111100011 : -b1110111111111011000101101001110 $ -b1110111111111011000101101001110 - -b1110111111111011000101101001110 5 -b1110111111111011000101101001110 ? -b1110111111111011000101101001110 D -b11111101001111110110010101101011 % -b11111101001111110110010101101011 . -b11111101001111110110010101101011 6 -b11111101001111110110010101101011 @ -b11111101001111110110010101101011 F -b1111010101111100010010111100011 ) -#72704000 -0& -#72720000 -b10001110000 , -#72736000 -1& -#72752000 -b111000000011011000101110101 " -b111000000011011000101110101 4 -b11111111111011111111101010010111 1 -b11111111111011111111101010010111 C -b11111111111011 0 -b11111111111011 H -08 -09 -b111000100100011011101101101 < -b111000000011011000101110101 2 -b111000000011011000101110101 = -b111000000011011000101110101 : -b11111111111011110111101000000111 $ -b11111111111011110111101000000111 - -b11111111111011110111101000000111 5 -b11111111111011110111101000000111 ? -b11111111111011110111101000000111 D -b11111000111011011100100010010010 % -b11111000111011011100100010010010 . -b11111000111011011100100010010010 6 -b11111000111011011100100010010010 @ -b11111000111011011100100010010010 F -b111000000011011000101110101 ) -#72768000 -0& -#72784000 -b10001110001 , -#72800000 -1& -#72816000 -b110000011110001001011010111101 " -b110000011110001001011010111101 4 -b1111111101111111001110111000101 1 -b1111111101111111001110111000101 C -b111111110110111100111001000 0 -b111111110110111100111001000 H -08 -b10110000110000001111101000111011 < -b110000011110001001011010111101 2 -b110000011110001001011010111101 = -b110000011110001001011010111101 : -b1111111101101111001110010000001 $ -b1111111101101111001110010000001 - -b1111111101101111001110010000001 5 -b1111111101101111001110010000001 ? -b1111111101101111001110010000001 D -b1001111001111110000010111000100 % -b1001111001111110000010111000100 . -b1001111001111110000010111000100 6 -b1001111001111110000010111000100 @ -b1001111001111110000010111000100 F -b110000011110001001011010111101 ) -#72832000 -0& -#72848000 -b10001110010 , -#72864000 -1& -#72880000 -b10111011110001010001101111000110 " -b10111011110001010001101111000110 4 -b11111111111110111111111101111010 1 -b11111111111110111111111101111010 C -b10111011011110 0 -b10111011011110 H -18 -b10011000001110010001101 < -b10111011110001010001101111000110 2 -b10111011110001010001101111000110 = -b10111011110001010001101111000110 : -b10111011011110001111111100111000 $ -b10111011011110001111111100111000 - -b10111011011110001111111100111000 5 -b10111011011110001111111100111000 ? -b10111011011110001111111100111000 D -b11111111101100111110001101110010 % -b11111111101100111110001101110010 . -b11111111101100111110001101110010 6 -b11111111101100111110001101110010 @ -b11111111101100111110001101110010 F -b10111011110001010001101111000110 ) -#72896000 -0& -#72912000 -b10001110011 , -#72928000 -1& -#72944000 -b1000010010001010110111101101000 " -b1000010010001010110111101101000 4 -b11011111111111101111110011101100 1 -b11011111111111101111110011101100 C -b1001111111111110010111000010 0 -b1001111111111110010111000010 H -19 -18 -b10100010010001110001001100111011 < -b1000010010001010110111101101000 2 -b1000010010001010110111101101000 = -b1000010010001010110111101101000 : -b10011111111111100101110000101100 $ -b10011111111111100101110000101100 - -b10011111111111100101110000101100 5 -b10011111111111100101110000101100 ? -b10011111111111100101110000101100 D -b1011101101110001110110011000100 % -b1011101101110001110110011000100 . -b1011101101110001110110011000100 6 -b1011101101110001110110011000100 @ -b1011101101110001110110011000100 F -b1000010010001010110111101101000 ) -#72960000 -0& -#72976000 -b10001110100 , -#72992000 -1& -#73008000 -b100110010111110001101111100110 " -b100110010111110001101111100110 4 -b111111111110111011111111011110 1 -b111111111110111011111111011110 C -b11 0 -b11 H -09 -08 -b11101000100001000110110000100011 < -b100110010111110001101111100110 2 -b100110010111110001101111100110 = -b100110010111110001101111100110 : -b111101110110101010111111000010 $ -b111101110110101010111111000010 - -b111101110110101010111111000010 5 -b111101110110101010111111000010 ? -b111101110110101010111111000010 D -b10111011110111001001111011100 % -b10111011110111001001111011100 . -b10111011110111001001111011100 6 -b10111011110111001001111011100 @ -b10111011110111001001111011100 F -b100110010111110001101111100110 ) -#73024000 -0& -#73040000 -b10001110101 , -#73056000 -1& -#73072000 -b1111101001000000110011100001111 " -b1111101001000000110011100001111 4 -b11011111111110111111101100110101 1 -b11011111111110111111101100110101 C -b10111001101101111011010001 0 -b10111001101101111011010001 H -b100000010001001000110011011010 < -b1111101001000000110011100001111 2 -b1111101001000000110011100001111 = -b1111101001000000110011100001111 : -b1011100110110111101101000110100 $ -b1011100110110111101101000110100 - -b1011100110110111101101000110100 5 -b1011100110110111101101000110100 ? -b1011100110110111101101000110100 D -b11011111101110110111001100100101 % -b11011111101110110111001100100101 . -b11011111101110110111001100100101 6 -b11011111101110110111001100100101 @ -b11011111101110110111001100100101 F -b1111101001000000110011100001111 ) -#73088000 -0& -#73104000 -b10001110110 , -#73120000 -1& -#73136000 -b101100000101010101000101110010 " -b101100000101010101000101110010 4 -b11111111111110111111111011110111 1 -b11111111111110111111111011110111 C -b10101011111110001010100001010 0 -b10101011111110001010100001010 H -19 -18 -b10000000000111001010100100011100 < -b101100000101010101000101110010 2 -b101100000101010101000101110010 = -b101100000101010101000101110010 : -b10101011111110001010100001010101 $ -b10101011111110001010100001010101 - -b10101011111110001010100001010101 5 -b10101011111110001010100001010101 ? -b10101011111110001010100001010101 D -b1111111111000110101011011100011 % -b1111111111000110101011011100011 . -b1111111111000110101011011100011 6 -b1111111111000110101011011100011 @ -b1111111111000110101011011100011 F -b101100000101010101000101110010 ) -#73152000 -0& -#73168000 -b10001110111 , -#73184000 -1& -#73200000 -b1111011111011011001010010000001 " -b1111011111011011001010010000001 4 -b11111111111111111011110110101001 1 -b11111111111111111011110110101001 C -b11110111101011110111101 0 -b11110111101011110111101 H -09 -08 -b101011101011101010111 < -b1111011111011011001010010000001 2 -b1111011111011011001010010000001 = -b1111011111011011001010010000001 : -b1111011110101111011110100101001 $ -b1111011110101111011110100101001 - -b1111011110101111011110100101001 5 -b1111011110101111011110100101001 ? -b1111011110101111011110100101001 D -b11111111111010100010100010101000 % -b11111111111010100010100010101000 . -b11111111111010100010100010101000 6 -b11111111111010100010100010101000 @ -b11111111111010100010100010101000 F -b1111011111011011001010010000001 ) -#73216000 -0& -#73232000 -b10001111000 , -#73248000 -1& -#73264000 -b1110100110011011010000110011 " -b1110100110011011010000110011 4 -b1111011111111111111011111010111 1 -b1111011111111111111011111010111 C -b11110100111 0 -b11110100111 H -b10010100001000000000111000101011 < -b1110100110011011010000110011 2 -b1110100110011011010000110011 = -b1110100110011011010000110011 : -b1111010011110011010011000000111 $ -b1111010011110011010011000000111 - -b1111010011110011010011000000111 5 -b1111010011110011010011000000111 ? -b1111010011110011010011000000111 D -b1101011110111111111000111010100 % -b1101011110111111111000111010100 . -b1101011110111111111000111010100 6 -b1101011110111111111000111010100 @ -b1101011110111111111000111010100 F -b1110100110011011010000110011 ) -#73280000 -0& -#73296000 -b10001111001 , -#73312000 -1& -#73328000 -b1111101110000010010001100110110 " -b1111101110000010010001100110110 4 -b11111111110111111111110101101111 1 -b11111111110111111111110101101111 C -b1110111101011111111 0 -b1110111101011111111 H -19 -18 -b10001110011000010010101011010010 < -b1111101110000010010001100110110 2 -b1111101110000010010001100110110 = -b1111101110000010010001100110110 : -b11101111010111111111100001100011 $ -b11101111010111111111100001100011 - -b11101111010111111111100001100011 5 -b11101111010111111111100001100011 ? -b11101111010111111111100001100011 D -b1110001100111101101010100101101 % -b1110001100111101101010100101101 . -b1110001100111101101010100101101 6 -b1110001100111101101010100101101 @ -b1110001100111101101010100101101 F -b1111101110000010010001100110110 ) -#73344000 -0& -#73360000 -b10001111010 , -#73376000 -1& -#73392000 -b1000111111000010000 " -b1000111111000010000 4 -b10111111101111111010110111110111 1 -b10111111101111111010110111110111 C -b101111111 0 -b101111111 H -08 -09 -b1000000010010100101001000001000 < -b1000111111000010000 2 -b1000111111000010000 = -b1000111111000010000 : -b10111111101110100010110000000111 $ -b10111111101110100010110000000111 - -b10111111101110100010110000000111 5 -b10111111101110100010110000000111 ? -b10111111101110100010110000000111 D -b10111111101101011010110111110111 % -b10111111101101011010110111110111 . -b10111111101101011010110111110111 6 -b10111111101101011010110111110111 @ -b10111111101101011010110111110111 F -b1000111111000010000 ) -#73408000 -0& -#73424000 -b10001111011 , -#73440000 -1& -#73456000 -b10001011010100111111110111001000 " -b10001011010100111111110111001000 4 -b11111111111111111110011111001100 1 -b11111111111111111110011111001100 C -b110101101010011111000110100 0 -b110101101010011111000110100 H -19 -08 -b100000000000000001101001111011 < -b10001011010100111111110111001000 2 -b10001011010100111111110111001000 = -b10001011010100111111110111001000 : -b1101011010100111110001101001100 $ -b1101011010100111110001101001100 - -b1101011010100111110001101001100 5 -b1101011010100111110001101001100 ? -b1101011010100111110001101001100 D -b11011111111111111110010110000100 % -b11011111111111111110010110000100 . -b11011111111111111110010110000100 6 -b11011111111111111110010110000100 @ -b11011111111111111110010110000100 F -b10001011010100111111110111001000 ) -#73472000 -0& -#73488000 -b10001111100 , -#73504000 -1& -#73520000 -b1100011011100111111000010110111 " -b1100011011100111111000010110111 4 -b11111111111111110111000111001111 1 -b11111111111111110111000111001111 C -b1011101111011110101 0 -b1011101111011110101 H -09 -08 -b101100001001001111011110011 < -b1100011011100111111000010110111 2 -b1100011011100111111000010110111 = -b1100011011100111111000010110111 : -b1011101111011110101000111000011 $ -b1011101111011110101000111000011 - -b1011101111011110101000111000011 5 -b1011101111011110101000111000011 ? -b1011101111011110101000111000011 D -b11111010011110110110000100001100 % -b11111010011110110110000100001100 . -b11111010011110110110000100001100 6 -b11111010011110110110000100001100 @ -b11111010011110110110000100001100 F -b1100011011100111111000010110111 ) -#73536000 -0& -#73552000 -b10001111101 , -#73568000 -1& -#73584000 -b10111100000001110011111001111 " -b10111100000001110011111001111 4 -b11111101111011110110100001010001 1 -b11111101111011110110100001010001 C -b1001010101101111001010000001000 0 -b1001010101101111001010000001000 H -19 -18 -b10000010000100011011111110111110 < -b10111100000001110011111001111 2 -b10111100000001110011111001111 = -b10111100000001110011111001111 : -b10010101011011110010100000010000 $ -b10010101011011110010100000010000 - -b10010101011011110010100000010000 5 -b10010101011011110010100000010000 ? -b10010101011011110010100000010000 D -b1111101111011100100000001000001 % -b1111101111011100100000001000001 . -b1111101111011100100000001000001 6 -b1111101111011100100000001000001 @ -b1111101111011100100000001000001 F -b10111100000001110011111001111 ) -#73600000 -0& -#73616000 -b10001111110 , -#73632000 -1& -#73648000 -b10100011101110010001101001000001 " -b10100011101110010001101001000001 4 -b11111111111111110111111111101111 1 -b11111111111111110111111111101111 C -b100111110111111101110 0 -b100111110111111101110 H -09 -18 -b100001110011010001100010100 < -b10100011101110010001101001000001 2 -b10100011101110010001101001000001 = -b10100011101110010001101001000001 : -b10011111011111110111011100101100 $ -b10011111011111110111011100101100 - -b10011111011111110111011100101100 5 -b10011111011111110111011100101100 ? -b10011111011111110111011100101100 D -b11111011110001100101110011101011 % -b11111011110001100101110011101011 . -b11111011110001100101110011101011 6 -b11111011110001100101110011101011 @ -b11111011110001100101110011101011 F -b10100011101110010001101001000001 ) -#73664000 -0& -#73680000 -b10001111111 , -#73696000 -1& -#73712000 -b1100010001101000000100110000101 " -b1100010001101000000100110000101 4 -b11111010111111110111101110100111 1 -b11111010111111110111101110100111 C -b101101011101111011100110010011 0 -b101101011101111011100110010011 H -08 -b111010001001001011001011110 < -b1100010001101000000100110000101 2 -b1100010001101000000100110000101 = -b1100010001101000000100110000101 : -b1011010111011110111001100100110 $ -b1011010111011110111001100100110 - -b1011010111011110111001100100110 5 -b1011010111011110111001100100110 ? -b1011010111011110111001100100110 D -b11111000101110110110100110100001 % -b11111000101110110110100110100001 . -b11111000101110110110100110100001 6 -b11111000101110110110100110100001 @ -b11111000101110110110100110100001 F -b1100010001101000000100110000101 ) -#73728000 -0& -#73744000 -b10010000000 , -#73760000 -1& -#73776000 -b1111111101110111110110111101111 " -b1111111101110111110110111101111 4 -b11111111111111111001111010011101 1 -b11111111111111111001111010011101 C -b111 0 -b111 H -18 -19 -b10000000000001000110011101100010 < -b1111111101110111110110111101111 2 -b1111111101110111110110111101111 = -b1111111101110111110110111101111 : -b11111111101101111000011010001100 $ -b11111111101101111000011010001100 - -b11111111101101111000011010001100 5 -b11111111101101111000011010001100 ? -b11111111101101111000011010001100 D -b1111111111110111001100010011101 % -b1111111111110111001100010011101 . -b1111111111110111001100010011101 6 -b1111111111110111001100010011101 @ -b1111111111110111001100010011101 F -b1111111101110111110110111101111 ) -#73792000 -0& -#73808000 -b10010000001 , -#73824000 -1& -#73840000 -b111111101100101100110101000110 " -b111111101100101100110101000110 4 -b1111010110111111111110111011111 1 -b1111010110111111111110111011111 C -b111101010001111101 0 -b111101010001111101 H -09 -08 -b11000101001000110001001101110010 < -b111111101100101100110101000110 2 -b111111101100101100110101000110 = -b111111101100101100110101000110 : -b1111010100011111011100111010011 $ -b1111010100011111011100111010011 - -b1111010100011111011100111010011 5 -b1111010100011111011100111010011 ? -b1111010100011111011100111010011 D -b111010110111001110110010001101 % -b111010110111001110110010001101 . -b111010110111001110110010001101 6 -b111010110111001110110010001101 @ -b111010110111001110110010001101 F -b111111101100101100110101000110 ) -#73856000 -0& -#73872000 -b10010000010 , -#73888000 -1& -#73904000 -b11000110111010011111110001110101 " -b11000110111010011111110001110101 4 -b1111111011011111100110011010101 1 -b1111111011011111100110011010101 C -b11111100101111 0 -b11111100101111 H -18 -b10000111101110100011011110101111 < -b11000110111010011111110001110101 2 -b11000110111010011111110001110101 = -b11000110111010011111110001110101 : -b111111001011111100010011000101 $ -b111111001011111100010011000101 - -b111111001011111100010011000101 5 -b111111001011111100010011000101 ? -b111111001011111100010011000101 D -b1111000010001011100100001010000 % -b1111000010001011100100001010000 . -b1111000010001011100100001010000 6 -b1111000010001011100100001010000 @ -b1111000010001011100100001010000 F -b11000110111010011111110001110101 ) -#73920000 -0& -#73936000 -b10010000011 , -#73952000 -1& -#73968000 -b100111010000001110111100110010 " -b100111010000001110111100110010 4 -b11111111111110110111101111111110 1 -b11111111111110110111101111111110 C -b100111001110110101 0 -b100111001110110101 H -08 -b1011001010001010011 < -b100111010000001110111100110010 2 -b100111010000001110111100110010 = -b100111010000001110111100110010 : -b100111001110110101101011011110 $ -b100111001110110101101011011110 - -b100111001110110101101011011110 5 -b100111001110110101101011011110 ? -b100111001110110101101011011110 D -b11111111111110100110101110101100 % -b11111111111110100110101110101100 . -b11111111111110100110101110101100 6 -b11111111111110100110101110101100 @ -b11111111111110100110101110101100 F -b100111010000001110111100110010 ) -#73984000 -0& -#74000000 -b10010000100 , -#74016000 -1& -#74032000 -b100111000100011010000 " -b100111000100011010000 4 -b1111111111111111010101111011101 1 -b1111111111111111010101111011101 C -b111111111111111001 0 -b111111111111111001 H -09 -08 -b10000000000101000101110101110010 < -b100111000100011010000 2 -b100111000100011010000 = -b100111000100011010000 : -b1111111111111110010101101011101 $ -b1111111111111110010101101011101 - -b1111111111111110010101101011101 5 -b1111111111111110010101101011101 ? -b1111111111111110010101101011101 D -b1111111111010111010001010001101 % -b1111111111010111010001010001101 . -b1111111111010111010001010001101 6 -b1111111111010111010001010001101 @ -b1111111111010111010001010001101 F -b100111000100011010000 ) -#74048000 -0& -#74064000 -b10010000101 , -#74080000 -1& -#74096000 -b1111111001011101000000100111000 " -b1111111001011101000000100111000 4 -b11111111111111111110101101101011 1 -b11111111111111111110101101101011 C -b11111101110111001101 0 -b11111101110111001101 H -08 -b10000000001011011010100 < -b1111111001011101000000100111000 2 -b1111111001011101000000100111000 = -b1111111001011101000000100111000 : -b1111110111011100110101001100011 $ -b1111110111011100110101001100011 - -b1111110111011100110101001100011 5 -b1111110111011100110101001100011 ? -b1111110111011100110101001100011 D -b11111111101111111110100100101011 % -b11111111101111111110100100101011 . -b11111111101111111110100100101011 6 -b11111111101111111110100100101011 @ -b11111111101111111110100100101011 F -b1111111001011101000000100111000 ) -#74112000 -0& -#74128000 -b10010000110 , -#74144000 -1& -#74160000 -b10001001111010000111001001011111 " -b10001001111010000111001001011111 4 -b11111111111111111001001111111011 1 -b11111111111111111001001111111011 C -b1111 0 -b1111 H -19 -08 -b1001111010001110111100100100 < -b10001001111010000111001001011111 2 -b10001001111010000111001001011111 = -b10001001111010000111001001011111 : -b1111111111111111000001100111010 $ -b1111111111111111000001100111010 - -b1111111111111111000001100111010 5 -b1111111111111111000001100111010 ? -b1111111111111111000001100111010 D -b11110110000101110001000011011011 % -b11110110000101110001000011011011 . -b11110110000101110001000011011011 6 -b11110110000101110001000011011011 @ -b11110110000101110001000011011011 F -b10001001111010000111001001011111 ) -#74176000 -0& -#74192000 -b10010000111 , -#74208000 -1& -#74224000 -b1111101111111010111011001011000 " -b1111101111111010111011001011000 4 -b11111111111111111101101101011111 1 -b11111111111111111101101101011111 C -b1111011111111101010100010 0 -b1111011111111101010100010 H -18 -b10000110000000000010010011111000 < -b1111101111111010111011001011000 2 -b1111101111111010111011001011000 = -b1111101111111010111011001011000 : -b11110111111111010101000101011111 $ -b11110111111111010101000101011111 - -b11110111111111010101000101011111 5 -b11110111111111010101000101011111 ? -b11110111111111010101000101011111 D -b1111001111111111101101100000111 % -b1111001111111111101101100000111 . -b1111001111111111101101100000111 6 -b1111001111111111101101100000111 @ -b1111001111111111101101100000111 F -b1111101111111010111011001011000 ) -#74240000 -0& -#74256000 -b10010001000 , -#74272000 -1& -#74288000 -b10111110000111011011111100010101 " -b10111110000111011011111100010101 4 -b11111111111111111101000111011111 1 -b11111111111111111101000111011111 C -b10111101111111001101000 0 -b10111101111111001101000 H -09 -18 -b1000001110111000110110 < -b10111110000111011011111100010101 2 -b10111110000111011011111100010101 = -b10111110000111011011111100010101 : -b10111101111111001101000011011110 $ -b10111101111111001101000011011110 - -b10111101111111001101000011011110 5 -b10111101111111001101000011011110 ? -b10111101111111001101000011011110 D -b11111111110111110001000111001001 % -b11111111110111110001000111001001 . -b11111111110111110001000111001001 6 -b11111111110111110001000111001001 @ -b11111111110111110001000111001001 F -b10111110000111011011111100010101 ) -#74304000 -0& -#74320000 -b10010001001 , -#74336000 -1& -#74352000 -b11011000101101111001001101100111 " -b11011000101101111001001101100111 4 -b11111111111111111010110111101111 1 -b11111111111111111010110111101111 C -b1111111110101111001000001 0 -b1111111110101111001000001 H -b11011001000010000111001001111000 < -b11011000101101111001001101100111 2 -b11011000101101111001001101100111 = -b11011000101101111001001101100111 : -b11111111101011110010000011101110 $ -b11111111101011110010000011101110 - -b11111111101011110010000011101110 5 -b11111111101011110010000011101110 ? -b11111111101011110010000011101110 D -b100110111101111000110110000111 % -b100110111101111000110110000111 . -b100110111101111000110110000111 6 -b100110111101111000110110000111 @ -b100110111101111000110110000111 F -b11011000101101111001001101100111 ) -#74368000 -0& -#74384000 -b10010001010 , -#74400000 -1& -#74416000 -b11101101000111100100001110001010 " -b11101101000111100100001110001010 4 -b10111111111111111111111011010110 1 -b10111111111111111111111011010110 C -b10101101000111011111101001 0 -b10101101000111011111101001 H -b1000000000000000100100100111001 < -b11101101000111100100001110001010 2 -b11101101000111100100001110001010 = -b11101101000111100100001110001010 : -b10101101000111011111101001010000 $ -b10101101000111011111101001010000 - -b10101101000111011111101001010000 5 -b10101101000111011111101001010000 ? -b10101101000111011111101001010000 D -b10111111111111111011011011000110 % -b10111111111111111011011011000110 . -b10111111111111111011011011000110 6 -b10111111111111111011011011000110 @ -b10111111111111111011011011000110 F -b11101101000111100100001110001010 ) -#74432000 -0& -#74448000 -b10010001011 , -#74464000 -1& -#74480000 -b11010010101010101001000010111 " -b11010010101010101001000010111 4 -b11111111111111111011111011111111 1 -b11111111111111111011111011111111 C -b1001 0 -b1001 H -19 -18 -b10000010100000001100000100100011 < -b11010010101010101001000010111 2 -b11010010101010101001000010111 = -b11010010101010101001000010111 : -b10010111110101001001000011110011 $ -b10010111110101001001000011110011 - -b10010111110101001001000011110011 5 -b10010111110101001001000011110011 ? -b10010111110101001001000011110011 D -b1111101011111110011111011011100 % -b1111101011111110011111011011100 . -b1111101011111110011111011011100 6 -b1111101011111110011111011011100 @ -b1111101011111110011111011011100 F -b11010010101010101001000010111 ) -#74496000 -0& -#74512000 -b10010001100 , -#74528000 -1& -#74544000 -b1100001010100111111011000000001 " -b1100001010100111111011000000001 4 -b11111101111111111000111100110001 1 -b11111101111111111000111100110001 C -b1111110110101111 0 -b1111110110101111 H -09 -08 -b1100011101001000111000011001111 < -b1100001010100111111011000000001 2 -b1100001010100111111011000000001 = -b1100001010100111111011000000001 : -b11111101101011111000010100110001 $ -b11111101101011111000010100110001 - -b11111101101011111000010100110001 5 -b11111101101011111000010100110001 ? -b11111101101011111000010100110001 D -b10011100010110111000111100110000 % -b10011100010110111000111100110000 . -b10011100010110111000111100110000 6 -b10011100010110111000111100110000 @ -b10011100010110111000111100110000 F -b1100001010100111111011000000001 ) -#74560000 -0& -#74576000 -b10010001101 , -#74592000 -1& -#74608000 -b10000010001000001111101011001000 " -b10000010001000001111101011001000 4 -b11111111111111110111111111111001 1 -b11111111111111110111111111111001 C -b111111111111111 0 -b111111111111111 H -18 -b10000010001000011000110000001110 < -b10000010001000001111101011001000 2 -b10000010001000001111101011001000 = -b10000010001000001111101011001000 : -b11111111111111110110111010111001 $ -b11111111111111110110111010111001 - -b11111111111111110110111010111001 5 -b11111111111111110110111010111001 ? -b11111111111111110110111010111001 D -b1111101110111100111001111110001 % -b1111101110111100111001111110001 . -b1111101110111100111001111110001 6 -b1111101110111100111001111110001 @ -b1111101110111100111001111110001 F -b10000010001000001111101011001000 ) -#74624000 -0& -#74640000 -b10010001110 , -#74656000 -1& -#74672000 -b10001011011100110101001011100001 " -b10001011011100110101001011100001 4 -b11111111111111110111111101100101 1 -b11111111111111110111111101100101 C -b1111101100111111011111010100 0 -b1111101100111111011111010100 H -09 -18 -b10010000001100111101010110011011 < -b10001011011100110101001011100001 2 -b10001011011100110101001011100001 = -b10001011011100110101001011100001 : -b11111011001111110111110101000101 $ -b11111011001111110111110101000101 - -b11111011001111110111110101000101 5 -b11111011001111110111110101000101 ? -b11111011001111110111110101000101 D -b1101111110011000010101001100100 % -b1101111110011000010101001100100 . -b1101111110011000010101001100100 6 -b1101111110011000010101001100100 @ -b1101111110011000010101001100100 F -b10001011011100110101001011100001 ) -#74688000 -0& -#74704000 -b10010001111 , -#74720000 -1& -#74736000 -b10010100010111000100110101100101 " -b10010100010111000100110101100101 4 -b11111111111111111111011111110111 1 -b11111111111111111111011111110111 C -b1101111111110 0 -b1101111111110 H -19 -08 -b100100011000000101101000101101 < -b10010100010111000100110101100101 2 -b10010100010111000100110101100101 = -b10010100010111000100110101100101 : -b1101111111110111111001100110111 $ -b1101111111110111111001100110111 - -b1101111111110111111001100110111 5 -b1101111111110111111001100110111 ? -b1101111111110111111001100110111 D -b11011011100111111010010111010010 % -b11011011100111111010010111010010 . -b11011011100111111010010111010010 6 -b11011011100111111010010111010010 @ -b11011011100111111010010111010010 F -b10010100010111000100110101100101 ) -#74752000 -0& -#74768000 -b10010010000 , -#74784000 -1& -#74800000 -b1101111110111111111110110100101 " -b1101111110111111111110110100101 4 -b11111111001111110011101001100101 1 -b11111111001111110011101001100101 C -b11101111000111110011100000000101 0 -b11101111000111110011100000000101 H -18 -b10000000110000001100010110011111 < -b1101111110111111111110110100101 2 -b1101111110111111111110110100101 = -b1101111110111111111110110100101 : -b11101111000111110011100000000101 $ -b11101111000111110011100000000101 - -b11101111000111110011100000000101 5 -b11101111000111110011100000000101 ? -b11101111000111110011100000000101 D -b1111111001111110011101001100000 % -b1111111001111110011101001100000 . -b1111111001111110011101001100000 6 -b1111111001111110011101001100000 @ -b1111111001111110011101001100000 F -b1101111110111111111110110100101 ) -#74816000 -0& -#74832000 -b10010010001 , -#74848000 -1& -#74864000 -b10010010011111101111101001 " -b10010010011111101111101001 4 -b11111111111111111110110101010111 1 -b11111111111111111110110101010111 C -b111100011 0 -b111100011 H -08 -09 -b10000100101000001001010101000 < -b10010010011111101111101001 2 -b10010010011111101111101001 = -b10010010011111101111101001 : -b11110001101101011110100101000000 $ -b11110001101101011110100101000000 - -b11110001101101011110100101000000 5 -b11110001101101011110100101000000 ? -b11110001101101011110100101000000 D -b11101111011010111110110101010111 % -b11101111011010111110110101010111 . -b11101111011010111110110101010111 6 -b11101111011010111110110101010111 @ -b11101111011010111110110101010111 F -b10010010011111101111101001 ) -#74880000 -0& -#74896000 -b10010010010 , -#74912000 -1& -#74928000 -b1110001010000100100000011000111 " -b1110001010000100100000011000111 4 -b11110111111111111101101011111001 1 -b11110111111111111101101011111001 C -b11001111111111 0 -b11001111111111 H -b1001010000100110010111001110 < -b1110001010000100100000011000111 2 -b1110001010000100100000011000111 = -b1110001010000100100000011000111 : -b1100111111111111101101011111000 $ -b1100111111111111101101011111000 - -b1100111111111111101101011111000 5 -b1100111111111111101101011111000 ? -b1100111111111111101101011111000 D -b11110110101111011001101000110001 % -b11110110101111011001101000110001 . -b11110110101111011001101000110001 6 -b11110110101111011001101000110001 @ -b11110110101111011001101000110001 F -b1110001010000100100000011000111 ) -#74944000 -0& -#74960000 -b10010010011 , -#74976000 -1& -#74992000 -b10001111001011001001001001010 " -b10001111001011001001001001010 4 -b1111011111011111011011111111110 1 -b1111011111011111011011111111110 C -b1 0 -b1 H -b10100110000110001110110100000001 < -b10001111001011001001001001010 2 -b10001111001011001001001001010 = -b10001111001011001001001001010 : -b1101011110011001010010101001000 $ -b1101011110011001010010101001000 - -b1101011110011001010010101001000 5 -b1101011110011001010010101001000 ? -b1101011110011001010010101001000 D -b1011001111001110001001011111110 % -b1011001111001110001001011111110 . -b1011001111001110001001011111110 6 -b1011001111001110001001011111110 @ -b1011001111001110001001011111110 F -b10001111001011001001001001010 ) -#75008000 -0& -#75024000 -b10010010100 , -#75040000 -1& -#75056000 -b11111111101010010000100111100100 " -b11111111101010010000100111100100 4 -b11101111111111111111111101101101 1 -b11101111111111111111111101101101 C -b111011110111011111111101010 0 -b111011110111011111111101010 H -18 -b10000001100010000110010011010 < -b11111111101010010000100111100100 2 -b11111111101010010000100111100100 = -b11111111101010010000100111100100 : -b11101111011101111111110101001001 $ -b11101111011101111111110101001001 - -b11101111011101111111110101001001 5 -b11101111011101111111110101001001 ? -b11101111011101111111110101001001 D -b11101111110011101111001101100101 % -b11101111110011101111001101100101 . -b11101111110011101111001101100101 6 -b11101111110011101111001101100101 @ -b11101111110011101111001101100101 F -b11111111101010010000100111100100 ) -#75072000 -0& -#75088000 -b10010010101 , -#75104000 -1& -#75120000 -b1000001011010110110111011100 " -b1000001011010110110111011100 4 -b11111111001111111001011111111100 1 -b11111111001111111001011111111100 C -b11111111001110110000001111111100 0 -b11111111001110110000001111111100 H -08 -b1000111100100110100111011111 < -b1000001011010110110111011100 2 -b1000001011010110110111011100 = -b1000001011010110110111011100 : -b11111111001110110000001111111100 $ -b11111111001110110000001111111100 - -b11111111001110110000001111111100 5 -b11111111001110110000001111111100 ? -b11111111001110110000001111111100 D -b11110111000011011001011000100000 % -b11110111000011011001011000100000 . -b11110111000011011001011000100000 6 -b11110111000011011001011000100000 @ -b11110111000011011001011000100000 F -b1000001011010110110111011100 ) -#75136000 -0& -#75152000 -b10010010110 , -#75168000 -1& -#75184000 -b1110110011000110100001100111100 " -b1110110011000110100001100111100 4 -b11111111111111110110111111111100 1 -b11111111111111110110111111111100 C -b1101111 0 -b1101111 H -b110100000111101100001000111 < -b1110110011000110100001100111100 2 -b1110110011000110100001100111100 = -b1110110011000110100001100111100 : -b1101111110111110110101011110100 $ -b1101111110111110110101011110100 - -b1101111110111110110101011110100 5 -b1101111110111110110101011110100 ? -b1101111110111110110101011110100 D -b11111001011111000010011110111000 % -b11111001011111000010011110111000 . -b11111001011111000010011110111000 6 -b11111001011111000010011110111000 @ -b11111001011111000010011110111000 F -b1110110011000110100001100111100 ) -#75200000 -0& -#75216000 -b10010010111 , -#75232000 -1& -#75248000 -b111011101000001011110101011011 " -b111011101000001011110101011011 4 -b11111111111000111111011110111011 1 -b11111111111000111111011110111011 C -b10110111 0 -b10110111 H -19 -18 -b10000100001111100100100011000111 < -b111011101000001011110101011011 2 -b111011101000001011110101011011 = -b111011101000001011110101011011 : -b10110111011000100111010010010011 $ -b10110111011000100111010010010011 - -b10110111011000100111010010010011 5 -b10110111011000100111010010010011 ? -b10110111011000100111010010010011 D -b1111011110000011011011100111000 % -b1111011110000011011011100111000 . -b1111011110000011011011100111000 6 -b1111011110000011011011100111000 @ -b1111011110000011011011100111000 F -b111011101000001011110101011011 ) -#75264000 -0& -#75280000 -b10010011000 , -#75296000 -1& -#75312000 -b11011000100100000000101101001111 " -b11011000100100000000101101001111 4 -b11101111111111111101110101110101 1 -b11101111111111111101110101110101 C -b111001110111111111010100011 0 -b111001110111111111010100011 H -09 -18 -b11110001000100000011011011011010 < -b11011000100100000000101101001111 2 -b11011000100100000000101101001111 = -b11011000100100000000101101001111 : -b11100111011111111101010001110100 $ -b11100111011111111101010001110100 - -b11100111011111111101010001110100 5 -b11100111011111111101010001110100 ? -b11100111011111111101010001110100 D -b1110111011111100100100100101 % -b1110111011111100100100100101 . -b1110111011111100100100100101 6 -b1110111011111100100100100101 @ -b1110111011111100100100100101 F -b11011000100100000000101101001111 ) -#75328000 -0& -#75344000 -b10010011001 , -#75360000 -1& -#75376000 -b11000001111111110100001111111101 " -b11000001111111110100001111111101 4 -b11111111111111111110011011111111 1 -b11111111111111111110011011111111 C -b101 0 -b101 H -b10000000000000001110100000010 < -b11000001111111110100001111111101 2 -b11000001111111110100001111111101 = -b11000001111111110100001111111101 : -b10110001111111110010011011111010 $ -b10110001111111110010011011111010 - -b10110001111111110010011011111010 5 -b10110001111111110010011011111010 ? -b10110001111111110010011011111010 D -b11101111111111111110001011111101 % -b11101111111111111110001011111101 . -b11101111111111111110001011111101 6 -b11101111111111111110001011111101 @ -b11101111111111111110001011111101 F -b11000001111111110100001111111101 ) -#75392000 -0& -#75408000 -b10010011010 , -#75424000 -1& -#75440000 -b10100100010000010010100001011101 " -b10100100010000010010100001011101 4 -b11111111111111111111111011111111 1 -b11111111111111111111111011111111 C -b11 0 -b11 H -b11000000010000011001000110000001 < -b10100100010000010010100001011101 2 -b10100100010000010010100001011101 = -b10100100010000010010100001011101 : -b11100011111111111001011011011011 $ -b11100011111111111001011011011011 - -b11100011111111111001011011011011 5 -b11100011111111111001011011011011 ? -b11100011111111111001011011011011 D -b111111101111100110111001111110 % -b111111101111100110111001111110 . -b111111101111100110111001111110 6 -b111111101111100110111001111110 @ -b111111101111100110111001111110 F -b10100100010000010010100001011101 ) -#75456000 -0& -#75472000 -b10010011011 , -#75488000 -1& -#75504000 -b110001001111001111000011000111 " -b110001001111001111000011000111 4 -b11111111111111010111111111011001 1 -b11111111111111010111111111011001 C -b101111111011010110111 0 -b101111111011010110111 H -08 -09 -b1010011111000000101110110 < -b110001001111001111000011000111 2 -b110001001111001111000011000111 = -b110001001111001111000011000111 : -b101111111011010110111101010000 $ -b101111111011010110111101010000 - -b101111111011010110111101010000 5 -b101111111011010110111101010000 ? -b101111111011010110111101010000 D -b11111110101100000111111010001001 % -b11111110101100000111111010001001 . -b11111110101100000111111010001001 6 -b11111110101100000111111010001001 @ -b11111110101100000111111010001001 F -b110001001111001111000011000111 ) -#75520000 -0& -#75536000 -b10010011100 , -#75552000 -1& -#75568000 -b1110010110110101000111010011011 " -b1110010110110101000111010011011 4 -b11111111111111111011111111111111 1 -b11111111111111111011111111111111 C -b1110 0 -b1110 H -b1001000101101000100000100 < -b1110010110110101000111010011011 2 -b1110010110110101000111010011011 = -b1110010110110101000111010011011 : -b1110001101101111011110110010110 $ -b1110001101101111011110110010110 - -b1110001101101111011110110010110 5 -b1110001101101111011110110010110 ? -b1110001101101111011110110010110 D -b11111110110111010010111011111011 % -b11111110110111010010111011111011 . -b11111110110111010010111011111011 6 -b11111110110111010010111011111011 @ -b11111110110111010010111011111011 F -b1110010110110101000111010011011 ) -#75584000 -0& -#75600000 -b10010011101 , -#75616000 -1& -#75632000 -b11000011100110111100110001010001 " -b11000011100110111100110001010001 4 -b11111101111011110011110111111101 1 -b11111101111011110011110111111101 C -b1011 0 -b1011 H -18 -b110010100001100011100100011 < -b11000011100110111100110001010001 2 -b11000011100110111100110001010001 = -b11000011100110111100110001010001 : -b10111101010010110000010100101101 $ -b10111101010010110000010100101101 - -b10111101010010110000010100101101 5 -b10111101010010110000010100101101 ? -b10111101010010110000010100101101 D -b11111001101011110011100011011100 % -b11111001101011110011100011011100 . -b11111001101011110011100011011100 6 -b11111001101011110011100011011100 @ -b11111001101011110011100011011100 F -b11000011100110111100110001010001 ) -#75648000 -0& -#75664000 -b10010011110 , -#75680000 -1& -#75696000 -b11000001011110100101110011100011 " -b11000001011110100101110011100011 4 -b1101011111111111111111101111111 1 -b1101011111111111111111101111111 C -b1010110 0 -b1010110 H -18 -b10010110000000000110001010001000 < -b11000001011110100101110011100011 2 -b11000001011110100101110011100011 = -b11000001011110100101110011100011 : -b101011011110011111101001011010 $ -b101011011110011111101001011010 - -b101011011110011111101001011010 5 -b101011011110011111101001011010 ? -b101011011110011111101001011010 D -b1101001111111111001110101110111 % -b1101001111111111001110101110111 . -b1101001111111111001110101110111 6 -b1101001111111111001110101110111 @ -b1101001111111111001110101110111 F -b11000001011110100101110011100011 ) -#75712000 -0& -#75728000 -b10010011111 , -#75744000 -1& -#75760000 -b10011000001001111000111110111011 " -b10011000001001111000111110111011 4 -b11101111111111110111100111111011 1 -b11101111111111110111100111111011 C -b1100111111101110000100011111011 0 -b1100111111101110000100011111011 H -08 -19 -b110000001100001000011010111111 < -b10011000001001111000111110111011 2 -b10011000001001111000111110111011 = -b10011000001001111000111110111011 : -b1100111111101110000100011111011 $ -b1100111111101110000100011111011 - -b1100111111101110000100011111011 5 -b1100111111101110000100011111011 ? -b1100111111101110000100011111011 D -b11001111110011110111100101000000 % -b11001111110011110111100101000000 . -b11001111110011110111100101000000 6 -b11001111110011110111100101000000 @ -b11001111110011110111100101000000 F -b10011000001001111000111110111011 ) -#75776000 -0& -#75792000 -b10010100000 , -#75808000 -1& -#75824000 -b11001001111111001110100011111011 " -b11001001111111001110100011111011 4 -b11111111111111111110111101001111 1 -b11111111111111111110111101001111 C -b11111111111110001 0 -b11111111111110001 H -b1001010000000000111101010110001 < -b11001001111111001110100011111011 2 -b11001001111111001110100011111011 = -b11001001111111001110100011111011 : -b1111111111111000110111001001001 $ -b1111111111111000110111001001001 - -b1111111111111000110111001001001 5 -b1111111111111000110111001001001 ? -b1111111111111000110111001001001 D -b10110101111111111000010101001110 % -b10110101111111111000010101001110 . -b10110101111111111000010101001110 6 -b10110101111111111000010101001110 @ -b10110101111111111000010101001110 F -b11001001111111001110100011111011 ) -#75840000 -0& -#75856000 -b10010100001 , -#75872000 -1& -#75888000 -b1110011100000001001110000011010 " -b1110011100000001001110000011010 4 -b11111111110111001110110110111111 1 -b11111111110111001110110110111111 C -b110111110101110011101001101 0 -b110111110101110011101001101 H -18 -b10010100001000111011001001011010 < -b1110011100000001001110000011010 2 -b1110011100000001001110000011010 = -b1110011100000001001110000011010 : -b11011111010111001110100110111111 $ -b11011111010111001110100110111111 - -b11011111010111001110100110111111 5 -b11011111010111001110100110111111 ? -b11011111010111001110100110111111 D -b1101011110111000100110110100101 % -b1101011110111000100110110100101 . -b1101011110111000100110110100101 6 -b1101011110111000100110110100101 @ -b1101011110111000100110110100101 F -b1110011100000001001110000011010 ) -#75904000 -0& -#75920000 -b10010100010 , -#75936000 -1& -#75952000 -b1010111000010111001010001100000 " -b1010111000010111001010001100000 4 -b11111111111111111011111011110011 1 -b11111111111111111011111011110011 C -b1101011011111 0 -b1101011011111 H -b10000000000100000110010110101100 < -b1010111000010111001010001100000 2 -b1010111000010111001010001100000 = -b1010111000010111001010001100000 : -b11010110111110110010111010110011 $ -b11010110111110110010111010110011 - -b11010110111110110010111010110011 5 -b11010110111110110010111010110011 ? -b11010110111110110010111010110011 D -b1111111111011111001101001010011 % -b1111111111011111001101001010011 . -b1111111111011111001101001010011 6 -b1111111111011111001101001010011 @ -b1111111111011111001101001010011 F -b1010111000010111001010001100000 ) -#75968000 -0& -#75984000 -b10010100011 , -#76000000 -1& -#76016000 -b11110001000101110010000011101 " -b11110001000101110010000011101 4 -b11111111101110111111111101011111 1 -b11111111101110111111111101011111 C -b1110110111011111100110101111 0 -b1110110111011111100110101111 H -09 -08 -b11001101111000010111110 < -b11110001000101110010000011101 2 -b11110001000101110010000011101 = -b11110001000101110010000011101 : -b11101101110111111001101011110 $ -b11101101110111111001101011110 - -b11101101110111111001101011110 5 -b11101101110111111001101011110 ? -b11101101110111111001101011110 D -b11111111100110010000111101000001 % -b11111111100110010000111101000001 . -b11111111100110010000111101000001 6 -b11111111100110010000111101000001 @ -b11111111100110010000111101000001 F -b11110001000101110010000011101 ) -#76032000 -0& -#76048000 -b10010100100 , -#76064000 -1& -#76080000 -b1000011011100100100001011100 " -b1000011011100100100001011100 4 -b11111001111110111011100011101110 1 -b11111001111110111011100011101110 C -b111100011101100110 0 -b111100011101100110 H -08 -b10110100101001100011110010001 < -b1000011011100100100001011100 2 -b1000011011100100100001011100 = -b1000011011100100100001011100 : -b11110001110110011000000011001010 $ -b11110001110110011000000011001010 - -b11110001110110011000000011001010 5 -b11110001110110011000000011001010 ? -b11110001110110011000000011001010 D -b11101001011010110011100001101110 % -b11101001011010110011100001101110 . -b11101001011010110011100001101110 6 -b11101001011010110011100001101110 @ -b11101001011010110011100001101110 F -b1000011011100100100001011100 ) -#76096000 -0& -#76112000 -b10010100101 , -#76128000 -1& -#76144000 -b1101000001001011010000111100 " -b1101000001001011010000111100 4 -b11111111111111011111111011111110 1 -b11111111111111011111111011111110 C -b11 0 -b11 H -b1101000001110011010110000001 < -b1101000001001011010000111100 2 -b1101000001001011010000111100 = -b1101000001001011010000111100 : -b11111111111111010111111010111010 $ -b11111111111111010111111010111010 - -b11111111111111010111111010111010 5 -b11111111111111010111111010111010 ? -b11111111111111010111111010111010 D -b11110010111110001100101001111110 % -b11110010111110001100101001111110 . -b11110010111110001100101001111110 6 -b11110010111110001100101001111110 @ -b11110010111110001100101001111110 F -b1101000001001011010000111100 ) -#76160000 -0& -#76176000 -b10010100110 , -#76192000 -1& -#76208000 -b1011111110000000011011101010101 " -b1011111110000000011011101010101 4 -b11111111111111100111111111111101 1 -b11111111111111100111111111111101 C -b101 0 -b101 H -b11100100110000011 < -b1011111110000000011011101010101 2 -b1011111110000000011011101010101 = -b1011111110000000011011101010101 : -b1011111101111100110110111010001 $ -b1011111101111100110110111010001 - -b1011111101111100110110111010001 5 -b1011111101111100110110111010001 ? -b1011111101111100110110111010001 D -b11111111111111100011011001111100 % -b11111111111111100011011001111100 . -b11111111111111100011011001111100 6 -b11111111111111100011011001111100 @ -b11111111111111100011011001111100 F -b1011111110000000011011101010101 ) -#76224000 -0& -#76240000 -b10010100111 , -#76256000 -1& -#76272000 -b10111111101110011101100011001011 " -b10111111101110011101100011001011 4 -b1011111111111110111111101111011 1 -b1011111111111110111111101111011 C -b111111011100100110100 0 -b111111011100100110100 H -18 -b10100000000000001010010010010111 < -b10111111101110011101100011001011 2 -b10111111101110011101100011001011 = -b10111111101110011101100011001011 : -b11111101110010011010000110011 $ -b11111101110010011010000110011 - -b11111101110010011010000110011 5 -b11111101110010011010000110011 ? -b11111101110010011010000110011 D -b1011111111111110101101101101000 % -b1011111111111110101101101101000 . -b1011111111111110101101101101000 6 -b1011111111111110101101101101000 @ -b1011111111111110101101101101000 F -b10111111101110011101100011001011 ) -#76288000 -0& -#76304000 -b10010101000 , -#76320000 -1& -#76336000 -b1111100011011101000011011011010 " -b1111100011011101000011011011010 4 -b11111111111111011001111111110110 1 -b11111111111111011001111111110110 C -b111101111 0 -b111101111 H -08 -b11100100110100000101001 < -b1111100011011101000011011011010 2 -b1111100011011101000011011011010 = -b1111100011011101000011011011010 : -b1111011111111000001111010110000 $ -b1111011111111000001111010110000 - -b1111011111111000001111010110000 5 -b1111011111111000001111010110000 ? -b1111011111111000001111010110000 D -b11111111100011011001011111010110 % -b11111111100011011001011111010110 . -b11111111100011011001011111010110 6 -b11111111100011011001011111010110 @ -b11111111100011011001011111010110 F -b1111100011011101000011011011010 ) -#76352000 -0& -#76368000 -b10010101001 , -#76384000 -1& -#76400000 -b1010001100000011100100110001010 " -b1010001100000011100100110001010 4 -b11111111111111111110100111101010 1 -b11111111111111111110100111101010 C -b10111111011111111010100111101010 0 -b10111111011111111010100111101010 H -19 -18 -b10010010000000100001111110011111 < -b1010001100000011100100110001010 2 -b1010001100000011100100110001010 = -b1010001100000011100100110001010 : -b10111111011111111010100111101010 $ -b10111111011111111010100111101010 - -b10111111011111111010100111101010 5 -b10111111011111111010100111101010 ? -b10111111011111111010100111101010 D -b1101101111111011110000001100000 % -b1101101111111011110000001100000 . -b1101101111111011110000001100000 6 -b1101101111111011110000001100000 @ -b1101101111111011110000001100000 F -b1010001100000011100100110001010 ) -#76416000 -0& -#76432000 -b10010101010 , -#76448000 -1& -#76464000 -b11101010000001111001110001000001 " -b11101010000001111001110001000001 4 -b11111111101101111111110011000111 1 -b11111111101101111111110011000111 C -b111001111011011111001100110 0 -b111001111011011111001100110 H -09 -18 -b10010011111100111101111010 < -b11101010000001111001110001000001 2 -b11101010000001111001110001000001 = -b11101010000001111001110001000001 : -b11100111101101111100110011000110 $ -b11100111101101111100110011000110 - -b11100111101101111100110011000110 5 -b11100111101101111100110011000110 ? -b11100111101101111100110011000110 D -b11111101101100000011000010000101 % -b11111101101100000011000010000101 . -b11111101101100000011000010000101 6 -b11111101101100000011000010000101 @ -b11111101101100000011000010000101 F -b11101010000001111001110001000001 ) -#76480000 -0& -#76496000 -b10010101011 , -#76512000 -1& -#76528000 -b10000000010110010101000001101 " -b10000000010110010101000001101 4 -b10011111111111111101111010111111 1 -b10011111111111111101111010111111 C -b100 0 -b100 H -08 -b1110000001000000010001101100010 < -b10000000010110010101000001101 2 -b10000000010110010101000001101 = -b10000000010110010101000001101 : -b10011111111010110000011010101010 $ -b10011111111010110000011010101010 - -b10011111111010110000011010101010 5 -b10011111111010110000011010101010 ? -b10011111111010110000011010101010 D -b10001111110111111101110010011101 % -b10001111110111111101110010011101 . -b10001111110111111101110010011101 6 -b10001111110111111101110010011101 @ -b10001111110111111101110010011101 F -b10000000010110010101000001101 ) -#76544000 -0& -#76560000 -b10010101100 , -#76576000 -1& -#76592000 -b1011100111111011111111111100000 " -b1011100111111011111111111100000 4 -b11111111110111110010011010110110 1 -b11111111110111110010011010110110 C -b1100111111 0 -b1100111111 H -18 -19 -b10001101001000001101100101001001 < -b1011100111111011111111111100000 2 -b1011100111111011111111111100000 = -b1011100111111011111111111100000 : -b11001111110111010010011010010110 $ -b11001111110111010010011010010110 - -b11001111110111010010011010010110 5 -b11001111110111010010011010010110 ? -b11001111110111010010011010010110 D -b1110010110111110010011010110110 % -b1110010110111110010011010110110 . -b1110010110111110010011010110110 6 -b1110010110111110010011010110110 @ -b1110010110111110010011010110110 F -b1011100111111011111111111100000 ) -#76608000 -0& -#76624000 -b10010101101 , -#76640000 -1& -#76656000 -b10001111010100101111001001111011 " -b10001111010100101111001001111011 4 -b10111111011111011101011111001111 1 -b10111111011111011101011111001111 C -b101111100111000011000 0 -b101111100111000011000 H -09 -18 -b11010000111000100010110000110100 < -b10001111010100101111001001111011 2 -b10001111010100101111001001111011 = -b10001111010100101111001001111011 : -b10111110011100001100011001000110 $ -b10111110011100001100011001000110 - -b10111110011100001100011001000110 5 -b10111110011100001100011001000110 ? -b10111110011100001100011001000110 D -b101111000111011101001111001011 % -b101111000111011101001111001011 . -b101111000111011101001111001011 6 -b101111000111011101001111001011 @ -b101111000111011101001111001011 F -b10001111010100101111001001111011 ) -#76672000 -0& -#76688000 -b10010101110 , -#76704000 -1& -#76720000 -b11001111111101100100111111100110 " -b11001111111101100100111111100110 4 -b11111111101111101101100101100110 1 -b11111111101111101101100101100110 C -b10111111101011101001100101000110 0 -b10111111101011101001100101000110 H -b10000010001111011011010011111 < -b11001111111101100100111111100110 2 -b11001111111101100100111111100110 = -b11001111111101100100111111100110 : -b10111111101011101001100101000110 $ -b10111111101011101001100101000110 - -b10111111101011101001100101000110 5 -b10111111101011101001100101000110 ? -b10111111101011101001100101000110 D -b11101111101110000100100101100000 % -b11101111101110000100100101100000 . -b11101111101110000100100101100000 6 -b11101111101110000100100101100000 @ -b11101111101110000100100101100000 F -b11001111111101100100111111100110 ) -#76736000 -0& -#76752000 -b10010101111 , -#76768000 -1& -#76784000 -b1110001110111111100000010 " -b1110001110111111100000010 4 -b1110101111111111110010101100111 1 -b1110101111111111110010101100111 C -b1110101111111110110010001100 0 -b1110101111111110110010001100 H -08 -b10001011110010000001101010011100 < -b1110001110111111100000010 2 -b1110001110111111100000010 = -b1110001110111111100000010 : -b1110101111111110110010001100101 $ -b1110101111111110110010001100101 - -b1110101111111110110010001100101 5 -b1110101111111110110010001100101 ? -b1110101111111110110010001100101 D -b1110100001101111110010101100011 % -b1110100001101111110010101100011 . -b1110100001101111110010101100011 6 -b1110100001101111110010101100011 @ -b1110100001101111110010101100011 F -b1110001110111111100000010 ) -#76800000 -0& -#76816000 -b10010110000 , -#76832000 -1& -#76848000 -b11111011100000000100110000101111 " -b11111011100000000100110000101111 4 -b11101111111111111111011001111111 1 -b11101111111111111111011001111111 C -b11101011011111111 0 -b11101011011111111 H -18 -b10000000000001000100111010000 < -b11111011100000000100110000101111 2 -b11111011100000000100110000101111 = -b11111011100000000100110000101111 : -b11101011011111111100001001011110 $ -b11101011011111111100001001011110 - -b11101011011111111100001001011110 5 -b11101011011111111100001001011110 ? -b11101011011111111100001001011110 D -b11101111111111110111011000101111 % -b11101111111111110111011000101111 . -b11101111111111110111011000101111 6 -b11101111111111110111011000101111 @ -b11101111111111110111011000101111 F -b11111011100000000100110000101111 ) -#76864000 -0& -#76880000 -b10010110001 , -#76896000 -1& -#76912000 -b11100010001010100111011111111001 " -b11100010001010100111011111111001 4 -b1111111111111111001100101101101 1 -b1111111111111111001100101101101 C -b111111111001111000 0 -b111111111001111000 H -18 -b10100010010000101110111010010011 < -b11100010001010100111011111111001 2 -b11100010001010100111011111111001 = -b11100010001010100111011111111001 : -b111111111001111000100101100101 $ -b111111111001111000100101100101 - -b111111111001111000100101100101 5 -b111111111001111000100101100101 ? -b111111111001111000100101100101 D -b1011101101111010001000101101100 % -b1011101101111010001000101101100 . -b1011101101111010001000101101100 6 -b1011101101111010001000101101100 @ -b1011101101111010001000101101100 F -b11100010001010100111011111111001 ) -#76928000 -0& -#76944000 -b10010110010 , -#76960000 -1& -#76976000 -b1000000011101011000110001111111 " -b1000000011101011000110001111111 4 -b11111101111111111111111111101001 1 -b11111101111111111111111111101001 C -b11111101111011010110101 0 -b11111101111011010110101 H -08 -b1000010100010000010000100010110 < -b1000000011101011000110001111111 2 -b1000000011101011000110001111111 = -b1000000011101011000110001111111 : -b11111101111011010110101101101000 $ -b11111101111011010110101101101000 - -b11111101111011010110101101101000 5 -b11111101111011010110101101101000 ? -b11111101111011010110101101101000 D -b10111101011101111101111011101001 % -b10111101011101111101111011101001 . -b10111101011101111101111011101001 6 -b10111101011101111101111011101001 @ -b10111101011101111101111011101001 F -b1000000011101011000110001111111 ) -#76992000 -0& -#77008000 -b10010110011 , -#77024000 -1& -#77040000 -b10000010101011000111110011010101 " -b10000010101011000111110011010101 4 -b10111111111111111101111111111111 1 -b10111111111111111101111111111111 C -b1011111 0 -b1011111 H -18 -b11000100010001010010001001100110 < -b10000010101011000111110011010101 2 -b10000010101011000111110011010101 = -b10000010101011000111110011010101 : -b10111110011001110101101001101110 $ -b10111110011001110101101001101110 - -b10111110011001110101101001101110 5 -b10111110011001110101101001101110 ? -b10111110011001110101101001101110 D -b111011101110101101110110011001 % -b111011101110101101110110011001 . -b111011101110101101110110011001 6 -b111011101110101101110110011001 @ -b111011101110101101110110011001 F -b10000010101011000111110011010101 ) -#77056000 -0& -#77072000 -b10010110100 , -#77088000 -1& -#77104000 -b1111100001100000011110101101011 " -b1111100001100000011110101101011 4 -b11111111111111110100111110111111 1 -b11111111111111110100111110111111 C -b11 0 -b11 H -19 -18 -b10000100010100001111011001000001 < -b1111100001100000011110101101011 2 -b1111100001100000011110101101011 = -b1111100001100000011110101101011 : -b11110111110111110100011100101001 $ -b11110111110111110100011100101001 - -b11110111110111110100011100101001 5 -b11110111110111110100011100101001 ? -b11110111110111110100011100101001 D -b1111011101011110000100110111110 % -b1111011101011110000100110111110 . -b1111011101011110000100110111110 6 -b1111011101011110000100110111110 @ -b1111011101011110000100110111110 F -b1111100001100000011110101101011 ) -#77120000 -0& -#77136000 -b10010110101 , -#77152000 -1& -#77168000 -b11010001011000101101011111011 " -b11010001011000101101011111011 4 -b11111111111111101011011101111111 1 -b11111111111111101011011101111111 C -b11001111111110101001000101011 0 -b11001111111110101001000101011 H -08 -09 -b1001010001100011100100110011100 < -b11010001011000101101011111011 2 -b11010001011000101101011111011 = -b11010001011000101101011111011 : -b11001111111110101001000101011110 $ -b11001111111110101001000101011110 - -b11001111111110101001000101011110 5 -b11001111111110101001000101011110 ? -b11001111111110101001000101011110 D -b10110101110011100011011001100011 % -b10110101110011100011011001100011 . -b10110101110011100011011001100011 6 -b10110101110011100011011001100011 @ -b10110101110011100011011001100011 F -b11010001011000101101011111011 ) -#77184000 -0& -#77200000 -b10010110110 , -#77216000 -1& -#77232000 -b10111010000011011110101010001010 " -b10111010000011011110101010001010 4 -b11101111111111111111111110011111 1 -b11101111111111111111111110011111 C -b11101001111111011 0 -b11101001111111011 H -18 -b11010000000100000000001011110000 < -b10111010000011011110101010001010 2 -b10111010000011011110101010001010 = -b10111010000011011110101010001010 : -b11101001111111011110011110011001 $ -b11101001111111011110011110011001 - -b11101001111111011110011110011001 5 -b11101001111111011110011110011001 ? -b11101001111111011110011110011001 D -b101111111011111111110100001111 % -b101111111011111111110100001111 . -b101111111011111111110100001111 6 -b101111111011111111110100001111 @ -b101111111011111111110100001111 F -b10111010000011011110101010001010 ) -#77248000 -0& -#77264000 -b10010110111 , -#77280000 -1& -#77296000 -b11111000011011000000001110110100 " -b11111000011011000000001110110100 4 -b11111111111111110110111001111111 1 -b11111111111111110110111001111111 C -b1110111111011011011011100 0 -b1110111111011011011011100 H -b1000100100001001010110011000 < -b11111000011011000000001110110100 2 -b11111000011011000000001110110100 = -b11111000011011000000001110110100 : -b11101111110110110110111000011011 $ -b11101111110110110110111000011011 - -b11101111110110110110111000011011 5 -b11101111110110110110111000011011 ? -b11101111110110110110111000011011 D -b11110111011011110110101001100111 % -b11110111011011110110101001100111 . -b11110111011011110110101001100111 6 -b11110111011011110110101001100111 @ -b11110111011011110110101001100111 F -b11111000011011000000001110110100 ) -#77312000 -0& -#77328000 -b10010111000 , -#77344000 -1& -#77360000 -b111100000000000011111010110011 " -b111100000000000011111010110011 4 -b11110111100111111100011111110111 1 -b11110111100111111100011111110111 C -b11110011100111 0 -b11110011100111 H -08 -b1001000011000001011100011001101 < -b111100000000000011111010110011 2 -b111100000000000011111010110011 = -b111100000000000011111010110011 : -b11110011100111111000010111100101 $ -b11110011100111111000010111100101 - -b11110011100111111000010111100101 5 -b11110011100111111000010111100101 ? -b11110011100111111000010111100101 D -b10110111100111110100011100110010 % -b10110111100111110100011100110010 . -b10110111100111110100011100110010 6 -b10110111100111110100011100110010 @ -b10110111100111110100011100110010 F -b111100000000000011111010110011 ) -#77376000 -0& -#77392000 -b10010111001 , -#77408000 -1& -#77424000 -b1101011111110001001000101000 " -b1101011111110001001000101000 4 -b1111111111111111111111011101001 1 -b1111111111111111111111011101001 C -b111111111111111000100001110100 0 -b111111111111111000100001110100 H -08 -b10001101100000000000000100111110 < -b1101011111110001001000101000 2 -b1101011111110001001000101000 = -b1101011111110001001000101000 : -b1111111111111110001000011101001 $ -b1111111111111110001000011101001 - -b1111111111111110001000011101001 5 -b1111111111111110001000011101001 ? -b1111111111111110001000011101001 D -b1110010011111111111111011000001 % -b1110010011111111111111011000001 . -b1110010011111111111111011000001 6 -b1110010011111111111111011000001 @ -b1110010011111111111111011000001 F -b1101011111110001001000101000 ) -#77440000 -0& -#77456000 -b10010111010 , -#77472000 -1& -#77488000 -b1110000110000000000001100110101 " -b1110000110000000000001100110101 4 -b11111111110111110011111111111101 1 -b11111111110111110011111111111101 C -b11011111001111100100010 0 -b11011111001111100100010 H -08 -b1001000001110000001010111 < -b1110000110000000000001100110101 2 -b1110000110000000000001100110101 = -b1110000110000000000001100110101 : -b1101111100111110010001011011101 $ -b1101111100111110010001011011101 - -b1101111100111110010001011011101 5 -b1101111100111110010001011011101 ? -b1101111100111110010001011011101 D -b11111110110111110001111110101000 % -b11111110110111110001111110101000 . -b11111110110111110001111110101000 6 -b11111110110111110001111110101000 @ -b11111110110111110001111110101000 F -b1110000110000000000001100110101 ) -#77504000 -0& -#77520000 -b10010111011 , -#77536000 -1& -#77552000 -b11110000111001011100001010000100 " -b11110000111001011100001010000100 4 -b11011011011111111111111111001101 1 -b11011011011111111111111111001101 C -b110010100110010111000001110 0 -b110010100110010111000001110 H -18 -b100110100000000000000010111010 < -b11110000111001011100001010000100 2 -b11110000111001011100001010000100 = -b11110000111001011100001010000100 : -b11001010011001011100000111001001 $ -b11001010011001011100000111001001 - -b11001010011001011100000111001001 5 -b11001010011001011100000111001001 ? -b11001010011001011100000111001001 D -b11011001011111111111111101000101 % -b11011001011111111111111101000101 . -b11011001011111111111111101000101 6 -b11011001011111111111111101000101 @ -b11011001011111111111111101000101 F -b11110000111001011100001010000100 ) -#77568000 -0& -#77584000 -b10010111100 , -#77600000 -1& -#77616000 -b111000010000010001010011011000 " -b111000010000010001010011011000 4 -b11111111111111111110101111111100 1 -b11111111111111111110101111111100 C -b11011110111110111000000111 0 -b11011110111110111000000111 H -08 -b100000100011010001011011 < -b111000010000010001010011011000 2 -b111000010000010001010011011000 = -b111000010000010001010011011000 : -b110111101111101110000001111100 $ -b110111101111101110000001111100 - -b110111101111101110000001111100 5 -b110111101111101110000001111100 ? -b110111101111101110000001111100 D -b11111111011111011100101110100100 % -b11111111011111011100101110100100 . -b11111111011111011100101110100100 6 -b11111111011111011100101110100100 @ -b11111111011111011100101110100100 F -b111000010000010001010011011000 ) -#77632000 -0& -#77648000 -b10010111101 , -#77664000 -1& -#77680000 -b10011110110001001010011110111101 " -b10011110110001001010011110111101 4 -b11111111111111110111101101111111 1 -b11111111111111110111101101111111 C -b11 0 -b11 H -19 -08 -b100000010001011000110010000010 < -b10011110110001001010011110111101 2 -b10011110110001001010011110111101 = -b10011110110001001010011110111101 : -b1111110011111110001101100111010 $ -b1111110011111110001101100111010 - -b1111110011111110001101100111010 5 -b1111110011111110001101100111010 ? -b1111110011111110001101100111010 D -b11011111101110100111001101111101 % -b11011111101110100111001101111101 . -b11011111101110100111001101111101 6 -b11011111101110100111001101111101 @ -b11011111101110100111001101111101 F -b10011110110001001010011110111101 ) -#77696000 -0& -#77712000 -b10010111110 , -#77728000 -1& -#77744000 -b10010010100101100010001101001100 " -b10010010100101100010001101001100 4 -b11111101111111111101111011111110 1 -b11111101111111111101111011111110 C -b1111000100011 0 -b1111000100011 H -b11010000001101010000100001101 < -b10010010100101100010001101001100 2 -b10010010100101100010001101001100 = -b10010010100101100010001101001100 : -b1111000100011111000001000111110 $ -b1111000100011111000001000111110 - -b1111000100011111000001000111110 5 -b1111000100011111000001000111110 ? -b1111000100011111000001000111110 D -b11100101111110010101111011110010 % -b11100101111110010101111011110010 . -b11100101111110010101111011110010 6 -b11100101111110010101111011110010 @ -b11100101111110010101111011110010 F -b10010010100101100010001101001100 ) -#77760000 -0& -#77776000 -b10010111111 , -#77792000 -1& -#77808000 -b11111000001010010011111010001011 " -b11111000001010010011111010001011 4 -b1111111011111111100011011111111 1 -b1111111011111111100011011111111 C -b1110111011101111 0 -b1110111011101111 H -18 -09 -b10000000101100011011100110010000 < -b11111000001010010011111010001011 2 -b11111000001010010011111010001011 = -b11111000001010010011111010001011 : -b1110111011101111000010011111010 $ -b1110111011101111000010011111010 - -b1110111011101111000010011111010 5 -b1110111011101111000010011111010 ? -b1110111011101111000010011111010 D -b1111111010011100100011001101111 % -b1111111010011100100011001101111 . -b1111111010011100100011001101111 6 -b1111111010011100100011001101111 @ -b1111111010011100100011001101111 F -b11111000001010010011111010001011 ) -#77824000 -0& -#77840000 -b10011000000 , -#77856000 -1& -#77872000 -b1110000000000000001000010000100 " -b1110000000000000001000010000100 4 -b11111111111110101101001101111100 1 -b11111111111110101101001101111100 C -b101 0 -b101 H -08 -b10000000001010011110110000011 < -b1110000000000000001000010000100 2 -b1110000000000000001000010000100 = -b1110000000000000001000010000100 : -b1011111111110101101001100000000 $ -b1011111111110101101001100000000 - -b1011111111110101101001100000000 5 -b1011111111110101101001100000000 ? -b1011111111110101101001100000000 D -b11101111111110101100001001111100 % -b11101111111110101100001001111100 . -b11101111111110101100001001111100 6 -b11101111111110101100001001111100 @ -b11101111111110101100001001111100 F -b1110000000000000001000010000100 ) -#77888000 -0& -#77904000 -b10011000001 , -#77920000 -1& -#77936000 -b10001111000110101001001100101011 " -b10001111000110101001001100101011 4 -b11111111111111010111001111111111 1 -b11111111111111010111001111111111 C -b111111011111000000000 0 -b111111011111000000000 H -19 -08 -b10000001000101000111101010101 < -b10001111000110101001001100101011 2 -b10001111000110101001001100101011 = -b10001111000110101001001100101011 : -b1111110111110000000001111010101 $ -b1111110111110000000001111010101 - -b1111110111110000000001111010101 5 -b1111110111110000000001111010101 ? -b1111110111110000000001111010101 D -b11101111110111010111000010101010 % -b11101111110111010111000010101010 . -b11101111110111010111000010101010 6 -b11101111110111010111000010101010 @ -b11101111110111010111000010101010 F -b10001111000110101001001100101011 ) -#77952000 -0& -#77968000 -b10011000010 , -#77984000 -1& -#78000000 -b10111001101001111110000111010100 " -b10111001101001111110000111010100 4 -b11111111111111101111011111111101 1 -b11111111111111101111011111111101 C -b111 0 -b111 H -09 -18 -b11000000010010010010101000000010 < -b10111001101001111110000111010100 2 -b10111001101001111110000111010100 = -b10111001101001111110000111010100 : -b11111001010111101011011111010001 $ -b11111001010111101011011111010001 - -b11111001010111101011011111010001 5 -b11111001010111101011011111010001 ? -b11111001010111101011011111010001 D -b111111101101101101010111111101 % -b111111101101101101010111111101 . -b111111101101101101010111111101 6 -b111111101101101101010111111101 @ -b111111101101101101010111111101 F -b10111001101001111110000111010100 ) -#78016000 -0& -#78032000 -b10011000011 , -#78048000 -1& -#78064000 -b1111100010001110110100110011010 " -b1111100010001110110100110011010 4 -b11111111111111111011111010111010 1 -b11111111111111111011111010111010 C -b11111011 0 -b11111011 H -19 -18 -b10000000010010000101000101100111 < -b1111100010001110110100110011010 2 -b1111100010001110110100110011010 = -b1111100010001110110100110011010 : -b11111011111111110001100000110010 $ -b11111011111111110001100000110010 - -b11111011111111110001100000110010 5 -b11111011111111110001100000110010 ? -b11111011111111110001100000110010 D -b1111111101101111010111010011000 % -b1111111101101111010111010011000 . -b1111111101101111010111010011000 6 -b1111111101101111010111010011000 @ -b1111111101101111010111010011000 F -b1111100010001110110100110011010 ) -#78080000 -0& -#78096000 -b10011000100 , -#78112000 -1& -#78128000 -b1000100000000011000110010001111 " -b1000100000000011000110010001111 4 -b11111111111111111101111111111001 1 -b11111111111111111101111111111001 C -b1011111 0 -b1011111 H -b10000100000000100010110101000110 < -b1000100000000011000110010001111 2 -b1000100000000011000110010001111 = -b1000100000000011000110010001111 : -b10111111111111110101111101001000 $ -b10111111111111110101111101001000 - -b10111111111111110101111101001000 5 -b10111111111111110101111101001000 ? -b10111111111111110101111101001000 D -b1111011111111011101001010111001 % -b1111011111111011101001010111001 . -b1111011111111011101001010111001 6 -b1111011111111011101001010111001 @ -b1111011111111011101001010111001 F -b1000100000000011000110010001111 ) -#78144000 -0& -#78160000 -b10011000101 , -#78176000 -1& -#78192000 -b10111001001010110001110100100001 " -b10111001001010110001110100100001 4 -b11101111111111111110101110111101 1 -b11101111111111111110101110111101 C -b1010 0 -b1010 H -09 -18 -b10001010000000001010001100011 < -b10111001001010110001110100100001 2 -b10111001001010110001110100100001 = -b10111001001010110001110100100001 : -b10100111111010110000100010111101 $ -b10100111111010110000100010111101 - -b10100111111010110000100010111101 5 -b10100111111010110000100010111101 ? -b10100111111010110000100010111101 D -b11101110101111111110101110011100 % -b11101110101111111110101110011100 . -b11101110101111111110101110011100 6 -b11101110101111111110101110011100 @ -b11101110101111111110101110011100 F -b10111001001010110001110100100001 ) -#78208000 -0& -#78224000 -b10011000110 , -#78240000 -1& -#78256000 -b10110110100001101000100010110001 " -b10110110100001101000100010110001 4 -b11111111111111111010101011111111 1 -b11111111111111111010101011111111 C -b110110101111111101010101 0 -b110110101111111101010101 H -19 -08 -b1001001000001101101110110111000 < -b10110110100001101000100010110001 2 -b10110110100001101000100010110001 = -b10110110100001101000100010110001 : -b1101101011111111010101011111000 $ -b1101101011111111010101011111000 - -b1101101011111111010101011111000 5 -b1101101011111111010101011111000 ? -b1101101011111111010101011111000 D -b10110110111110010010001001000111 % -b10110110111110010010001001000111 . -b10110110111110010010001001000111 6 -b10110110111110010010001001000111 @ -b10110110111110010010001001000111 F -b10110110100001101000100010110001 ) -#78272000 -0& -#78288000 -b10011000111 , -#78304000 -1& -#78320000 -b1111111010011011111100101111000 " -b1111111010011011111100101111000 4 -b11111111111111101111111010111011 1 -b11111111111111101111111010111011 C -b1111101101111001101111000011 0 -b1111101101111001101111000011 H -09 -08 -b1100100010001101101011100 < -b1111111010011011111100101111000 2 -b1111111010011011111100101111000 = -b1111111010011011111100101111000 : -b1111101101111001101111000011011 $ -b1111101101111001101111000011011 - -b1111101101111001101111000011011 5 -b1111101101111001101111000011011 ? -b1111101101111001101111000011011 D -b11111110011011101110010010100011 % -b11111110011011101110010010100011 . -b11111110011011101110010010100011 6 -b11111110011011101110010010100011 @ -b11111110011011101110010010100011 F -b1111111010011011111100101111000 ) -#78336000 -0& -#78352000 -b10011001000 , -#78368000 -1& -#78384000 -b10111111011101001011110001111 " -b10111111011101001011110001111 4 -b11111111111011101111100010001111 1 -b11111111111011101111100010001111 C -b10010111110011101111000000001111 0 -b10010111110011101111000000001111 H -19 -18 -b10000000000111111010011101111111 < -b10111111011101001011110001111 2 -b10111111011101001011110001111 = -b10111111011101001011110001111 : -b10010111110011101111000000001111 $ -b10010111110011101111000000001111 - -b10010111110011101111000000001111 5 -b10010111110011101111000000001111 ? -b10010111110011101111000000001111 D -b1111111111000000101100010000000 % -b1111111111000000101100010000000 . -b1111111111000000101100010000000 6 -b1111111111000000101100010000000 @ -b1111111111000000101100010000000 F -b10111111011101001011110001111 ) -#78400000 -0& -#78416000 -b10011001001 , -#78432000 -1& -#78448000 -b10010011110111101001100011000011 " -b10010011110111101001100011000011 4 -b11111111111101111110100111011111 1 -b11111111111101111110100111011111 C -b1111 0 -b1111 H -08 -b11000000010101101011100100100 < -b10010011110111101001100011000011 2 -b10010011110111101001100011000011 = -b10010011110111101001100011000011 : -b1111011110100111100000110011110 $ -b1111011110100111100000110011110 - -b1111011110100111100000110011110 5 -b1111011110100111100000110011110 ? -b1111011110100111100000110011110 D -b11100111111101010010100011011011 % -b11100111111101010010100011011011 . -b11100111111101010010100011011011 6 -b11100111111101010010100011011011 @ -b11100111111101010010100011011011 F -b10010011110111101001100011000011 ) -#78464000 -0& -#78480000 -b10011001010 , -#78496000 -1& -#78512000 -b10111111010110101000101101000110 " -b10111111010110101000101101000110 4 -b11110101111111111011110011001110 1 -b11110101111111111011110011001110 C -b11101001111011100101000 0 -b11101001111011100101000 H -b1001010011000110110001100110111 < -b10111111010110101000101101000110 2 -b10111111010110101000101101000110 = -b10111111010110101000101101000110 : -b1110100111101110010100000001110 $ -b1110100111101110010100000001110 - -b1110100111101110010100000001110 5 -b1110100111101110010100000001110 ? -b1110100111101110010100000001110 D -b10110101100111001001110011001000 % -b10110101100111001001110011001000 . -b10110101100111001001110011001000 6 -b10110101100111001001110011001000 @ -b10110101100111001001110011001000 F -b10111111010110101000101101000110 ) -#78528000 -0& -#78544000 -b10011001011 , -#78560000 -1& -#78576000 -b11110011000100001000110001011111 " -b11110011000100001000110001011111 4 -b1111111111111101011111111110011 1 -b1111111111111101011111111110011 C -b110100111111 0 -b110100111111 H -18 -09 -b10001001000100011110011000001100 < -b11110011000100001000110001011111 2 -b11110011000100001000110001011111 = -b11110011000100001000110001011111 : -b1101001111111101010011001010010 $ -b1101001111111101010011001010010 - -b1101001111111101010011001010010 5 -b1101001111111101010011001010010 ? -b1101001111111101010011001010010 D -b1110110111011100001100111110011 % -b1110110111011100001100111110011 . -b1110110111011100001100111110011 6 -b1110110111011100001100111110011 @ -b1110110111011100001100111110011 F -b11110011000100001000110001011111 ) -#78592000 -0& -#78608000 -b10011001100 , -#78624000 -1& -#78640000 -b1011100101111000111011111010000 " -b1011100101111000111011111010000 4 -b11111111111111111011100010110111 1 -b11111111111111111011100010110111 C -b110101111 0 -b110101111 H -19 -18 -b10000100110000000101111101001000 < -b1011100101111000111011111010000 2 -b1011100101111000111011111010000 = -b1011100101111000111011111010000 : -b11010111111111000001100010000111 $ -b11010111111111000001100010000111 - -b11010111111111000001100010000111 5 -b11010111111111000001100010000111 ? -b11010111111111000001100010000111 D -b1111011001111111010000010110111 % -b1111011001111111010000010110111 . -b1111011001111111010000010110111 6 -b1111011001111111010000010110111 @ -b1111011001111111010000010110111 F -b1011100101111000111011111010000 ) -#78656000 -0& -#78672000 -b10011001101 , -#78688000 -1& -#78704000 -b10011101100110100001001001010000 " -b10011101100110100001001001010000 4 -b11111111111111110011111111010011 1 -b11111111111111110011111111010011 C -b10011101011111110010111000010 0 -b10011101011111110010111000010 H -09 -18 -b110101110010000111100 < -b10011101100110100001001001010000 2 -b10011101100110100001001001010000 = -b10011101100110100001001001010000 : -b10011101011111110010111000010011 $ -b10011101011111110010111000010011 - -b10011101011111110010111000010011 5 -b10011101011111110010111000010011 ? -b10011101011111110010111000010011 D -b11111111111001010001101111000011 % -b11111111111001010001101111000011 . -b11111111111001010001101111000011 6 -b11111111111001010001101111000011 @ -b11111111111001010001101111000011 F -b10011101100110100001001001010000 ) -#78720000 -0& -#78736000 -b10011001110 , -#78752000 -1& -#78768000 -b10100000011111111011001101001000 " -b10100000011111111011001101001000 4 -b11111111111111111111110101011001 1 -b11111111111111111111110101011001 C -b11111111111111110111000 0 -b11111111111111110111000 H -18 -b10100000100000000100001011110110 < -b10100000011111111011001101001000 2 -b10100000011111111011001101001000 = -b10100000011111111011001101001000 : -b11111111111111110111000001010001 $ -b11111111111111110111000001010001 - -b11111111111111110111000001010001 5 -b11111111111111110111000001010001 ? -b11111111111111110111000001010001 D -b1011111011111111011110100001001 % -b1011111011111111011110100001001 . -b1011111011111111011110100001001 6 -b1011111011111111011110100001001 @ -b1011111011111111011110100001001 F -b10100000011111111011001101001000 ) -#78784000 -0& -#78800000 -b10011001111 , -#78816000 -1& -#78832000 -b1010001000100000010110000110110 " -b1010001000100000010110000110110 4 -b11111111111110111111110001110110 1 -b11111111111110111111110001110110 C -b11001110111110111101010001010110 0 -b11001110111110111101010001010110 H -19 -18 -b10000010000101000101011111011111 < -b1010001000100000010110000110110 2 -b1010001000100000010110000110110 = -b1010001000100000010110000110110 : -b11001110111110111101010001010110 $ -b11001110111110111101010001010110 - -b11001110111110111101010001010110 5 -b11001110111110111101010001010110 ? -b11001110111110111101010001010110 D -b1111101111010111010100000100000 % -b1111101111010111010100000100000 . -b1111101111010111010100000100000 6 -b1111101111010111010100000100000 @ -b1111101111010111010100000100000 F -b1010001000100000010110000110110 ) -#78848000 -0& -#78864000 -b10011010000 , -#78880000 -1& -#78896000 -b10100110010000001010110010100010 " -b10100110010000001010110010100010 4 -b11111110111111111111111010111010 1 -b11111110111111111111111010111010 C -b11111110 0 -b11111110 H -09 -18 -b10100111010000100011000111100111 < -b10100110010000001010110010100010 2 -b10100110010000001010110010100010 = -b10100110010000001010110010100010 : -b11111110111111100111101010111010 $ -b11111110111111100111101010111010 - -b11111110111111100111101010111010 5 -b11111110111111100111101010111010 ? -b11111110111111100111101010111010 D -b1011000101111011100111000011000 % -b1011000101111011100111000011000 . -b1011000101111011100111000011000 6 -b1011000101111011100111000011000 @ -b1011000101111011100111000011000 F -b10100110010000001010110010100010 ) -#78912000 -0& -#78928000 -b10011010001 , -#78944000 -1& -#78960000 -b1011011010001001110011111010011 " -b1011011010001001110011111010011 4 -b11110111111111110011100111111101 1 -b11110111111111110011100111111101 C -b110100101011111100010001101 0 -b110100101011111100010001101 H -19 -18 -b10001000100001011101011000011010 < -b1011011010001001110011111010011 2 -b1011011010001001110011111010011 = -b1011011010001001110011111010011 : -b11010010101111110001000110111000 $ -b11010010101111110001000110111000 - -b11010010101111110001000110111000 5 -b11010010101111110001000110111000 ? -b11010010101111110001000110111000 D -b1110111011110100010100111100101 % -b1110111011110100010100111100101 . -b1110111011110100010100111100101 6 -b1110111011110100010100111100101 @ -b1110111011110100010100111100101 F -b1011011010001001110011111010011 ) -#78976000 -0& -#78992000 -b10011010010 , -#79008000 -1& -#79024000 -b11100111111111011000001111101011 " -b11100111111111011000001111101011 4 -b11111111111111111010010101011111 1 -b11111111111111111010010101011111 C -b1 0 -b1 H -09 -18 -b1000000000011101111010100000 < -b11100111111111011000001111101011 2 -b11100111111111011000001111101011 = -b11100111111111011000001111101011 : -b11011111111110111010010101001010 $ -b11011111111110111010010101001010 - -b11011111111110111010010101001010 5 -b11011111111110111010010101001010 ? -b11011111111110111010010101001010 D -b11110111111111100010000101011111 % -b11110111111111100010000101011111 . -b11110111111111100010000101011111 6 -b11110111111111100010000101011111 @ -b11110111111111100010000101011111 F -b11100111111111011000001111101011 ) -#79040000 -0& -#79056000 -b10011010011 , -#79072000 -1& -#79088000 -b100011100011111011000001000011 " -b100011100011111011000001000011 4 -b11111111110111111111011101001111 1 -b11111111110111111111011101001111 C -b1111111101011111010101110 0 -b1111111101011111010101110 H -08 -b100100001100000101100011111000 < -b100011100011111011000001000011 2 -b100011100011111011000001000011 = -b100011100011111011000001000011 : -b11111111010111110101011101001010 $ -b11111111010111110101011101001010 - -b11111111010111110101011101001010 5 -b11111111010111110101011101001010 ? -b11111111010111110101011101001010 D -b11011011110011111010011100000111 % -b11011011110011111010011100000111 . -b11011011110011111010011100000111 6 -b11011011110011111010011100000111 @ -b11011011110011111010011100000111 F -b100011100011111011000001000011 ) -#79104000 -0& -#79120000 -b10011010100 , -#79136000 -1& -#79152000 -b11101111111110101010000110001010 " -b11101111111110101010000110001010 4 -b11111111111111111111101110101111 1 -b11111111111111111111101110101111 C -b111111111111011010011011101 0 -b111111111111011010011011101 H -18 -b11110000000001000000010111011010 < -b11101111111110101010000110001010 2 -b11101111111110101010000110001010 = -b11101111111110101010000110001010 : -b11111111111101101001101110101111 $ -b11111111111101101001101110101111 - -b11111111111101101001101110101111 5 -b11111111111101101001101110101111 ? -b11111111111101101001101110101111 D -b1111111110111111101000100101 % -b1111111110111111101000100101 . -b1111111110111111101000100101 6 -b1111111110111111101000100101 @ -b1111111110111111101000100101 F -b11101111111110101010000110001010 ) -#79168000 -0& -#79184000 -b10011010101 , -#79200000 -1& -#79216000 -b10110111111101110010000000011 " -b10110111111101110010000000011 4 -b11111111101111111101111111001111 1 -b11111111101111111101111111001111 C -b11111110101111101100 0 -b11111110101111101100 H -08 -b11000010000000010000000110011 < -b10110111111101110010000000011 2 -b10110111111101110010000000011 = -b10110111111101110010000000011 : -b11111110101111101100001111001111 $ -b11111110101111101100001111001111 - -b11111110101111101100001111001111 5 -b11111110101111101100001111001111 ? -b11111110101111101100001111001111 D -b11100111101111111101111111001100 % -b11100111101111111101111111001100 . -b11100111101111111101111111001100 6 -b11100111101111111101111111001100 @ -b11100111101111111101111111001100 F -b10110111111101110010000000011 ) -#79232000 -0& -#79248000 -b10011010110 , -#79264000 -1& -#79280000 -b1101000100001001101111011101 " -b1101000100001001101111011101 4 -b11111111111111111110111110100111 1 -b11111111111111111110111110100111 C -b11111110111111100110011110 0 -b11111110111111100110011110 H -b1110000100100011010001011001 < -b1101000100001001101111011101 2 -b1101000100001001101111011101 = -b1101000100001001101111011101 : -b11111110111111100110011110000011 $ -b11111110111111100110011110000011 - -b11111110111111100110011110000011 5 -b11111110111111100110011110000011 ? -b11111110111111100110011110000011 D -b11110001111011011100101110100110 % -b11110001111011011100101110100110 . -b11110001111011011100101110100110 6 -b11110001111011011100101110100110 @ -b11110001111011011100101110100110 F -b1101000100001001101111011101 ) -#79296000 -0& -#79312000 -b10011010111 , -#79328000 -1& -#79344000 -b11111001010100001010110000010111 " -b11111001010100001010110000010111 4 -b1111111111111111111111001111111 1 -b1111111111111111111111001111111 C -b0 0 -b0 H -18 -b10000010000000000001010110100000 < -b11111001010100001010110000010111 2 -b11111001010100001010110000010111 = -b11111001010100001010110000010111 : -b1110111010100001001011001110110 $ -b1110111010100001001011001110110 - -b1110111010100001001011001110110 5 -b1110111010100001001011001110110 ? -b1110111010100001001011001110110 D -b1111101111111111110101001011111 % -b1111101111111111110101001011111 . -b1111101111111111110101001011111 6 -b1111101111111111110101001011111 @ -b1111101111111111110101001011111 F -b11111001010100001010110000010111 ) -#79360000 -0& -#79376000 -b10011011000 , -#79392000 -1& -#79408000 -b100110111110111000100101001001 " -b100110111110111000100101001001 4 -b111111111111111101100111111011 1 -b111111111111111101100111111011 C -b111111111011101101000 0 -b111111111011101101000 H -08 -b11100111000011001011011110010110 < -b100110111110111000100101001001 2 -b100110111110111000100101001001 = -b100110111110111000100101001001 : -b111111111011101101000110110010 $ -b111111111011101101000110110010 - -b111111111011101101000110110010 5 -b111111111011101101000110110010 ? -b111111111011101101000110110010 D -b11000111100110100100001101001 % -b11000111100110100100001101001 . -b11000111100110100100001101001 6 -b11000111100110100100001101001 @ -b11000111100110100100001101001 F -b100110111110111000100101001001 ) -#79424000 -0& -#79440000 -b10011011001 , -#79456000 -1& -#79472000 -b10000000100101110110011110001110 " -b10000000100101110110011110001110 4 -b11101111111111101001111110010111 1 -b11101111111111101001111110010111 C -b1110111101110110000001110 0 -b1110111101110110000001110 H -18 -b10010001001000010110000001111000 < -b10000000100101110110011110001110 2 -b10000000100101110110011110001110 = -b10000000100101110110011110001110 : -b11101111011101100000011100010101 $ -b11101111011101100000011100010101 - -b11101111011101100000011100010101 5 -b11101111011101100000011100010101 ? -b11101111011101100000011100010101 D -b1101110110111101001111110000111 % -b1101110110111101001111110000111 . -b1101110110111101001111110000111 6 -b1101110110111101001111110000111 @ -b1101110110111101001111110000111 F -b10000000100101110110011110001110 ) -#79488000 -0& -#79504000 -b10011011010 , -#79520000 -1& -#79536000 -b1101011000011111001100000 " -b1101011000011111001100000 4 -b1111110111111111111001110100100 1 -b1111110111111111111001110100100 C -b111111001101011111100011000 0 -b111111001101011111100011000 H -08 -b10000011010000000100110011011011 < -b1101011000011111001100000 2 -b1101011000011111001100000 = -b1101011000011111001100000 : -b1111110011010111111000110000100 $ -b1111110011010111111000110000100 - -b1111110011010111111000110000100 5 -b1111110011010111111000110000100 ? -b1111110011010111111000110000100 D -b1111100101111111011001100100100 % -b1111100101111111011001100100100 . -b1111100101111111011001100100100 6 -b1111100101111111011001100100100 @ -b1111100101111111011001100100100 F -b1101011000011111001100000 ) -#79552000 -0& -#79568000 -b10011011011 , -#79584000 -1& -#79600000 -b10001111100001111001011101110101 " -b10001111100001111001011101110101 4 -b11111111111111111010111111011111 1 -b11111111111111111010111111011111 C -b1 0 -b1 H -19 -08 -b10000100010111111000110100001 < -b10001111100001111001011101110101 2 -b10001111100001111001011101110101 = -b10001111100001111001011101110101 : -b1111110111110111010010111010011 $ -b1111110111110111010010111010011 - -b1111110111110111010010111010011 5 -b1111110111110111010010111010011 ? -b1111110111110111010010111010011 D -b11101111011101000000111001011110 % -b11101111011101000000111001011110 . -b11101111011101000000111001011110 6 -b11101111011101000000111001011110 @ -b11101111011101000000111001011110 F -b10001111100001111001011101110101 ) -#79616000 -0& -#79632000 -b10011011100 , -#79648000 -1& -#79664000 -b10000101101101111101101111000011 " -b10000101101101111101101111000011 4 -b11111111110111110010010111111101 1 -b11111111110111110010010111111101 C -b1111101100 0 -b1111101100 H -b1000001000001101101011001010 < -b10000101101101111101101111000011 2 -b10000101101101111101101111000011 = -b10000101101101111101101111000011 : -b1111101100101110000000011111000 $ -b1111101100101110000000011111000 - -b1111101100101110000000011111000 5 -b1111101100101110000000011111000 ? -b1111101100101110000000011111000 D -b11110111110111110010010100110101 % -b11110111110111110010010100110101 . -b11110111110111110010010100110101 6 -b11110111110111110010010100110101 @ -b11110111110111110010010100110101 F -b10000101101101111101101111000011 ) -#79680000 -0& -#79696000 -b10011011101 , -#79712000 -1& -#79728000 -b10000111011010010010010000101000 " -b10000111011010010010010000101000 4 -b11111111101111110111111111101011 1 -b11111111101111110111111111101011 C -b11110110101001000111101100001 0 -b11110110101001000111101100001 H -18 -09 -b10010000110001001010100100011100 < -b10000111011010010010010000101000 2 -b10000111011010010010010000101000 = -b10000111011010010010010000101000 : -b11110110101001000111101100001011 $ -b11110110101001000111101100001011 - -b11110110101001000111101100001011 5 -b11110110101001000111101100001011 ? -b11110110101001000111101100001011 D -b1101111001110110101011011100011 % -b1101111001110110101011011100011 . -b1101111001110110101011011100011 6 -b1101111001110110101011011100011 @ -b1101111001110110101011011100011 F -b10000111011010010010010000101000 ) -#79744000 -0& -#79760000 -b10011011110 , -#79776000 -1& -#79792000 -b10000011110111100001111010111001 " -b10000011110111100001111010111001 4 -b11110111110111111110011111011111 1 -b11110111110111111110011111011111 C -b11 0 -b11 H -19 -08 -b1100001111101001100101100010 < -b10000011110111100001111010111001 2 -b10000011110111100001111010111001 = -b10000011110111100001111010111001 : -b1110111100111111000010101010110 $ -b1110111100111111000010101010110 - -b1110111100111111000010101010110 5 -b1110111100111111000010101010110 ? -b1110111100111111000010101010110 D -b11110011110000010110011010011101 % -b11110011110000010110011010011101 . -b11110011110000010110011010011101 6 -b11110011110000010110011010011101 @ -b11110011110000010110011010011101 F -b10000011110111100001111010111001 ) -#79808000 -0& -#79824000 -b10011011111 , -#79840000 -1& -#79856000 -b10110111011101011010001111010110 " -b10110111011101011010001111010110 4 -b11101111111111111101110101011111 1 -b11101111111111111101110101011111 C -b110011101110100110000010 0 -b110011101110100110000010 H -19 -08 -b1010000000000001110001010111000 < -b10110111011101011010001111010110 2 -b10110111011101011010001111010110 = -b10110111011101011010001111010110 : -b1100111011101001100000100011101 $ -b1100111011101001100000100011101 - -b1100111011101001100000100011101 5 -b1100111011101001100000100011101 ? -b1100111011101001100000100011101 D -b10101111111111110001110101000111 % -b10101111111111110001110101000111 . -b10101111111111110001110101000111 6 -b10101111111111110001110101000111 @ -b10101111111111110001110101000111 F -b10110111011101011010001111010110 ) -#79872000 -0& -#79888000 -b10011100000 , -#79904000 -1& -#79920000 -b110101001010111010000111111101 " -b110101001010111010000111111101 4 -b111111111111111110011100110101 1 -b111111111111111110011100110101 C -b1111110010 0 -b1111110010 H -09 -08 -b11110110000000000101101011001011 < -b110101001010111010000111111101 2 -b110101001010111010000111111101 = -b110101001010111010000111111101 : -b111111001010110100011100110001 $ -b111111001010110100011100110001 - -b111111001010110100011100110001 5 -b111111001010110100011100110001 ? -b111111001010110100011100110001 D -b1001111111111010010100110100 % -b1001111111111010010100110100 . -b1001111111111010010100110100 6 -b1001111111111010010100110100 @ -b1001111111111010010100110100 F -b110101001010111010000111111101 ) -#79936000 -0& -#79952000 -b10011100001 , -#79968000 -1& -#79984000 -b100000010101011000101000100111 " -b100000010101011000101000100111 4 -b11111111111111111011011001111111 1 -b11111111111111111011011001111111 C -b111111111100 0 -b111111111100 H -08 -b100000100001100101100110101011 < -b100000010101011000101000100111 2 -b100000010101011000101000100111 = -b100000010101011000101000100111 : -b11111111110011110011000001111011 $ -b11111111110011110011000001111011 - -b11111111110011110011000001111011 5 -b11111111110011110011000001111011 ? -b11111111110011110011000001111011 D -b11011111011110011010011001010100 % -b11011111011110011010011001010100 . -b11011111011110011010011001010100 6 -b11011111011110011010011001010100 @ -b11011111011110011010011001010100 F -b100000010101011000101000100111 ) -#80000000 -0& -#80016000 -b10011100010 , -#80032000 -1& -#80048000 -b1000111001011111001101100110100 " -b1000111001011111001101100110100 4 -b11111111110111111110110011011110 1 -b11111111110111111110110011011110 C -b11 0 -b11 H -b1010000011000000001001100100001 < -b1000111001011111001101100110100 2 -b1000111001011111001101100110100 = -b1000111001011111001101100110100 : -b11110110110011111000100000010010 $ -b11110110110011111000100000010010 - -b11110110110011111000100000010010 5 -b11110110110011111000100000010010 ? -b11110110110011111000100000010010 D -b10101111100111111110110011011110 % -b10101111100111111110110011011110 . -b10101111100111111110110011011110 6 -b10101111100111111110110011011110 @ -b10101111100111111110110011011110 F -b1000111001011111001101100110100 ) -#80064000 -0& -#80080000 -b10011100011 , -#80096000 -1& -#80112000 -b11100001010000111011001001101011 " -b11100001010000111011001001101011 4 -b11111111111111111101011011101011 1 -b11111111111111111101011011101011 C -b11111110111111101100010011001011 0 -b11111110111111101100010011001011 H -18 -b11100010010001001110110110011111 < -b11100001010000111011001001101011 2 -b11100001010000111011001001101011 = -b11100001010000111011001001101011 : -b11111110111111101100010011001011 $ -b11111110111111101100010011001011 - -b11111110111111101100010011001011 5 -b11111110111111101100010011001011 ? -b11111110111111101100010011001011 D -b11101101110110001001001100000 % -b11101101110110001001001100000 . -b11101101110110001001001100000 6 -b11101101110110001001001100000 @ -b11101101110110001001001100000 F -b11100001010000111011001001101011 ) -#80128000 -0& -#80144000 -b10011100100 , -#80160000 -1& -#80176000 -b10101100001010010011101111000100 " -b10101100001010010011101111000100 4 -b11111111111101111111111111111100 1 -b11111111111101111111111111111100 C -b110 0 -b110 H -19 -08 -b1000000001110000100110001100011 < -b10101100001010010011101111000100 2 -b10101100001010010011101111000100 = -b10101100001010010011101111000100 : -b1101011111100001110111101100000 $ -b1101011111100001110111101100000 - -b1101011111100001110111101100000 5 -b1101011111100001110111101100000 ? -b1101011111100001110111101100000 D -b10111111110001111011001110011100 % -b10111111110001111011001110011100 . -b10111111110001111011001110011100 6 -b10111111110001111011001110011100 @ -b10111111110001111011001110011100 F -b10101100001010010011101111000100 ) -#80192000 -0& -#80208000 -b10011100101 , -#80224000 -1& -#80240000 -b100001010000111111001101010010 " -b100001010000111111001101010010 4 -b11110111111111111111011101011011 1 -b11110111111111111111011101011011 C -b11110110111111111110011 0 -b11110110111111111110011 H -09 -08 -b101010010001000000110011110110 < -b100001010000111111001101010010 2 -b100001010000111111001101010010 = -b100001010000111111001101010010 : -b11110110111111111110011001011011 $ -b11110110111111111110011001011011 - -b11110110111111111110011001011011 5 -b11110110111111111110011001011011 ? -b11110110111111111110011001011011 D -b11010101101110111111001100001001 % -b11010101101110111111001100001001 . -b11010101101110111111001100001001 6 -b11010101101110111111001100001001 @ -b11010101101110111111001100001001 F -b100001010000111111001101010010 ) -#80256000 -0& -#80272000 -b10011100110 , -#80288000 -1& -#80304000 -b1000111111110100010110000110001 " -b1000111111110100010110000110001 4 -b11111111111111111111110101011111 1 -b11111111111111111111110101011111 C -b11 0 -b11 H -b1001000000001000001001011100001 < -b1000111111110100010110000110001 2 -b1000111111110100010110000110001 = -b1000111111110100010110000110001 : -b11111111111101100001100101001111 $ -b11111111111101100001100101001111 - -b11111111111101100001100101001111 5 -b11111111111101100001100101001111 ? -b11111111111101100001100101001111 D -b10110111111110111110110100011110 % -b10110111111110111110110100011110 . -b10110111111110111110110100011110 6 -b10110111111110111110110100011110 @ -b10110111111110111110110100011110 F -b1000111111110100010110000110001 ) -#80320000 -0& -#80336000 -b10011100111 , -#80352000 -1& -#80368000 -b1001000000000100110101101111010 " -b1001000000000100110101101111010 4 -b1011111111111111011111111001110 1 -b1011111111111111011111111001110 C -b101111111111111001001 0 -b101111111111111001001 H -08 -b11101000000000110100010000110101 < -b1001000000000100110101101111010 2 -b1001000000000100110101101111010 = -b1001000000000100110101101111010 : -b1011111111111110010011101000100 $ -b1011111111111110010011101000100 - -b1011111111111110010011101000100 5 -b1011111111111110010011101000100 ? -b1011111111111110010011101000100 D -b10111111111001011101111001010 % -b10111111111001011101111001010 . -b10111111111001011101111001010 6 -b10111111111001011101111001010 @ -b10111111111001011101111001010 F -b1001000000000100110101101111010 ) -#80384000 -0& -#80400000 -b10011101000 , -#80416000 -1& -#80432000 -b11001110010110010010011001000100 " -b11001110010110010010011001000100 4 -b11111111111111111101101001001110 1 -b11111111111111111101101001001110 C -b11111111110101110000000001 0 -b11111111110101110000000001 H -18 -b11001110100000100010010111111001 < -b11001110010110010010011001000100 2 -b11001110010110010010011001000100 = -b11001110010110010010011001000100 : -b11111111110101110000000001001010 $ -b11111111110101110000000001001010 - -b11111111110101110000000001001010 5 -b11111111110101110000000001001010 ? -b11111111110101110000000001001010 D -b110001011111011101101000000110 % -b110001011111011101101000000110 . -b110001011111011101101000000110 6 -b110001011111011101101000000110 @ -b110001011111011101101000000110 F -b11001110010110010010011001000100 ) -#80448000 -0& -#80464000 -b10011101001 , -#80480000 -1& -#80496000 -b11011000111100011101011111010000 " -b11011000111100011101011111010000 4 -b11111111111111111111100011011011 1 -b11111111111111111111100011011011 C -b110110001110111110101 0 -b110110001110111110101 H -b100010111100110100 < -b11011000111100011101011111010000 2 -b11011000111100011101011111010000 = -b11011000111100011101011111010000 : -b11011000111011111010100010011011 $ -b11011000111011111010100010011011 - -b11011000111011111010100010011011 5 -b11011000111011111010100010011011 ? -b11011000111011111010100010011011 D -b11111111111111011101000011001011 % -b11111111111111011101000011001011 . -b11111111111111011101000011001011 6 -b11111111111111011101000011001011 @ -b11111111111111011101000011001011 F -b11011000111100011101011111010000 ) -#80512000 -0& -#80528000 -b10011101010 , -#80544000 -1& -#80560000 -b10000001010000000001011 " -b10000001010000000001011 4 -b11111110010111111010111001110101 1 -b11111110010111111010111001110101 C -b11111110010 0 -b11111110010 H -08 -b1111000010111000110101010 < -b10000001010000000001011 2 -b10000001010000000001011 = -b10000001010000000001011 : -b11111110010111110010111001100000 $ -b11111110010111110010111001100000 - -b11111110010111110010111001100000 5 -b11111110010111110010111001100000 ? -b11111110010111110010111001100000 D -b11111110000111101000111001010101 % -b11111110000111101000111001010101 . -b11111110000111101000111001010101 6 -b11111110000111101000111001010101 @ -b11111110000111101000111001010101 F -b10000001010000000001011 ) -#80576000 -0& -#80592000 -b10011101011 , -#80608000 -1& -#80624000 -b11110110110000110111011000000111 " -b11110110110000110111011000000111 4 -b11011111110111111011111010111111 1 -b11011111110111111011111010111111 C -b110101101 0 -b110101101 H -18 -b100000001001000100000101001000 < -b11110110110000110111011000000111 2 -b11110110110000110111011000000111 = -b11110110110000110111011000000111 : -b11010110100111110011010010111110 $ -b11010110100111110011010010111110 - -b11010110100111110011010010111110 5 -b11010110100111110011010010111110 ? -b11010110100111110011010010111110 D -b11011111110110111011111010110111 % -b11011111110110111011111010110111 . -b11011111110110111011111010110111 6 -b11011111110110111011111010110111 @ -b11011111110110111011111010110111 F -b11110110110000110111011000000111 ) -#80640000 -0& -#80656000 -b10011101100 , -#80672000 -1& -#80688000 -b10100010010010000010011001110100 " -b10100010010010000010011001110100 4 -b11101110111111001111111111111111 1 -b11101110111111001111111111111111 C -b111011101111110011111 0 -b111011101111110011111 H -18 -b10110011010010110010101100010100 < -b10100010010010000010011001110100 2 -b10100010010010000010011001110100 = -b10100010010010000010011001110100 : -b11101110111111001111101101011111 $ -b11101110111111001111101101011111 - -b11101110111111001111101101011111 5 -b11101110111111001111101101011111 ? -b11101110111111001111101101011111 D -b1001100101101001101010011101011 % -b1001100101101001101010011101011 . -b1001100101101001101010011101011 6 -b1001100101101001101010011101011 @ -b1001100101101001101010011101011 F -b10100010010010000010011001110100 ) -#80704000 -0& -#80720000 -b10011101101 , -#80736000 -1& -#80752000 -b11010011110001101001111100100111 " -b11010011110001101001111100100111 4 -b10111111111111111010101101110111 1 -b10111111111111111010101101110111 C -b1001001110111110 0 -b1001001110111110 H -09 -18 -b1000000000010000111010011001111 < -b11010011110001101001111100100111 2 -b11010011110001101001111100100111 = -b11010011110001101001111100100111 : -b10010011101111100010101001010111 $ -b10010011101111100010101001010111 - -b10010011101111100010101001010111 5 -b10010011101111100010101001010111 ? -b10010011101111100010101001010111 D -b10111111111101111000101100110000 % -b10111111111101111000101100110000 . -b10111111111101111000101100110000 6 -b10111111111101111000101100110000 @ -b10111111111101111000101100110000 F -b11010011110001101001111100100111 ) -#80768000 -0& -#80784000 -b10011101110 , -#80800000 -1& -#80816000 -b1011110100101111101010110010110 " -b1011110100101111101010110010110 4 -b11111111111110110110111110111010 1 -b11111111111110110110111110111010 C -b10111 0 -b10111 H -08 -b1001011001001000011100101 < -b1011110100101111101010110010110 2 -b1011110100101111101010110010110 = -b1011110100101111101010110010110 : -b1011101011010110100010010110000 $ -b1011101011010110100010010110000 - -b1011101011010110100010010110000 5 -b1011101011010110100010010110000 ? -b1011101011010110100010010110000 D -b11111110110100110110111100011010 % -b11111110110100110110111100011010 . -b11111110110100110110111100011010 6 -b11111110110100110110111100011010 @ -b11111110110100110110111100011010 F -b1011110100101111101010110010110 ) -#80832000 -0& -#80848000 -b10011101111 , -#80864000 -1& -#80880000 -b1000010000000000100011101000100 " -b1000010000000000100011101000100 4 -b1101111101111111101110101111110 1 -b1101111101111111101110101111110 C -b110111110 0 -b110111110 H -b11010010010000000110101011001001 < -b1000010000000000100011101000100 2 -b1000010000000000100011101000100 = -b1000010000000000100011101000100 : -b1101111101111111101110001111010 $ -b1101111101111111101110001111010 - -b1101111101111111101110001111010 5 -b1101111101111111101110001111010 ? -b1101111101111111101110001111010 D -b101101101111111001010100110110 % -b101101101111111001010100110110 . -b101101101111111001010100110110 6 -b101101101111111001010100110110 @ -b101101101111111001010100110110 F -b1000010000000000100011101000100 ) -#80896000 -0& -#80912000 -b10011110000 , -#80928000 -1& -#80944000 -b10001100000110111110101010000001 " -b10001100000110111110101010000001 4 -b11111111111111111011111111000111 1 -b11111111111111111011111111000111 C -b11110111111011110100111110 0 -b11110111111011110100111110 H -19 -08 -b10000001001000100001010111010 < -b10001100000110111110101010000001 2 -b10001100000110111110101010000001 = -b10001100000110111110101010000001 : -b1111011111101111010011111000110 $ -b1111011111101111010011111000110 - -b1111011111101111010011111000110 5 -b1111011111101111010011111000110 ? -b1111011111101111010011111000110 D -b11101111110110111011110101000101 % -b11101111110110111011110101000101 . -b11101111110110111011110101000101 6 -b11101111110110111011110101000101 @ -b11101111110110111011110101000101 F -b10001100000110111110101010000001 ) -#80960000 -0& -#80976000 -b10011110001 , -#80992000 -1& -#81008000 -b11100100110001111010110001001001 " -b11100100110001111010110001001001 4 -b1111111111111110111110110111011 1 -b1111111111111110111110110111011 C -b101001 0 -b101001 H -09 -18 -b10010001010010001001011101000110 < -b11100100110001111010110001001001 2 -b11100100110001111010110001001001 = -b11100100110001111010110001001001 : -b1010011011111110001010100000010 $ -b1010011011111110001010100000010 - -b1010011011111110001010100000010 5 -b1010011011111110001010100000010 ? -b1010011011111110001010100000010 D -b1101110101101110110100010111001 % -b1101110101101110110100010111001 . -b1101110101101110110100010111001 6 -b1101110101101110110100010111001 @ -b1101110101101110110100010111001 F -b11100100110001111010110001001001 ) -#81024000 -0& -#81040000 -b10011110010 , -#81056000 -1& -#81072000 -b1010101111111000100100000011101 " -b1010101111111000100100000011101 4 -b11111111111111111100111010101111 1 -b11111111111111111100111010101111 C -b101010111111011000 0 -b101010111111011000 H -08 -b10011100101110010 < -b1010101111111000100100000011101 2 -b1010101111111000100100000011101 = -b1010101111111000100100000011101 : -b1010101111110110000111010101010 $ -b1010101111110110000111010101010 - -b1010101111110110000111010101010 5 -b1010101111110110000111010101010 ? -b1010101111110110000111010101010 D -b11111111111111101100011010001101 % -b11111111111111101100011010001101 . -b11111111111111101100011010001101 6 -b11111111111111101100011010001101 @ -b11111111111111101100011010001101 F -b1010101111111000100100000011101 ) -#81088000 -0& -#81104000 -b10011110011 , -#81120000 -1& -#81136000 -b1110101001011110100101011111111 " -b1110101001011110100101011111111 4 -b11111111111101111111101100110001 1 -b11111111111101111111101100110001 C -b111100111110011 0 -b111100111110011 H -19 -18 -b10000001010010000000011111001110 < -b1110101001011110100101011111111 2 -b1110101001011110100101011111111 = -b1110101001011110100101011111111 : -b11110011111001110100001100110000 $ -b11110011111001110100001100110000 - -b11110011111001110100001100110000 5 -b11110011111001110100001100110000 ? -b11110011111001110100001100110000 D -b1111110101101111111100000110001 % -b1111110101101111111100000110001 . -b1111110101101111111100000110001 6 -b1111110101101111111100000110001 @ -b1111110101101111111100000110001 F -b1110101001011110100101011111111 ) -#81152000 -0& -#81168000 -b10011110100 , -#81184000 -1& -#81200000 -b10111110001011100011001110100 " -b10111110001011100011001110100 4 -b11111111111101111111111001111101 1 -b11111111111101111111111001111101 C -b11101111011101111100001 0 -b11101111011101111100001 H -08 -09 -b101000010011100000001111110110 < -b10111110001011100011001110100 2 -b10111110001011100011001110100 = -b10111110001011100011001110100 : -b11101111011101111100001001111101 $ -b11101111011101111100001001111101 - -b11101111011101111100001001111101 5 -b11101111011101111100001001111101 ? -b11101111011101111100001001111101 D -b11010111101100011111110000001001 % -b11010111101100011111110000001001 . -b11010111101100011111110000001001 6 -b11010111101100011111110000001001 @ -b11010111101100011111110000001001 F -b10111110001011100011001110100 ) -#81216000 -0& -#81232000 -b10011110101 , -#81248000 -1& -#81264000 -b11111100000001001001001100111 " -b11111100000001001001001100111 4 -b11111111110011111111011110111111 1 -b11111111110011111111011110111111 C -b11111111 0 -b11111111 H -b100000001100001001110011000111 < -b11111100000001001001001100111 2 -b11111100000001001001001100111 = -b11111100000001001001001100111 : -b11111111010011111111010110011111 $ -b11111111010011111111010110011111 - -b11111111010011111111010110011111 5 -b11111111010011111111010110011111 ? -b11111111010011111111010110011111 D -b11011111110011110110001100111000 % -b11011111110011110110001100111000 . -b11011111110011110110001100111000 6 -b11011111110011110110001100111000 @ -b11011111110011110110001100111000 F -b11111100000001001001001100111 ) -#81280000 -0& -#81296000 -b10011110110 , -#81312000 -1& -#81328000 -b10100101010101111111110110110100 " -b10100101010101111111110110110100 4 -b10111101111111011111110111111110 1 -b10111101111111011111110111111110 C -b111001111111011110110111 0 -b111001111111011110110111 H -19 -08 -b1101011010110100000111111011001 < -b10100101010101111111110110110100 2 -b10100101010101111111110110110100 = -b10100101010101111111110110110100 : -b111001111111011110110111011010 $ -b111001111111011110110111011010 - -b111001111111011110110111011010 5 -b111001111111011110110111011010 ? -b111001111111011110110111011010 D -b10010100101001011111000000100110 % -b10010100101001011111000000100110 . -b10010100101001011111000000100110 6 -b10010100101001011111000000100110 @ -b10010100101001011111000000100110 F -b10100101010101111111110110110100 ) -#81344000 -0& -#81360000 -b10011110111 , -#81376000 -1& -#81392000 -b10100010001000111000110110000000 " -b10100010001000111000110110000000 4 -b11111111111111101111010111011000 1 -b11111111111111101111010111011000 C -b1011111 0 -b1011111 H -b1000010100001010010101110100111 < -b10100010001000111000110110000000 2 -b10100010001000111000110110000000 = -b10100010001000111000110110000000 : -b1011111100111100110000111011000 $ -b1011111100111100110000111011000 - -b1011111100111100110000111011000 5 -b1011111100111100110000111011000 ? -b1011111100111100110000111011000 D -b10111101011110101101010001011000 % -b10111101011110101101010001011000 . -b10111101011110101101010001011000 6 -b10111101011110101101010001011000 @ -b10111101011110101101010001011000 F -b10100010001000111000110110000000 ) -#81408000 -0& -#81424000 -b10011111000 , -#81440000 -1& -#81456000 -b10000111111101001000001011011101 " -b10000111111101001000001011011101 4 -b11111110111111111001011100111101 1 -b11111110111111111001011100111101 C -b1111111011110100 0 -b1111111011110100 H -09 -18 -b10001001000000000110101111001111 < -b10000111111101001000001011011101 2 -b10000111111101001000001011011101 = -b10000111111101001000001011011101 : -b11111110111101000001011100001101 $ -b11111110111101000001011100001101 - -b11111110111101000001011100001101 5 -b11111110111101000001011100001101 ? -b11111110111101000001011100001101 D -b1110110111111111001010000110000 % -b1110110111111111001010000110000 . -b1110110111111111001010000110000 6 -b1110110111111111001010000110000 @ -b1110110111111111001010000110000 F -b10000111111101001000001011011101 ) -#81472000 -0& -#81488000 -b10011111001 , -#81504000 -1& -#81520000 -b1011111000100001010110110000011 " -b1011111000100001010110110000011 4 -b11111111111111101111111010001111 1 -b11111111111111101111111010001111 C -b1101111011101110111111100 0 -b1101111011101110111111100 H -19 -18 -b10000000001000011010111101111000 < -b1011111000100001010110110000011 2 -b1011111000100001010110110000011 = -b1011111000100001010110110000011 : -b11011110111011101111111000001010 $ -b11011110111011101111111000001010 - -b11011110111011101111111000001010 5 -b11011110111011101111111000001010 ? -b11011110111011101111111000001010 D -b1111111110111100101000010000111 % -b1111111110111100101000010000111 . -b1111111110111100101000010000111 6 -b1111111110111100101000010000111 @ -b1111111110111100101000010000111 F -b1011111000100001010110110000011 ) -#81536000 -0& -#81552000 -b10011111010 , -#81568000 -1& -#81584000 -b10011111101000100001010010100110 " -b10011111101000100001010010100110 4 -b1111111111111110011011111111111 1 -b1111111111111110011011111111111 C -b1111110011111001 0 -b1111110011111001 H -09 -18 -b10000000000000101101110101010010 < -b10011111101000100001010010100110 2 -b10011111101000100001010010100110 = -b10011111101000100001010010100110 : -b11111100111110011011101010011 $ -b11111100111110011011101010011 - -b11111100111110011011101010011 5 -b11111100111110011011101010011 ? -b11111100111110011011101010011 D -b1111111111111010010001010101101 % -b1111111111111010010001010101101 . -b1111111111111010010001010101101 6 -b1111111111111010010001010101101 @ -b1111111111111010010001010101101 F -b10011111101000100001010010100110 ) -#81600000 -0& -#81616000 -b10011111011 , -#81632000 -1& -#81648000 -b10101011110000011010010111111 " -b10101011110000011010010111111 4 -b11111111111111101111110111010011 1 -b11111111111111101111110111010011 C -b1111110101110 0 -b1111110101110 H -08 -b11000000000010101011100101100 < -b10101011110000011010010111111 2 -b10101011110000011010010111111 = -b10101011110000011010010111111 : -b11111101011101101101110110010010 $ -b11111101011101101101110110010010 - -b11111101011101101101110110010010 5 -b11111101011101101101110110010010 ? -b11111101011101101101110110010010 D -b11100111111111101010100011010011 % -b11100111111111101010100011010011 . -b11100111111111101010100011010011 6 -b11100111111111101010100011010011 @ -b11100111111111101010100011010011 F -b10101011110000011010010111111 ) -#81664000 -0& -#81680000 -b10011111100 , -#81696000 -1& -#81712000 -b1111000001111101000101001001 " -b1111000001111101000101001001 4 -b11111111111111111101101111101011 1 -b11111111111111111101101111101011 C -b1100111011111111100110101110101 0 -b1100111011111111100110101110101 H -08 -b1000000000010000011011001011110 < -b1111000001111101000101001001 2 -b1111000001111101000101001001 = -b1111000001111101000101001001 : -b11001110111111111001101011101010 $ -b11001110111111111001101011101010 - -b11001110111111111001101011101010 5 -b11001110111111111001101011101010 ? -b11001110111111111001101011101010 D -b10111111111101111100100110100001 % -b10111111111101111100100110100001 . -b10111111111101111100100110100001 6 -b10111111111101111100100110100001 @ -b10111111111101111100100110100001 F -b1111000001111101000101001001 ) -#81728000 -0& -#81744000 -b10011111101 , -#81760000 -1& -#81776000 -b10111010110110011111100010001101 " -b10111010110110011111100010001101 4 -b11111111111111111110100111111111 1 -b11111111111111111110100111111111 C -b10111001111111111 0 -b10111001111111111 H -18 -b110110100001011100010000 < -b10111010110110011111100010001101 2 -b10111010110110011111100010001101 = -b10111010110110011111100010001101 : -b10111001111111111110000101111100 $ -b10111001111111111110000101111100 - -b10111001111111111110000101111100 5 -b10111001111111111110000101111100 ? -b10111001111111111110000101111100 D -b11111111001001011110100011101111 % -b11111111001001011110100011101111 . -b11111111001001011110100011101111 6 -b11111111001001011110100011101111 @ -b11111111001001011110100011101111 F -b10111010110110011111100010001101 ) -#81792000 -0& -#81808000 -b10011111110 , -#81824000 -1& -#81840000 -b11001010001000010000101100001 " -b11001010001000010000101100001 4 -b11111111111111111110011011110111 1 -b11111111111111111110011011110111 C -b11111111111 0 -b11111111111 H -08 -b11001010001001001101100101010 < -b11001010001000010000101100001 2 -b11001010001000010000101100001 = -b11001010001000010000101100001 : -b11111111111111111000011000110110 $ -b11111111111111111000011000110110 - -b11111111111111111000011000110110 5 -b11111111111111111000011000110110 ? -b11111111111111111000011000110110 D -b11100110101110110110010011010101 % -b11100110101110110110010011010101 . -b11100110101110110110010011010101 6 -b11100110101110110110010011010101 @ -b11100110101110110110010011010101 F -b11001010001000010000101100001 ) -#81856000 -0& -#81872000 -b10011111111 , -#81888000 -1& -#81904000 -b1010011101111001001110010101001 " -b1010011101111001001110010101001 4 -b10111111111111111011010110111111 1 -b10111111111111111011010110111111 C -b1111101110111 0 -b1111101110111 H -b1000100000000001110101011110000 < -b1010011101111001001110010101001 2 -b1010011101111001001110010101001 = -b1010011101111001001110010101001 : -b1111101110111011000110111000 $ -b1111101110111011000110111000 - -b1111101110111011000110111000 5 -b1111101110111011000110111000 ? -b1111101110111011000110111000 D -b10111011111111110001010100001111 % -b10111011111111110001010100001111 . -b10111011111111110001010100001111 6 -b10111011111111110001010100001111 @ -b10111011111111110001010100001111 F -b1010011101111001001110010101001 ) -#81920000 -0& -#81936000 -b10100000000 , -#81952000 -1& -#81968000 -b11001011110010110101111010011100 " -b11001011110010110101111010011100 4 -b11111110111111111111111010111111 1 -b11111110111111111111111010111111 C -b11110 0 -b11110 H -18 -09 -b11010101000100000010111111100100 < -b11001011110010110101111010011100 2 -b11001011110010110101111010011100 = -b11001011110010110101111010011100 : -b11110110101110110010111010110111 $ -b11110110101110110010111010110111 - -b11110110101110110010111010110111 5 -b11110110101110110010111010110111 ? -b11110110101110110010111010110111 D -b101010111011111101000000011011 % -b101010111011111101000000011011 . -b101010111011111101000000011011 6 -b101010111011111101000000011011 @ -b101010111011111101000000011011 F -b11001011110010110101111010011100 ) -#81984000 -0& -#82000000 -b10100000001 , -#82016000 -1& -#82032000 -b1110000011000011001000011111010 " -b1110000011000011001000011111010 4 -b11111101111111111111011101111111 1 -b11111101111111111111011101111111 C -b1 0 -b1 H -19 -18 -b10110011000000011001110010000000 < -b1110000011000011001000011111010 2 -b1110000011000011001000011111010 = -b1110000011000011001000011111010 : -b10111101010111111111010001111001 $ -b10111101010111111111010001111001 - -b10111101010111111111010001111001 5 -b10111101010111111111010001111001 ? -b10111101010111111111010001111001 D -b1001100111111100110001101111111 % -b1001100111111100110001101111111 . -b1001100111111100110001101111111 6 -b1001100111111100110001101111111 @ -b1001100111111100110001101111111 F -b1110000011000011001000011111010 ) -#82048000 -0& -#82064000 -b10100000010 , -#82080000 -1& -#82096000 -b1010111001011111101010001011100 " -b1010111001011111101010001011100 4 -b11111111111111110111110101111111 1 -b11111111111111110111110101111111 C -b111110111111110011100101111 0 -b111110111111110011100101111 H -09 -08 -b11000001100001001101011011100 < -b1010111001011111101010001011100 2 -b1010111001011111101010001011100 = -b1010111001011111101010001011100 : -b111110111111110011100101111111 $ -b111110111111110011100101111111 - -b111110111111110011100101111111 5 -b111110111111110011100101111111 ? -b111110111111110011100101111111 D -b11100111110011110110010100100011 % -b11100111110011110110010100100011 . -b11100111110011110110010100100011 6 -b11100111110011110110010100100011 @ -b11100111110011110110010100100011 F -b1010111001011111101010001011100 ) -#82112000 -0& -#82128000 -b10100000011 , -#82144000 -1& -#82160000 -b100110111100111110000000000 " -b100110111100111110000000000 4 -b11111111111111111010111011110111 1 -b11111111111111111010111011110111 C -b111110111 0 -b111110111 H -08 -09 -b1000111000000101000100001000 < -b100110111100111110000000000 2 -b100110111100111110000000000 = -b100110111100111110000000000 : -b11111011111111100010101011110111 $ -b11111011111111100010101011110111 - -b11111011111111100010101011110111 5 -b11111011111111100010101011110111 ? -b11111011111111100010101011110111 D -b11110111000111111010111011110111 % -b11110111000111111010111011110111 . -b11110111000111111010111011110111 6 -b11110111000111111010111011110111 @ -b11110111000111111010111011110111 F -b100110111100111110000000000 ) -#82176000 -0& -#82192000 -b10100000100 , -#82208000 -1& -#82224000 -b1110000010000000000000001011010 " -b1110000010000000000000001011010 4 -b11110111111011110111000110101111 1 -b11110111111011110111000110101111 C -b111001111110111101110 0 -b111001111110111101110 H -19 -18 -b10001000010100001000111101010100 < -b1110000010000000000000001011010 2 -b1110000010000000000000001011010 = -b1110000010000000000000001011010 : -b11100111111011110111000100000101 $ -b11100111111011110111000100000101 - -b11100111111011110111000100000101 5 -b11100111111011110111000100000101 ? -b11100111111011110111000100000101 D -b1110111101011110111000010101011 % -b1110111101011110111000010101011 . -b1110111101011110111000010101011 6 -b1110111101011110111000010101011 @ -b1110111101011110111000010101011 F -b1110000010000000000000001011010 ) -#82240000 -0& -#82256000 -b10100000101 , -#82272000 -1& -#82288000 -b1111111111100101100010011101101 " -b1111111111100101100010011101101 4 -b11111111111111010100110111111101 1 -b11111111111111010100110111111101 C -b111111111110000 0 -b111111111110000 H -08 -09 -b101011011101101111 < -b1111111111100101100010011101101 2 -b1111111111100101100010011101101 = -b1111111111100101100010011101101 : -b1111111111100000000110101111101 $ -b1111111111100000000110101111101 - -b1111111111100000000110101111101 5 -b1111111111100000000110101111101 ? -b1111111111100000000110101111101 D -b11111111111111010100100010010000 % -b11111111111111010100100010010000 . -b11111111111111010100100010010000 6 -b11111111111111010100100010010000 @ -b11111111111111010100100010010000 F -b1111111111100101100010011101101 ) -#82304000 -0& -#82320000 -b10100000110 , -#82336000 -1& -#82352000 -b101011110001001011101110100 " -b101011110001001011101110100 4 -b1111111111111111111110110011100 1 -b1111111111111111111110110011100 C -b11111010111 0 -b11111010111 H -b10001000000000000010101001101011 < -b101011110001001011101110100 2 -b101011110001001011101110100 = -b101011110001001011101110100 : -b1111101011110000110110100001000 $ -b1111101011110000110110100001000 - -b1111101011110000110110100001000 5 -b1111101011110000110110100001000 ? -b1111101011110000110110100001000 D -b1110111111111111101010110010100 % -b1110111111111111101010110010100 . -b1110111111111111101010110010100 6 -b1110111111111111101010110010100 @ -b1110111111111111101010110010100 F -b101011110001001011101110100 ) -#82368000 -0& -#82384000 -b10100000111 , -#82400000 -1& -#82416000 -b1111111111101111110100110111110 " -b1111111111101111110100110111110 4 -b11110111111111110001111111111110 1 -b11110111111111110001111111111110 C -b1 0 -b1 H -08 -b1000000000001110001011000001 < -b1111111111101111110100110111110 2 -b1111111111101111110100110111110 = -b1111111111101111110100110111110 : -b1110111111101110000011011111100 $ -b1110111111101110000011011111100 - -b1110111111101110000011011111100 5 -b1110111111101110000011011111100 ? -b1110111111101110000011011111100 D -b11110111111111110001110100111110 % -b11110111111111110001110100111110 . -b11110111111111110001110100111110 6 -b11110111111111110001110100111110 @ -b11110111111111110001110100111110 F -b1111111111101111110100110111110 ) -#82432000 -0& -#82448000 -b10100001000 , -#82464000 -1& -#82480000 -b1111011001011110101000100001010 " -b1111011001011110101000100001010 4 -b11111111111110111011010100011011 1 -b11111111111110111011010100011011 C -b111101111010101 0 -b111101111010101 H -19 -18 -b10000011100001000100101111101110 < -b1111011001011110101000100001010 2 -b1111011001011110101000100001010 = -b1111011001011110101000100001010 : -b11110111101010110000010100011011 $ -b11110111101010110000010100011011 - -b11110111101010110000010100011011 5 -b11110111101010110000010100011011 ? -b11110111101010110000010100011011 D -b1111100011110111011010000010001 % -b1111100011110111011010000010001 . -b1111100011110111011010000010001 6 -b1111100011110111011010000010001 @ -b1111100011110111011010000010001 F -b1111011001011110101000100001010 ) -#82496000 -0& -#82512000 -b10100001001 , -#82528000 -1& -#82544000 -b1011111011100000011100111111 " -b1011111011100000011100111111 4 -b11111111100111111111110111011111 1 -b11111111100111111111110111011111 C -b11110111 0 -b11110111 H -08 -09 -b10100011000000100001010100111 < -b1011111011100000011100111111 2 -b1011111011100000011100111111 = -b1011111011100000011100111111 : -b11110111100011011100010010010111 $ -b11110111100011011100010010010111 - -b11110111100011011100010010010111 5 -b11110111100011011100010010010111 ? -b11110111100011011100010010010111 D -b11101011100111111011110101011000 % -b11101011100111111011110101011000 . -b11101011100111111011110101011000 6 -b11101011100111111011110101011000 @ -b11101011100111111011110101011000 F -b1011111011100000011100111111 ) -#82560000 -0& -#82576000 -b10100001010 , -#82592000 -1& -#82608000 -b11110111101111110110111100110111 " -b11110111101111110110111100110111 4 -b11111110111111111001100111111001 1 -b11111110111111111001100111111001 C -b1111011 0 -b1111011 H -18 -b1000000011110011000000110 < -b11110111101111110110111100110111 2 -b11110111101111110110111100110111 = -b11110111101111110110111100110111 : -b11110110101111011000100100110000 $ -b11110110101111011000100100110000 - -b11110110101111011000100100110000 5 -b11110110101111011000100100110000 ? -b11110110101111011000100100110000 D -b11111110111111100001100111111001 % -b11111110111111100001100111111001 . -b11111110111111100001100111111001 6 -b11111110111111100001100111111001 @ -b11111110111111100001100111111001 F -b11110111101111110110111100110111 ) -#82624000 -0& -#82640000 -b10100001011 , -#82656000 -1& -#82672000 -b10011011100111111111100111000 " -b10011011100111111111100111000 4 -b111111101111111100001111101110 1 -b111111101111111100001111101110 C -b1111110011001111 0 -b1111110011001111 H -08 -b11010100010000000011110000010001 < -b10011011100111111111100111000 2 -b10011011100111111111100111000 = -b10011011100111111111100111000 : -b111111001100111100001100100110 $ -b111111001100111100001100100110 - -b111111001100111100001100100110 5 -b111111001100111100001100100110 ? -b111111001100111100001100100110 D -b101011101111111100001111101110 % -b101011101111111100001111101110 . -b101011101111111100001111101110 6 -b101011101111111100001111101110 @ -b101011101111111100001111101110 F -b10011011100111111111100111000 ) -#82688000 -0& -#82704000 -b10100001100 , -#82720000 -1& -#82736000 -b1111001111011100011101001000010 " -b1111001111011100011101001000010 4 -b11111111101111111100111110111111 1 -b11111111101111111100111110111111 C -b0 0 -b0 H -b100010100010011000001000000 < -b1111001111011100011101001000010 2 -b1111001111011100011101001000010 = -b1111001111011100011101001000010 : -b1110101100111010000101000000001 $ -b1110101100111010000101000000001 - -b1110101100111010000101000000001 5 -b1110101100111010000101000000001 ? -b1110101100111010000101000000001 D -b11111011101011101100111110111111 % -b11111011101011101100111110111111 . -b11111011101011101100111110111111 6 -b11111011101011101100111110111111 @ -b11111011101011101100111110111111 F -b1111001111011100011101001000010 ) -#82752000 -0& -#82768000 -b10100001101 , -#82784000 -1& -#82800000 -b10111111000000000111010100110001 " -b10111111000000000111010100110001 4 -b1111111111111001111011101011111 1 -b1111111111111001111011101011111 C -18 -b10000000000000111001111011100000 < -b10111111000000000111010100110001 2 -b10111111000000000111010100110001 = -b10111111000000000111010100110001 : -b111110111111001101011001010000 $ -b111110111111001101011001010000 - -b111110111111001101011001010000 5 -b111110111111001101011001010000 ? -b111110111111001101011001010000 D -b1111111111111000110000100011111 % -b1111111111111000110000100011111 . -b1111111111111000110000100011111 6 -b1111111111111000110000100011111 @ -b1111111111111000110000100011111 F -b10111111000000000111010100110001 ) -#82816000 -0& -#82832000 -b10100001110 , -#82848000 -1& -#82864000 -b1111010110000100101111101101010 " -b1111010110000100101111101101010 4 -b11101111110101111111110010111111 1 -b11101111110101111111110010111111 C -b110011101010111101 0 -b110011101010111101 H -08 -b10011011010101010001101010010 < -b1111010110000100101111101101010 2 -b1111010110000100101111101101010 = -b1111010110000100101111101101010 : -b1100111010101111011110000010111 $ -b1100111010101111011110000010111 - -b1100111010101111011110000010111 5 -b1100111010101111011110000010111 ? -b1100111010101111011110000010111 D -b11101100100101010101110010101101 % -b11101100100101010101110010101101 . -b11101100100101010101110010101101 6 -b11101100100101010101110010101101 @ -b11101100100101010101110010101101 F -b1111010110000100101111101101010 ) -#82880000 -0& -#82896000 -b10100001111 , -#82912000 -1& -#82928000 -b1100000111000011001001100111000 " -b1100000111000011001001100111000 4 -b11111011111111111111111101111111 1 -b11111011111111111111111101111111 C -b1 0 -b1 H -08 -09 -b1100101001000100100000111000000 < -b1100000111000011001001100111000 2 -b1100000111000011001001100111000 = -b1100000111000011001001100111000 : -b11111011101111110101000101110111 $ -b11111011101111110101000101110111 - -b11111011101111110101000101110111 5 -b11111011101111110101000101110111 ? -b11111011101111110101000101110111 D -b10011010110111011011111000111111 % -b10011010110111011011111000111111 . -b10011010110111011011111000111111 6 -b10011010110111011011111000111111 @ -b10011010110111011011111000111111 F -b1100000111000011001001100111000 ) -#82944000 -0& -#82960000 -b10100010000 , -#82976000 -1& -#82992000 -b10101111011110000101111001011011 " -b10101111011110000101111001011011 4 -b11111111111111111111011111111111 1 -b11111111111111111111011111111111 C -b10111110110 0 -b10111110110 H -19 -08 -b1010000000010010000100010001011 < -b10101111011110000101111001011011 2 -b10101111011110000101111001011011 = -b10101111011110000101111001011011 : -b1011111011011110101010111001111 $ -b1011111011011110101010111001111 - -b1011111011011110101010111001111 5 -b1011111011011110101010111001111 ? -b1011111011011110101010111001111 D -b10101111111101101111011101110100 % -b10101111111101101111011101110100 . -b10101111111101101111011101110100 6 -b10101111111101101111011101110100 @ -b10101111111101101111011101110100 F -b10101111011110000101111001011011 ) -#83008000 -0& -#83024000 -b10100010001 , -#83040000 -1& -#83056000 -b100000010000110011000011011111 " -b100000010000110011000011011111 4 -b11111110111111111111011100111111 1 -b11111110111111111111011100111111 C -b11111110 0 -b11111110 H -09 -08 -b100001010000110101101011000111 < -b100000010000110011000011011111 2 -b100000010000110011000011011111 = -b100000010000110011000011011111 : -b11111110111111111101011000010111 $ -b11111110111111111101011000010111 - -b11111110111111111101011000010111 5 -b11111110111111111101011000010111 ? -b11111110111111111101011000010111 D -b11011110101111001010010100111000 % -b11011110101111001010010100111000 . -b11011110101111001010010100111000 6 -b11011110101111001010010100111000 @ -b11011110101111001010010100111000 F -b100000010000110011000011011111 ) -#83072000 -0& -#83088000 -b10100010010 , -#83104000 -1& -#83120000 -b101100000101111010101010010111 " -b101100000101111010101010010111 4 -b11111111111111011010111111101111 1 -b11111111111111011010111111101111 C -b101011111111001 0 -b101011111111001 H -b110101111101011010000 < -b101100000101111010101010010111 2 -b101100000101111010101010010111 = -b101100000101111010101010010111 : -b101011111111001010111111000110 $ -b101011111111001010111111000110 - -b101011111111001010111111000110 5 -b101011111111001010111111000110 ? -b101011111111001010111111000110 D -b11111111111001010000010100101111 % -b11111111111001010000010100101111 . -b11111111111001010000010100101111 6 -b11111111111001010000010100101111 @ -b11111111111001010000010100101111 F -b101100000101111010101010010111 ) -#83136000 -0& -#83152000 -b10100010011 , -#83168000 -1& -#83184000 -b11100000111110111000011011011000 " -b11100000111110111000011011011000 4 -b1111111111111111000101111011011 1 -b1111111111111111000101111011011 C -b1011111111110110000100111011 0 -b1011111111110110000100111011 H -18 -b10000001000000000111110011111100 < -b11100000111110111000011011011000 2 -b11100000111110111000011011011000 = -b11100000111110111000011011011000 : -b1011111111110110000100111011011 $ -b1011111111110110000100111011011 - -b1011111111110110000100111011011 5 -b1011111111110110000100111011011 ? -b1011111111110110000100111011011 D -b1111110111111111000001100000011 % -b1111110111111111000001100000011 . -b1111110111111111000001100000011 6 -b1111110111111111000001100000011 @ -b1111110111111111000001100000011 F -b11100000111110111000011011011000 ) -#83200000 -0& -#83216000 -b10100010100 , -#83232000 -1& -#83248000 -b100101101010100011101111000100 " -b100101101010100011101111000100 4 -b1111110111011111111111111111110 1 -b1111110111011111111111111111110 C -b111001010 0 -b111001010 H -08 -b10110011000110100100110001001001 < -b100101101010100011101111000100 2 -b100101101010100011101111000100 = -b100101101010100011101111000100 : -b1110010100011111110111101111010 $ -b1110010100011111110111101111010 - -b1110010100011111110111101111010 5 -b1110010100011111110111101111010 ? -b1110010100011111110111101111010 D -b1001100111001011011001110110110 % -b1001100111001011011001110110110 . -b1001100111001011011001110110110 6 -b1001100111001011011001110110110 @ -b1001100111001011011001110110110 F -b100101101010100011101111000100 ) -#83264000 -0& -#83280000 -b10100010101 , -#83296000 -1& -#83312000 -b10000000100011101001001000000000 " -b10000000100011101001001000000000 4 -b11111111110111111011111011011110 1 -b11111111110111111011111011011110 C -b1 0 -b1 H -19 -08 -b1001100001101001100100001 < -b10000000100011101001001000000000 2 -b10000000100011101001001000000000 = -b10000000100011101001001000000000 : -b1111111010111011011111011011110 $ -b1111111010111011011111011011110 - -b1111111010111011011111011011110 5 -b1111111010111011011111011011110 ? -b1111111010111011011111011011110 D -b11111110110011110010110011011110 % -b11111110110011110010110011011110 . -b11111110110011110010110011011110 6 -b11111110110011110010110011011110 @ -b11111110110011110010110011011110 F -b10000000100011101001001000000000 ) -#83328000 -0& -#83344000 -b10100010110 , -#83360000 -1& -#83376000 -b11100100110000101000100011110100 " -b11100100110000101000100011110100 4 -b11111111111101111001101101110111 1 -b11111111111101111001101101110111 C -b1011110010110 0 -b1011110010110 H -18 -09 -b101000000011000111010110001100 < -b11100100110000101000100011110100 2 -b11100100110000101000100011110100 = -b11100100110000101000100011110100 : -b10111100101101100001001101100111 $ -b10111100101101100001001101100111 - -b10111100101101100001001101100111 5 -b10111100101101100001001101100111 ? -b10111100101101100001001101100111 D -b11010111111100111000101001110011 % -b11010111111100111000101001110011 . -b11010111111100111000101001110011 6 -b11010111111100111000101001110011 @ -b11010111111100111000101001110011 F -b11100100110000101000100011110100 ) -#83392000 -0& -#83408000 -b10100010111 , -#83424000 -1& -#83440000 -b11100000001111011000101 " -b11100000001111011000101 4 -b10110111111101100110011101111111 1 -b10110111111101100110011101111111 C -b101 0 -b101 H -08 -b1001001000010011011100010000010 < -b11100000001111011000101 2 -b11100000001111011000101 = -b11100000001111011000101 : -b10110111011001100110011001000010 $ -b10110111011001100110011001000010 - -b10110111011001100110011001000010 5 -b10110111011001100110011001000010 ? -b10110111011001100110011001000010 D -b10110110111101100100011101111101 % -b10110110111101100100011101111101 . -b10110110111101100100011101111101 6 -b10110110111101100100011101111101 @ -b10110110111101100100011101111101 F -b11100000001111011000101 ) -#83456000 -0& -#83472000 -b10100011000 , -#83488000 -1& -#83504000 -b1111111110111001000001001011110 " -b1111111110111001000001001011110 4 -b11111111111111011000111010101111 1 -b11111111111111011000111010101111 C -b111111111011001000 0 -b111111111011001000 H -08 -b110111100111010010 < -b1111111110111001000001001011110 2 -b1111111110111001000001001011110 = -b1111111110111001000001001011110 : -b1111111110110010000100010001011 $ -b1111111110110010000100010001011 - -b1111111110110010000100010001011 5 -b1111111110110010000100010001011 ? -b1111111110110010000100010001011 D -b11111111111111001000011000101101 % -b11111111111111001000011000101101 . -b11111111111111001000011000101101 6 -b11111111111111001000011000101101 @ -b11111111111111001000011000101101 F -b1111111110111001000001001011110 ) -#83520000 -0& -#83536000 -b10100011001 , -#83552000 -1& -#83568000 -b1100010111111011010000111100000 " -b1100010111111011010000111100000 4 -b11101111101111111111111111100100 1 -b11101111101111111111111111100100 C -b100111110111101100100011010 0 -b100111110111101100100011010 H -b10011010000000001000000111011 < -b1100010111111011010000111100000 2 -b1100010111111011010000111100000 = -b1100010111111011010000111100000 : -b1001111101111011001000110100100 $ -b1001111101111011001000110100100 - -b1001111101111011001000110100100 5 -b1001111101111011001000110100100 ? -b1001111101111011001000110100100 D -b11101100101111111110111111000100 % -b11101100101111111110111111000100 . -b11101100101111111110111111000100 6 -b11101100101111111110111111000100 @ -b11101100101111111110111111000100 F -b1100010111111011010000111100000 ) -#83584000 -0& -#83600000 -b10100011010 , -#83616000 -1& -#83632000 -b10010010110000000001100111110100 " -b10010010110000000001100111110100 4 -b11111111111110110111111111111100 1 -b11111111111110110111111111111100 C -b1111101 0 -b1111101 H -19 -08 -b10101010001001010110000000111 < -b10010010110000000001100111110100 2 -b10010010110000000001100111110100 = -b10010010110000000001100111110100 : -b1111101011110110110110111101100 $ -b1111101011110110110110111101100 - -b1111101011110110110110111101100 5 -b1111101011110110110110111101100 ? -b1111101011110110110110111101100 D -b11101010101110110101001111111000 % -b11101010101110110101001111111000 . -b11101010101110110101001111111000 6 -b11101010101110110101001111111000 @ -b11101010101110110101001111111000 F -b10010010110000000001100111110100 ) -#83648000 -0& -#83664000 -b10100011011 , -#83680000 -1& -#83696000 -b100100111101001100001000100000 " -b100100111101001100001000100000 4 -b11111111111111111100101011100111 1 -b11111111111111111100101011100111 C -b1111111110111110010010101 0 -b1111111110111110010010101 H -09 -08 -b100101001101100111011100111000 < -b100100111101001100001000100000 2 -b100100111101001100001000100000 = -b100100111101001100001000100000 : -b11111111101111100100101011100111 $ -b11111111101111100100101011100111 - -b11111111101111100100101011100111 5 -b11111111101111100100101011100111 ? -b11111111101111100100101011100111 D -b11011010110010011000100011000111 % -b11011010110010011000100011000111 . -b11011010110010011000100011000111 6 -b11011010110010011000100011000111 @ -b11011010110010011000100011000111 F -b100100111101001100001000100000 ) -#83712000 -0& -#83728000 -b10100011100 , -#83744000 -1& -#83760000 -b1100010101001000001100111000 " -b1100010101001000001100111000 4 -b11111111111111111011111111111000 1 -b11111111111111111011111111111000 C -b11111010 0 -b11111010 H -b10010000110000101000000000111 < -b1100010101001000001100111000 2 -b1100010101001000001100111000 = -b1100010101001000001100111000 : -b11111010001111000011001100110000 $ -b11111010001111000011001100110000 - -b11111010001111000011001100110000 5 -b11111010001111000011001100110000 ? -b11111010001111000011001100110000 D -b11101101111001111010111111111000 % -b11101101111001111010111111111000 . -b11101101111001111010111111111000 6 -b11101101111001111010111111111000 @ -b11101101111001111010111111111000 F -b1100010101001000001100111000 ) -#83776000 -0& -#83792000 -b10100011101 , -#83808000 -1& -#83824000 -b10010110100101101011110110011001 " -b10010110100101101011110110011001 4 -b11111101111111111111111011111101 1 -b11111101111111111111111011111101 C -b1111010010010101111110100111 0 -b1111010010010101111110100111 H -18 -b10100010000000001100001100011011 < -b10010110100101101011110110011001 2 -b10010110100101101011110110011001 = -b10010110100101101011110110011001 : -b11110100100101011111101001111101 $ -b11110100100101011111101001111101 - -b11110100100101011111101001111101 5 -b11110100100101011111101001111101 ? -b11110100100101011111101001111101 D -b1011101111111110011110011100100 % -b1011101111111110011110011100100 . -b1011101111111110011110011100100 6 -b1011101111111110011110011100100 @ -b1011101111111110011110011100100 F -b10010110100101101011110110011001 ) -#83840000 -0& -#83856000 -b10100011110 , -#83872000 -1& -#83888000 -b111110001110110110110001100 " -b111110001110110110110001100 4 -b11111110111111111011111110110110 1 -b11111110111111111011111110110110 C -b11111110011101101010011110 0 -b11111110011101101010011110 H -08 -b1001010100001100010111011001 < -b111110001110110110110001100 2 -b111110001110110110110001100 = -b111110001110110110110001100 : -b11111110011101101010011110110010 $ -b11111110011101101010011110110010 - -b11111110011101101010011110110010 5 -b11111110011101101010011110110010 ? -b11111110011101101010011110110010 D -b11110110101011110011101000100110 % -b11110110101011110011101000100110 . -b11110110101011110011101000100110 6 -b11110110101011110011101000100110 @ -b11110110101011110011101000100110 F -b111110001110110110110001100 ) -#83904000 -0& -#83920000 -b10100011111 , -#83936000 -1& -#83952000 -b10000100000001011011 " -b10000100000001011011 4 -b11101101111110111111011011111111 1 -b11101101111110111111011011111111 C -b11 0 -b11 H -08 -b10010000011001000100101100001 < -b10000100000001011011 2 -b10000100000001011011 = -b10000100000001011011 : -b11101101111110111011011011111001 $ -b11101101111110111011011011111001 - -b11101101111110111011011011111001 5 -b11101101111110111011011011111001 ? -b11101101111110111011011011111001 D -b11101101111100110111011010011110 % -b11101101111100110111011010011110 . -b11101101111100110111011010011110 6 -b11101101111100110111011010011110 @ -b11101101111100110111011010011110 F -b10000100000001011011 ) -#83968000 -0& -#83984000 -b10100100000 , -#84000000 -1& -#84016000 -b1001001101010100100010001111010 " -b1001001101010100100010001111010 4 -b11111111111111111111111111001111 1 -b11111111111111111111111111001111 C -b10100101100101111 0 -b10100101100101111 H -19 -18 -b10100100000100101000001000110000 < -b1001001101010100100010001111010 2 -b1001001101010100100010001111010 = -b1001001101010100100010001111010 : -b10100101100101111100001001001001 $ -b10100101100101111100001001001001 - -b10100101100101111100001001001001 5 -b10100101100101111100001001001001 ? -b10100101100101111100001001001001 D -b1011011111011010111110111001111 % -b1011011111011010111110111001111 . -b1011011111011010111110111001111 6 -b1011011111011010111110111001111 @ -b1011011111011010111110111001111 F -b1001001101010100100010001111010 ) -#84032000 -0& -#84048000 -b10100100001 , -#84064000 -1& -#84080000 -b1111110010000110010100010111 " -b1111110010000110010100010111 4 -b11111111111111111010011100101011 1 -b11111111111111111010011100101011 C -b111011111010011110000 0 -b111011111010011110000 H -09 -08 -b100000001000001101110111110100 < -b1111110010000110010100010111 2 -b1111110010000110010100010111 = -b1111110010000110010100010111 : -b11101111101001111000011100100010 $ -b11101111101001111000011100100010 - -b11101111101001111000011100100010 5 -b11101111101001111000011100100010 ? -b11101111101001111000011100100010 D -b11011111110111110010001000001011 % -b11011111110111110010001000001011 . -b11011111110111110010001000001011 6 -b11011111110111110010001000001011 @ -b11011111110111110010001000001011 F -b1111110010000110010100010111 ) -#84096000 -0& -#84112000 -b10100100010 , -#84128000 -1& -#84144000 -b1111101111010000111100100011001 " -b1111101111010000111100100011001 4 -b10101111111111111011101101011111 1 -b10101111111111111011101101011111 C -b1011011110011110101011010 0 -b1011011110011110101011010 H -b1010000000000001100110110111010 < -b1111101111010000111100100011001 2 -b1111101111010000111100100011001 = -b1111101111010000111100100011001 : -b101101111001111010101101011110 $ -b101101111001111010101101011110 - -b101101111001111010101101011110 5 -b101101111001111010101101011110 ? -b101101111001111010101101011110 D -b10101111111111110011001001000101 % -b10101111111111110011001001000101 . -b10101111111111110011001001000101 6 -b10101111111111110011001001000101 @ -b10101111111111110011001001000101 F -b1111101111010000111100100011001 ) -#84160000 -0& -#84176000 -b10100100011 , -#84192000 -1& -#84208000 -b1001011100110101001110110100010 " -b1001011100110101001110110100010 4 -b1111111011111111101111111101010 1 -b1111111011111111101111111101010 C -b11110110000110111011110 0 -b11110110000110111011110 H -09 -08 -b11010000100011001011111010110111 < -b1001011100110101001110110100010 2 -b1001011100110101001110110100010 = -b1001011100110101001110110100010 : -b1111011000011011101111011101010 $ -b1111011000011011101111011101010 - -b1111011000011011101111011101010 5 -b1111011000011011101111011101010 ? -b1111011000011011101111011101010 D -b101111011100110100000101001000 % -b101111011100110100000101001000 . -b101111011100110100000101001000 6 -b101111011100110100000101001000 @ -b101111011100110100000101001000 F -b1001011100110101001110110100010 ) -#84224000 -0& -#84240000 -b10100100100 , -#84256000 -1& -#84272000 -b11111000110011111110111110001001 " -b11111000110011111110111110001001 4 -b11111111111111111111100101111011 1 -b11111111111111111111100101111011 C -b111101 0 -b111101 H -18 -b110100000111011010000101 < -b11111000110011111110111110001001 2 -b11111000110011111110111110001001 = -b11111000110011111110111110001001 : -b11110111111111110111100100000011 $ -b11110111111111110111100100000011 - -b11110111111111110111100100000011 5 -b11110111111111110111100100000011 ? -b11110111111111110111100100000011 D -b11111111001011111000100101111010 % -b11111111001011111000100101111010 . -b11111111001011111000100101111010 6 -b11111111001011111000100101111010 @ -b11111111001011111000100101111010 F -b11111000110011111110111110001001 ) -#84288000 -0& -#84304000 -b10100100101 , -#84320000 -1& -#84336000 -b1011011000101111011000101000011 " -b1011011000101111011000101000011 4 -b11101111111111111101111111110101 1 -b11101111111111111101111111110101 C -b110010011110111 0 -b110010011110111 H -19 -18 -b10010001001010000110100010001110 < -b1011011000101111011000101000011 2 -b1011011000101111011000101000011 = -b1011011000101111011000101000011 : -b11001001111011110100100010110100 $ -b11001001111011110100100010110100 - -b11001001111011110100100010110100 5 -b11001001111011110100100010110100 ? -b11001001111011110100100010110100 D -b1101110110101111001011101110001 % -b1101110110101111001011101110001 . -b1101110110101111001011101110001 6 -b1101110110101111001011101110001 @ -b1101110110101111001011101110001 F -b1011011000101111011000101000011 ) -#84352000 -0& -#84368000 -b10100100110 , -#84384000 -1& -#84400000 -b11010010011010000000010100111100 " -b11010010011010000000010100111100 4 -b11111110111111111011110111001110 1 -b11111110111111111011110111001110 C -b111111001101111110 0 -b111111001101111110 H -09 -18 -b11010101100010000110001101110001 < -b11010010011010000000010100111100 2 -b11010010011010000000010100111100 = -b11010010011010000000010100111100 : -b11111100110111111010000111001010 $ -b11111100110111111010000111001010 - -b11111100110111111010000111001010 5 -b11111100110111111010000111001010 ? -b11111100110111111010000111001010 D -b101010011101111001110010001110 % -b101010011101111001110010001110 . -b101010011101111001110010001110 6 -b101010011101111001110010001110 @ -b101010011101111001110010001110 F -b11010010011010000000010100111100 ) -#84416000 -0& -#84432000 -b10100100111 , -#84448000 -1& -#84464000 -b1100110000000011011110111110 " -b1100110000000011011110111110 4 -b1110111110011111110101111111010 1 -b1110111110011111110101111111010 C -b11101 0 -b11101 H -08 -b10011000011100001001010011000101 < -b1100110000000011011110111110 2 -b1100110000000011011110111110 = -b1100110000000011011110111110 : -b1110100010011111010001011111000 $ -b1110100010011111010001011111000 - -b1110100010011111010001011111000 5 -b1110100010011111010001011111000 ? -b1110100010011111010001011111000 D -b1100111100011110110101100111010 % -b1100111100011110110101100111010 . -b1100111100011110110101100111010 6 -b1100111100011110110101100111010 @ -b1100111100011110110101100111010 F -b1100110000000011011110111110 ) -#84480000 -0& -#84496000 -b10100101000 , -#84512000 -1& -#84528000 -b11011010010110110100010000000111 " -b11011010010110110100010000000111 4 -b11111111111111111100011110111101 1 -b11111111111111111100011110111101 C -b11111001101 0 -b11111001101 H -18 -b11100000100111000111110001001010 < -b11011010010110110100010000000111 2 -b11011010010110110100010000000111 = -b11011010010110110100010000000111 : -b11111001101111101100011110111100 $ -b11111001101111101100011110111100 - -b11111001101111101100011110111100 5 -b11111001101111101100011110111100 ? -b11111001101111101100011110111100 D -b11111011000111000001110110101 % -b11111011000111000001110110101 . -b11111011000111000001110110101 6 -b11111011000111000001110110101 @ -b11111011000111000001110110101 F -b11011010010110110100010000000111 ) -#84544000 -0& -#84560000 -b10100101001 , -#84576000 -1& -#84592000 -b1111001111110111000100000001010 " -b1111001111110111000100000001010 4 -b11111111111011111101110111001111 1 -b11111111111011111101110111001111 C -b11110101111010110101110111001 0 -b11110101111010110101110111001 H -19 -18 -b10000100000100000010101000111100 < -b1111001111110111000100000001010 2 -b1111001111110111000100000001010 = -b1111001111110111000100000001010 : -b11110101111010110101110111001101 $ -b11110101111010110101110111001101 - -b11110101111010110101110111001101 5 -b11110101111010110101110111001101 ? -b11110101111010110101110111001101 D -b1111011111011111101010111000011 % -b1111011111011111101010111000011 . -b1111011111011111101010111000011 6 -b1111011111011111101010111000011 @ -b1111011111011111101010111000011 F -b1111001111110111000100000001010 ) -#84608000 -0& -#84624000 -b10100101010 , -#84640000 -1& -#84656000 -b1000100100001111001011000010101 " -b1000100100001111001011000010101 4 -b11101111111110111011101001111111 1 -b11101111111110111011101001111111 C -b10111111 0 -b10111111 H -09 -08 -b10100100011000110010111001001 < -b1000100100001111001011000010101 2 -b1000100100001111001011000010101 = -b1000100100001111001011000010101 : -b101111111110110011000001001011 $ -b101111111110110011000001001011 - -b101111111110110011000001001011 5 -b101111111110110011000001001011 ? -b101111111110110011000001001011 D -b11101011011100111001101000110110 % -b11101011011100111001101000110110 . -b11101011011100111001101000110110 6 -b11101011011100111001101000110110 @ -b11101011011100111001101000110110 F -b1000100100001111001011000010101 ) -#84672000 -0& -#84688000 -b10100101011 , -#84704000 -1& -#84720000 -b110111100001001111010101111 " -b110111100001001111010101111 4 -b11111111011111111111111111111111 1 -b11111111011111111111111111111111 C -b10000101010111101 0 -b10000101010111101 H -19 -18 -b10000001100100100000000000110000 < -b110111100001001111010101111 2 -b110111100001001111010101111 = -b110111100001001111010101111 : -b10000101010111101001111001111110 $ -b10000101010111101001111001111110 - -b10000101010111101001111001111110 5 -b10000101010111101001111001111110 ? -b10000101010111101001111001111110 D -b1111110011011011111111111001111 % -b1111110011011011111111111001111 . -b1111110011011011111111111001111 6 -b1111110011011011111111111001111 @ -b1111110011011011111111111001111 F -b110111100001001111010101111 ) -#84736000 -0& -#84752000 -b10100101100 , -#84768000 -1& -#84784000 -b11001101110010001010001111000000 " -b11001101110010001010001111000000 4 -b11111111111111111111111111010101 1 -b11111111111111111111111111010101 C -b10111101011 0 -b10111101011 H -09 -18 -b10000011000001100010000101010 < -b11001101110010001010001111000000 2 -b11001101110010001010001111000000 = -b11001101110010001010001111000000 : -b10111101011001111101111110010101 $ -b10111101011001111101111110010101 - -b10111101011001111101111110010101 5 -b10111101011001111101111110010101 ? -b10111101011001111101111110010101 D -b11101111100111110011101111010101 % -b11101111100111110011101111010101 . -b11101111100111110011101111010101 6 -b11101111100111110011101111010101 @ -b11101111100111110011101111010101 F -b11001101110010001010001111000000 ) -#84800000 -0& -#84816000 -b10100101101 , -#84832000 -1& -#84848000 -b11110011100101000111111101010010 " -b11110011100101000111111101010010 4 -b11111111111111111010011111101111 1 -b11111111111111111010011111101111 C -b1111111011111110 0 -b1111111011111110 H -08 -19 -b1110100000101010101101110010000 < -b11110011100101000111111101010010 2 -b11110011100101000111111101010010 = -b11110011100101000111111101010010 : -b1111111011111110010001111000001 $ -b1111111011111110010001111000001 - -b1111111011111110010001111000001 5 -b1111111011111110010001111000001 ? -b1111111011111110010001111000001 D -b10001011111010101010010001101111 % -b10001011111010101010010001101111 . -b10001011111010101010010001101111 6 -b10001011111010101010010001101111 @ -b10001011111010101010010001101111 F -b11110011100101000111111101010010 ) -#84864000 -0& -#84880000 -b10100101110 , -#84896000 -1& -#84912000 -b1111111100101000010001100011100 " -b1111111100101000010001100011100 4 -b11111101111111111101111111111101 1 -b11111101111111111101111111111101 C -b11111011001001 0 -b11111011001001 H -09 -08 -b10000000000110000100101110 < -b1111111100101000010001100011100 2 -b1111111100101000010001100011100 = -b1111111100101000010001100011100 : -b1111101100100111100000111101101 $ -b1111101100100111100000111101101 - -b1111101100100111100000111101101 5 -b1111101100100111100000111101101 ? -b1111101100100111100000111101101 D -b11111101111111111001111011010001 % -b11111101111111111001111011010001 . -b11111101111111111001111011010001 6 -b11111101111111111001111011010001 @ -b11111101111111111001111011010001 F -b1111111100101000010001100011100 ) -#84928000 -0& -#84944000 -b10100101111 , -#84960000 -1& -#84976000 -b1111100110000001111001010001100 " -b1111100110000001111001010001100 4 -b11111111111110111111110110001111 1 -b11111111111110111111110110001111 C -b11111011111110111110100000001 0 -b11111011111110111110100000001 H -19 -18 -b10000000110001010000101001111100 < -b1111100110000001111001010001100 2 -b1111100110000001111001010001100 = -b1111100110000001111001010001100 : -b11111011111110111110100000001111 $ -b11111011111110111110100000001111 - -b11111011111110111110100000001111 5 -b11111011111110111110100000001111 ? -b11111011111110111110100000001111 D -b1111111001110101111010110000011 % -b1111111001110101111010110000011 . -b1111111001110101111010110000011 6 -b1111111001110101111010110000011 @ -b1111111001110101111010110000011 F -b1111100110000001111001010001100 ) -#84992000 -0& -#85008000 -b10100110000 , -#85024000 -1& -#85040000 -b1001000110101100011100 " -b1001000110101100011100 4 -b11111111111111111111110101100111 1 -b11111111111111111111110101100111 C -b1111111111111111001111000 0 -b1111111111111111001111000 H -09 -08 -b1001010010111011011000 < -b1001000110101100011100 2 -b1001000110101100011100 = -b1001000110101100011100 : -b11111111111111110011110001000011 $ -b11111111111111110011110001000011 - -b11111111111111110011110001000011 5 -b11111111111111110011110001000011 ? -b11111111111111110011110001000011 D -b11111111110110101101000100100111 % -b11111111110110101101000100100111 . -b11111111110110101101000100100111 6 -b11111111110110101101000100100111 @ -b11111111110110101101000100100111 F -b1001000110101100011100 ) -#85056000 -0& -#85072000 -b10100110001 , -#85088000 -1& -#85104000 -b11011011111010100001111001101000 " -b11011011111010100001111001101000 4 -b11111111111111111111100110111011 1 -b11111111111111111111100110111011 C -b111101110111010111011 0 -b111101110111010111011 H -18 -b11100100011101000100011001010100 < -b11011011111010100001111001101000 2 -b11011011111010100001111001101000 = -b11011011111010100001111001101000 : -b11110111011101011101100000010011 $ -b11110111011101011101100000010011 - -b11110111011101011101100000010011 5 -b11110111011101011101100000010011 ? -b11110111011101011101100000010011 D -b11011100010111011100110101011 % -b11011100010111011100110101011 . -b11011100010111011100110101011 6 -b11011100010111011100110101011 @ -b11011100010111011100110101011 F -b11011011111010100001111001101000 ) -#85120000 -0& -#85136000 -b10100110010 , -#85152000 -1& -#85168000 -b11100111111100010101000100011011 " -b11100111111100010101000100011011 4 -b11111110111111111101111111111111 1 -b11111110111111111101111111111111 C -b110111101110 0 -b110111101110 H -b1001000000010111010000101011 < -b11100111111100010101000100011011 2 -b11100111111100010101000100011011 = -b11100111111100010101000100011011 : -b11011110111011111101110011101111 $ -b11011110111011111101110011101111 - -b11011110111011111101110011101111 5 -b11011110111011111101110011101111 ? -b11011110111011111101110011101111 D -b11110110111111101000101111010100 % -b11110110111111101000101111010100 . -b11110110111111101000101111010100 6 -b11110110111111101000101111010100 @ -b11110110111111101000101111010100 F -b11100111111100010101000100011011 ) -#85184000 -0& -#85200000 -b10100110011 , -#85216000 -1& -#85232000 -b10110010100101110101010100011100 " -b10110010100101110101010100011100 4 -b11111111111010111111111100100111 1 -b11111111111010111111111100100111 C -b111111111000010110100110 0 -b111111111000010110100110 H -08 -19 -b110010110101001000000111111000 < -b10110010100101110101010100011100 2 -b10110010100101110101010100011100 = -b10110010100101110101010100011100 : -b1111111110000101101001100100011 $ -b1111111110000101101001100100011 - -b1111111110000101101001100100011 5 -b1111111110000101101001100100011 ? -b1111111110000101101001100100011 D -b11001101001010110111111000000111 % -b11001101001010110111111000000111 . -b11001101001010110111111000000111 6 -b11001101001010110111111000000111 @ -b11001101001010110111111000000111 F -b10110010100101110101010100011100 ) -#85248000 -0& -#85264000 -b10100110100 , -#85280000 -1& -#85296000 -b1011100011010110001101111000000 " -b1011100011010110001101111000000 4 -b10111111111111111110110011101001 1 -b10111111111111111110110011101001 C -b11011111111110000100 0 -b11011111111110000100 H -09 -08 -b1000000011011000001001100010110 < -b1011100011010110001101111000000 2 -b1011100011010110001101111000000 = -b1011100011010110001101111000000 : -b11011111111110000100010101001 $ -b11011111111110000100010101001 - -b11011111111110000100010101001 5 -b11011111111110000100010101001 ? -b11011111111110000100010101001 D -b10111111100100111110110011101001 % -b10111111100100111110110011101001 . -b10111111100100111110110011101001 6 -b10111111100100111110110011101001 @ -b10111111100100111110110011101001 F -b1011100011010110001101111000000 ) -#85312000 -0& -#85328000 -b10100110101 , -#85344000 -1& -#85360000 -b11011110100111110100110001111111 " -b11011110100111110100110001111111 4 -b11111111101110111101110111100001 1 -b11111111101110111101110111100001 C -b1101011110111010100101011110000 0 -b1101011110111010100101011110000 H -18 -09 -b110111001001011011010011110 < -b11011110100111110100110001111111 2 -b11011110100111110100110001111111 = -b11011110100111110100110001111111 : -b11010111101110101001010111100000 $ -b11010111101110101001010111100000 - -b11010111101110101001010111100000 5 -b11010111101110101001010111100000 ? -b11010111101110101001010111100000 D -b11111001000110110100100101100001 % -b11111001000110110100100101100001 . -b11111001000110110100100101100001 6 -b11111001000110110100100101100001 @ -b11111001000110110100100101100001 F -b11011110100111110100110001111111 ) -#85376000 -0& -#85392000 -b10100110110 , -#85408000 -1& -#85424000 -b100000001100101001000111010 " -b100000001100101001000111010 4 -b11011111111110111011101101101111 1 -b11011111111110111011101101101111 C -b1101111111111001000 0 -b1101111111111001000 H -08 -b100100000011010100011011010010 < -b100000001100101001000111010 2 -b100000001100101001000111010 = -b100000001100101001000111010 : -b11011111111110010000101101100111 $ -b11011111111110010000101101100111 - -b11011111111110010000101101100111 5 -b11011111111110010000101101100111 ? -b11011111111110010000101101100111 D -b11011011111100101011100100101101 % -b11011011111100101011100100101101 . -b11011011111100101011100100101101 6 -b11011011111100101011100100101101 @ -b11011011111100101011100100101101 F -b100000001100101001000111010 ) -#85440000 -0& -#85456000 -b10100110111 , -#85472000 -1& -#85488000 -b11010100011100011100111 " -b11010100011100011100111 4 -b1111111101111101111111111111001 1 -b1111111101111101111111111111001 C -b11111111001111 0 -b11111111001111 H -08 -b10000000110010110100010000101110 < -b11010100011100011100111 2 -b11010100011100011100111 = -b11010100011100011100111 : -b1111111100111101111010010111000 $ -b1111111100111101111010010111000 - -b1111111100111101111010010111000 5 -b1111111100111101111010010111000 ? -b1111111100111101111010010111000 D -b1111111001101001011101111010001 % -b1111111001101001011101111010001 . -b1111111001101001011101111010001 6 -b1111111001101001011101111010001 @ -b1111111001101001011101111010001 F -b11010100011100011100111 ) -#85504000 -0& -#85520000 -b10100111000 , -#85536000 -1& -#85552000 -b1001001111001111000100011100001 " -b1001001111001111000100011100001 4 -b11111111111111111011100101100111 1 -b11111111111111111011100101100111 C -b111111111101111100100001010 0 -b111111111101111100100001010 H -08 -b1001010000010000110011110011010 < -b1001001111001111000100011100001 2 -b1001001111001111000100011100001 = -b1001001111001111000100011100001 : -b11111111110111110010000101000110 $ -b11111111110111110010000101000110 - -b11111111110111110010000101000110 5 -b11111111110111110010000101000110 ? -b11111111110111110010000101000110 D -b10110101111101111001100001100101 % -b10110101111101111001100001100101 . -b10110101111101111001100001100101 6 -b10110101111101111001100001100101 @ -b10110101111101111001100001100101 F -b1001001111001111000100011100001 ) -#85568000 -0& -#85584000 -b10100111001 , -#85600000 -1& -#85616000 -b11000101111010110010011001100000 " -b11000101111010110010011001100000 4 -b1111111111111110010111110101100 1 -b1111111111111110010111110101100 C -b111101111010100010 0 -b111101111010100010 H -18 -b10001000000000001111100001010011 < -b11000101111010110010011001100000 2 -b11000101111010110010011001100000 = -b11000101111010110010011001100000 : -b111101111010100010111000001100 $ -b111101111010100010111000001100 - -b111101111010100010111000001100 5 -b111101111010100010111000001100 ? -b111101111010100010111000001100 D -b1110111111111110000011110101100 % -b1110111111111110000011110101100 . -b1110111111111110000011110101100 6 -b1110111111111110000011110101100 @ -b1110111111111110000011110101100 F -b11000101111010110010011001100000 ) -#85632000 -0& -#85648000 -b10100111010 , -#85664000 -1& -#85680000 -b110010111110001111011001010 " -b110010111110001111011001010 4 -b11111111111111111110111101110110 1 -b11111111111111111110111101110110 C -b11111110010111110000101100 0 -b11111110010111110000101100 H -08 -b1000000000000001001110011001 < -b110010111110001111011001010 2 -b110010111110001111011001010 = -b110010111110001111011001010 : -b11111110010111110000101100110000 $ -b11111110010111110000101100110000 - -b11111110010111110000101100110000 5 -b11111110010111110000101100110000 ? -b11111110010111110000101100110000 D -b11110111111111111110110001100110 % -b11110111111111111110110001100110 . -b11110111111111111110110001100110 6 -b11110111111111111110110001100110 @ -b11110111111111111110110001100110 F -b110010111110001111011001010 ) -#85696000 -0& -#85712000 -b10100111011 , -#85728000 -1& -#85744000 -b1000000001100001101111110111011 " -b1000000001100001101111110111011 4 -b1111111111111110110101111111101 1 -b1111111111111110110101111111101 C -b111111111101111010010101111110 0 -b111111111101111010010101111110 H -08 -b11000000010000011001010010111110 < -b1000000001100001101111110111011 2 -b1000000001100001101111110111011 = -b1000000001100001101111110111011 : -b1111111111011110100101011111100 $ -b1111111111011110100101011111100 - -b1111111111011110100101011111100 5 -b1111111111011110100101011111100 ? -b1111111111011110100101011111100 D -b111111101111100110101101000001 % -b111111101111100110101101000001 . -b111111101111100110101101000001 6 -b111111101111100110101101000001 @ -b111111101111100110101101000001 F -b1000000001100001101111110111011 ) -#85760000 -0& -#85776000 -b10100111100 , -#85792000 -1& -#85808000 -b1010110101011111000101000111100 " -b1010110101011111000101000111100 4 -b11111111111111111011101001111110 1 -b11111111111111111011101001111110 C -b10 0 -b10 H -18 -19 -b10100000000000000101011111000001 < -b1010110101011111000101000111100 2 -b1010110101011111000101000111100 = -b1010110101011111000101000111100 : -b10110110101011110011001001111010 $ -b10110110101011110011001001111010 - -b10110110101011110011001001111010 5 -b10110110101011110011001001111010 ? -b10110110101011110011001001111010 D -b1011111111111111010100000111110 % -b1011111111111111010100000111110 . -b1011111111111111010100000111110 6 -b1011111111111111010100000111110 @ -b1011111111111111010100000111110 F -b1010110101011111000101000111100 ) -#85824000 -0& -#85840000 -b10100111101 , -#85856000 -1& -#85872000 -b11000000101100001000111010110000 " -b11000000101100001000111010110000 4 -b11111111111111111111011111111001 1 -b11111111111111111111011111111001 C -b1011111 0 -b1011111 H -09 -18 -b1000000100010100000000110 < -b11000000101100001000111010110000 2 -b11000000101100001000111010110000 = -b11000000101100001000111010110000 : -b10111111101011100110011010101001 $ -b10111111101011100110011010101001 - -b10111111101011100110011010101001 5 -b10111111101011100110011010101001 ? -b10111111101011100110011010101001 D -b11111110111111011101011111111001 % -b11111110111111011101011111111001 . -b11111110111111011101011111111001 6 -b11111110111111011101011111111001 @ -b11111110111111011101011111111001 F -b11000000101100001000111010110000 ) -#85888000 -0& -#85904000 -b10100111110 , -#85920000 -1& -#85936000 -b11100000101111111111001010001101 " -b11100000101111111111001010001101 4 -b1111111111110110111011101111111 1 -b1111111111110110111011101111111 C -b1 0 -b1 H -18 -b10100000110001001011110110000010 < -b11100000101111111111001010001101 2 -b11100000101111111111001010001101 = -b11100000101111111111001010001101 : -b111111111110110011010100001010 $ -b111111111110110011010100001010 - -b111111111110110011010100001010 5 -b111111111110110011010100001010 ? -b111111111110110011010100001010 D -b1011111001110110100001001111101 % -b1011111001110110100001001111101 . -b1011111001110110100001001111101 6 -b1011111001110110100001001111101 @ -b1011111001110110100001001111101 F -b11100000101111111111001010001101 ) -#85952000 -0& -#85968000 -b10100111111 , -#85984000 -1& -#86000000 -b1100001010001001100110000001011 " -b1100001010001001100110000001011 4 -b11111111111111111101111101110111 1 -b11111111111111111101111101110111 C -b111100111111111001011101 0 -b111100111111111001011101 H -08 -b100100010001010011010010011001 < -b1100001010001001100110000001011 2 -b1100001010001001100110000001011 = -b1100001010001001100110000001011 : -b111100111111111001011101110001 $ -b111100111111111001011101110001 - -b111100111111111001011101110001 5 -b111100111111111001011101110001 ? -b111100111111111001011101110001 D -b11011011101110101100101101100110 % -b11011011101110101100101101100110 . -b11011011101110101100101101100110 6 -b11011011101110101100101101100110 @ -b11011011101110101100101101100110 F -b1100001010001001100110000001011 ) -#86016000 -0& -#86032000 -b10101000000 , -#86048000 -1& -#86064000 -b100000000001111111011000110101 " -b100000000001111111011000110101 4 -b10111111111011110001011001111111 1 -b10111111111011110001011001111111 C -b1011111111101111000001100 0 -b1011111111101111000001100 H -08 -b1100000000110001110111111011000 < -b100000000001111111011000110101 2 -b100000000001111111011000110101 = -b100000000001111111011000110101 : -b10111111111011110000011001011100 $ -b10111111111011110000011001011100 - -b10111111111011110000011001011100 5 -b10111111111011110000011001011100 ? -b10111111111011110000011001011100 D -b10011111111001110001000000100111 % -b10011111111001110001000000100111 . -b10011111111001110001000000100111 6 -b10011111111001110001000000100111 @ -b10011111111001110001000000100111 F -b100000000001111111011000110101 ) -#86080000 -0& -#86096000 -b10101000001 , -#86112000 -1& -#86128000 -b10111101010100111101001001111001 " -b10111101010100111101001001111001 4 -b11100111011111110011110111101011 1 -b11100111011111110011110111101011 C -b1010001001101011000100 0 -b1010001001101011000100 H -18 -b11010111010001100001000010101 < -b10111101010100111101001001111001 2 -b10111101010100111101001001111001 = -b10111101010100111101001001111001 : -b10100010011010110001000001100011 $ -b10100010011010110001000001100011 - -b10100010011010110001000001100011 5 -b10100010011010110001000001100011 ? -b10100010011010110001000001100011 D -b11100101000101110011110111101010 % -b11100101000101110011110111101010 . -b11100101000101110011110111101010 6 -b11100101000101110011110111101010 @ -b11100101000101110011110111101010 F -b10111101010100111101001001111001 ) -#86144000 -0& -#86160000 -b10101000010 , -#86176000 -1& -#86192000 -b1110011000001011010100000110111 " -b1110011000001011010100000110111 4 -b11111111111111100110101111011101 1 -b11111111111111100110101111011101 C -b111 0 -b111 H -19 -18 -b10000100000000111001110100100010 < -b1110011000001011010100000110111 2 -b1110011000001011010100000110111 = -b1110011000001011010100000110111 : -b11101111000000100000101100010100 $ -b11101111000000100000101100010100 - -b11101111000000100000101100010100 5 -b11101111000000100000101100010100 ? -b11101111000000100000101100010100 D -b1111011111111000110001011011101 % -b1111011111111000110001011011101 . -b1111011111111000110001011011101 6 -b1111011111111000110001011011101 @ -b1111011111111000110001011011101 F -b1110011000001011010100000110111 ) -#86208000 -0& -#86224000 -b10101000011 , -#86240000 -1& -#86256000 -b1011111110001101101001000001011 " -b1011111110001101101001000001011 4 -b11110011111110111111001111011101 1 -b11110011111110111111001111011101 C -b1101001110111010101 0 -b1101001110111010101 H -b10001100000011000001111000110010 < -b1011111110001101101001000001011 2 -b1011111110001101101001000001011 = -b1011111110001101101001000001011 : -b11010011101110101011001111011000 $ -b11010011101110101011001111011000 - -b11010011101110101011001111011000 5 -b11010011101110101011001111011000 ? -b11010011101110101011001111011000 D -b1110011111100111110000111001101 % -b1110011111100111110000111001101 . -b1110011111100111110000111001101 6 -b1110011111100111110000111001101 @ -b1110011111100111110000111001101 F -b1011111110001101101001000001011 ) -#86272000 -0& -#86288000 -b10101000100 , -#86304000 -1& -#86320000 -b11011100011101000001011000011111 " -b11011100011101000001011000011111 4 -b11111111111111010101011001101001 1 -b11111111111111010101011001101001 C -b11011011110111010101011 0 -b11011011110111010101011 H -09 -18 -b100101101011111110110110 < -b11011100011101000001011000011111 2 -b11011100011101000001011000011111 = -b11011100011101000001011000011111 : -b11011011110111010101011001101000 $ -b11011011110111010101011001101000 - -b11011011110111010101011001101000 5 -b11011011110111010101011001101000 ? -b11011011110111010101011001101000 D -b11111111011010010100000001001001 % -b11111111011010010100000001001001 . -b11111111011010010100000001001001 6 -b11111111011010010100000001001001 @ -b11111111011010010100000001001001 F -b11011100011101000001011000011111 ) -#86336000 -0& -#86352000 -b10101000101 , -#86368000 -1& -#86384000 -b11101000001111111110000001010111 " -b11101000001111111110000001010111 4 -b11111111111111110111111111111101 1 -b11111111111111110111111111111101 C -b111 0 -b111 H -b11110000010000001000001000000010 < -b11101000001111111110000001010111 2 -b11101000001111111110000001010111 = -b11101000001111111110000001010111 : -b11110111111111110101111001010100 $ -b11110111111111110101111001010100 - -b11110111111111110101111001010100 5 -b11110111111111110101111001010100 ? -b11110111111111110101111001010100 D -b1111101111110111110111111101 % -b1111101111110111110111111101 . -b1111101111110111110111111101 6 -b1111101111110111110111111101 @ -b1111101111110111110111111101 F -b11101000001111111110000001010111 ) -#86400000 -0& -#86416000 -b10101000110 , -#86432000 -1& -#86448000 -b10101000000100011110010111101010 " -b10101000000100011110010111101010 4 -b11111011011111111110111111111010 1 -b11111011011111111110111111111010 C -b111101101001111 0 -b111101101001111 H -19 -08 -b101100110000100011100000001111 < -b10101000000100011110010111101010 2 -b10101000000100011110010111101010 = -b10101000000100011110010111101010 : -b1111011010011111010110111011010 $ -b1111011010011111010110111011010 - -b1111011010011111010110111011010 5 -b1111011010011111010110111011010 ? -b1111011010011111010110111011010 D -b11010011001111011100011111110000 % -b11010011001111011100011111110000 . -b11010011001111011100011111110000 6 -b11010011001111011100011111110000 @ -b11010011001111011100011111110000 F -b10101000000100011110010111101010 ) -#86464000 -0& -#86480000 -b10101000111 , -#86496000 -1& -#86512000 -b10100011011111111101001010000010 " -b10100011011111111101001010000010 4 -b11110111111111110111111110111110 1 -b11110111111111110111111110111110 C -b1 0 -b1 H -b101011100000001000100011100001 < -b10100011011111111101001010000010 2 -b10100011011111111101001010000010 = -b10100011011111111101001010000010 : -b1110111111111110100100110100000 $ -b1110111111111110100100110100000 - -b1110111111111110100100110100000 5 -b1110111111111110100100110100000 ? -b1110111111111110100100110100000 D -b11010100011111110111011100011110 % -b11010100011111110111011100011110 . -b11010100011111110111011100011110 6 -b11010100011111110111011100011110 @ -b11010100011111110111011100011110 F -b10100011011111111101001010000010 ) -#86528000 -0& -#86544000 -b10101001000 , -#86560000 -1& -#86576000 -b1110100100010100011000000001010 " -b1110100100010100011000000001010 4 -b11110101101111110111011000011110 1 -b11110101101111110111011000011110 C -b1010101101110 0 -b1010101101110 H -09 -08 -b11110110100001100100111101101 < -b1110100100010100011000000001010 2 -b1110100100010100011000000001010 = -b1110100100010100011000000001010 : -b1010101101110010110011000011100 $ -b1010101101110010110011000011100 - -b1010101101110010110011000011100 5 -b1010101101110010110011000011100 ? -b1010101101110010110011000011100 D -b11100001001011110011011000010010 % -b11100001001011110011011000010010 . -b11100001001011110011011000010010 6 -b11100001001011110011011000010010 @ -b11100001001011110011011000010010 F -b1110100100010100011000000001010 ) -#86592000 -0& -#86608000 -b10101001001 , -#86624000 -1& -#86640000 -b101111100001001100000011100011 " -b101111100001001100000011100011 4 -b11111111111111010101011100110111 1 -b11111111111111010101011100110111 C -b1110111101111 0 -b1110111101111 H -08 -09 -b1000000000001111010100111001100 < -b101111100001001100000011100011 2 -b101111100001001100000011100011 = -b101111100001001100000011100011 : -b11101111011111010001011100010110 $ -b11101111011111010001011100010110 - -b11101111011111010001011100010110 5 -b11101111011111010001011100010110 ? -b11101111011111010001011100010110 D -b10111111111110000101011000110011 % -b10111111111110000101011000110011 . -b10111111111110000101011000110011 6 -b10111111111110000101011000110011 @ -b10111111111110000101011000110011 F -b101111100001001100000011100011 ) -#86656000 -0& -#86672000 -b10101001010 , -#86688000 -1& -#86704000 -b1111110100000110101010010100001 " -b1111110100000110101010010100001 4 -b11111111111111111011011011111111 1 -b11111111111111111011011011111111 C -b11111100 0 -b11111100 H -08 -b111100110111001000 < -b1111110100000110101010010100001 2 -b1111110100000110101010010100001 = -b1111110100000110101010010100001 : -b1111110011111111000011011011000 $ -b1111110011111111000011011011000 - -b1111110011111111000011011011000 5 -b1111110011111111000011011011000 ? -b1111110011111111000011011011000 D -b11111111111111000011001000110111 % -b11111111111111000011001000110111 . -b11111111111111000011001000110111 6 -b11111111111111000011001000110111 @ -b11111111111111000011001000110111 F -b1111110100000110101010010100001 ) -#86720000 -0& -#86736000 -b10101001011 , -#86752000 -1& -#86768000 -b100001100011101100011110000 " -b100001100011101100011110000 4 -b11111101111111110010011111111000 1 -b11111101111111110010011111111000 C -b111111010010111100000000 0 -b111111010010111100000000 H -08 -b111000000101101100000010111 < -b100001100011101100011110000 2 -b100001100011101100011110000 = -b100001100011101100011110000 : -b11111101001011110000000011011000 $ -b11111101001011110000000011011000 - -b11111101001011110000000011011000 5 -b11111101001011110000000011011000 ? -b11111101001011110000000011011000 D -b11111000111111010010011111101000 % -b11111000111111010010011111101000 . -b11111000111111010010011111101000 6 -b11111000111111010010011111101000 @ -b11111000111111010010011111101000 F -b100001100011101100011110000 ) -#86784000 -0& -#86800000 -b10101001100 , -#86816000 -1& -#86832000 -b1000001111111010100011110101001 " -b1000001111111010100011110101001 4 -b11111111111111111100111011111011 1 -b11111111111111111100111011111011 C -b11111111111011110011 0 -b11111111111011110011 H -b10000000010111100100110101 < -b1000001111111010100011110101001 2 -b1000001111111010100011110101001 = -b1000001111111010100011110101001 : -b111111111110111100111001110011 $ -b111111111110111100111001110011 - -b111111111110111100111001110011 5 -b111111111110111100111001110011 ? -b111111111110111100111001110011 D -b11111101111111101000011011001010 % -b11111101111111101000011011001010 . -b11111101111111101000011011001010 6 -b11111101111111101000011011001010 @ -b11111101111111101000011011001010 F -b1000001111111010100011110101001 ) -#86848000 -0& -#86864000 -b10101001101 , -#86880000 -1& -#86896000 -b1011100100100000100100000011100 " -b1011100100100000100100000011100 4 -b11110111111111111100110010101110 1 -b11110111111111111100110010101110 C -b101010001111111100011 0 -b101010001111111100011 H -b1000000100001011101101110101 < -b1011100100100000100100000011100 2 -b1011100100100000100100000011100 = -b1011100100100000100100000011100 : -b1010100011111111000110010100110 $ -b1010100011111111000110010100110 - -b1010100011111111000110010100110 5 -b1010100011111111000110010100110 ? -b1010100011111111000110010100110 D -b11110111111011110100010010001010 % -b11110111111011110100010010001010 . -b11110111111011110100010010001010 6 -b11110111111011110100010010001010 @ -b11110111111011110100010010001010 F -b1011100100100000100100000011100 ) -#86912000 -0& -#86928000 -b10101001110 , -#86944000 -1& -#86960000 -b11110011111000110011111001110 " -b11110011111000110011111001110 4 -b11111111111111111011110101111110 1 -b11111111111111111011110101111110 C -b11111110 0 -b11111110 H -08 -09 -b100000000000001100001010000111 < -b11110011111000110011111001110 2 -b11110011111000110011111001110 = -b11110011111000110011111001110 : -b11111110011110111010010101000110 $ -b11111110011110111010010101000110 - -b11111110011110111010010101000110 5 -b11111110011110111010010101000110 ? -b11111110011110111010010101000110 D -b11011111111111110011110101111000 % -b11011111111111110011110101111000 . -b11011111111111110011110101111000 6 -b11011111111111110011110101111000 @ -b11011111111111110011110101111000 F -b11110011111000110011111001110 ) -#86976000 -0& -#86992000 -b10101001111 , -#87008000 -1& -#87024000 -b10001001011110000010000110001 " -b10001001011110000010000110001 4 -b11111111111111111111111011111111 1 -b11111111111111111111111011111111 C -b1111111011100111110 0 -b1111111011100111110 H -b10010010001110100000100110010 < -b10001001011110000010000110001 2 -b10001001011110000010000110001 = -b10001001011110000010000110001 : -b11111110111001111100001011111110 $ -b11111110111001111100001011111110 - -b11111110111001111100001011111110 5 -b11111110111001111100001011111110 ? -b11111110111001111100001011111110 D -b11101101101110001011111011001101 % -b11101101101110001011111011001101 . -b11101101101110001011111011001101 6 -b11101101101110001011111011001101 @ -b11101101101110001011111011001101 F -b10001001011110000010000110001 ) -#87040000 -0& -#87056000 -b10101010000 , -#87072000 -1& -#87088000 -b1101111111011111010110100010111 " -b1101111111011111010110100010111 4 -b10111110111111111101011111011111 1 -b10111110111111111101011111011111 C -b10101110110111110100010011 0 -b10101110110111110100010011 H -19 -18 -b11000001000100000110100000111001 < -b1101111111011111010110100010111 2 -b1101111111011111010110100010111 = -b1101111111011111010110100010111 : -b10101110110111110100010011011101 $ -b10101110110111110100010011011101 - -b10101110110111110100010011011101 5 -b10101110110111110100010011011101 ? -b10101110110111110100010011011101 D -b111110111011111001011111000110 % -b111110111011111001011111000110 . -b111110111011111001011111000110 6 -b111110111011111001011111000110 @ -b111110111011111001011111000110 F -b1101111111011111010110100010111 ) -#87104000 -0& -#87120000 -b10101010001 , -#87136000 -1& -#87152000 -b1101110110101000111100 " -b1101110110101000111100 4 -b1111110111111111110111011001110 1 -b1111110111111111110111011001110 C -b11111101111011101 0 -b11111101111011101 H -08 -09 -b10000001010000000001110101110001 < -b1101110110101000111100 2 -b1101110110101000111100 = -b1101110110101000111100 : -b1111110111101110100110011001010 $ -b1111110111101110100110011001010 - -b1111110111101110100110011001010 5 -b1111110111101110100110011001010 ? -b1111110111101110100110011001010 D -b1111110101111111110001010001110 % -b1111110101111111110001010001110 . -b1111110101111111110001010001110 6 -b1111110101111111110001010001110 @ -b1111110101111111110001010001110 F -b1101110110101000111100 ) -#87168000 -0& -#87184000 -b10101010010 , -#87200000 -1& -#87216000 -b1111111001010100010010111001101 " -b1111111001010100010010111001101 4 -b11111111111111110011111010110011 1 -b11111111111111110011111010110011 C -b1111111011101 0 -b1111111011101 H -19 -18 -b10000000010000001110011101001100 < -b1111111001010100010010111001101 2 -b1111111001010100010010111001101 = -b1111111001010100010010111001101 : -b11111110111010010011111010000000 $ -b11111110111010010011111010000000 - -b11111110111010010011111010000000 5 -b11111110111010010011111010000000 ? -b11111110111010010011111010000000 D -b1111111101111110001100010110011 % -b1111111101111110001100010110011 . -b1111111101111110001100010110011 6 -b1111111101111110001100010110011 @ -b1111111101111110001100010110011 F -b1111111001010100010010111001101 ) -#87232000 -0& -#87248000 -b10101010011 , -#87264000 -1& -#87280000 -b10011101101010011000111110011011 " -b10011101101010011000111110011011 4 -b10111110111111101111010010111111 1 -b10111110111111101111010010111111 C -b1011110001111 0 -b1011110001111 H -09 -18 -b11100001001010110010101101101100 < -b10011101101010011000111110011011 2 -b10011101101010011000111110011011 = -b10011101101010011000111110011011 : -b10111100011111100110010000101110 $ -b10111100011111100110010000101110 - -b10111100011111100110010000101110 5 -b10111100011111100110010000101110 ? -b10111100011111100110010000101110 D -b11110110101001101010010010011 % -b11110110101001101010010010011 . -b11110110101001101010010010011 6 -b11110110101001101010010010011 @ -b11110110101001101010010010011 F -b10011101101010011000111110011011 ) -#87296000 -0& -#87312000 -b10101010100 , -#87328000 -1& -#87344000 -b111001111111001010101011001111 " -b111001111111001010101011001111 4 -b11011111110111101111111111011111 1 -b11011111110111101111111111011111 C -b101111101101011 0 -b101111101101011 H -08 -09 -b100010001000011101000100110001 < -b111001111111001010101011001111 2 -b111001111111001010101011001111 = -b111001111111001010101011001111 : -b10111110110101101100110011101 $ -b10111110110101101100110011101 - -b10111110110101101100110011101 5 -b10111110110101101100110011101 ? -b10111110110101101100110011101 D -b11011101110111100010111011001110 % -b11011101110111100010111011001110 . -b11011101110111100010111011001110 6 -b11011101110111100010111011001110 @ -b11011101110111100010111011001110 F -b111001111111001010101011001111 ) -#87360000 -0& -#87376000 -b10101010101 , -#87392000 -1& -#87408000 -b111000111111111111110100010010 " -b111000111111111111110100010010 4 -b11111111111111001001111110111011 1 -b11111111111111001001111110111011 C -b1111111 0 -b1111111 H -08 -09 -b111001000000110110001001100110 < -b111000111111111111110100010010 2 -b111000111111111111110100010010 = -b111000111111111111110100010010 : -b11111111111111001001101010101011 $ -b11111111111111001001101010101011 - -b11111111111111001001101010101011 5 -b11111111111111001001101010101011 ? -b11111111111111001001101010101011 D -b11000110111111001001110110011001 % -b11000110111111001001110110011001 . -b11000110111111001001110110011001 6 -b11000110111111001001110110011001 @ -b11000110111111001001110110011001 F -b111000111111111111110100010010 ) -#87424000 -0& -#87440000 -b10101010110 , -#87456000 -1& -#87472000 -b100011111011111110111101110001 " -b100011111011111110111101110001 4 -b11111111111111110011101110010111 1 -b11111111111111110011101110010111 C -b1101101111 0 -b1101101111 H -b1001000000100001101010001101001 < -b100011111011111110111101110001 2 -b100011111011111110111101110001 = -b100011111011111110111101110001 : -b11011011110111110001101100000111 $ -b11011011110111110001101100000111 - -b11011011110111110001101100000111 5 -b11011011110111110001101100000111 ? -b11011011110111110001101100000111 D -b10110111111011110010101110010110 % -b10110111111011110010101110010110 . -b10110111111011110010101110010110 6 -b10110111111011110010101110010110 @ -b10110111111011110010101110010110 F -b100011111011111110111101110001 ) -#87488000 -0& -#87504000 -b10101010111 , -#87520000 -1& -#87536000 -b1100011100011111111011000101010 " -b1100011100011111111011000101010 4 -b11111111111101101011111001111110 1 -b11111111111101101011111001111110 C -b101111110111011010 0 -b101111110111011010 H -18 -19 -b10100100000110010100011110110001 < -b1100011100011111111011000101010 2 -b1100011100011111111011000101010 = -b1100011100011111111011000101010 : -b10111111011101101010111001111000 $ -b10111111011101101010111001111000 - -b10111111011101101010111001111000 5 -b10111111011101101010111001111000 ? -b10111111011101101010111001111000 D -b1011011111001101011100001001110 % -b1011011111001101011100001001110 . -b1011011111001101011100001001110 6 -b1011011111001101011100001001110 @ -b1011011111001101011100001001110 F -b1100011100011111111011000101010 ) -#87552000 -0& -#87568000 -b10101011000 , -#87584000 -1& -#87600000 -b1100100000000100011011000101111 " -b1100100000000100011011000101111 4 -b11110111111111111111111101101111 1 -b11110111111111111111111101101111 C -b1010111111111011010101101001111 0 -b1010111111111011010101101001111 H -09 -08 -b1100000001001000101011011111 < -b1100100000000100011011000101111 2 -b1100100000000100011011000101111 = -b1100100000000100011011000101111 : -b1010111111111011010101101001111 $ -b1010111111111011010101101001111 - -b1010111111111011010101101001111 5 -b1010111111111011010101101001111 ? -b1010111111111011010101101001111 D -b11110011111110110111010100100000 % -b11110011111110110111010100100000 . -b11110011111110110111010100100000 6 -b11110011111110110111010100100000 @ -b11110011111110110111010100100000 F -b1100100000000100011011000101111 ) -#87616000 -0& -#87632000 -b10101011001 , -#87648000 -1& -#87664000 -b110010010101101011000001111010 " -b110010010101101011000001111010 4 -b11111110111111111111110111111111 1 -b11111110111111111111110111111111 C -b11110000101111110101110111111 0 -b11110000101111110101110111111 H -08 -b1000001100101110101001001111100 < -b110010010101101011000001111010 2 -b110010010101101011000001111010 = -b110010010101101011000001111010 : -b11110000101111110101110111111101 $ -b11110000101111110101110111111101 - -b11110000101111110101110111111101 5 -b11110000101111110101110111111101 ? -b11110000101111110101110111111101 D -b10111110011010001010110110000011 % -b10111110011010001010110110000011 . -b10111110011010001010110110000011 6 -b10111110011010001010110110000011 @ -b10111110011010001010110110000011 F -b110010010101101011000001111010 ) -#87680000 -0& -#87696000 -b10101011010 , -#87712000 -1& -#87728000 -b1001111111110001111111111001011 " -b1001111111110001111111111001011 4 -b11111001111111111010101111101111 1 -b11111001111111111010101111101111 C -b1010100110111100101010110110 0 -b1010100110111100101010110110 H -19 -18 -b10100110001111000101010001011011 < -b1001111111110001111111111001011 2 -b1001111111110001111111111001011 = -b1001111111110001111111111001011 : -b10101001101111001010101101101111 $ -b10101001101111001010101101101111 - -b10101001101111001010101101101111 5 -b10101001101111001010101101101111 ? -b10101001101111001010101101101111 D -b1011001110000111010101110100100 % -b1011001110000111010101110100100 . -b1011001110000111010101110100100 6 -b1011001110000111010101110100100 @ -b1011001110000111010101110100100 F -b1001111111110001111111111001011 ) -#87744000 -0& -#87760000 -b10101011011 , -#87776000 -1& -#87792000 -b11011110101001111011110010100101 " -b11011110101001111011110010100101 4 -b11111111111111111100011111011111 1 -b11111111111111111100011111011111 C -b1 0 -b1 H -09 -18 -b100000101100000111100001100000 < -b11011110101001111011110010100101 2 -b11011110101001111011110010100101 = -b11011110101001111011110010100101 : -b10111101111101110100010001000100 $ -b10111101111101110100010001000100 - -b10111101111101110100010001000100 5 -b10111101111101110100010001000100 ? -b10111101111101110100010001000100 D -b11011111010011111000011110011111 % -b11011111010011111000011110011111 . -b11011111010011111000011110011111 6 -b11011111010011111000011110011111 @ -b11011111010011111000011110011111 F -b11011110101001111011110010100101 ) -#87808000 -0& -#87824000 -b10101011100 , -#87840000 -1& -#87856000 -b11100111111100001101111000000011 " -b11100111111100001101111000000011 4 -b11111111111101110011011101111101 1 -b11111111111101110011011101111101 C -b111 0 -b111 H -18 -b10011100101011000010 < -b11100111111100001101111000000011 2 -b11100111111100001101111000000011 = -b11100111111100001101111000000011 : -b11100111111001110001001101000000 $ -b11100111111001110001001101000000 - -b11100111111001110001001101000000 5 -b11100111111001110001001101000000 ? -b11100111111001110001001101000000 D -b11111111111101100011010100111101 % -b11111111111101100011010100111101 . -b11111111111101100011010100111101 6 -b11111111111101100011010100111101 @ -b11111111111101100011010100111101 F -b11100111111100001101111000000011 ) -#87872000 -0& -#87888000 -b10101011101 , -#87904000 -1& -#87920000 -b1110110001000000011100001001110 " -b1110110001000000011100001001110 4 -b11111111111111110111111011010010 1 -b11111111111111110111111011010010 C -b11101011111111101011110110100 0 -b11101011111111101011110110100 H -08 -b1000001101100101111101 < -b1110110001000000011100001001110 2 -b1110110001000000011100001001110 = -b1110110001000000011100001001110 : -b1110101111111110101111011010000 $ -b1110101111111110101111011010000 - -b1110101111111110101111011010000 5 -b1110101111111110101111011010000 ? -b1110101111111110101111011010000 D -b11111111110111110010011010000010 % -b11111111110111110010011010000010 . -b11111111110111110010011010000010 6 -b11111111110111110010011010000010 @ -b11111111110111110010011010000010 F -b1110110001000000011100001001110 ) -#87936000 -0& -#87952000 -b10101011110 , -#87968000 -1& -#87984000 -b10000011101000000010110000011011 " -b10000011101000000010110000011011 4 -b11101111111011101111111000111111 1 -b11101111111011101111111000111111 C -b1101 0 -b1101 H -19 -08 -b10100000100010100110111100100 < -b10000011101000000010110000011011 2 -b10000011101000000010110000011011 = -b10000011101000000010110000011011 : -b1101111100011101101111000110110 $ -b1101111100011101101111000110110 - -b1101111100011101101111000110110 5 -b1101111100011101101111000110110 ? -b1101111100011101101111000110110 D -b11101011111011101011001000011011 % -b11101011111011101011001000011011 . -b11101011111011101011001000011011 6 -b11101011111011101011001000011011 @ -b11101011111011101011001000011011 F -b10000011101000000010110000011011 ) -#88000000 -0& -#88016000 -b10101011111 , -#88032000 -1& -#88048000 -b110010000110000011100101000011 " -b110010000110000011100101000011 4 -b11111111101111111110111111001101 1 -b11111111101111111110111111001101 C -b101111101101111010010 0 -b101111101101111010010 H -09 -08 -b10011000001001010010110110 < -b110010000110000011100101000011 2 -b110010000110000011100101000011 = -b110010000110000011100101000011 : -b101111101101111010010010001100 $ -b101111101101111010010010001100 - -b101111101101111010010010001100 5 -b101111101101111010010010001100 ? -b101111101101111010010010001100 D -b11111101100111110110101101001001 % -b11111101100111110110101101001001 . -b11111101100111110110101101001001 6 -b11111101100111110110101101001001 @ -b11111101100111110110101101001001 F -b110010000110000011100101000011 ) -#88064000 -0& -#88080000 -b10101100000 , -#88096000 -1& -#88112000 -b10011011101010010100110101110001 " -b10011011101010010100110101110001 4 -b10110111111111111111101111110101 1 -b10110111111111111111101111110101 C -b1011001110011111001110010101 0 -b1011001110011111001110010101 H -18 -b11101000000010100001010000011011 < -b10011011101010010100110101110001 2 -b10011011101010010100110101110001 = -b10011011101010010100110101110001 : -b10110011100111110011100101010101 $ -b10110011100111110011100101010101 - -b10110011100111110011100101010101 5 -b10110011100111110011100101010101 ? -b10110011100111110011100101010101 D -b10111111101011110101111100100 % -b10111111101011110101111100100 . -b10111111101011110101111100100 6 -b10111111101011110101111100100 @ -b10111111101011110101111100100 F -b10011011101010010100110101110001 ) -#88128000 -0& -#88144000 -b10101100001 , -#88160000 -1& -#88176000 -b11110101111000111001010111011000 " -b11110101111000111001010111011000 4 -b11111111111101111111111111111110 1 -b11111111111101111111111111111110 C -b11 0 -b11 H -b11011100011011100001 < -b11110101111000111001010111011000 2 -b11110101111000111001010111011000 = -b11110101111000111001010111011000 : -b11110101110101011100111011110110 $ -b11110101110101011100111011110110 - -b11110101110101011100111011110110 5 -b11110101110101011100111011110110 ? -b11110101110101011100111011110110 D -b11111111111100100011100100011110 % -b11111111111100100011100100011110 . -b11111111111100100011100100011110 6 -b11111111111100100011100100011110 @ -b11111111111100100011100100011110 F -b11110101111000111001010111011000 ) -#88192000 -0& -#88208000 -b10101100010 , -#88224000 -1& -#88240000 -b1101110011001101111100000001001 " -b1101110011001101111100000001001 4 -b11111111111110110101100111111011 1 -b11111111111110110101100111111011 C -b1110 0 -b1110 H -08 -b110010011011001010111100000101 < -b1101110011001101111100000001001 2 -b1101110011001101111100000001001 = -b1101110011001101111100000001001 : -b111011111110100100100100000011 $ -b111011111110100100100100000011 - -b111011111110100100100100000011 5 -b111011111110100100100100000011 ? -b111011111110100100100100000011 D -b11001101100100110101000011111010 % -b11001101100100110101000011111010 . -b11001101100100110101000011111010 6 -b11001101100100110101000011111010 @ -b11001101100100110101000011111010 F -b1101110011001101111100000001001 ) -#88256000 -0& -#88272000 -b10101100011 , -#88288000 -1& -#88304000 -b10100100001010111011111011000100 " -b10100100001010111011111011000100 4 -b11111111111111110110111111111111 1 -b11111111111111110110111111111111 C -b11111111 0 -b11111111 H -19 -08 -b100100100101001001000001001000 < -b10100100001010111011111011000100 2 -b10100100001010111011111011000100 = -b10100100001010111011111011000100 : -b1111111100101110010111001111011 $ -b1111111100101110010111001111011 - -b1111111100101110010111001111011 5 -b1111111100101110010111001111011 ? -b1111111100101110010111001111011 D -b11011011011010110110111110110111 % -b11011011011010110110111110110111 . -b11011011011010110110111110110111 6 -b11011011011010110110111110110111 @ -b11011011011010110110111110110111 F -b10100100001010111011111011000100 ) -#88320000 -0& -#88336000 -b10101100100 , -#88352000 -1& -#88368000 -b1001110000101111101011001101010 " -b1001110000101111101011001101010 4 -b11111111111011110010101011110110 1 -b11111111111011110010101011110110 C -b11001101111001110000000011 0 -b11001101111001110000000011 H -18 -19 -b10000000001100001101010110011001 < -b1001110000101111101011001101010 2 -b1001110000101111101011001101010 = -b1001110000101111101011001101010 : -b11001101111001110000000011010000 $ -b11001101111001110000000011010000 - -b11001101111001110000000011010000 5 -b11001101111001110000000011010000 ? -b11001101111001110000000011010000 D -b1111111110011110010101001100110 % -b1111111110011110010101001100110 . -b1111111110011110010101001100110 6 -b1111111110011110010101001100110 @ -b1111111110011110010101001100110 F -b1001110000101111101011001101010 ) -#88384000 -0& -#88400000 -b10101100101 , -#88416000 -1& -#88432000 -b1000111111110010001011100001011 " -b1000111111110010001011100001011 4 -b11111111111111111111011101010111 1 -b11111111111111111111011101010111 C -b10110111111101110000011101 0 -b10110111111101110000011101 H -b10010000000000100000111110111001 < -b1000111111110010001011100001011 2 -b1000111111110010001011100001011 = -b1000111111110010001011100001011 : -b10110111111101110000011101010001 $ -b10110111111101110000011101010001 - -b10110111111101110000011101010001 5 -b10110111111101110000011101010001 ? -b10110111111101110000011101010001 D -b1101111111111011111000001000110 % -b1101111111111011111000001000110 . -b1101111111111011111000001000110 6 -b1101111111111011111000001000110 @ -b1101111111111011111000001000110 F -b1000111111110010001011100001011 ) -#88448000 -0& -#88464000 -b10101100110 , -#88480000 -1& -#88496000 -b1010011111011111001010000001000 " -b1010011111011111001010000001000 4 -b11111111011111111111010001011011 1 -b11111111011111111111010001011011 C -b111111110101110111110 0 -b111111110101110111110 H -09 -08 -b1010100100100011001111110110100 < -b1010011111011111001010000001000 2 -b1010011111011111001010000001000 = -b1010011111011111001010000001000 : -b11111111010111011111010001010011 $ -b11111111010111011111010001010011 - -b11111111010111011111010001010011 5 -b11111111010111011111010001010011 ? -b11111111010111011111010001010011 D -b10101011011011100110000001001011 % -b10101011011011100110000001001011 . -b10101011011011100110000001001011 6 -b10101011011011100110000001001011 @ -b10101011011011100110000001001011 F -b1010011111011111001010000001000 ) -#88512000 -0& -#88528000 -b10101100111 , -#88544000 -1& -#88560000 -b11111111111111111111001101100100 " -b11111111111111111111001101100100 4 -b11111111111111111010110011100111 1 -b11111111111111111010110011100111 C -b11111111111111111010000001000 0 -b11111111111111111010000001000 H -18 -b101001100011100 < -b11111111111111111111001101100100 2 -b11111111111111111111001101100100 = -b11111111111111111111001101100100 : -b11111111111111111010000001000111 $ -b11111111111111111010000001000111 - -b11111111111111111010000001000111 5 -b11111111111111111010000001000111 ? -b11111111111111111010000001000111 D -b11111111111111111010110011100011 % -b11111111111111111010110011100011 . -b11111111111111111010110011100011 6 -b11111111111111111010110011100011 @ -b11111111111111111010110011100011 F -b11111111111111111111001101100100 ) -#88576000 -0& -#88592000 -b10101101000 , -#88608000 -1& -#88624000 -b11000001111011100010001100100010 " -b11000001111011100010001100100010 4 -b11111111011111100110111111111110 1 -b11111111011111100110111111111110 C -b101110010101111001 0 -b101110010101111001 H -b1000100011111101001110010001 < -b11000001111011100010001100100010 2 -b11000001111011100010001100100010 = -b11000001111011100010001100100010 : -b10111001010111100100111110010000 $ -b10111001010111100100111110010000 - -b10111001010111100100111110010000 5 -b10111001010111100100111110010000 ? -b10111001010111100100111110010000 D -b11110111011100000010110001101110 % -b11110111011100000010110001101110 . -b11110111011100000010110001101110 6 -b11110111011100000010110001101110 @ -b11110111011100000010110001101110 F -b11000001111011100010001100100010 ) -#88640000 -0& -#88656000 -b10101101001 , -#88672000 -1& -#88688000 -b1000011110111100111000101110011 " -b1000011110111100111000101110011 4 -b11111100111110111011111110011011 1 -b11111100111110111011111110011011 C -b111100 0 -b111100 H -08 -b111000001001100010011100111 < -b1000011110111100111000101110011 2 -b1000011110111100111000101110011 = -b1000011110111100111000101110011 : -b111100110110011010110010001011 $ -b111100110110011010110010001011 - -b111100110110011010110010001011 5 -b111100110110011010110010001011 ? -b111100110110011010110010001011 D -b11111000111110110011101100011000 % -b11111000111110110011101100011000 . -b11111000111110110011101100011000 6 -b11111000111110110011101100011000 @ -b11111000111110110011101100011000 F -b1000011110111100111000101110011 ) -#88704000 -0& -#88720000 -b10101101010 , -#88736000 -1& -#88752000 -b10001101111101101100101001001101 " -b10001101111101101100101001001101 4 -b11111111111011011111101011011111 1 -b11111111111011011111101011011111 C -b1111111111100100101110 0 -b1111111111100100101110 H -18 -09 -b10001110000100100000111110110101 < -b10001101111101101100101001001101 2 -b10001101111101101100101001001101 = -b10001101111101101100101001001101 : -b11111111111001001011101010010111 $ -b11111111111001001011101010010111 - -b11111111111001001011101010010111 5 -b11111111111001001011101010010111 ? -b11111111111001001011101010010111 D -b1110001111011011111000001001010 % -b1110001111011011111000001001010 . -b1110001111011011111000001001010 6 -b1110001111011011111000001001010 @ -b1110001111011011111000001001010 F -b10001101111101101100101001001101 ) -#88768000 -0& -#88784000 -b10101101011 , -#88800000 -1& -#88816000 -b111011101000001010110110011111 " -b111011101000001010110110011111 4 -b11001110111111110101011111110011 1 -b11001110111111110101011111110011 C -b1100011001111 0 -b1100011001111 H -09 -08 -b1110101001000101010101010101100 < -b111011101000001010110110011111 2 -b111011101000001010110110011111 = -b111011101000001010110110011111 : -b11000110011111100000001011110010 $ -b11000110011111100000001011110010 - -b11000110011111100000001011110010 5 -b11000110011111100000001011110010 ? -b11000110011111100000001011110010 D -b10001010110111010101010101010011 % -b10001010110111010101010101010011 . -b10001010110111010101010101010011 6 -b10001010110111010101010101010011 @ -b10001010110111010101010101010011 F -b111011101000001010110110011111 ) -#88832000 -0& -#88848000 -b10101101100 , -#88864000 -1& -#88880000 -b11000010111011101110100111010100 " -b11000010111011101110100111010100 4 -b11111111111111111011100111111101 1 -b11111111111111111011100111111101 C -b1100001 0 -b1100001 H -18 -b1100000100011111100110 < -b11000010111011101110100111010100 2 -b11000010111011101110100111010100 = -b11000010111011101110100111010100 : -b11000010101111101010000111101101 $ -b11000010101111101010000111101101 - -b11000010101111101010000111101101 5 -b11000010101111101010000111101101 ? -b11000010101111101010000111101101 D -b11111111110011111011100000011001 % -b11111111110011111011100000011001 . -b11111111110011111011100000011001 6 -b11111111110011111011100000011001 @ -b11111111110011111011100000011001 F -b11000010111011101110100111010100 ) -#88896000 -0& -#88912000 -b10101101101 , -#88928000 -1& -#88944000 -b110001000111110001010110101111 " -b110001000111110001010110101111 4 -b11111111111111110011111101011001 1 -b11111111111111110011111101011001 C -b1111111 0 -b1111111 H -08 -b110001001000001101101010100110 < -b110001000111110001010110101111 2 -b110001000111110001010110101111 = -b110001000111110001010110101111 : -b11111111111111100011101100001000 $ -b11111111111111100011101100001000 - -b11111111111111100011101100001000 5 -b11111111111111100011101100001000 ? -b11111111111111100011101100001000 D -b11001110110111110010010101011001 % -b11001110110111110010010101011001 . -b11001110110111110010010101011001 6 -b11001110110111110010010101011001 @ -b11001110110111110010010101011001 F -b110001000111110001010110101111 ) -#88960000 -0& -#88976000 -b10101101110 , -#88992000 -1& -#89008000 -b1000010000011111001010000000101 " -b1000010000011111001010000000101 4 -b1111110110111111001011100101111 1 -b1111110110111111001011100101111 C -b1111110110111110001011100 0 -b1111110110111110001011100 H -08 -b11000011001100000111110011011001 < -b1000010000011111001010000000101 2 -b1000010000011111001010000000101 = -b1000010000011111001010000000101 : -b1111110110111110001011100101011 $ -b1111110110111110001011100101011 - -b1111110110111110001011100101011 5 -b1111110110111110001011100101011 ? -b1111110110111110001011100101011 D -b111100110011111000001100100110 % -b111100110011111000001100100110 . -b111100110011111000001100100110 6 -b111100110011111000001100100110 @ -b111100110011111000001100100110 F -b1000010000011111001010000000101 ) -#89024000 -0& -#89040000 -b10101101111 , -#89056000 -1& -#89072000 -b11000110110011000011100011101101 " -b11000110110011000011100011101101 4 -b11111111111111011100111111111111 1 -b11111111111111011100111111111111 C -b11 0 -b11 H -19 -08 -b1001000000101100111000000000010 < -b11000110110011000011100011101101 2 -b11000110110011000011100011101101 = -b11000110110011000011100011101101 : -b1111110101101011100100011101010 $ -b1111110101101011100100011101010 - -b1111110101101011100100011101010 5 -b1111110101101011100100011101010 ? -b1111110101101011100100011101010 D -b10110111111010011000111111111101 % -b10110111111010011000111111111101 . -b10110111111010011000111111111101 6 -b10110111111010011000111111111101 @ -b10110111111010011000111111111101 F -b11000110110011000011100011101101 ) -#89088000 -0& -#89104000 -b10101110000 , -#89120000 -1& -#89136000 -b1111011010111111101101110010101 " -b1111011010111111101101110010101 4 -b11111111111111100111111111101111 1 -b11111111111111100111111111101111 C -b1110111001111100 0 -b1110111001111100 H -09 -08 -b100001000011010010111010000 < -b1111011010111111101101110010101 2 -b1111011010111111101101110010101 = -b1111011010111111101101110010101 : -b1110111001111100011010111000100 $ -b1110111001111100011010111000100 - -b1110111001111100011010111000100 5 -b1110111001111100011010111000100 ? -b1110111001111100011010111000100 D -b11111011110111100101101000101111 % -b11111011110111100101101000101111 . -b11111011110111100101101000101111 6 -b11111011110111100101101000101111 @ -b11111011110111100101101000101111 F -b1111011010111111101101110010101 ) -#89152000 -0& -#89168000 -b10101110001 , -#89184000 -1& -#89200000 -b10000110110011111110101110010101 " -b10000110110011111110101110010101 4 -b11111111111111100011111110110111 1 -b11111111111111100011111110110111 C -b11111110011111 0 -b11111110011111 H -18 -09 -b10001000010100011100101111101101 < -b10000110110011111110101110010101 2 -b10000110110011111110101110010101 = -b10000110110011111110101110010101 : -b11111110011111100001111110100111 $ -b11111110011111100001111110100111 - -b11111110011111100001111110100111 5 -b11111110011111100001111110100111 ? -b11111110011111100001111110100111 D -b1110111101011100011010000010010 % -b1110111101011100011010000010010 . -b1110111101011100011010000010010 6 -b1110111101011100011010000010010 @ -b1110111101011100011010000010010 F -b10000110110011111110101110010101 ) -#89216000 -0& -#89232000 -b10101110010 , -#89248000 -1& -#89264000 -b10101111010100000101000100110000 " -b10101111010100000101000100110000 4 -b11111111111111111011000101111001 1 -b11111111111111111011000101111001 C -b11101110111111111000000 0 -b11101110111111111000000 H -09 -18 -b11000000010100001100111111010110 < -b10101111010100000101000100110000 2 -b10101111010100000101000100110000 = -b10101111010100000101000100110000 : -b11101110111111111000000101011001 $ -b11101110111111111000000101011001 - -b11101110111111111000000101011001 5 -b11101110111111111000000101011001 ? -b11101110111111111000000101011001 D -b111111101011110011000000101001 % -b111111101011110011000000101001 . -b111111101011110011000000101001 6 -b111111101011110011000000101001 @ -b111111101011110011000000101001 F -b10101111010100000101000100110000 ) -#89280000 -0& -#89296000 -b10101110011 , -#89312000 -1& -#89328000 -b1111110000000111100010010110011 " -b1111110000000111100010010110011 4 -b11111111111111111111101111111101 1 -b11111111111111111111101111111101 C -b111110111111101101111000011 0 -b111110111111101101111000011 H -19 -18 -b10000010000010000100110000111010 < -b1111110000000111100010010110011 2 -b1111110000000111100010010110011 = -b1111110000000111100010010110011 : -b11111011111110110111100001111000 $ -b11111011111110110111100001111000 - -b11111011111110110111100001111000 5 -b11111011111110110111100001111000 ? -b11111011111110110111100001111000 D -b1111101111101111011001111000101 % -b1111101111101111011001111000101 . -b1111101111101111011001111000101 6 -b1111101111101111011001111000101 @ -b1111101111101111011001111000101 F -b1111110000000111100010010110011 ) -#89344000 -0& -#89360000 -b10101110100 , -#89376000 -1& -#89392000 -b11111001010100000111001101111101 " -b11111001010100000111001101111101 4 -b1111111111110111111110110000111 1 -b1111111111110111111110110000111 C -b1110111101110111111100100 0 -b1110111101110111111100100 H -09 -18 -b10000001100101000111101001111001 < -b11111001010100000111001101111101 2 -b11111001010100000111001101111101 = -b11111001010100000111001101111101 : -b1110111101110111111100100000011 $ -b1110111101110111111100100000011 - -b1110111101110111111100100000011 5 -b1110111101110111111100100000011 ? -b1110111101110111111100100000011 D -b1111110011010111000010110000110 % -b1111110011010111000010110000110 . -b1111110011010111000010110000110 6 -b1111110011010111000010110000110 @ -b1111110011010111000010110000110 F -b11111001010100000111001101111101 ) -#89408000 -0& -#89424000 -b10101110101 , -#89440000 -1& -#89456000 -b1011101110111111111100111100001 " -b1011101110111111111100111100001 4 -b11111110111111111111111001111111 1 -b11111110111111111111111001111111 C -b0 0 -b0 H -08 -b1001000000011101110000000 < -b1011101110111111111100111100001 2 -b1011101110111111111100111100001 = -b1011101110111111111100111100001 : -b1011100101111111011111001100000 $ -b1011100101111111011111001100000 - -b1011100101111111011111001100000 5 -b1011100101111111011111001100000 ? -b1011100101111111011111001100000 D -b11111110110111111100010001111111 % -b11111110110111111100010001111111 . -b11111110110111111100010001111111 6 -b11111110110111111100010001111111 @ -b11111110110111111100010001111111 F -b1011101110111111111100111100001 ) -#89472000 -0& -#89488000 -b10101110110 , -#89504000 -1& -#89520000 -b1001000000000101110001000010100 " -b1001000000000101110001000010100 4 -b11111111111111111110101001111100 1 -b11111111111111111110101001111100 C -b111111111111111111101010 0 -b111111111111111111101010 H -08 -09 -b1001000000000101111011110010111 < -b1001000000000101110001000010100 2 -b1001000000000101110001000010100 = -b1001000000000101110001000010100 : -b11111111111111111110101001111100 $ -b11111111111111111110101001111100 - -b11111111111111111110101001111100 5 -b11111111111111111110101001111100 ? -b11111111111111111110101001111100 D -b10110111111111010000100001101000 % -b10110111111111010000100001101000 . -b10110111111111010000100001101000 6 -b10110111111111010000100001101000 @ -b10110111111111010000100001101000 F -b1001000000000101110001000010100 ) -#89536000 -0& -#89552000 -b10101110111 , -#89568000 -1& -#89584000 -b11010100010011111010010111110000 " -b11010100010011111010010111110000 4 -b11111111111111111010011001010011 1 -b11111111111111111010011001010011 C -b1111010000111 0 -b1111010000111 H -18 -b11100000000100000111111110101100 < -b11010100010011111010010111110000 2 -b11010100010011111010010111110000 = -b11010100010011111010010111110000 : -b11110100001111110010011001000011 $ -b11110100001111110010011001000011 - -b11110100001111110010011001000011 5 -b11110100001111110010011001000011 ? -b11110100001111110010011001000011 D -b11111111011111000000001010011 % -b11111111011111000000001010011 . -b11111111011111000000001010011 6 -b11111111011111000000001010011 @ -b11111111011111000000001010011 F -b11010100010011111010010111110000 ) -#89600000 -0& -#89616000 -b10101111000 , -#89632000 -1& -#89648000 -b11110110011110110011001001010011 " -b11110110011110110011001001010011 4 -b11111111110111110101001111011101 1 -b11111111110111110101001111011101 C -b111101100101010101000011000 0 -b111101100101010101000011000 H -b1001011110111100111010 < -b11110110011110110011001001010011 2 -b11110110011110110011001001010011 = -b11110110011110110011001001010011 : -b11110110010101010100001100011000 $ -b11110110010101010100001100011000 - -b11110110010101010100001100011000 5 -b11110110010101010100001100011000 ? -b11110110010101010100001100011000 D -b11111111110110100001000011000101 % -b11111111110110100001000011000101 . -b11111111110110100001000011000101 6 -b11111111110110100001000011000101 @ -b11111111110110100001000011000101 F -b11110110011110110011001001010011 ) -#89664000 -0& -#89680000 -b10101111001 , -#89696000 -1& -#89712000 -b111001011111111001000111010101 " -b111001011111111001000111010101 4 -b11111111111110111011111111011101 1 -b11111111111110111011111111011101 C -b11011111111011001011011001 0 -b11011111111011001011011001 H -08 -b1100001000110010000111011 < -b111001011111111001000111010101 2 -b111001011111111001000111010101 = -b111001011111111001000111010101 : -b110111111110110010110110011001 $ -b110111111110110010110110011001 - -b110111111110110010110110011001 5 -b110111111110110010110110011001 ? -b110111111110110010110110011001 D -b11111110011110111001101111000100 % -b11111110011110111001101111000100 . -b11111110011110111001101111000100 6 -b11111110011110111001101111000100 @ -b11111110011110111001101111000100 F -b111001011111111001000111010101 ) -#89728000 -0& -#89744000 -b10101111010 , -#89760000 -1& -#89776000 -b11100001001000000100111111001 " -b11100001001000000100111111001 4 -b11111111101001110011111100111111 1 -b11111111101001110011111100111111 C -b1001101110100 0 -b1001101110100 H -19 -18 -b10000000011111001110010011001100 < -b11100001001000000100111111001 2 -b11100001001000000100111111001 = -b11100001001000000100111111001 : -b10011011101001110010010100101100 $ -b10011011101001110010010100101100 - -b10011011101001110010010100101100 5 -b10011011101001110010010100101100 ? -b10011011101001110010010100101100 D -b1111111100000110001101100110011 % -b1111111100000110001101100110011 . -b1111111100000110001101100110011 6 -b1111111100000110001101100110011 @ -b1111111100000110001101100110011 F -b11100001001000000100111111001 ) -#89792000 -0& -#89808000 -b10101111011 , -#89824000 -1& -#89840000 -b11000000100001110100101001101010 " -b11000000100001110100101001101010 4 -b11111101111111111101111111111010 1 -b11111101111111111101111111111010 C -b1011110101111111 0 -b1011110101111111 H -09 -18 -b11000010000010110010101111 < -b11000000100001110100101001101010 2 -b11000000100001110100101001101010 = -b11000000100001110100101001101010 : -b10111101011111110001110110111010 $ -b10111101011111110001110110111010 - -b10111101011111110001110110111010 5 -b10111101011111110001110110111010 ? -b10111101011111110001110110111010 D -b11111100111101111101001101010000 % -b11111100111101111101001101010000 . -b11111100111101111101001101010000 6 -b11111100111101111101001101010000 @ -b11111100111101111101001101010000 F -b11000000100001110100101001101010 ) -#89856000 -0& -#89872000 -b10101111100 , -#89888000 -1& -#89904000 -b10010010000111011111001000000100 " -b10010010000111011111001000000100 4 -b11111111111111111001111001001110 1 -b11111111111111111001111001001110 C -b1111101110111011000100001 0 -b1111101110111011000100001 H -08 -19 -b10100010000000110100110111001 < -b10010010000111011111001000000100 2 -b10010010000111011111001000000100 = -b10010010000111011111001000000100 : -b1111101110111011000100001001010 $ -b1111101110111011000100001001010 - -b1111101110111011000100001001010 5 -b1111101110111011000100001001010 ? -b1111101110111011000100001001010 D -b11101011101111111001011001000110 % -b11101011101111111001011001000110 . -b11101011101111111001011001000110 6 -b11101011101111111001011001000110 @ -b11101011101111111001011001000110 F -b10010010000111011111001000000100 ) -#89920000 -0& -#89936000 -b10101111101 , -#89952000 -1& -#89968000 -b100010111000111101101011101010 " -b100010111000111101101011101010 4 -b1111111101111111111010101011110 1 -b1111111101111111111010101011110 C -b1 0 -b1 H -09 -08 -b11001000010001011110101010100001 < -b100010111000111101101011101010 2 -b100010111000111101101011101010 = -b100010111000111101101011101010 : -b1011010100111011111000001001000 $ -b1011010100111011111000001001000 - -b1011010100111011111000001001000 5 -b1011010100111011111000001001000 ? -b1011010100111011111000001001000 D -b110111101110100001010101011110 % -b110111101110100001010101011110 . -b110111101110100001010101011110 6 -b110111101110100001010101011110 @ -b110111101110100001010101011110 F -b100010111000111101101011101010 ) -#89984000 -0& -#90000000 -b10101111110 , -#90016000 -1& -#90032000 -b1011101111111110110101101011001 " -b1011101111111110110101101011001 4 -b11111111111111111111101110111111 1 -b11111111111111111111101110111111 C -b11 0 -b11 H -19 -18 -b10000000000000011001011111000001 < -b1011101111111110110101101011001 2 -b1011101111111110110101101011001 = -b1011101111111110110101101011001 : -b11011101111111011101001110010111 $ -b11011101111111011101001110010111 - -b11011101111111011101001110010111 5 -b11011101111111011101001110010111 ? -b11011101111111011101001110010111 D -b1111111111111100110100000111110 % -b1111111111111100110100000111110 . -b1111111111111100110100000111110 6 -b1111111111111100110100000111110 @ -b1111111111111100110100000111110 F -b1011101111111110110101101011001 ) -#90048000 -0& -#90064000 -b10101111111 , -#90080000 -1& -#90096000 -b10001110011111101001100100001011 " -b10001110011111101001100100001011 4 -b11111111111111011111110101111111 1 -b11111111111111011111110101111111 C -b111111011111110001 0 -b111111011111110001 H -09 -18 -b10010000100000100100101110010001 < -b10001110011111101001100100001011 2 -b10001110011111101001100100001011 = -b10001110011111101001100100001011 : -b11111101111111000100110101111001 $ -b11111101111111000100110101111001 - -b11111101111111000100110101111001 5 -b11111101111111000100110101111001 ? -b11111101111111000100110101111001 D -b1101111011111011011010001101110 % -b1101111011111011011010001101110 . -b1101111011111011011010001101110 6 -b1101111011111011011010001101110 @ -b1101111011111011011010001101110 F -b10001110011111101001100100001011 ) -#90112000 -0& -#90128000 -b10110000000 , -#90144000 -1& -#90160000 -b11101100100001000100010000100101 " -b11101100100001000100010000100101 4 -b11111101111111111100111101101011 1 -b11111101111111111100111101101011 C -b11011001111111111000111101001 0 -b11011001111111111000111101001 H -09 -18 -b10010100001001011010011011100 < -b11101100100001000100010000100101 2 -b11101100100001000100010000100101 = -b11101100100001000100010000100101 : -b11011001111111111000111101001000 $ -b11011001111111111000111101001000 - -b11011001111111111000111101001000 5 -b11011001111111111000111101001000 ? -b11011001111111111000111101001000 D -b11101101011110110100101100100011 % -b11101101011110110100101100100011 . -b11101101011110110100101100100011 6 -b11101101011110110100101100100011 @ -b11101101011110110100101100100011 F -b11101100100001000100010000100101 ) -#90176000 -0& -#90192000 -b10110000001 , -#90208000 -1& -#90224000 -b11111111111101110110110100010011 " -b11111111111101110110110100010011 4 -b11111111111111011101011110011011 1 -b11111111111111011101011110011011 C -b111111111111010101000010 0 -b111111111111010101000010 H -18 -b100010101001110111 < -b11111111111101110110110100010011 2 -b11111111111101110110110100010011 = -b11111111111101110110110100010011 : -b11111111111101010100001010011011 $ -b11111111111101010100001010011011 - -b11111111111101010100001010011011 5 -b11111111111101010100001010011011 ? -b11111111111101010100001010011011 D -b11111111111111011101010110001000 % -b11111111111111011101010110001000 . -b11111111111111011101010110001000 6 -b11111111111111011101010110001000 @ -b11111111111111011101010110001000 F -b11111111111101110110110100010011 ) -#90240000 -0& -#90256000 -b10110000010 , -#90272000 -1& -#90288000 -b1101100011100101001000011001101 " -b1101100011100101001000011001101 4 -b11111111111111111111101111111111 1 -b11111111111111111111101111111111 C -b111010111110111101 0 -b111010111110111101 H -19 -18 -b10000000100000110010010101010001 < -b1101100011100101001000011001101 2 -b1101100011100101001000011001101 = -b1101100011100101001000011001101 : -b11101011111011110110101101111011 $ -b11101011111011110110101101111011 - -b11101011111011110110101101111011 5 -b11101011111011110110101101111011 ? -b11101011111011110110101101111011 D -b1111111011111001101101010101110 % -b1111111011111001101101010101110 . -b1111111011111001101101010101110 6 -b1111111011111001101101010101110 @ -b1111111011111001101101010101110 F -b1101100011100101001000011001101 ) -#90304000 -0& -#90320000 -b10110000011 , -#90336000 -1& -#90352000 -b10010111000001100000101001110100 " -b10010111000001100000101001110100 4 -b11111111111111111111111111011100 1 -b11111111111111111111111111011100 C -b10101101111 0 -b10101101111 H -08 -b1000000000100000010010000101011 < -b10010111000001100000101001110100 2 -b10010111000001100000101001110100 = -b10010111000001100000101001110100 : -b1010110111101011110011001001000 $ -b1010110111101011110011001001000 - -b1010110111101011110011001001000 5 -b1010110111101011110011001001000 ? -b1010110111101011110011001001000 D -b10111111111011111101101111010100 % -b10111111111011111101101111010100 . -b10111111111011111101101111010100 6 -b10111111111011111101101111010100 @ -b10111111111011111101101111010100 F -b10010111000001100000101001110100 ) -#90368000 -0& -#90384000 -b10110000100 , -#90400000 -1& -#90416000 -b11100100111100001011110001111100 " -b11100100111100001011110001111100 4 -b1110111111111111110011011111100 1 -b1110111111111111110011011111100 C -b1010111111011111110001011111100 0 -b1010111111011111110001011111100 H -18 -09 -b10001101000000001101100101111111 < -b11100100111100001011110001111100 2 -b11100100111100001011110001111100 = -b11100100111100001011110001111100 : -b1010111111011111110001011111100 $ -b1010111111011111110001011111100 - -b1010111111011111110001011111100 5 -b1010111111011111110001011111100 ? -b1010111111011111110001011111100 D -b1110010111111110010011010000000 % -b1110010111111110010011010000000 . -b1110010111111110010011010000000 6 -b1110010111111110010011010000000 @ -b1110010111111110010011010000000 F -b11100100111100001011110001111100 ) -#90432000 -0& -#90448000 -b10110000101 , -#90464000 -1& -#90480000 -b10111101101111010000011 " -b10111101101111010000011 4 -b11110110111111111010011110111111 1 -b11110110111111111010011110111111 C -b111101101 0 -b111101101 H -08 -b1001011000010101100011001000 < -b10111101101111010000011 2 -b10111101101111010000011 = -b10111101101111010000011 : -b11110110111111011000010110111010 $ -b11110110111111011000010110111010 - -b11110110111111011000010110111010 5 -b11110110111111011000010110111010 ? -b11110110111111011000010110111010 D -b11110110100111101010011100110111 % -b11110110100111101010011100110111 . -b11110110100111101010011100110111 6 -b11110110100111101010011100110111 @ -b11110110100111101010011100110111 F -b10111101101111010000011 ) -#90496000 -0& -#90512000 -b10110000110 , -#90528000 -1& -#90544000 -b11111011000001000011011010011110 " -b11111011000001000011011010011110 4 -b1111111111111111111101110110111 1 -b1111111111111111111101110110111 C -b1101110111 0 -b1101110111 H -18 -b10001100000001000011110001101010 < -b11111011000001000011011010011110 2 -b11111011000001000011011010011110 = -b11111011000001000011011010011110 : -b1101110111111111111101000110011 $ -b1101110111111111111101000110011 - -b1101110111111111111101000110011 5 -b1101110111111111111101000110011 ? -b1101110111111111111101000110011 D -b1110011111110111100001110010101 % -b1110011111110111100001110010101 . -b1110011111110111100001110010101 6 -b1110011111110111100001110010101 @ -b1110011111110111100001110010101 F -b11111011000001000011011010011110 ) -#90560000 -0& -#90576000 -b10110000111 , -#90592000 -1& -#90608000 -b10001001000010101100001000101111 " -b10001001000010101100001000101111 4 -b10011111111111110101011111110001 1 -b10011111111111110101011111110001 C -b100111111100101 0 -b100111111100101 H -18 -b11101001010000001010101010001110 < -b10001001000010101100001000101111 2 -b10001001000010101100001000101111 = -b10001001000010101100001000101111 : -b10011111110010100001011110100000 $ -b10011111110010100001011110100000 - -b10011111110010100001011110100000 5 -b10011111110010100001011110100000 ? -b10011111110010100001011110100000 D -b10110101111110101010101110001 % -b10110101111110101010101110001 . -b10110101111110101010101110001 6 -b10110101111110101010101110001 @ -b10110101111110101010101110001 F -b10001001000010101100001000101111 ) -#90624000 -0& -#90640000 -b10110001000 , -#90656000 -1& -#90672000 -b10100100000000000111100010001101 " -b10100100000000000111100010001101 4 -b11111111111111111100111110110111 1 -b11111111111111111100111110110111 C -b1111111111111111100011110 0 -b1111111111111111100011110 H -19 -08 -b100100000000001011000011011001 < -b10100100000000000111100010001101 2 -b10100100000000000111100010001101 = -b10100100000000000111100010001101 : -b1111111111111111100011110110011 $ -b1111111111111111100011110110011 - -b1111111111111111100011110110011 5 -b1111111111111111100011110110011 ? -b1111111111111111100011110110011 D -b11011011111111110100111100100110 % -b11011011111111110100111100100110 . -b11011011111111110100111100100110 6 -b11011011111111110100111100100110 @ -b11011011111111110100111100100110 F -b10100100000000000111100010001101 ) -#90688000 -0& -#90704000 -b10110001001 , -#90720000 -1& -#90736000 -b10001101111100000001010111010001 " -b10001101111100000001010111010001 4 -b11111111101111110111101011110111 1 -b11111111101111110111101011110111 C -b11011011010111101110000101 0 -b11011011010111101110000101 H -b100000010000001010010100011010 < -b10001101111100000001010111010001 2 -b10001101111100000001010111010001 = -b10001101111100000001010111010001 : -b1101101101011110111000010110110 $ -b1101101101011110111000010110110 - -b1101101101011110111000010110110 5 -b1101101101011110111000010110110 ? -b1101101101011110111000010110110 D -b11011111101111110101101011100101 % -b11011111101111110101101011100101 . -b11011111101111110101101011100101 6 -b11011111101111110101101011100101 @ -b11011111101111110101101011100101 F -b10001101111100000001010111010001 ) -#90752000 -0& -#90768000 -b10110001010 , -#90784000 -1& -#90800000 -b110010011001010000100011111 " -b110010011001010000100011111 4 -b1111111111111111111001101111111 1 -b1111111111111111111001101111111 C -b111 0 -b111 H -09 -08 -b10001000010100001100111011000011 < -b110010011001010000100011111 2 -b110010011001010000100011111 = -b110010011001010000100011111 : -b1111101111110111101001001011011 $ -b1111101111110111101001001011011 - -b1111101111110111101001001011011 5 -b1111101111110111101001001011011 ? -b1111101111110111101001001011011 D -b1110111101011110011000100111100 % -b1110111101011110011000100111100 . -b1110111101011110011000100111100 6 -b1110111101011110011000100111100 @ -b1110111101011110011000100111100 F -b110010011001010000100011111 ) -#90816000 -0& -#90832000 -b10110001011 , -#90848000 -1& -#90864000 -b11000010000000000111001001101011 " -b11000010000000000111001001101011 4 -b11111110111111101111011110111111 1 -b11111110111111101111011110111111 C -b1111 0 -b1111 H -19 -08 -b1000011000000010111110101000100 < -b11000010000000000111001001101011 2 -b11000010000000000111001001101011 = -b11000010000000000111001001101011 : -b1111110111111101111010100100110 $ -b1111110111111101111010100100110 - -b1111110111111101111010100100110 5 -b1111110111111101111010100100110 ? -b1111110111111101111010100100110 D -b10111100111111101000001010111011 % -b10111100111111101000001010111011 . -b10111100111111101000001010111011 6 -b10111100111111101000001010111011 @ -b10111100111111101000001010111011 F -b11000010000000000111001001101011 ) -#90880000 -0& -#90896000 -b10110001100 , -#90912000 -1& -#90928000 -b1110001111101100110101101011011 " -b1110001111101100110101101011011 4 -b11011111111111111111110010111111 1 -b11011111111111111111110010111111 C -b1100 0 -b1100 H -18 -b10101000000110000111011101000011 < -b1110001111101100110101101011011 2 -b1110001111101100110101101011011 = -b1110001111101100110101101011011 : -b11001001110111011111010000010111 $ -b11001001110111011111010000010111 - -b11001001110111011111010000010111 5 -b11001001110111011111010000010111 ? -b11001001110111011111010000010111 D -b1010111111001111000100010111100 % -b1010111111001111000100010111100 . -b1010111111001111000100010111100 6 -b1010111111001111000100010111100 @ -b1010111111001111000100010111100 F -b1110001111101100110101101011011 ) -#90944000 -0& -#90960000 -b10110001101 , -#90976000 -1& -#90992000 -b111111111000111100101111010111 " -b111111111000111100101111010111 4 -b11111111011111111111111001101111 1 -b11111111011111111111111001101111 C -b111111010100111011 0 -b111111010100111011 H -09 -08 -b100100000001010110010011 < -b111111111000111100101111010111 2 -b111111111000111100101111010111 = -b111111111000111100101111010111 : -b111111010100111011011001000011 $ -b111111010100111011011001000011 - -b111111010100111011011001000011 5 -b111111010100111011011001000011 ? -b111111010100111011011001000011 D -b11111111011011111110101001101100 % -b11111111011011111110101001101100 . -b11111111011011111110101001101100 6 -b11111111011011111110101001101100 @ -b11111111011011111110101001101100 F -b111111111000111100101111010111 ) -#91008000 -0& -#91024000 -b10110001110 , -#91040000 -1& -#91056000 -b10010110110000011111110001101000 " -b10010110110000011111110001101000 4 -b11111111110101111111110111101010 1 -b11111111110101111111110111101010 C -b111010111101011111110101010010 0 -b111010111101011111110101010010 H -18 -b10101010111010100000011100011101 < -b10010110110000011111110001101000 2 -b10010110110000011111110001101000 = -b10010110110000011111110001101000 : -b11101011110101111111010101001010 $ -b11101011110101111111010101001010 - -b11101011110101111111010101001010 5 -b11101011110101111111010101001010 ? -b11101011110101111111010101001010 D -b1010101000101011111100011100010 % -b1010101000101011111100011100010 . -b1010101000101011111100011100010 6 -b1010101000101011111100011100010 @ -b1010101000101011111100011100010 F -b10010110110000011111110001101000 ) -#91072000 -0& -#91088000 -b10110001111 , -#91104000 -1& -#91120000 -b1111001111110001111100101010 " -b1111001111110001111100101010 4 -b11111111111111111111101111111111 1 -b11111111111111111111101111111111 C -b1 0 -b1 H -08 -b10000010000000100010000000000 < -b1111001111110001111100101010 2 -b1111001111110001111100101010 = -b1111001111110001111100101010 : -b11111110111111101101101100101001 $ -b11111110111111101101101100101001 - -b11111110111111101101101100101001 5 -b11111110111111101101101100101001 ? -b11111110111111101101101100101001 D -b11101111101111111011101111111111 % -b11101111101111111011101111111111 . -b11101111101111111011101111111111 6 -b11101111101111111011101111111111 @ -b11101111101111111011101111111111 F -b1111001111110001111100101010 ) -#91136000 -0& -#91152000 -b10110010000 , -#91168000 -1& -#91184000 -b10110000101101010100101010000 " -b10110000101101010100101010000 4 -b11111111111111111110100111110110 1 -b11111111111111111110100111110110 C -b1001010111 0 -b1001010111 H -19 -18 -b10000000001010000001111110001001 < -b10110000101101010100101010000 2 -b10110000101101010100101010000 = -b10110000101101010100101010000 : -b10010101111011101000100111000110 $ -b10010101111011101000100111000110 - -b10010101111011101000100111000110 5 -b10010101111011101000100111000110 ? -b10010101111011101000100111000110 D -b1111111110101111110000001110110 % -b1111111110101111110000001110110 . -b1111111110101111110000001110110 6 -b1111111110101111110000001110110 @ -b1111111110101111110000001110110 F -b10110000101101010100101010000 ) -#91200000 -0& -#91216000 -b10110010001 , -#91232000 -1& -#91248000 -b101111000010111000001100100 " -b101111000010111000001100100 4 -b11011111111111111101001111111100 1 -b11011111111111111101001111111100 C -b11010011 0 -b11010011 H -08 -09 -b110010001000011010110010100111 < -b101111000010111000001100100 2 -b101111000010111000001100100 = -b101111000010111000001100100 : -b11010011101111111100001110111100 $ -b11010011101111111100001110111100 - -b11010011101111111100001110111100 5 -b11010011101111111100001110111100 ? -b11010011101111111100001110111100 D -b11001101110111100101001101011000 % -b11001101110111100101001101011000 . -b11001101110111100101001101011000 6 -b11001101110111100101001101011000 @ -b11001101110111100101001101011000 F -b101111000010111000001100100 ) -#91264000 -0& -#91280000 -b10110010010 , -#91296000 -1& -#91312000 -b10100000011101111000101000000010 " -b10100000011101111000101000000010 4 -b11111111110111111011111110000110 1 -b11111111110111111011111110000110 C -b111111111101011100100101100001 0 -b111111111101011100100101100001 H -18 -b10100000101000000110010001111101 < -b10100000011101111000101000000010 2 -b10100000011101111000101000000010 = -b10100000011101111000101000000010 : -b11111111110101110010010110000100 $ -b11111111110101110010010110000100 - -b11111111110101110010010110000100 5 -b11111111110101110010010110000100 ? -b11111111110101110010010110000100 D -b1011111010111111001101110000010 % -b1011111010111111001101110000010 . -b1011111010111111001101110000010 6 -b1011111010111111001101110000010 @ -b1011111010111111001101110000010 F -b10100000011101111000101000000010 ) -#91328000 -0& -#91344000 -b10110010011 , -#91360000 -1& -#91376000 -b11100111111100010000100100110011 " -b11100111111100010000100100110011 4 -b11111100101111111001110111110011 1 -b11111100101111111001110111110011 C -b11100100101011101001010111110011 0 -b11100100101011101001010111110011 H -b11010000100111001100111111 < -b11100111111100010000100100110011 2 -b11100111111100010000100100110011 = -b11100111111100010000100100110011 : -b11100100101011101001010111110011 $ -b11100100101011101001010111110011 - -b11100100101011101001010111110011 5 -b11100100101011101001010111110011 ? -b11100100101011101001010111110011 D -b11111100101111011000110011000000 % -b11111100101111011000110011000000 . -b11111100101111011000110011000000 6 -b11111100101111011000110011000000 @ -b11111100101111011000110011000000 F -b11100111111100010000100100110011 ) -#91392000 -0& -#91408000 -b10110010100 , -#91424000 -1& -#91440000 -b1000111101010011101100101010110 " -b1000111101010011101100101010110 4 -b11111101111111111010101011101011 1 -b11111101111111111010101011101011 C -b111111011010100110000 0 -b111111011010100110000 H -08 -b1001010000000000101011100010100 < -b1000111101010011101100101010110 2 -b1000111101010011101100101010110 = -b1000111101010011101100101010110 : -b11111101101010011000001001000001 $ -b11111101101010011000001001000001 - -b11111101101010011000001001000001 5 -b11111101101010011000001001000001 ? -b11111101101010011000001001000001 D -b10110101111111111010100011101011 % -b10110101111111111010100011101011 . -b10110101111111111010100011101011 6 -b10110101111111111010100011101011 @ -b10110101111111111010100011101011 F -b1000111101010011101100101010110 ) -#91456000 -0& -#91472000 -b10110010101 , -#91488000 -1& -#91504000 -b1100111010010001000111001111101 " -b1100111010010001000111001111101 4 -b11111101111111101111111110011111 1 -b11111101111111101111111110011111 C -b110111010011111 0 -b110111010011111 H -18 -19 -b10001010000010011100011101101110 < -b1100111010010001000111001111101 2 -b1100111010010001000111001111101 = -b1100111010010001000111001111101 : -b11011101001111101100011100001110 $ -b11011101001111101100011100001110 - -b11011101001111101100011100001110 5 -b11011101001111101100011100001110 ? -b11011101001111101100011100001110 D -b1110101111101100011100010010001 % -b1110101111101100011100010010001 . -b1110101111101100011100010010001 6 -b1110101111101100011100010010001 @ -b1110101111101100011100010010001 F -b1100111010010001000111001111101 ) -#91520000 -0& -#91536000 -b10110010110 , -#91552000 -1& -#91568000 -b10000110110000010010100011110 " -b10000110110000010010100011110 4 -b11111111111111110111111111111110 1 -b11111111111111110111111111111110 C -b11111111 0 -b11111111 H -09 -08 -b10001000110001010100110000111 < -b10000110110000010010100011110 2 -b10000110110000010010100011110 = -b10000110110000010010100011110 : -b11111111101111110111101110010110 $ -b11111111101111110111101110010110 - -b11111111101111110111101110010110 5 -b11111111101111110111101110010110 ? -b11111111101111110111101110010110 D -b11101110111001110101011001111000 % -b11101110111001110101011001111000 . -b11101110111001110101011001111000 6 -b11101110111001110101011001111000 @ -b11101110111001110101011001111000 F -b10000110110000010010100011110 ) -#91584000 -0& -#91600000 -b10110010111 , -#91616000 -1& -#91632000 -b11001000110011000010111110001 " -b11001000110011000010111110001 4 -b1111111111111111001101111111001 1 -b1111111111111111001101111111001 C -b10110001111111010011000 0 -b10110001111111010011000 H -b11000000000110101110110011110111 < -b11001000110011000010111110001 2 -b11001000110011000010111110001 = -b11001000110011000010111110001 : -b1011000111111101001100011111001 $ -b1011000111111101001100011111001 - -b1011000111111101001100011111001 5 -b1011000111111101001100011111001 ? -b1011000111111101001100011111001 D -b111111111001010001001100001000 % -b111111111001010001001100001000 . -b111111111001010001001100001000 6 -b111111111001010001001100001000 @ -b111111111001010001001100001000 F -b11001000110011000010111110001 ) -#91648000 -0& -#91664000 -b10110011000 , -#91680000 -1& -#91696000 -b10100110000001000100010100011001 " -b10100110000001000100010100011001 4 -b11111111111111110101111101111111 1 -b11111111111111110101111101111111 C -b10 0 -b10 H -18 -b110000100001111000110100001 < -b10100110000001000100010100011001 2 -b10100110000001000100010100011001 = -b10100110000001000100010100011001 : -b10011111111100110101001101110111 $ -b10011111111100110101001101110111 - -b10011111111100110101001101110111 5 -b10011111111100110101001101110111 ? -b10011111111100110101001101110111 D -b11111001111011110000111001011110 % -b11111001111011110000111001011110 . -b11111001111011110000111001011110 6 -b11111001111011110000111001011110 @ -b11111001111011110000111001011110 F -b10100110000001000100010100011001 ) -#91712000 -0& -#91728000 -b10110011001 , -#91744000 -1& -#91760000 -b1111111100000111100100110111000 " -b1111111100000111100100110111000 4 -b11111111111111111111111010111101 1 -b11111111111111111111111010111101 C -b11111101111101101111100001 0 -b11111101111101101111100001 H -08 -b100010000100110101111010 < -b1111111100000111100100110111000 2 -b1111111100000111100100110111000 = -b1111111100000111100100110111000 : -b1111110111110110111110000111101 $ -b1111110111110110111110000111101 - -b1111110111110110111110000111101 5 -b1111110111110110111110000111101 ? -b1111110111110110111110000111101 D -b11111111011101111011001010000101 % -b11111111011101111011001010000101 . -b11111111011101111011001010000101 6 -b11111111011101111011001010000101 @ -b11111111011101111011001010000101 F -b1111111100000111100100110111000 ) -#91776000 -0& -#91792000 -b10110011010 , -#91808000 -1& -#91824000 -b10100001001111111101011101011101 " -b10100001001111111101011101011101 4 -b11111111111101111101111111101111 1 -b11111111111101111101111111101111 C -b111111111110110110 0 -b111111111110110110 H -19 -08 -b100001010010001111100110110010 < -b10100001001111111101011101011101 2 -b10100001001111111101011101011101 = -b10100001001111111101011101011101 : -b1111111111101101101110110101010 $ -b1111111111101101101110110101010 - -b1111111111101101101110110101010 5 -b1111111111101101101110110101010 ? -b1111111111101101101110110101010 D -b11011110101101110000011001001101 % -b11011110101101110000011001001101 . -b11011110101101110000011001001101 6 -b11011110101101110000011001001101 @ -b11011110101101110000011001001101 F -b10100001001111111101011101011101 ) -#91840000 -0& -#91856000 -b10110011011 , -#91872000 -1& -#91888000 -b11001000101000001001010000010011 " -b11001000101000001001010000010011 4 -b11101111111110101011011101111101 1 -b11101111111110101011011101111101 C -b1110111 0 -b1110111 H -09 -18 -b11011000101001011101110011000110 < -b11001000101000001001010000010011 2 -b11001000101000001001010000010011 = -b11001000101000001001010000010011 : -b11101111111110101011011101001100 $ -b11101111111110101011011101001100 - -b11101111111110101011011101001100 5 -b11101111111110101011011101001100 ? -b11101111111110101011011101001100 D -b100111010110100010001100111001 % -b100111010110100010001100111001 . -b100111010110100010001100111001 6 -b100111010110100010001100111001 @ -b100111010110100010001100111001 F -b11001000101000001001010000010011 ) -#91904000 -0& -#91920000 -b10110011100 , -#91936000 -1& -#91952000 -b1000010000001101010001110001001 " -b1000010000001101010001110001001 4 -b11111111111011111010110110011011 1 -b11111111111011111010110110011011 C -b10111111111010 0 -b10111111111010 H -19 -18 -b10000010000110101111101011101101 < -b1000010000001101010001110001001 2 -b1000010000001101010001110001001 = -b1000010000001101010001110001001 : -b10111111111010111010100010011011 $ -b10111111111010111010100010011011 - -b10111111111010111010100010011011 5 -b10111111111010111010100010011011 ? -b10111111111010111010100010011011 D -b1111101111001010000010100010010 % -b1111101111001010000010100010010 . -b1111101111001010000010100010010 6 -b1111101111001010000010100010010 @ -b1111101111001010000010100010010 F -b1000010000001101010001110001001 ) -#91968000 -0& -#91984000 -b10110011101 , -#92000000 -1& -#92016000 -b11100111010110001111010000100100 " -b11100111010110001111010000100100 4 -b1111101111111010111010001101111 1 -b1111101111111010111010001101111 C -b11001010011010100110 0 -b11001010011010100110 H -09 -18 -b10000010001000111011111111010100 < -b11100111010110001111010000100100 2 -b11100111010110001111010000100100 = -b11100111010110001111010000100100 : -b1100101001101010011010001001111 $ -b1100101001101010011010001001111 - -b1100101001101010011010001001111 5 -b1100101001101010011010001001111 ? -b1100101001101010011010001001111 D -b1111101110111000100000000101011 % -b1111101110111000100000000101011 . -b1111101110111000100000000101011 6 -b1111101110111000100000000101011 @ -b1111101110111000100000000101011 F -b11100111010110001111010000100100 ) -#92032000 -0& -#92048000 -b10110011110 , -#92064000 -1& -#92080000 -b11001011111100111101010000110010 " -b11001011111100111101010000110010 4 -b11111111111111110101011111110111 1 -b11111111111111110101011111110111 C -b111101111110111100010111000 0 -b111101111110111100010111000 H -18 -b11010100000001001011110100011010 < -b11001011111100111101010000110010 2 -b11001011111100111101010000110010 = -b11001011111100111101010000110010 : -b11110111111011110001011100010111 $ -b11110111111011110001011100010111 - -b11110111111011110001011100010111 5 -b11110111111011110001011100010111 ? -b11110111111011110001011100010111 D -b101011111110110100001011100101 % -b101011111110110100001011100101 . -b101011111110110100001011100101 6 -b101011111110110100001011100101 @ -b101011111110110100001011100101 F -b11001011111100111101010000110010 ) -#92096000 -0& -#92112000 -b10110011111 , -#92128000 -1& -#92144000 -b10011111100000101011100011010111 " -b10011111100000101011100011010111 4 -b11111111111111111101101011101011 1 -b11111111111111111101101011101011 C -b111111101111111111010 0 -b111111101111111111010 H -b10100000100000101110010111110100 < -b10011111100000101011100011010111 2 -b10011111100000101011100011010111 = -b10011111100000101011100011010111 : -b11111110111111111101001011100010 $ -b11111110111111111101001011100010 - -b11111110111111111101001011100010 5 -b11111110111111111101001011100010 ? -b11111110111111111101001011100010 D -b1011111011111010001101000001011 % -b1011111011111010001101000001011 . -b1011111011111010001101000001011 6 -b1011111011111010001101000001011 @ -b1011111011111010001101000001011 F -b10011111100000101011100011010111 ) -#92160000 -0& -#92176000 -b10110100000 , -#92192000 -1& -#92208000 -b101101000011100101010001000100 " -b101101000011100101010001000100 4 -b11111111111101111101011111011100 1 -b11111111111101111101011111011100 C -b11111100111101011101 0 -b11111100111101011101 H -08 -b110000000110000111111000110011 < -b101101000011100101010001000100 2 -b101101000011100101010001000100 = -b101101000011100101010001000100 : -b11111100111101011101011000010000 $ -b11111100111101011101011000010000 - -b11111100111101011101011000010000 5 -b11111100111101011101011000010000 ? -b11111100111101011101011000010000 D -b11001111111001111000000111001100 % -b11001111111001111000000111001100 . -b11001111111001111000000111001100 6 -b11001111111001111000000111001100 @ -b11001111111001111000000111001100 F -b101101000011100101010001000100 ) -#92224000 -0& -#92240000 -b10110100001 , -#92256000 -1& -#92272000 -b11001100000001000011001101010010 " -b11001100000001000011001101010010 4 -b11111111111111111111110011111111 1 -b11111111111111111111110011111111 C -b111111111111111001011000 0 -b111111111111111001011000 H -19 -08 -b1001100000001010000011100011000 < -b11001100000001000011001101010010 2 -b11001100000001000011001101010010 = -b11001100000001000011001101010010 : -b1111111111111110010110000111001 $ -b1111111111111110010110000111001 - -b1111111111111110010110000111001 5 -b1111111111111110010110000111001 ? -b1111111111111110010110000111001 D -b10110011111110101111100011100111 % -b10110011111110101111100011100111 . -b10110011111110101111100011100111 6 -b10110011111110101111100011100111 @ -b10110011111110101111100011100111 F -b11001100000001000011001101010010 ) -#92288000 -0& -#92304000 -b10110100010 , -#92320000 -1& -#92336000 -b1000111110000000110001111100110 " -b1000111110000000110001111100110 4 -b11111111111111111111110000011011 1 -b11111111111111111111110000011011 C -b110 0 -b110 H -09 -08 -b10000000000000111001111100100 < -b1000111110000000110001111100110 2 -b1000111110000000110001111100110 = -b1000111110000000110001111100110 : -b110111101111111111000000000001 $ -b110111101111111111000000000001 - -b110111101111111111000000000001 5 -b110111101111111111000000000001 ? -b110111101111111111000000000001 D -b11101111111111111000110000011011 % -b11101111111111111000110000011011 . -b11101111111111111000110000011011 6 -b11101111111111111000110000011011 @ -b11101111111111111000110000011011 F -b1000111110000000110001111100110 ) -#92352000 -0& -#92368000 -b10110100011 , -#92384000 -1& -#92400000 -b111111000010101110010000011010 " -b111111000010101110010000011010 4 -b1001111111111110111111101111110 1 -b1001111111111110111111101111110 C -b1 0 -b1 H -b11110000001001001011000010100001 < -b111111000010101110010000011010 2 -b111111000010101110010000011010 = -b111111000010101110010000011010 : -b1001110111001100011001101111000 $ -b1001110111001100011001101111000 - -b1001110111001100011001101111000 5 -b1001110111001100011001101111000 ? -b1001110111001100011001101111000 D -b1111110110110100111101011110 % -b1111110110110100111101011110 . -b1111110110110100111101011110 6 -b1111110110110100111101011110 @ -b1111110110110100111101011110 F -b111111000010101110010000011010 ) -#92416000 -0& -#92432000 -b10110100100 , -#92448000 -1& -#92464000 -b1000011111011110001011101 " -b1000011111011110001011101 4 -b11111111011111111111111101011111 1 -b11111111011111111111111101011111 C -b111111000111111011110111010111 0 -b111111000111111011110111010111 H -08 -b100100100001100010011111101 < -b1000011111011110001011101 2 -b1000011111011110001011101 = -b1000011111011110001011101 : -b11111100011111101111011101011111 $ -b11111100011111101111011101011111 - -b11111100011111101111011101011111 5 -b11111100011111101111011101011111 ? -b11111100011111101111011101011111 D -b11111011011011110011101100000010 % -b11111011011011110011101100000010 . -b11111011011011110011101100000010 6 -b11111011011011110011101100000010 @ -b11111011011011110011101100000010 F -b1000011111011110001011101 ) -#92480000 -0& -#92496000 -b10110100101 , -#92512000 -1& -#92528000 -b1001111000111110001100111111110 " -b1001111000111110001100111111110 4 -b1111111111111111110011000111110 1 -b1111111111111111110011000111110 C -b110111010011110 0 -b110111010011110 H -b11100000100000000011100111001111 < -b1001111000111110001100111111110 2 -b1001111000111110001100111111110 = -b1001111000111110001100111111110 : -b1101110100111101110000000101110 $ -b1101110100111101110000000101110 - -b1101110100111101110000000101110 5 -b1101110100111101110000000101110 ? -b1101110100111101110000000101110 D -b11111011111111100011000110000 % -b11111011111111100011000110000 . -b11111011111111100011000110000 6 -b11111011111111100011000110000 @ -b11111011111111100011000110000 F -b1001111000111110001100111111110 ) -#92544000 -0& -#92560000 -b10110100110 , -#92576000 -1& -#92592000 -b11011010010011101011000110000101 " -b11011010010011101011000110000101 4 -b11111011111111111101111110010101 1 -b11111011111111111101111110010101 C -b1100101100111110 0 -b1100101100111110 H -18 -b1111000100000010010011101111 < -b11011010010011101011000110000101 2 -b11011010010011101011000110000101 = -b11011010010011101011000110000101 : -b11001011001111101000110010010101 $ -b11001011001111101000110010010101 - -b11001011001111101000110010010101 5 -b11001011001111101000110010010101 ? -b11001011001111101000110010010101 D -b11110000111011111101101100010000 % -b11110000111011111101101100010000 . -b11110000111011111101101100010000 6 -b11110000111011111101101100010000 @ -b11110000111011111101101100010000 F -b11011010010011101011000110000101 ) -#92608000 -0& -#92624000 -b10110100111 , -#92640000 -1& -#92656000 -b10111001010001100110010100001100 " -b10111001010001100110010100001100 4 -b1111111111111111111110110111111 1 -b1111111111111111111110110111111 C -b11100011110 0 -b11100011110 H -18 -b10000000010100001001001101001100 < -b10111001010001100110010100001100 2 -b10111001010001100110010100001100 = -b10111001010001100110010100001100 : -b111000111101011101000110111111 $ -b111000111101011101000110111111 - -b111000111101011101000110111111 5 -b111000111101011101000110111111 ? -b111000111101011101000110111111 D -b1111111101011110110110010110011 % -b1111111101011110110110010110011 . -b1111111101011110110110010110011 6 -b1111111101011110110110010110011 @ -b1111111101011110110110010110011 F -b10111001010001100110010100001100 ) -#92672000 -0& -#92688000 -b10110101000 , -#92704000 -1& -#92720000 -b1001011000000110011010011100010 " -b1001011000000110011010011100010 4 -b1111111111111110110111100111111 1 -b1111111111111110110111100111111 C -b1111111111111100 0 -b1111111111111100 H -08 -b11001011000001001101000111010000 < -b1001011000000110011010011100010 2 -b1001011000000110011010011100010 = -b1001011000000110011010011100010 : -b1111111111111100110001100010001 $ -b1111111111111100110001100010001 - -b1111111111111100110001100010001 5 -b1111111111111100110001100010001 ? -b1111111111111100110001100010001 D -b110100111110110010111000101111 % -b110100111110110010111000101111 . -b110100111110110010111000101111 6 -b110100111110110010111000101111 @ -b110100111110110010111000101111 F -b1001011000000110011010011100010 ) -#92736000 -0& -#92752000 -b10110101001 , -#92768000 -1& -#92784000 -b10010001000011000101110100001110 " -b10010001000011000101110100001110 4 -b11111111111111011110011011110111 1 -b11111111111111011110011011110111 C -b11011111 0 -b11011111 H -19 -08 -b100001000011100111101100001000 < -b10010001000011000101110100001110 2 -b10010001000011000101110100001110 = -b10010001000011000101110100001110 : -b1101111111111011110001000000101 $ -b1101111111111011110001000000101 - -b1101111111111011110001000000101 5 -b1101111111111011110001000000101 ? -b1101111111111011110001000000101 D -b11011110111100011000010011110111 % -b11011110111100011000010011110111 . -b11011110111100011000010011110111 6 -b11011110111100011000010011110111 @ -b11011110111100011000010011110111 F -b10010001000011000101110100001110 ) -#92800000 -0& -#92816000 -b10110101010 , -#92832000 -1& -#92848000 -b10100000010000011110110001001100 " -b10100000010000011110110001001100 4 -b11111110111111110111010011011101 1 -b11111110111111110111010011011101 C -b1111110111111110110000 0 -b1111110111111110110000 H -b100001010000101000101110110110 < -b10100000010000011110110001001100 2 -b10100000010000011110110001001100 = -b10100000010000011110110001001100 : -b1111110111111110110000010010101 $ -b1111110111111110110000010010101 - -b1111110111111110110000010010101 5 -b1111110111111110110000010010101 ? -b1111110111111110110000010010101 D -b11011110101111010111010001001001 % -b11011110101111010111010001001001 . -b11011110101111010111010001001001 6 -b11011110101111010111010001001001 @ -b11011110101111010111010001001001 F -b10100000010000011110110001001100 ) -#92864000 -0& -#92880000 -b10110101011 , -#92896000 -1& -#92912000 -b110000010100101101000000001010 " -b110000010100101101000000001010 4 -b11111111111111110111101100101110 1 -b11111111111111110111101100101110 C -b101011111100111000101011001011 0 -b101011111100111000101011001011 H -18 -19 -b10000000100001001010010011011101 < -b110000010100101101000000001010 2 -b110000010100101101000000001010 = -b110000010100101101000000001010 : -b10101111110011100010101100101100 $ -b10101111110011100010101100101100 - -b10101111110011100010101100101100 5 -b10101111110011100010101100101100 ? -b10101111110011100010101100101100 D -b1111111011110110101101100100010 % -b1111111011110110101101100100010 . -b1111111011110110101101100100010 6 -b1111111011110110101101100100010 @ -b1111111011110110101101100100010 F -b110000010100101101000000001010 ) -#92928000 -0& -#92944000 -b10110101100 , -#92960000 -1& -#92976000 -b1000101110110010101001110100101 " -b1000101110110010101001110100101 4 -b11111111111111111111111001101111 1 -b11111111111111111111111001101111 C -b11010110111001001110 0 -b11010110111001001110 H -09 -08 -b10000001000000001100110010101 < -b1000101110110010101001110100101 2 -b1000101110110010101001110100101 = -b1000101110110010101001110100101 : -b110101101110010011101000001111 $ -b110101101110010011101000001111 - -b110101101110010011101000001111 5 -b110101101110010011101000001111 ? -b110101101110010011101000001111 D -b11101111110111111110011001101010 % -b11101111110111111110011001101010 . -b11101111110111111110011001101010 6 -b11101111110111111110011001101010 @ -b11101111110111111110011001101010 F -b1000101110110010101001110100101 ) -#92992000 -0& -#93008000 -b10110101101 , -#93024000 -1& -#93040000 -b11100000100001000001101000000100 " -b11100000100001000001101000000100 4 -b1111111111111111111101011111100 1 -b1111111111111111111101011111100 C -b10111111111 0 -b10111111111 H -18 -b10000000100100000000111100001011 < -b11100000100001000001101000000100 2 -b11100000100001000001101000000100 = -b11100000100001000001101000000100 : -b1011111111101000000101011111000 $ -b1011111111101000000101011111000 - -b1011111111101000000101011111000 5 -b1011111111101000000101011111000 ? -b1011111111101000000101011111000 D -b1111111011011111111000011110100 % -b1111111011011111111000011110100 . -b1111111011011111111000011110100 6 -b1111111011011111111000011110100 @ -b1111111011011111111000011110100 F -b11100000100001000001101000000100 ) -#93056000 -0& -#93072000 -b10110101110 , -#93088000 -1& -#93104000 -b1100001101000100110000101000000 " -b1100001101000100110000101000000 4 -b11111010111111111111000111001010 1 -b11111010111111111111000111001010 C -b101101010011101110100 0 -b101101010011101110100 H -08 -b111000001001000111110110101 < -b1100001101000100110000101000000 2 -b1100001101000100110000101000000 = -b1100001101000100110000101000000 : -b1011010100111011101000110001010 $ -b1011010100111011101000110001010 - -b1011010100111011101000110001010 5 -b1011010100111011101000110001010 ? -b1011010100111011101000110001010 D -b11111000111110110111000001001010 % -b11111000111110110111000001001010 . -b11111000111110110111000001001010 6 -b11111000111110110111000001001010 @ -b11111000111110110111000001001010 F -b1100001101000100110000101000000 ) -#93120000 -0& -#93136000 -b10110101111 , -#93152000 -1& -#93168000 -b10001000000111000011001100011011 " -b10001000000111000011001100011011 4 -b11111111111111110111011111111011 1 -b11111111111111110111011111111011 C -b1110111 0 -b1110111 H -19 -08 -b10000010000001011110010000111 < -b10001000000111000011001100011011 2 -b10001000000111000011001100011011 = -b10001000000111000011001100011011 : -b1110111110110110111011010010011 $ -b1110111110110110111011010010011 - -b1110111110110110111011010010011 5 -b1110111110110110111011010010011 ? -b1110111110110110111011010010011 D -b11101111101111110100001101111000 % -b11101111101111110100001101111000 . -b11101111101111110100001101111000 6 -b11101111101111110100001101111000 @ -b11101111101111110100001101111000 F -b10001000000111000011001100011011 ) -#93184000 -0& -#93200000 -b10110110000 , -#93216000 -1& -#93232000 -b1111100100001100010110010101010 " -b1111100100001100010110010101010 4 -b10111111111111111110110111101111 1 -b10111111111111111110110111101111 C -b101111000110010111001101111 0 -b101111000110010111001101111 H -18 -19 -b11000000001000000101111010111010 < -b1111100100001100010110010101010 2 -b1111100100001100010110010101010 = -b1111100100001100010110010101010 : -b10111100011001011100110111101111 $ -b10111100011001011100110111101111 - -b10111100011001011100110111101111 5 -b10111100011001011100110111101111 ? -b10111100011001011100110111101111 D -b111111110111111010000101000101 % -b111111110111111010000101000101 . -b111111110111111010000101000101 6 -b111111110111111010000101000101 @ -b111111110111111010000101000101 F -b1111100100001100010110010101010 ) -#93248000 -0& -#93264000 -b10110110001 , -#93280000 -1& -#93296000 -b101000000010101000010100101110 " -b101000000010101000010100101110 4 -b11111111101111111001101011111111 1 -b11111111101111111001101011111111 C -b1 0 -b1 H -09 -08 -b1001000010101001111010100000000 < -b101000000010101000010100101110 2 -b101000000010101000010100101110 = -b101000000010101000010100101110 : -b11011111101101011001000000101101 $ -b11011111101101011001000000101101 - -b11011111101101011001000000101101 5 -b11011111101101011001000000101101 ? -b11011111101101011001000000101101 D -b10110111101010110000101011111111 % -b10110111101010110000101011111111 . -b10110111101010110000101011111111 6 -b10110111101010110000101011111111 @ -b10110111101010110000101011111111 F -b101000000010101000010100101110 ) -#93312000 -0& -#93328000 -b10110110010 , -#93344000 -1& -#93360000 -b110110100011111011101101010000 " -b110110100011111011101101010000 4 -b1001101111111110111111111010011 1 -b1001101111111110111111111010011 C -b1000100100011110001111110010 0 -b1000100100011110001111110010 H -08 -b11110010000000001001101110111100 < -b110110100011111011101101010000 2 -b110110100011111011101101010000 = -b110110100011111011101101010000 : -b1000100100011110001111110010011 $ -b1000100100011110001111110010011 - -b1000100100011110001111110010011 5 -b1000100100011110001111110010011 ? -b1000100100011110001111110010011 D -b1101111111110110010001000011 % -b1101111111110110010001000011 . -b1101111111110110010001000011 6 -b1101111111110110010001000011 @ -b1101111111110110010001000011 F -b110110100011111011101101010000 ) -#93376000 -0& -#93392000 -b10110110011 , -#93408000 -1& -#93424000 -b1111001110111111011111111001111 " -b1111001110111111011111111001111 4 -b11111111111111111111111111111001 1 -b11111111111111111111111111111001 C -b1111001110111111011101 0 -b1111001110111111011101 H -b1111010110 < -b1111001110111111011111111001111 2 -b1111001110111111011111111001111 = -b1111001110111111011111111001111 : -b1111001110111111011101111111000 $ -b1111001110111111011101111111000 - -b1111001110111111011101111111000 5 -b1111001110111111011101111111000 ? -b1111001110111111011101111111000 D -b11111111111111111111110000101001 % -b11111111111111111111110000101001 . -b11111111111111111111110000101001 6 -b11111111111111111111110000101001 @ -b11111111111111111111110000101001 F -b1111001110111111011111111001111 ) -#93440000 -0& -#93456000 -b10110110100 , -#93472000 -1& -#93488000 -b1101011001100001010101011110011 " -b1101011001100001010101011110011 4 -b11111111111011110101111110111101 1 -b11111111111011110101111110111101 C -b11 0 -b11 H -b100100001010001101000010 < -b1101011001100001010101011110011 2 -b1101011001100001010101011110011 = -b1101011001100001010101011110011 : -b1101010101000000000011110110000 $ -b1101010101000000000011110110000 - -b1101010101000000000011110110000 5 -b1101010101000000000011110110000 ? -b1101010101000000000011110110000 D -b11111111011011110101110010111101 % -b11111111011011110101110010111101 . -b11111111011011110101110010111101 6 -b11111111011011110101110010111101 @ -b11111111011011110101110010111101 F -b1101011001100001010101011110011 ) -#93504000 -0& -#93520000 -b10110110101 , -#93536000 -1& -#93552000 -b10100100110010101001001001001110 " -b10100100110010101001001001001110 4 -b11111101111111111011111110110111 1 -b11111101111111111011111110110111 C -b111111011 0 -b111111011 H -18 -b10100110110101000110100011001000 < -b10100100110010101001001001001110 2 -b10100100110010101001001001001110 = -b10100100110010101001001001001110 : -b11111101111101100010100110000101 $ -b11111101111101100010100110000101 - -b11111101111101100010100110000101 5 -b11111101111101100010100110000101 ? -b11111101111101100010100110000101 D -b1011001001010111001011100110111 % -b1011001001010111001011100110111 . -b1011001001010111001011100110111 6 -b1011001001010111001011100110111 @ -b1011001001010111001011100110111 F -b10100100110010101001001001001110 ) -#93568000 -0& -#93584000 -b10110110110 , -#93600000 -1& -#93616000 -b1001101110000101101100001110100 " -b1001101110000101101100001110100 4 -b11111110111111111110111110111100 1 -b11111110111111111110111110111100 C -b111111001011 0 -b111111001011 H -08 -b1010001000000110111000101001011 < -b1001101110000101101100001110100 2 -b1001101110000101101100001110100 = -b1001101110000101101100001110100 : -b11111100101111110110011100101000 $ -b11111100101111110110011100101000 - -b11111100101111110110011100101000 5 -b11111100101111110110011100101000 ? -b11111100101111110110011100101000 D -b10101110111111001000111010110100 % -b10101110111111001000111010110100 . -b10101110111111001000111010110100 6 -b10101110111111001000111010110100 @ -b10101110111111001000111010110100 F -b1001101110000101101100001110100 ) -#93632000 -0& -#93648000 -b10110110111 , -#93664000 -1& -#93680000 -b11011111010011100100001100001010 " -b11011111010011100100001100001010 4 -b11101111111110111110111110110111 1 -b11101111111110111110111110110111 C -b111011101 0 -b111011101 H -18 -b11110000011001010001001101101000 < -b11011111010011100100001100001010 2 -b11011111010011100100001100001010 = -b11011111010011100100001100001010 : -b11101110111010010010111110100001 $ -b11101110111010010010111110100001 - -b11101110111010010010111110100001 5 -b11101110111010010010111110100001 ? -b11101110111010010010111110100001 D -b1111100110101110110010010111 % -b1111100110101110110010010111 . -b1111100110101110110010010111 6 -b1111100110101110110010010111 @ -b1111100110101110110010010111 F -b11011111010011100100001100001010 ) -#93696000 -0& -#93712000 -b10110111000 , -#93728000 -1& -#93744000 -b10111111111100111001111111111110 " -b10111111111100111001111111111110 4 -b11111111111111111011010011100010 1 -b11111111111111111011010011100010 C -b111111111111001010110100111000 0 -b111111111111001010110100111000 H -b11000000000000001110101100011101 < -b10111111111100111001111111111110 2 -b10111111111100111001111111111110 = -b10111111111100111001111111111110 : -b11111111111100101011010011100000 $ -b11111111111100101011010011100000 - -b11111111111100101011010011100000 5 -b11111111111100101011010011100000 ? -b11111111111100101011010011100000 D -b111111111111110001010011100010 % -b111111111111110001010011100010 . -b111111111111110001010011100010 6 -b111111111111110001010011100010 @ -b111111111111110001010011100010 F -b10111111111100111001111111111110 ) -#93760000 -0& -#93776000 -b10110111001 , -#93792000 -1& -#93808000 -b11011000111100101101010101111001 " -b11011000111100101101010101111001 4 -b1111111111111110101101111001111 1 -b1111111111111110101101111001111 C -b11111111110010000 0 -b11111111110010000 H -18 -09 -b10011001000000001011110000110010 < -b11011000111100101101010101111001 2 -b11011000111100101101010101111001 = -b11011000111100101101010101111001 : -b111111111100100001100101000110 $ -b111111111100100001100101000110 - -b111111111100100001100101000110 5 -b111111111100100001100101000110 ? -b111111111100100001100101000110 D -b1100110111111110100001111001101 % -b1100110111111110100001111001101 . -b1100110111111110100001111001101 6 -b1100110111111110100001111001101 @ -b1100110111111110100001111001101 F -b11011000111100101101010101111001 ) -#93824000 -0& -#93840000 -b10110111010 , -#93856000 -1& -#93872000 -b1101110011110010111101000011100 " -b1101110011110010111101000011100 4 -b11111111111111111010111101100111 1 -b11111111111111111010111101100111 C -b101111001101111001010010 0 -b101111001101111001010010 H -08 -b10000000010100101000011011000 < -b1101110011110010111101000011100 2 -b1101110011110010111101000011100 = -b1101110011110010111101000011100 : -b1011110011011110010100101000011 $ -b1011110011011110010100101000011 - -b1011110011011110010100101000011 5 -b1011110011011110010100101000011 ? -b1011110011011110010100101000011 D -b11101111111101011010111100100111 % -b11101111111101011010111100100111 . -b11101111111101011010111100100111 6 -b11101111111101011010111100100111 @ -b11101111111101011010111100100111 F -b1101110011110010111101000011100 ) -#93888000 -0& -#93904000 -b10110111011 , -#93920000 -1& -#93936000 -b10111100000011000110011010011111 " -b10111100000011000110011010011111 4 -b11111111111101111111110111111011 1 -b11111111111101111111110111111011 C -b1111 0 -b1111 H -19 -08 -b1000000000110001001001000100100 < -b10111100000011000110011010011111 2 -b10111100000011000110011010011111 = -b10111100000011000110011010011111 : -b1111011111100111101010001111010 $ -b1111011111100111101010001111010 - -b1111011111100111101010001111010 5 -b1111011111100111101010001111010 ? -b1111011111100111101010001111010 D -b10111111111001110110110111011011 % -b10111111111001110110110111011011 . -b10111111111001110110110111011011 6 -b10111111111001110110110111011011 @ -b10111111111001110110110111011011 F -b10111100000011000110011010011111 ) -#93952000 -0& -#93968000 -b10110111100 , -#93984000 -1& -#94000000 -b11101110101011000110111001111110 " -b11101110101011000110111001111110 4 -b1111111111111111111101111111110 1 -b1111111111111111111101111111110 C -b101 0 -b101 H -18 -09 -b10001110110000001011010100000011 < -b11101110101011000110111001111110 2 -b11101110101011000110111001111110 = -b11101110101011000110111001111110 : -b1011111111010111011100101111010 $ -b1011111111010111011100101111010 - -b1011111111010111011100101111010 5 -b1011111111010111011100101111010 ? -b1011111111010111011100101111010 D -b1110001001111110100101011111100 % -b1110001001111110100101011111100 . -b1110001001111110100101011111100 6 -b1110001001111110100101011111100 @ -b1110001001111110100101011111100 F -b11101110101011000110111001111110 ) -#94016000 -0& -#94032000 -b10110111101 , -#94048000 -1& -#94064000 -b1010001000001010011000001001001 " -b1010001000001010011000001001001 4 -b11111111111110111011000011010111 1 -b11111111111110111011000011010111 C -b10100011111000101100001 0 -b10100011111000101100001 H -08 -b101000000011000111111110111000 < -b1010001000001010011000001001001 2 -b1010001000001010011000001001001 = -b1010001000001010011000001001001 : -b101000111110001011000010010000 $ -b101000111110001011000010010000 - -b101000111110001011000010010000 5 -b101000111110001011000010010000 ? -b101000111110001011000010010000 D -b11010111111100111000000001000111 % -b11010111111100111000000001000111 . -b11010111111100111000000001000111 6 -b11010111111100111000000001000111 @ -b11010111111100111000000001000111 F -b1010001000001010011000001001001 ) -#94080000 -0& -#94096000 -b10110111110 , -#94112000 -1& -#94128000 -b1000101000000010011001001100000 " -b1000101000000010011001001100000 4 -b11111101111111110111101111101111 1 -b11111101111111110111101111101111 C -b11111001111111100 0 -b11111001111111100 H -08 -09 -b1001011000000101101011100110000 < -b1000101000000010011001001100000 2 -b1000101000000010011001001100000 = -b1000101000000010011001001100000 : -b11111001111111100101101100101111 $ -b11111001111111100101101100101111 - -b11111001111111100101101100101111 5 -b11111001111111100101101100101111 ? -b11111001111111100101101100101111 D -b10110100111111010010100011001111 % -b10110100111111010010100011001111 . -b10110100111111010010100011001111 6 -b10110100111111010010100011001111 @ -b10110100111111010010100011001111 F -b1000101000000010011001001100000 ) -#94144000 -0& -#94160000 -b10110111111 , -#94176000 -1& -#94192000 -b1011110000110111001011000100111 " -b1011110000110111001011000100111 4 -b11111011101111111010111111111111 1 -b11111011101111111010111111111111 C -b1011001 0 -b1011001 H -08 -b100011001001111000010000111 < -b1011110000110111001011000100111 2 -b1011110000110111001011000100111 = -b1011110000110111001011000100111 : -b1011001101101101010010110011111 $ -b1011001101101101010010110011111 - -b1011001101101101010010110011111 5 -b1011001101101101010010110011111 ? -b1011001101101101010010110011111 D -b11111011100110110000111101111000 % -b11111011100110110000111101111000 . -b11111011100110110000111101111000 6 -b11111011100110110000111101111000 @ -b11111011100110110000111101111000 F -b1011110000110111001011000100111 ) -#94208000 -0& -#94224000 -b10111000000 , -#94240000 -1& -#94256000 -b1110011001001011011001000111010 " -b1110011001001011011001000111010 4 -b11111111111111111111111111011111 1 -b11111111111111111111111111011111 C -b11011 0 -b11011 H -19 -18 -b10010100001010000101001100100100 < -b1110011001001011011001000111010 2 -b1110011001001011011001000111010 = -b1110011001001011011001000111010 : -b11011110111111010101111100010101 $ -b11011110111111010101111100010101 - -b11011110111111010101111100010101 5 -b11011110111111010101111100010101 ? -b11011110111111010101111100010101 D -b1101011110101111010110011011011 % -b1101011110101111010110011011011 . -b1101011110101111010110011011011 6 -b1101011110101111010110011011011 @ -b1101011110101111010110011011011 F -b1110011001001011011001000111010 ) -#94272000 -0& -#94288000 -b10111000001 , -#94304000 -1& -#94320000 -b100011100101011110001011000 " -b100011100101011110001011000 4 -b1111111111111111100011111111111 1 -b1111111111111111100011111111111 C -b0 0 -b0 H -08 -09 -b10010000100000101111100000000000 < -b100011100101011110001011000 2 -b100011100101011110001011000 = -b100011100101011110001011000 : -b1110011111011111100010001010111 $ -b1110011111011111100010001010111 - -b1110011111011111100010001010111 5 -b1110011111011111100010001010111 ? -b1110011111011111100010001010111 D -b1101111011111010000011111111111 % -b1101111011111010000011111111111 . -b1101111011111010000011111111111 6 -b1101111011111010000011111111111 @ -b1101111011111010000011111111111 F -b100011100101011110001011000 ) -#94336000 -0& -#94352000 -b10111000010 , -#94368000 -1& -#94384000 -b11110101011001101001110001010 " -b11110101011001101001110001010 4 -b1101111111111110101011110111111 1 -b1101111111111110101011110111111 C -b1001 0 -b1001 H -08 -b11010000000100001011110111100100 < -b11110101011001101001110001010 2 -b11110101011001101001110001010 = -b11110101011001101001110001010 : -b1001110100111000001010110100101 $ -b1001110100111000001010110100101 - -b1001110100111000001010110100101 5 -b1001110100111000001010110100101 ? -b1001110100111000001010110100101 D -b101111111011110100001000011011 % -b101111111011110100001000011011 . -b101111111011110100001000011011 6 -b101111111011110100001000011011 @ -b101111111011110100001000011011 F -b11110101011001101001110001010 ) -#94400000 -0& -#94416000 -b10111000011 , -#94432000 -1& -#94448000 -b1000111011010011000110101101001 " -b1000111011010011000110101101001 4 -b1111011011111111011011010111111 1 -b1111011011111111011011010111111 C -b111100101111110101 0 -b111100101111110101 H -b11001101111010101101101101010010 < -b1000111011010011000110101101001 2 -b1000111011010011000110101101001 = -b1000111011010011000110101101001 : -b1111001011111101011001000010110 $ -b1111001011111101011001000010110 - -b1111001011111101011001000010110 5 -b1111001011111101011001000010110 ? -b1111001011111101011001000010110 D -b110010000101010010010010101101 % -b110010000101010010010010101101 . -b110010000101010010010010101101 6 -b110010000101010010010010101101 @ -b110010000101010010010010101101 F -b1000111011010011000110101101001 ) -#94464000 -0& -#94480000 -b10111000100 , -#94496000 -1& -#94512000 -b10110011110111111111001100101010 " -b10110011110111111111001100101010 4 -b11111111111011111011111100101010 1 -b11111111111011111011111100101010 C -b11110011101011111010100100101010 0 -b11110011101011111010100100101010 H -18 -b11000000001100000100100111111111 < -b10110011110111111111001100101010 2 -b10110011110111111111001100101010 = -b10110011110111111111001100101010 : -b11110011101011111010100100101010 $ -b11110011101011111010100100101010 - -b11110011101011111010100100101010 5 -b11110011101011111010100100101010 ? -b11110011101011111010100100101010 D -b111111110011111011011000000000 % -b111111110011111011011000000000 . -b111111110011111011011000000000 6 -b111111110011111011011000000000 @ -b111111110011111011011000000000 F -b10110011110111111111001100101010 ) -#94528000 -0& -#94544000 -b10111000101 , -#94560000 -1& -#94576000 -b11100011011100011101001110110001 " -b11100011011100011101001110110001 4 -b11111111101111111111011111011111 1 -b11111111101111111111011111011111 C -b11 0 -b11 H -b1001110001000110110000100001 < -b11100011011100011101001110110001 2 -b11100011011100011101001110110001 = -b11100011011100011101001110110001 : -b11011001101011010110011110001111 $ -b11011001101011010110011110001111 - -b11011001101011010110011110001111 5 -b11011001101011010110011110001111 ? -b11011001101011010110011110001111 D -b11110110001110111001001111011110 % -b11110110001110111001001111011110 . -b11110110001110111001001111011110 6 -b11110110001110111001001111011110 @ -b11110110001110111001001111011110 F -b11100011011100011101001110110001 ) -#94592000 -0& -#94608000 -b10111000110 , -#94624000 -1& -#94640000 -b10100001001010101100111000 " -b10100001001010101100111000 4 -b11111111111111111111101111001111 1 -b11111111111111111111101111001111 C -b11111111111110111 0 -b11111111111110111 H -08 -b10100010001101011101110000 < -b10100001001010101100111000 2 -b10100001001010101100111000 = -b10100001001010101100111000 : -b11111111111110111101001111000111 $ -b11111111111110111101001111000111 - -b11111111111110111101001111000111 5 -b11111111111110111101001111000111 ? -b11111111111110111101001111000111 D -b11111101011101110010100010001111 % -b11111101011101110010100010001111 . -b11111101011101110010100010001111 6 -b11111101011101110010100010001111 @ -b11111101011101110010100010001111 F -b10100001001010101100111000 ) -#94656000 -0& -#94672000 -b10111000111 , -#94688000 -1& -#94704000 -b1101010111110111110100000010111 " -b1101010111110111110100000010111 4 -b11111111101111011111110101011001 1 -b11111111101111011111110101011001 C -b1101111010111001011111010101100 0 -b1101111010111001011111010101100 H -19 -18 -b10001100010000100110101010111110 < -b1101010111110111110100000010111 2 -b1101010111110111110100000010111 = -b1101010111110111110100000010111 : -b11011110101110010111110101011000 $ -b11011110101110010111110101011000 - -b11011110101110010111110101011000 5 -b11011110101110010111110101011000 ? -b11011110101110010111110101011000 D -b1110011101111011001010101000001 % -b1110011101111011001010101000001 . -b1110011101111011001010101000001 6 -b1110011101111011001010101000001 @ -b1110011101111011001010101000001 F -b1101010111110111110100000010111 ) -#94720000 -0& -#94736000 -b10111001000 , -#94752000 -1& -#94768000 -b1101011011111111110111111101110 " -b1101011011111111110111111101110 4 -b11011100111011110001011111110010 1 -b11011100111011110001011111110010 C -b10010000110111100000111101100 0 -b10010000110111100000111101100 H -09 -08 -b100011000100001110100000111101 < -b1101011011111111110111111101110 2 -b1101011011111111110111111101110 = -b1101011011111111110111111101110 : -b1001000011011110000011110110000 $ -b1001000011011110000011110110000 - -b1001000011011110000011110110000 5 -b1001000011011110000011110110000 ? -b1001000011011110000011110110000 D -b11011100111011110001011111000010 % -b11011100111011110001011111000010 . -b11011100111011110001011111000010 6 -b11011100111011110001011111000010 @ -b11011100111011110001011111000010 F -b1101011011111111110111111101110 ) -#94784000 -0& -#94800000 -b10111001001 , -#94816000 -1& -#94832000 -b11111110100010001100010101000100 " -b11111110100010001100010101000100 4 -b11011111111111111111010101010101 1 -b11011111111111111111010101010101 C -b110111100111011 0 -b110111100111011 H -18 -09 -b100000000100001100111111101110 < -b11111110100010001100010101000100 2 -b11111110100010001100010101000100 = -b11111110100010001100010101000100 : -b11011110011101111111010101010101 $ -b11011110011101111111010101010101 - -b11011110011101111111010101010101 5 -b11011110011101111111010101010101 ? -b11011110011101111111010101010101 D -b11011111111011110011000000010001 % -b11011111111011110011000000010001 . -b11011111111011110011000000010001 6 -b11011111111011110011000000010001 @ -b11011111111011110011000000010001 F -b11111110100010001100010101000100 ) -#94848000 -0& -#94864000 -b10111001010 , -#94880000 -1& -#94896000 -b10111011111110011001111100110001 " -b10111011111110011001111100110001 4 -b11111111111101111110000101011101 1 -b11111111111101111110000101011101 C -b1111 0 -b1111 H -18 -b11000100000010001101111011100011 < -b10111011111110011001111100110001 2 -b10111011111110011001111100110001 = -b10111011111110011001111100110001 : -b11110111111100001100000001001101 $ -b11110111111100001100000001001101 - -b11110111111100001100000001001101 5 -b11110111111100001100000001001101 ? -b11110111111100001100000001001101 D -b111011111101110010000100011100 % -b111011111101110010000100011100 . -b111011111101110010000100011100 6 -b111011111101110010000100011100 @ -b111011111101110010000100011100 F -b10111011111110011001111100110001 ) -#94912000 -0& -#94928000 -b10111001011 , -#94944000 -1& -#94960000 -b1111011111110011001101000100001 " -b1111011111110011001101000100001 4 -b11111111110111111101111001111111 1 -b11111111110111111101111001111111 C -b111 0 -b111 H -19 -18 -b10000000001000000011110111000010 < -b1111011111110011001101000100001 2 -b1111011111110011001101000100001 = -b1111011111110011001101000100001 : -b11111011110110010101110001011110 $ -b11111011110110010101110001011110 - -b11111011110110010101110001011110 5 -b11111011110110010101110001011110 ? -b11111011110110010101110001011110 D -b1111111110111111100001000111101 % -b1111111110111111100001000111101 . -b1111111110111111100001000111101 6 -b1111111110111111100001000111101 @ -b1111111110111111100001000111101 F -b1111011111110011001101000100001 ) -#94976000 -0& -#94992000 -b10111001100 , -#95008000 -1& -#95024000 -b100111101110010000110100100011 " -b100111101110010000110100100011 4 -b1111111111111110111111101111111 1 -b1111111111111110111111101111111 C -b11111 0 -b11111 H -09 -08 -b10101000001110101010010110100101 < -b100111101110010000110100100011 2 -b100111101110010000110100100011 = -b100111101110010000110100100011 : -b1111111011111100110011101111101 $ -b1111111011111100110011101111101 - -b1111111011111100110011101111101 5 -b1111111011111100110011101111101 ? -b1111111011111100110011101111101 D -b1010111110001010101101001011010 % -b1010111110001010101101001011010 . -b1010111110001010101101001011010 6 -b1010111110001010101101001011010 @ -b1010111110001010101101001011010 F -b100111101110010000110100100011 ) -#95040000 -0& -#95056000 -b10111001101 , -#95072000 -1& -#95088000 -b1110101000111101111101101110010 " -b1110101000111101111101101110010 4 -b11111111111111110000110111011110 1 -b11111111111111110000110111011110 C -b1110 0 -b1110 H -19 -18 -b10000101001000001111011000100011 < -b1110101000111101111101101110010 2 -b1110101000111101111101101110010 = -b1110101000111101111101101110010 : -b11101111111111100000010101001110 $ -b11101111111111100000010101001110 - -b11101111111111100000010101001110 5 -b11101111111111100000010101001110 ? -b11101111111111100000010101001110 D -b1111010110111110000100111011100 % -b1111010110111110000100111011100 . -b1111010110111110000100111011100 6 -b1111010110111110000100111011100 @ -b1111010110111110000100111011100 F -b1110101000111101111101101110010 ) -#95104000 -0& -#95120000 -b10111001110 , -#95136000 -1& -#95152000 -b110001000101000110101010000101 " -b110001000101000110101010000101 4 -b11111111011111111110111111001111 1 -b11111111011111111110111111001111 C -b1010111101110100001011 0 -b1010111101110100001011 H -b10000001101000000011110000110101 < -b110001000101000110101010000101 2 -b110001000101000110101010000101 = -b110001000101000110101010000101 : -b10101111011101000010111001001111 $ -b10101111011101000010111001001111 - -b10101111011101000010111001001111 5 -b10101111011101000010111001001111 ? -b10101111011101000010111001001111 D -b1111110010111111100001111001010 % -b1111110010111111100001111001010 . -b1111110010111111100001111001010 6 -b1111110010111111100001111001010 @ -b1111110010111111100001111001010 F -b110001000101000110101010000101 ) -#95168000 -0& -#95184000 -b10111001111 , -#95200000 -1& -#95216000 -b1101011111011000100101111110100 " -b1101011111011000100101111110100 4 -b11111110111111111111111010110101 1 -b11111110111111111111111010110101 C -b11010101110011 0 -b11010101110011 H -09 -08 -b1000001001010010101001110 < -b1101011111011000100101111110100 2 -b1101011111011000100101111110100 = -b1101011111011000100101111110100 : -b1101010111001111010011010100101 $ -b1101010111001111010011010100101 - -b1101010111001111010011010100101 5 -b1101010111001111010011010100101 ? -b1101010111001111010011010100101 D -b11111110111110110101101010110001 % -b11111110111110110101101010110001 . -b11111110111110110101101010110001 6 -b11111110111110110101101010110001 @ -b11111110111110110101101010110001 F -b1101011111011000100101111110100 ) -#95232000 -0& -#95248000 -b10111010000 , -#95264000 -1& -#95280000 -b1100010100010110011010010111111 " -b1100010100010110011010010111111 4 -b11111011101111111111011111111011 1 -b11111011101111111111011111111011 C -b1011 0 -b1011 H -b111010011000100110011000100 < -b1100010100010110011010010111111 2 -b1100010100010110011010010111111 = -b1100010100010110011010010111111 : -b1011011001111101110011111111010 $ -b1011011001111101110011111111010 - -b1011011001111101110011111111010 5 -b1011011001111101110011111111010 ? -b1011011001111101110011111111010 D -b11111000101100111011001100111011 % -b11111000101100111011001100111011 . -b11111000101100111011001100111011 6 -b11111000101100111011001100111011 @ -b11111000101100111011001100111011 F -b1100010100010110011010010111111 ) -#95296000 -0& -#95312000 -b10111010001 , -#95328000 -1& -#95344000 -b11100001111000100100010001010 " -b11100001111000100100010001010 4 -b11111111111111111111101010001110 1 -b11111111111111111111101010001110 C -b1101111111011111000101000 0 -b1101111111011111000101000 H -b10000000110010111111011 < -b11100001111000100100010001010 2 -b11100001111000100100010001010 = -b11100001111000100100010001010 : -b11011111110111110001010001110 $ -b11011111110111110001010001110 - -b11011111110111110001010001110 5 -b11011111110111110001010001110 ? -b11011111110111110001010001110 D -b11111111101111111001101000000100 % -b11111111101111111001101000000100 . -b11111111101111111001101000000100 6 -b11111111101111111001101000000100 @ -b11111111101111111001101000000100 F -b11100001111000100100010001010 ) -#95360000 -0& -#95376000 -b10111010010 , -#95392000 -1& -#95408000 -b10000100000000010110110010110001 " -b10000100000000010110110010110001 4 -b10111111101111111011111111011111 1 -b10111111101111111011111111011111 C -b101100111 0 -b101100111 H -18 -b11010000010000100101000101101000 < -b10000100000000010110110010110001 2 -b10000100000000010110110010110001 = -b10000100000000010110110010110001 : -b10110011101111110001101101001000 $ -b10110011101111110001101101001000 - -b10110011101111110001101101001000 5 -b10110011101111110001101101001000 ? -b10110011101111110001101101001000 D -b101111101111011010111010010111 % -b101111101111011010111010010111 . -b101111101111011010111010010111 6 -b101111101111011010111010010111 @ -b101111101111011010111010010111 F -b10000100000000010110110010110001 ) -#95424000 -0& -#95440000 -b10111010011 , -#95456000 -1& -#95472000 -b11001101111000000000110101100011 " -b11001101111000000000110101100011 4 -b11011111111111011001111111111111 1 -b11011111111111011001111111111111 C -b10110111101110110 0 -b10110111101110110 H -19 -08 -b1110010000000100110111000010001 < -b11001101111000000000110101100011 2 -b11001101111000000000110101100011 = -b11001101111000000000110101100011 : -b1011011110111011001111101010001 $ -b1011011110111011001111101010001 - -b1011011110111011001111101010001 5 -b1011011110111011001111101010001 ? -b1011011110111011001111101010001 D -b10001101111111011001000111101110 % -b10001101111111011001000111101110 . -b10001101111111011001000111101110 6 -b10001101111111011001000111101110 @ -b10001101111111011001000111101110 F -b11001101111000000000110101100011 ) -#95488000 -0& -#95504000 -b10111010100 , -#95520000 -1& -#95536000 -b1111110111011111001011100100110 " -b1111110111011111001011100100110 4 -b10111111011111111011011111111111 1 -b10111111011111111011011111111111 C -b10111101011010111 0 -b10111101011010111 H -18 -b11000001100000111101111101010000 < -b1111110111011111001011100100110 2 -b1111110111011111001011100100110 = -b1111110111011111001011100100110 : -b10111101011010111011011111010101 $ -b10111101011010111011011111010101 - -b10111101011010111011011111010101 5 -b10111101011010111011011111010101 ? -b10111101011010111011011111010101 D -b111110011111000010000010101111 % -b111110011111000010000010101111 . -b111110011111000010000010101111 6 -b111110011111000010000010101111 @ -b111110011111000010000010101111 F -b1111110111011111001011100100110 ) -#95552000 -0& -#95568000 -b10111010101 , -#95584000 -1& -#95600000 -b1110100111100000100100000110100 " -b1110100111100000100100000110100 4 -b11111111111101111110111011011110 1 -b11111111111101111110111011011110 C -b11 0 -b11 H -19 -18 -b10000001000010001001100101100001 < -b1110100111100000100100000110100 2 -b1110100111100000100100000110100 = -b1110100111100000100100000110100 : -b11110011111001111010111011010010 $ -b11110011111001111010111011010010 - -b11110011111001111010111011010010 5 -b11110011111001111010111011010010 ? -b11110011111001111010111011010010 D -b1111110111101110110011010011110 % -b1111110111101110110011010011110 . -b1111110111101110110011010011110 6 -b1111110111101110110011010011110 @ -b1111110111101110110011010011110 F -b1110100111100000100100000110100 ) -#95616000 -0& -#95632000 -b10111010110 , -#95648000 -1& -#95664000 -b1101111011110110111100111011011 " -b1101111011110110111100111011011 4 -b11111111111111111010111111111111 1 -b11111111111111111010111111111111 C -b0 0 -b0 H -09 -08 -b110000000000000101001001100000 < -b1101111011110110111100111011011 2 -b1101111011110110111100111011011 = -b1101111011110110111100111011011 : -b111111011110110010011101111010 $ -b111111011110110010011101111010 - -b111111011110110010011101111010 5 -b111111011110110010011101111010 ? -b111111011110110010011101111010 D -b11001111111111111010110110011111 % -b11001111111111111010110110011111 . -b11001111111111111010110110011111 6 -b11001111111111111010110110011111 @ -b11001111111111111010110110011111 F -b1101111011110110111100111011011 ) -#95680000 -0& -#95696000 -b10111010111 , -#95712000 -1& -#95728000 -b11010000001100010111111111111000 " -b11010000001100010111111111111000 4 -b11111110111111111101100111011000 1 -b11111110111111111101100111011000 C -b1100111011111111 0 -b1100111011111111 H -18 -b1001100011010011000101111 < -b11010000001100010111111111111000 2 -b11010000001100010111111111111000 = -b11010000001100010111111111111000 : -b11001110111111111101100111001000 $ -b11001110111111111101100111001000 - -b11001110111111111101100111001000 5 -b11001110111111111101100111001000 ? -b11001110111111111101100111001000 D -b11111110110011100101100111010000 % -b11111110110011100101100111010000 . -b11111110110011100101100111010000 6 -b11111110110011100101100111010000 @ -b11111110110011100101100111010000 F -b11010000001100010111111111111000 ) -#95744000 -0& -#95760000 -b10111011000 , -#95776000 -1& -#95792000 -b11000011000000000001101011011100 " -b11000011000000000001101011011100 4 -b11111111011111111111111100111101 1 -b11111111011111111111111100111101 C -b101 0 -b101 H -b11100000000100101111000010 < -b11000011000000000001101011011100 2 -b11000011000000000001101011011100 = -b11000011000000000001101011011100 : -b10111111011111111100111100011001 $ -b10111111011111111100111100011001 - -b10111111011111111100111100011001 5 -b10111111011111111100111100011001 ? -b10111111011111111100111100011001 D -b11111100011111111011010000111101 % -b11111100011111111011010000111101 . -b11111100011111111011010000111101 6 -b11111100011111111011010000111101 @ -b11111100011111111011010000111101 F -b11000011000000000001101011011100 ) -#95808000 -0& -#95824000 -b10111011001 , -#95840000 -1& -#95856000 -b11110010000011110110011100111100 " -b11110010000011110110011100111100 4 -b1111111111111111011101111110110 1 -b1111111111111111011101111110110 C -b110111111 0 -b110111111 H -18 -b10000010000100000100010001001001 < -b11110010000011110110011100111100 2 -b11110010000011110110011100111100 = -b11110010000011110110011100111100 : -b1101111111111110010001011110010 $ -b1101111111111110010001011110010 - -b1101111111111110010001011110010 5 -b1101111111111110010001011110010 ? -b1101111111111110010001011110010 D -b1111101111011111011101110110110 % -b1111101111011111011101110110110 . -b1111101111011111011101110110110 6 -b1111101111011111011101110110110 @ -b1111101111011111011101110110110 F -b11110010000011110110011100111100 ) -#95872000 -0& -#95888000 -b10111011010 , -#95904000 -1& -#95920000 -b10101111111101011100000010000111 " -b10101111111101011100000010000111 4 -b11110111011111110110010110000111 1 -b11110111011111110110010110000111 C -b11100111010111110010010100000111 0 -b11100111010111110010010100000111 H -18 -b11001000100101101001101101111111 < -b10101111111101011100000010000111 2 -b10101111111101011100000010000111 = -b10101111111101011100000010000111 : -b11100111010111110010010100000111 $ -b11100111010111110010010100000111 - -b11100111010111110010010100000111 5 -b11100111010111110010010100000111 ? -b11100111010111110010010100000111 D -b110111011010010110010010000000 % -b110111011010010110010010000000 . -b110111011010010110010010000000 6 -b110111011010010110010010000000 @ -b110111011010010110010010000000 F -b10101111111101011100000010000111 ) -#95936000 -0& -#95952000 -b10111011011 , -#95968000 -1& -#95984000 -b1001001001110101100111100010 " -b1001001001110101100111100010 4 -b1111111111111111111101110110111 1 -b1111111111111111111101110110111 C -b111111111111 0 -b111111111111 H -08 -b10001001001010000000111001001100 < -b1001001001110101100111100010 2 -b1001001001110101100111100010 = -b1001001001110101100111100010 : -b1111111111111110100101110010101 $ -b1111111111111110100101110010101 - -b1111111111111110100101110010101 5 -b1111111111111110100101110010101 ? -b1111111111111110100101110010101 D -b1110110110101111111000110110011 % -b1110110110101111111000110110011 . -b1110110110101111111000110110011 6 -b1110110110101111111000110110011 @ -b1110110110101111111000110110011 F -b1001001001110101100111100010 ) -#96000000 -0& -#96016000 -b10111011100 , -#96032000 -1& -#96048000 -b1000100000010001010010001101000 " -b1000100000010001010010001101000 4 -b11111111110111111110010111111011 1 -b11111111110111111110010111111011 C -b11101111011 0 -b11101111011 H -08 -b1000001010001101111100101100 < -b1000100000010001010010001101000 2 -b1000100000010001010010001101000 = -b1000100000010001010010001101000 : -b111011110111111100010100111011 $ -b111011110111111100010100111011 - -b111011110111111100010100111011 5 -b111011110111111100010100111011 ? -b111011110111111100010100111011 D -b11110111110101110010000011010011 % -b11110111110101110010000011010011 . -b11110111110101110010000011010011 6 -b11110111110101110010000011010011 @ -b11110111110101110010000011010011 F -b1000100000010001010010001101000 ) -#96064000 -0& -#96080000 -b10111011101 , -#96096000 -1& -#96112000 -b1111011111110010111011010111101 " -b1111011111110010111011010111101 4 -b11111111011111111111111111111011 1 -b11111111011111111111111111111011 C -b1111 0 -b1111 H -09 -08 -b100000011000100100000100 < -b1111011111110010111011010111101 2 -b1111011111110010111011010111101 = -b1111011111110010111011010111101 : -b1111011011101111110110110111000 $ -b1111011011101111110110110111000 - -b1111011011101111110110110111000 5 -b1111011011101111110110110111000 ? -b1111011011101111110110110111000 D -b11111111011111100111011011111011 % -b11111111011111100111011011111011 . -b11111111011111100111011011111011 6 -b11111111011111100111011011111011 @ -b11111111011111100111011011111011 F -b1111011111110010111011010111101 ) -#96128000 -0& -#96144000 -b10111011110 , -#96160000 -1& -#96176000 -b1110111010100110001101011111101 " -b1110111010100110001101011111101 4 -b11111011111111111111101110010011 1 -b11111011111111111111101110010011 C -b1101100111001 0 -b1101100111001 H -19 -18 -b10011101100001001000011101101100 < -b1110111010100110001101011111101 2 -b1110111010100110001101011111101 = -b1110111010100110001101011111101 : -b11011001110011101001001110010000 $ -b11011001110011101001001110010000 - -b11011001110011101001001110010000 5 -b11011001110011101001001110010000 ? -b11011001110011101001001110010000 D -b1100010011110110111100010010011 % -b1100010011110110111100010010011 . -b1100010011110110111100010010011 6 -b1100010011110110111100010010011 @ -b1100010011110110111100010010011 F -b1110111010100110001101011111101 ) -#96192000 -0& -#96208000 -b10111011111 , -#96224000 -1& -#96240000 -b110010111101000101110101011000 " -b110010111101000101110101011000 4 -b11111111111111111111111101101010 1 -b11111111111111111111111101101010 C -b10110111110010010101 0 -b10110111110010010101 H -09 -08 -b101000000100000010111110101 < -b110010111101000101110101011000 2 -b110010111101000101110101011000 = -b110010111101000101110101011000 : -b101101111100100101011101100010 $ -b101101111100100101011101100010 - -b101101111100100101011101100010 5 -b101101111100100101011101100010 ? -b101101111100100101011101100010 D -b11111010111111011111101000001010 % -b11111010111111011111101000001010 . -b11111010111111011111101000001010 6 -b11111010111111011111101000001010 @ -b11111010111111011111101000001010 F -b110010111101000101110101011000 ) -#96256000 -0& -#96272000 -b10111100000 , -#96288000 -1& -#96304000 -b11111101001011011101010110 " -b11111101001011011101010110 4 -b11111111011111111111111101011111 1 -b11111111011111111111111101011111 C -b11111111011011110111010 0 -b11111111011011110111010 H -08 -09 -b100100001010100000111110110 < -b11111101001011011101010110 2 -b11111101001011011101010110 = -b11111101001011011101010110 : -b11111111011011110111010101011111 $ -b11111111011011110111010101011111 - -b11111111011011110111010101011111 5 -b11111111011011110111010101011111 ? -b11111111011011110111010101011111 D -b11111011011110101011111000001001 % -b11111011011110101011111000001001 . -b11111011011110101011111000001001 6 -b11111011011110101011111000001001 @ -b11111011011110101011111000001001 F -b11111101001011011101010110 ) -#96320000 -0& -#96336000 -b10111100001 , -#96352000 -1& -#96368000 -b1000111110110110001001111001111 " -b1000111110110110001001111001111 4 -b1111111111111110110110111111111 1 -b1111111111111110110110111111111 C -b111111110111111 0 -b111111110111111 H -08 -b11001000000110111011001011001111 < -b1000111110110110001001111001111 2 -b1000111110110110001001111001111 = -b1000111110110110001001111001111 : -b1111111101111110110000011111111 $ -b1111111101111110110000011111111 - -b1111111101111110110000011111111 5 -b1111111101111110110000011111111 ? -b1111111101111110110000011111111 D -b110111111001000100110100110000 % -b110111111001000100110100110000 . -b110111111001000100110100110000 6 -b110111111001000100110100110000 @ -b110111111001000100110100110000 F -b1000111110110110001001111001111 ) -#96384000 -0& -#96400000 -b10111100010 , -#96416000 -1& -#96432000 -b10101111011111111110100001110001 " -b10101111011111111110100001110001 4 -b11111111111110110101100011110111 1 -b11111111111110110101100011110111 C -b101011110111101101000000111 0 -b101011110111101101000000111 H -18 -b1001010011101111010 < -b10101111011111111110100001110001 2 -b10101111011111111110100001110001 = -b10101111011111111110100001110001 : -b10101111011110110100000011110110 $ -b10101111011110110100000011110110 - -b10101111011110110100000011110110 5 -b10101111011110110100000011110110 ? -b10101111011110110100000011110110 D -b11111111111110110101100010000101 % -b11111111111110110101100010000101 . -b11111111111110110101100010000101 6 -b11111111111110110101100010000101 @ -b11111111111110110101100010000101 F -b10101111011111111110100001110001 ) -#96448000 -0& -#96464000 -b10111100011 , -#96480000 -1& -#96496000 -b11010100000010000001111000101011 " -b11010100000010000001111000101011 4 -b11111111111111111111100111110111 1 -b11111111111111111111100111110111 C -b1100111111111111110110000 0 -b1100111111111111110110000 H -b100000010000100011000011000 < -b11010100000010000001111000101011 2 -b11010100000010000001111000101011 = -b11010100000010000001111000101011 : -b11001111111111111101100000010010 $ -b11001111111111111101100000010010 - -b11001111111111111101100000010010 5 -b11001111111111111101100000010010 ? -b11001111111111111101100000010010 D -b11111011111101111011100111100111 % -b11111011111101111011100111100111 . -b11111011111101111011100111100111 6 -b11111011111101111011100111100111 @ -b11111011111101111011100111100111 F -b11010100000010000001111000101011 ) -#96512000 -0& -#96528000 -b10111100100 , -#96544000 -1& -#96560000 -b1100001101110010110111110000111 " -b1100001101110010110111110000111 4 -b11110111111101111111110111101111 1 -b11110111111101111111110111101111 C -b101011110110001011011010110 0 -b101011110110001011011010110 H -08 -b1010000010000000001000011011 < -b1100001101110010110111110000111 2 -b1100001101110010110111110000111 = -b1100001101110010110111110000111 : -b1010111101100010110110101101011 $ -b1010111101100010110110101101011 - -b1010111101100010110110101101011 5 -b1010111101100010110110101101011 ? -b1010111101100010110110101101011 D -b11110101111101111111110111100100 % -b11110101111101111111110111100100 . -b11110101111101111111110111100100 6 -b11110101111101111111110111100100 @ -b11110101111101111111110111100100 F -b1100001101110010110111110000111 ) -#96576000 -0& -#96592000 -b10111100101 , -#96608000 -1& -#96624000 -b1110011100000111100111010000010 " -b1110011100000111100111010000010 4 -b10111111111111111101111011010111 1 -b10111111111111111101111011010111 C -b10101111111 0 -b10101111111 H -19 -18 -b11000011100001000010111110101010 < -b1110011100000111100111010000010 2 -b1110011100000111100111010000010 = -b1110011100000111100111010000010 : -b10101111111111111001111011010111 $ -b10101111111111111001111011010111 - -b10101111111111111001111011010111 5 -b10101111111111111001111011010111 ? -b10101111111111111001111011010111 D -b111100011110111101000001010101 % -b111100011110111101000001010101 . -b111100011110111101000001010101 6 -b111100011110111101000001010101 @ -b111100011110111101000001010101 F -b1110011100000111100111010000010 ) -#96640000 -0& -#96656000 -b10111100110 , -#96672000 -1& -#96688000 -b111001011111111100000010000110 " -b111001011111111100000010000110 4 -b11111111111101101101101110000110 1 -b11111111111101101101101110000110 C -b11011111011101101001101110000110 0 -b11011111011101101001101110000110 H -09 -08 -b1011010000010010010010011111111 < -b111001011111111100000010000110 2 -b111001011111111100000010000110 = -b111001011111111100000010000110 : -b11011111011101101001101110000110 $ -b11011111011101101001101110000110 - -b11011111011101101001101110000110 5 -b11011111011101101001101110000110 ? -b11011111011101101001101110000110 D -b10100101111101101101101100000000 % -b10100101111101101101101100000000 . -b10100101111101101101101100000000 6 -b10100101111101101101101100000000 @ -b10100101111101101101101100000000 F -b111001011111111100000010000110 ) -#96704000 -0& -#96720000 -b10111100111 , -#96736000 -1& -#96752000 -b10101110111111000001011111001011 " -b10101110111111000001011111001011 4 -b11111111111111111011101111010111 1 -b11111111111111111011101111010111 C -b1110110111110111101010111 0 -b1110110111110111101010111 H -18 -b11000001000001000110110000111000 < -b10101110111111000001011111001011 2 -b10101110111111000001011111001011 = -b10101110111111000001011111001011 : -b11101101111101111010101110010010 $ -b11101101111101111010101110010010 - -b11101101111101111010101110010010 5 -b11101101111101111010101110010010 ? -b11101101111101111010101110010010 D -b111110111110111001001111000111 % -b111110111110111001001111000111 . -b111110111110111001001111000111 6 -b111110111110111001001111000111 @ -b111110111110111001001111000111 F -b10101110111111000001011111001011 ) -#96768000 -0& -#96784000 -b10111101000 , -#96800000 -1& -#96816000 -b1111111011010001001000101101001 " -b1111111011010001001000101101001 4 -b10111111111011111111101110111011 1 -b10111111111011111111101110111011 C -b110111111011 0 -b110111111011 H -08 -09 -b1000111011110001001010111001101 < -b1111111011010001001000101101001 2 -b1111111011010001001000101101001 = -b1111111011010001001000101101001 : -b110111111011111111101110011011 $ -b110111111011111111101110011011 - -b110111111011111111101110011011 5 -b110111111011111111101110011011 ? -b110111111011111111101110011011 D -b10111000100001110110101000110010 % -b10111000100001110110101000110010 . -b10111000100001110110101000110010 6 -b10111000100001110110101000110010 @ -b10111000100001110110101000110010 F -b1111111011010001001000101101001 ) -#96832000 -0& -#96848000 -b10111101001 , -#96864000 -1& -#96880000 -b1001101011111111111010000100110 " -b1001101011111111111010000100110 4 -b11011111110110111111111011111110 1 -b11011111110110111111111011111110 C -b11111 0 -b11111 H -b101110001001000001010101000111 < -b1001101011111111111010000100110 2 -b1001101011111111111010000100110 = -b1001101011111111111010000100110 : -b11111010110111101111011011110 $ -b11111010110111101111011011110 - -b11111010110111101111011011110 5 -b11111010110111101111011011110 ? -b11111010110111101111011011110 D -b11010001110110111110101010111000 % -b11010001110110111110101010111000 . -b11010001110110111110101010111000 6 -b11010001110110111110101010111000 @ -b11010001110110111110101010111000 F -b1001101011111111111010000100110 ) -#96896000 -0& -#96912000 -b10111101010 , -#96928000 -1& -#96944000 -b10101100111110100100001110001011 " -b10101100111110100100001110001011 4 -b11111111111111111111111011011111 1 -b11111111111111111111111011011111 C -b11111110111101011 0 -b11111110111101011 H -18 -09 -b10101110000001000101000100110000 < -b10101100111110100100001110001011 2 -b10101100111110100100001110001011 = -b10101100111110100100001110001011 : -b11111110111101011111001001011010 $ -b11111110111101011111001001011010 - -b11111110111101011111001001011010 5 -b11111110111101011111001001011010 ? -b11111110111101011111001001011010 D -b1010001111110111010111011001111 % -b1010001111110111010111011001111 . -b1010001111110111010111011001111 6 -b1010001111110111010111011001111 @ -b1010001111110111010111011001111 F -b10101100111110100100001110001011 ) -#96960000 -0& -#96976000 -b10111101011 , -#96992000 -1& -#97008000 -b11011111011111011100111111100011 " -b11011111011111011100111111100011 4 -b11111111111111111111100111111111 1 -b11111111111111111111100111111111 C -b11111 0 -b11111 H -b11100001100000000101011000000100 < -b11011111011111011100111111100011 2 -b11011111011111011100111111100011 = -b11011111011111011100111111100011 : -b11111101111111010111100111011110 $ -b11111101111111010111100111011110 - -b11111101111111010111100111011110 5 -b11111101111111010111100111011110 ? -b11111101111111010111100111011110 D -b11110011111111010100111111011 % -b11110011111111010100111111011 . -b11110011111111010100111111011 6 -b11110011111111010100111111011 @ -b11110011111111010100111111011 F -b11011111011111011100111111100011 ) -#97024000 -0& -#97040000 -b10111101100 , -#97056000 -1& -#97072000 -b111111110010111111111100110001 " -b111111110010111111111100110001 4 -b11101101110111110101111111111101 1 -b11101101110111110101111111111101 C -b10101101100110110101 0 -b10101101100110110101 H -19 -18 -b10010010001100001010000000010011 < -b111111110010111111111100110001 2 -b111111110010111111111100110001 = -b111111110010111111111100110001 : -b10101101100110110101111100011101 $ -b10101101100110110101111100011101 - -b10101101100110110101111100011101 5 -b10101101100110110101111100011101 ? -b10101101100110110101111100011101 D -b1101101110011110101111111101100 % -b1101101110011110101111111101100 . -b1101101110011110101111111101100 6 -b1101101110011110101111111101100 @ -b1101101110011110101111111101100 F -b111111110010111111111100110001 ) -#97088000 -0& -#97104000 -b10111101101 , -#97120000 -1& -#97136000 -b10110011101010100110000000101100 " -b10110011101010100110000000101100 4 -b11111111111111111110100001101101 1 -b11111111111111111110100001101101 C -b1111001110101010001010000110110 0 -b1111001110101010001010000110110 H -09 -18 -b11000000000000000011011110111110 < -b10110011101010100110000000101100 2 -b10110011101010100110000000101100 = -b10110011101010100110000000101100 : -b11110011101010100010100001101101 $ -b11110011101010100010100001101101 - -b11110011101010100010100001101101 5 -b11110011101010100010100001101101 ? -b11110011101010100010100001101101 D -b111111111111111100100001000001 % -b111111111111111100100001000001 . -b111111111111111100100001000001 6 -b111111111111111100100001000001 @ -b111111111111111100100001000001 F -b10110011101010100110000000101100 ) -#97152000 -0& -#97168000 -b10111101110 , -#97184000 -1& -#97200000 -b10111111010000011000000000111001 " -b10111111010000011000000000111001 4 -b1111111111111111110110111111011 1 -b1111111111111111110110111111011 C -b11111011111111011011011111101 0 -b11111011111111011011011111101 H -18 -09 -b10000000010000100001001000111110 < -b10111111010000011000000000111001 2 -b10111111010000011000000000111001 = -b10111111010000011000000000111001 : -b111110111111110110110111111010 $ -b111110111111110110110111111010 - -b111110111111110110110111111010 5 -b111110111111110110110111111010 ? -b111110111111110110110111111010 D -b1111111101111011110110111000001 % -b1111111101111011110110111000001 . -b1111111101111011110110111000001 6 -b1111111101111011110110111000001 @ -b1111111101111011110110111000001 F -b10111111010000011000000000111001 ) -#97216000 -0& -#97232000 -b10111101111 , -#97248000 -1& -#97264000 -b10000110010111101100010110000001 " -b10000110010111101100010110000001 4 -b11111110111111111111111010110111 1 -b11111110111111111111111010110111 C -b111101011 0 -b111101011 H -08 -19 -b1011011000001100100101001001 < -b10000110010111101100010110000001 2 -b10000110010111101100010110000001 = -b10000110010111101100010110000001 : -b1111010111111011111110000110111 $ -b1111010111111011111110000110111 - -b1111010111111011111110000110111 5 -b1111010111111011111110000110111 ? -b1111010111111011111110000110111 D -b11110100100111110011011010110110 % -b11110100100111110011011010110110 . -b11110100100111110011011010110110 6 -b11110100100111110011011010110110 @ -b11110100100111110011011010110110 F -b10000110010111101100010110000001 ) -#97280000 -0& -#97296000 -b10111110000 , -#97312000 -1& -#97328000 -b11000111000111101101101001011110 " -b11000111000111101101101001011110 4 -b1111110111101110111111110111111 1 -b1111110111101110111111110111111 C -b1111100001011 0 -b1111100001011 H -09 -18 -b10001001000010001000010011001110 < -b11000111000111101101101001011110 2 -b11000111000111101101101001011110 = -b11000111000111101101101001011110 : -b111110000101100101010110001111 $ -b111110000101100101010110001111 - -b111110000101100101010110001111 5 -b111110000101100101010110001111 ? -b111110000101100101010110001111 D -b1110110111101110111101100110001 % -b1110110111101110111101100110001 . -b1110110111101110111101100110001 6 -b1110110111101110111101100110001 @ -b1110110111101110111101100110001 F -b11000111000111101101101001011110 ) -#97344000 -0& -#97360000 -b10111110001 , -#97376000 -1& -#97392000 -b11001001110000000011000011011010 " -b11001001110000000011000011011010 4 -b1110111111111111011101111111110 1 -b1110111111111111011101111111110 C -b10000011011 0 -b10000011011 H -b10001000000000000111010101101011 < -b11001001110000000011000011011010 2 -b11001001110000000011000011011010 = -b11001001110000000011000011011010 : -b1000001101111111011101101101110 $ -b1000001101111111011101101101110 - -b1000001101111111011101101101110 5 -b1000001101111111011101101101110 ? -b1000001101111111011101101101110 D -b1110111111111111000101010010100 % -b1110111111111111000101010010100 . -b1110111111111111000101010010100 6 -b1110111111111111000101010010100 @ -b1110111111111111000101010010100 F -b11001001110000000011000011011010 ) -#97408000 -0& -#97424000 -b10111110010 , -#97440000 -1& -#97456000 -b100100110111000100111011001 " -b100100110111000100111011001 4 -b111111111111111001101101111111 1 -b111111111111111001101101111111 C -b11111111111 0 -b11111111111 H -08 -b11000100101000000111011010001100 < -b100100110111000100111011001 2 -b100100110111000100111011001 = -b100100110111000100111011001 : -b111111111110110001001101001100 $ -b111111111110110001001101001100 - -b111111111110110001001101001100 5 -b111111111110110001001101001100 ? -b111111111110110001001101001100 D -b111011010111111000100101110011 % -b111011010111111000100101110011 . -b111011010111111000100101110011 6 -b111011010111111000100101110011 @ -b111011010111111000100101110011 F -b100100110111000100111011001 ) -#97472000 -0& -#97488000 -b10111110011 , -#97504000 -1& -#97520000 -b11100110000110010111001111111111 " -b11100110000110010111001111111111 4 -b11111110111011111111110000111111 1 -b11111110111011111111110000111111 C -b1111111011100111 0 -b1111111011100111 H -18 -b11100111001100100000101111001111 < -b11100110000110010111001111111111 2 -b11100110000110010111001111111111 = -b11100110000110010111001111111111 : -b11111110111001110110100000101111 $ -b11111110111001110110100000101111 - -b11111110111001110110100000101111 5 -b11111110111001110110100000101111 ? -b11111110111001110110100000101111 D -b11000110011011111010000110000 % -b11000110011011111010000110000 . -b11000110011011111010000110000 6 -b11000110011011111010000110000 @ -b11000110011011111010000110000 F -b11100110000110010111001111111111 ) -#97536000 -0& -#97552000 -b10111110100 , -#97568000 -1& -#97584000 -b1100110011010010010011111010110 " -b1100110011010010010011111010110 4 -b11111111111011110011110011111011 1 -b11111111111011110011110011111011 C -b1110001111001 0 -b1110001111001 H -19 -18 -b10000010100110011111001100101100 < -b1100110011010010010011111010110 2 -b1100110011010010010011111010110 = -b1100110011010010010011111010110 : -b11100011110011110011010010101001 $ -b11100011110011110011010010101001 - -b11100011110011110011010010101001 5 -b11100011110011110011010010101001 ? -b11100011110011110011010010101001 D -b1111101011001100000110011010011 % -b1111101011001100000110011010011 . -b1111101011001100000110011010011 6 -b1111101011001100000110011010011 @ -b1111101011001100000110011010011 F -b1100110011010010010011111010110 ) -#97600000 -0& -#97616000 -b10111110101 , -#97632000 -1& -#97648000 -b1100101101111111001111011101011 " -b1100101101111111001111011101011 4 -b1101111111111111111011111111111 1 -b1101111111111111111011111111111 C -b1101101101111 0 -b1101101101111 H -09 -08 -b11111000000000000000100100001101 < -b1100101101111111001111011101011 2 -b1100101101111111001111011101011 = -b1100101101111111001111011101011 : -b1101101101111111001010111011101 $ -b1101101101111111001010111011101 - -b1101101101111111001010111011101 5 -b1101101101111111001010111011101 ? -b1101101101111111001010111011101 D -b111111111111111011011110010 % -b111111111111111011011110010 . -b111111111111111011011110010 6 -b111111111111111011011110010 @ -b111111111111111011011110010 F -b1100101101111111001111011101011 ) -#97664000 -0& -#97680000 -b10111110110 , -#97696000 -1& -#97712000 -b10011000011111111100101010111010 " -b10011000011111111100101010111010 4 -b11111100101111101111011111101110 1 -b11111100101111101111011111101110 C -b1000110010111110011000 0 -b1000110010111110011000 H -18 -b1011110000010110100011010101 < -b10011000011111111100101010111010 2 -b10011000011111111100101010111010 = -b10011000011111111100101010111010 : -b10001100101111100110000111100100 $ -b10001100101111100110000111100100 - -b10001100101111100110000111100100 5 -b10001100101111100110000111100100 ? -b10001100101111100110000111100100 D -b11110100001111101001011100101010 % -b11110100001111101001011100101010 . -b11110100001111101001011100101010 6 -b11110100001111101001011100101010 @ -b11110100001111101001011100101010 F -b10011000011111111100101010111010 ) -#97728000 -0& -#97744000 -b10111110111 , -#97760000 -1& -#97776000 -b10010011000010110100010010010111 " -b10010011000010110100010010010111 4 -b11111110111111011110011110110111 1 -b11111110111111011110011110110111 C -b11010010000010010010011000110111 0 -b11010010000010010010011000110111 H -b11000001000000100001111001011111 < -b10010011000010110100010010010111 2 -b10010011000010110100010010010111 = -b10010011000010110100010010010111 : -b11010010000010010010011000110111 $ -b11010010000010010010011000110111 - -b11010010000010010010011000110111 5 -b11010010000010010010011000110111 ? -b11010010000010010010011000110111 D -b111110111111011110000110100000 % -b111110111111011110000110100000 . -b111110111111011110000110100000 6 -b111110111111011110000110100000 @ -b111110111111011110000110100000 F -b10010011000010110100010010010111 ) -#97792000 -0& -#97808000 -b10111111000 , -#97824000 -1& -#97840000 -b1110000111101001111000100011010 " -b1110000111101001111000100011010 4 -b11111111111111110001011111111011 1 -b11111111111111110001011111111011 C -b1110111 0 -b1110111 H -19 -18 -b10000001000001101110101000000110 < -b1110000111101001111000100011010 2 -b1110000111101001111000100011010 = -b1110000111101001111000100011010 : -b11101111111011100000011100010011 $ -b11101111111011100000011100010011 - -b11101111111011100000011100010011 5 -b11101111111011100000011100010011 ? -b11101111111011100000011100010011 D -b1111110111110010001010111111001 % -b1111110111110010001010111111001 . -b1111110111110010001010111111001 6 -b1111110111110010001010111111001 @ -b1111110111110010001010111111001 F -b1110000111101001111000100011010 ) -#97856000 -0& -#97872000 -b10111111001 , -#97888000 -1& -#97904000 -b10000011011000111100111101111000 " -b10000011011000111100111101111000 4 -b10111110111111111111101010001101 1 -b10111110111111111111101010001101 C -b1011111001011111110 0 -b1011111001011111110 H -09 -18 -b11000101000001000000010101110010 < -b10000011011000111100111101111000 2 -b10000011011000111100111101111000 = -b10000011011000111100111101111000 : -b10111110010111111100101000000101 $ -b10111110010111111100101000000101 - -b10111110010111111100101000000101 5 -b10111110010111111100101000000101 ? -b10111110010111111100101000000101 D -b111010111110111111101010001101 % -b111010111110111111101010001101 . -b111010111110111111101010001101 6 -b111010111110111111101010001101 @ -b111010111110111111101010001101 F -b10000011011000111100111101111000 ) -#97920000 -0& -#97936000 -b10111111010 , -#97952000 -1& -#97968000 -b1111101111100000001010000011011 " -b1111101111100000001010000011011 4 -b11111111111111101111111101111111 1 -b11111111111111101111111101111111 C -b111110110111110111010110111 0 -b111110110111110111010110111 H -08 -b1100010010100010011011 < -b1111101111100000001010000011011 2 -b1111101111100000001010000011011 = -b1111101111100000001010000011011 : -b1111101101111101110101101111111 $ -b1111101101111101110101101111111 - -b1111101101111101110101101111111 5 -b1111101101111101110101101111111 ? -b1111101101111101110101101111111 D -b11111111110011101101011101100100 % -b11111111110011101101011101100100 . -b11111111110011101101011101100100 6 -b11111111110011101101011101100100 @ -b11111111110011101101011101100100 F -b1111101111100000001010000011011 ) -#97984000 -0& -#98000000 -b10111111011 , -#98016000 -1& -#98032000 -b1110001100000001000110111111001 " -b1110001100000001000110111111001 4 -b11110111111111111011111001011111 1 -b11110111111111111011111001011111 C -b11 0 -b11 H -b1001100000001101001110100010 < -b1110001100000001000110111111001 2 -b1110001100000001000110111111001 = -b1110001100000001000110111111001 : -b1100111111111111011101001010110 $ -b1100111111111111011101001010110 - -b1100111111111111011101001010110 5 -b1100111111111111011101001010110 ? -b1100111111111111011101001010110 D -b11110110011111110010110001011101 % -b11110110011111110010110001011101 . -b11110110011111110010110001011101 6 -b11110110011111110010110001011101 @ -b11110110011111110010110001011101 F -b1110001100000001000110111111001 ) -#98048000 -0& -#98064000 -b10111111100 , -#98080000 -1& -#98096000 -b1100000001011000011000111000100 " -b1100000001011000011000111000100 4 -b11110111111101111111111011010100 1 -b11110111111101111111111011010100 C -b1111011111100011 0 -b1111011111100011 H -08 -09 -b1101000010010000011010100101111 < -b1100000001011000011000111000100 2 -b1100000001011000011000111000100 = -b1100000001011000011000111000100 : -b11110111111000111111110010010100 $ -b11110111111000111111110010010100 - -b11110111111000111111110010010100 5 -b11110111111000111111110010010100 ? -b11110111111000111111110010010100 D -b10010111101101111100101011010000 % -b10010111101101111100101011010000 . -b10010111101101111100101011010000 6 -b10010111101101111100101011010000 @ -b10010111101101111100101011010000 F -b1100000001011000011000111000100 ) -#98112000 -0& -#98128000 -b10111111101 , -#98144000 -1& -#98160000 -b10100101101110000011111110100101 " -b10100101101110000011111110100101 4 -b1111111111110111101100011101111 1 -b1111111111110111101100011101111 C -b100101011010111101100001 0 -b100101011010111101100001 H -18 -b10000000010011000110011100111001 < -b10100101101110000011111110100101 2 -b10100101101110000011111110100101 = -b10100101101110000011111110100101 : -b100101011010111101100001101011 $ -b100101011010111101100001101011 - -b100101011010111101100001101011 5 -b100101011010111101100001101011 ? -b100101011010111101100001101011 D -b1111111101100111001100011000110 % -b1111111101100111001100011000110 . -b1111111101100111001100011000110 6 -b1111111101100111001100011000110 @ -b1111111101100111001100011000110 F -b10100101101110000011111110100101 ) -#98176000 -0& -#98192000 -b10111111110 , -#98208000 -1& -#98224000 -b100001111110000010010001000101 " -b100001111110000010010001000101 4 -b1111111011111111011110111110101 1 -b1111111011111111011110111110101 C -b111111101101111 0 -b111111101101111 H -08 -b10100010100010000110101010001111 < -b100001111110000010010001000101 2 -b100001111110000010010001000101 = -b100001111110000010010001000101 : -b1111111011011111011100110110101 $ -b1111111011011111011100110110101 - -b1111111011011111011100110110101 5 -b1111111011011111011100110110101 ? -b1111111011011111011100110110101 D -b1011101011101111001010101110000 % -b1011101011101111001010101110000 . -b1011101011101111001010101110000 6 -b1011101011101111001010101110000 @ -b1011101011101111001010101110000 F -b100001111110000010010001000101 ) -#98240000 -0& -#98256000 -b10111111111 , -#98272000 -1& -#98288000 -b1010110100000101010110100100010 " -b1010110100000101010110100100010 4 -b11111111111111111101001101100111 1 -b11111111111111111101001101100111 C -b1111110111111010000000010 0 -b1111110111111010000000010 H -08 -b10111000001000010110011011010 < -b1010110100000101010110100100010 2 -b1010110100000101010110100100010 = -b1010110100000101010110100100010 : -b111111011111101000000001000111 $ -b111111011111101000000001000111 - -b111111011111101000000001000111 5 -b111111011111101000000001000111 ? -b111111011111101000000001000111 D -b11101000111110111101001100100101 % -b11101000111110111101001100100101 . -b11101000111110111101001100100101 6 -b11101000111110111101001100100101 @ -b11101000111110111101001100100101 F -b1010110100000101010110100100010 ) -#98304000 -0& -#98320000 -b11000000000 , -#98336000 -1& -#98352000 -b1110100000111100010010010100000 " -b1110100000111100010010010100000 4 -b11111111111111111110111110111100 1 -b11111111111111111110111110111100 C -b111 0 -b111 H -09 -08 -b1000000101100011100011 < -b1110100000111100010010010100000 2 -b1110100000111100010010010100000 = -b1110100000111100010010010100000 : -b1110011111111011100101110111100 $ -b1110011111111011100101110111100 - -b1110011111111011100101110111100 5 -b1110011111111011100101110111100 ? -b1110011111111011100101110111100 D -b11111111110111111010011100011100 % -b11111111110111111010011100011100 . -b11111111110111111010011100011100 6 -b11111111110111111010011100011100 @ -b11111111110111111010011100011100 F -b1110100000111100010010010100000 ) -#98368000 -0& -#98384000 -b11000000001 , -#98400000 -1& -#98416000 -b10100011011010000100000010100110 " -b10100011011010000100000010100110 4 -b11110111111111110111110111111011 1 -b11110111111111110111110111111011 C -b1010 0 -b1010 H -19 -08 -b1001011100010001100001101000100 < -b10100011011010000100000010100110 2 -b10100011011010000100000010100110 = -b10100011011010000100000010100110 : -b1010111110111110111110101100001 $ -b1010111110111110111110101100001 - -b1010111110111110111110101100001 5 -b1010111110111110111110101100001 ? -b1010111110111110111110101100001 D -b10110100011101110011110010111011 % -b10110100011101110011110010111011 . -b10110100011101110011110010111011 6 -b10110100011101110011110010111011 @ -b10110100011101110011110010111011 F -b10100011011010000100000010100110 ) -#98432000 -0& -#98448000 -b11000000010 , -#98464000 -1& -#98480000 -b10000000010111111100000100001110 " -b10000000010111111100000100001110 4 -b10110111111101110100101110011110 1 -b10110111111101110100101110011110 C -b1101111101011100001011 0 -b1101111101011100001011 H -b1001000100010001011010101110111 < -b10000000010111111100000100001110 2 -b10000000010111111100000100001110 = -b10000000010111111100000100001110 : -b110111110101110000101110010110 $ -b110111110101110000101110010110 - -b110111110101110000101110010110 5 -b110111110101110000101110010110 ? -b110111110101110000101110010110 D -b10110111011101110100101010001000 % -b10110111011101110100101010001000 . -b10110111011101110100101010001000 6 -b10110111011101110100101010001000 @ -b10110111011101110100101010001000 F -b10000000010111111100000100001110 ) -#98496000 -0& -#98512000 -b11000000011 , -#98528000 -1& -#98544000 -b11011100110011000111100101101110 " -b11011100110011000111100101101110 4 -b1111101111111101001101111101110 1 -b1111101111111101001101111101110 C -b111001101111101001001011101110 0 -b111001101111101001001011101110 H -18 -09 -b10100011000011011110011001111111 < -b11011100110011000111100101101110 2 -b11011100110011000111100101101110 = -b11011100110011000111100101101110 : -b111001101111101001001011101110 $ -b111001101111101001001011101110 - -b111001101111101001001011101110 5 -b111001101111101001001011101110 ? -b111001101111101001001011101110 D -b1011100111100100001100110000000 % -b1011100111100100001100110000000 . -b1011100111100100001100110000000 6 -b1011100111100100001100110000000 @ -b1011100111100100001100110000000 F -b11011100110011000111100101101110 ) -#98560000 -0& -#98576000 -b11000000100 , -#98592000 -1& -#98608000 -b10100111111011111001010110100111 " -b10100111111011111001010110100111 4 -b11111111111111111101111010111111 1 -b11111111111111111101111010111111 C -b110011111 0 -b110011111 H -19 -08 -b1000000000000000011100101101001 < -b10100111111011111001010110100111 2 -b10100111111011111001010110100111 = -b10100111111011111001010110100111 : -b1100111111011110101110000111101 $ -b1100111111011110101110000111101 - -b1100111111011110101110000111101 5 -b1100111111011110101110000111101 ? -b1100111111011110101110000111101 D -b10111111111111111100011010010110 % -b10111111111111111100011010010110 . -b10111111111111111100011010010110 6 -b10111111111111111100011010010110 @ -b10111111111111111100011010010110 F -b10100111111011111001010110100111 ) -#98624000 -0& -#98640000 -b11000000101 , -#98656000 -1& -#98672000 -b11101111111011110011101010001000 " -b11101111111011110011101010001000 4 -b11111011101111111101101111111000 1 -b11111011101111111101101111111000 C -b11101011 0 -b11101011 H -09 -18 -b100010000000110011100000111 < -b11101111111011110011101010001000 2 -b11101111111011110011101010001000 = -b11101111111011110011101010001000 : -b11101011101011101101001110000000 $ -b11101011101011101101001110000000 - -b11101011101011101101001110000000 5 -b11101011101011101101001110000000 ? -b11101011101011101101001110000000 D -b11111011101111111001100011111000 % -b11111011101111111001100011111000 . -b11111011101111111001100011111000 6 -b11111011101111111001100011111000 @ -b11111011101111111001100011111000 F -b11101111111011110011101010001000 ) -#98688000 -0& -#98704000 -b11000000110 , -#98720000 -1& -#98736000 -b1011101111100011011011010 " -b1011101111100011011011010 4 -b11111011101111111110101111101111 1 -b11111011101111111110101111101111 C -b111110111011011110101 0 -b111110111011011110101 H -08 -b101110000000001110100010100 < -b1011101111100011011011010 2 -b1011101111100011011011010 = -b1011101111100011011011010 : -b11111011101101111010100111000101 $ -b11111011101101111010100111000101 - -b11111011101101111010100111000101 5 -b11111011101101111010100111000101 ? -b11111011101101111010100111000101 D -b11111010001111111110001011101011 % -b11111010001111111110001011101011 . -b11111010001111111110001011101011 6 -b11111010001111111110001011101011 @ -b11111010001111111110001011101011 F -b1011101111100011011011010 ) -#98752000 -0& -#98768000 -b11000000111 , -#98784000 -1& -#98800000 -b11000111111110111101000000011011 " -b11000111111110111101000000011011 4 -b11111111111111101111110001111101 1 -b11111111111111101111110001111101 C -b111111111111101 0 -b111111111111101 H -18 -b11001000000000010010001110101110 < -b11000111111110111101000000011011 2 -b11000111111110111101000000011011 = -b11000111111110111101000000011011 : -b11111111111110101010110001101100 $ -b11111111111110101010110001101100 - -b11111111111110101010110001101100 5 -b11111111111110101010110001101100 ? -b11111111111110101010110001101100 D -b110111111111101101110001010001 % -b110111111111101101110001010001 . -b110111111111101101110001010001 6 -b110111111111101101110001010001 @ -b110111111111101101110001010001 F -b11000111111110111101000000011011 ) -#98816000 -0& -#98832000 -b11000001000 , -#98848000 -1& -#98864000 -b1110100010001001001101101010000 " -b1110100010001001001101101010000 4 -b11111111111111110111011110111100 1 -b11111111111111110111011110111100 C -b111 0 -b111 H -08 -b10010011000100011000011 < -b1110100010001001001101101010000 2 -b1110100010001001001101101010000 = -b1110100010001001001101101010000 : -b1110011111110110001001010001100 $ -b1110011111110110001001010001100 - -b1110011111110110001001010001100 5 -b1110011111110110001001010001100 ? -b1110011111110110001001010001100 D -b11111111101101100111011100111100 % -b11111111101101100111011100111100 . -b11111111101101100111011100111100 6 -b11111111101101100111011100111100 @ -b11111111101101100111011100111100 F -b1110100010001001001101101010000 ) -#98880000 -0& -#98896000 -b11000001001 , -#98912000 -1& -#98928000 -b1100100000000001100010101110000 " -b1100100000000001100010101110000 4 -b11111111110111111111111111111000 1 -b11111111110111111111111111111000 C -b1100011 0 -b1100011 H -b1000100000001000000111 < -b1100100000000001100010101110000 2 -b1100100000000001100010101110000 = -b1100100000000001100010101110000 : -b1100011110111101100001101101000 $ -b1100011110111101100001101101000 - -b1100011110111101100001101101000 5 -b1100011110111101100001101101000 ? -b1100011110111101100001101101000 D -b11111111110111011111110111111000 % -b11111111110111011111110111111000 . -b11111111110111011111110111111000 6 -b11111111110111011111110111111000 @ -b11111111110111011111110111111000 F -b1100100000000001100010101110000 ) -#98944000 -0& -#98960000 -b11000001010 , -#98976000 -1& -#98992000 -b11001110010101011010001100101101 " -b11001110010101011010001100101101 4 -b11111111111111111101111101010011 1 -b11111111111111111101111101010011 C -b1111110111111 0 -b1111110111111 H -18 -b11010000010110000110000111101100 < -b11001110010101011010001100101101 2 -b11001110010101011010001100101101 = -b11001110010101011010001100101101 : -b11111101111111010100000101000000 $ -b11111101111111010100000101000000 - -b11111101111111010100000101000000 5 -b11111101111111010100000101000000 ? -b11111101111111010100000101000000 D -b101111101001111001111000010011 % -b101111101001111001111000010011 . -b101111101001111001111000010011 6 -b101111101001111001111000010011 @ -b101111101001111001111000010011 F -b11001110010101011010001100101101 ) -#99008000 -0& -#99024000 -b11000001011 , -#99040000 -1& -#99056000 -b1111011010000000101100110011100 " -b1111011010000000101100110011100 4 -b11111111111111110101111111110110 1 -b11111111111111110101111111110110 C -b101110111 0 -b101110111 H -08 -b11101010000001111110001001001 < -b1111011010000000101100110011100 2 -b1111011010000000101100110011100 = -b1111011010000000101100110011100 : -b1011101111111110101110101010010 $ -b1011101111111110101110101010010 - -b1011101111111110101110101010010 5 -b1011101111111110101110101010010 ? -b1011101111111110101110101010010 D -b11100010101111110000001110110110 % -b11100010101111110000001110110110 . -b11100010101111110000001110110110 6 -b11100010101111110000001110110110 @ -b11100010101111110000001110110110 F -b1111011010000000101100110011100 ) -#99072000 -0& -#99088000 -b11000001100 , -#99104000 -1& -#99120000 -b11000000000111111110111011100 " -b11000000000111111110111011100 4 -b11111011110111111000111011110111 1 -b11111011110111111000111011110111 C -b111100111 0 -b111100111 H -08 -09 -b100100001001000111011100101000 < -b11000000000111111110111011100 2 -b11000000000111111110111011100 = -b11000000000111111110111011100 : -b11110011110111111000011010110011 $ -b11110011110111111000011010110011 - -b11110011110111111000011010110011 5 -b11110011110111111000011010110011 ? -b11110011110111111000011010110011 D -b11011011110110111000100011010111 % -b11011011110110111000100011010111 . -b11011011110110111000100011010111 6 -b11011011110110111000100011010111 @ -b11011011110110111000100011010111 F -b11000000000111111110111011100 ) -#99136000 -0& -#99152000 -b11000001101 , -#99168000 -1& -#99184000 -b11011110110011000101000011110100 " -b11011110110011000101000011110100 4 -b1111111111111111101100101011100 1 -b1111111111111111101100101011100 C -b101 0 -b101 H -18 -b10000010110101000111011110100011 < -b11011110110011000101000011110100 2 -b11011110110011000101000011110100 = -b11011110110011000101000011110100 : -b1011011111101111101100101010000 $ -b1011011111101111101100101010000 - -b1011011111101111101100101010000 5 -b1011011111101111101100101010000 ? -b1011011111101111101100101010000 D -b1111101001010111000100001011100 % -b1111101001010111000100001011100 . -b1111101001010111000100001011100 6 -b1111101001010111000100001011100 @ -b1111101001010111000100001011100 F -b11011110110011000101000011110100 ) -#99200000 -0& -#99216000 -b11000001110 , -#99232000 -1& -#99248000 -b1110000010000110011101100110001 " -b1110000010000110011101100110001 4 -b11111111111111111100011111111111 1 -b11111111111111111100011111111111 C -b111 0 -b111 H -19 -18 -b10000000010010001011100000000010 < -b1110000010000110011101100110001 2 -b1110000010000110011101100110001 = -b1110000010000110011101100110001 : -b11101111111110101000001100101110 $ -b11101111111110101000001100101110 - -b11101111111110101000001100101110 5 -b11101111111110101000001100101110 ? -b11101111111110101000001100101110 D -b1111111101101110100011111111101 % -b1111111101101110100011111111101 . -b1111111101101110100011111111101 6 -b1111111101101110100011111111101 @ -b1111111101101110100011111111101 F -b1110000010000110011101100110001 ) -#99264000 -0& -#99280000 -b11000001111 , -#99296000 -1& -#99312000 -b10001001000101111111100000111 " -b10001001000101111111100000111 4 -b1111111111011110011100100111111 1 -b1111111111011110011100100111111 C -b0 0 -b0 H -08 -09 -b10010001001101001100011011100000 < -b10001001000101111111100000111 2 -b10001001000101111111100000111 = -b10001001000101111111100000111 : -b1111111111011100011100000100110 $ -b1111111111011100011100000100110 - -b1111111111011100011100000100110 5 -b1111111111011100011100000100110 ? -b1111111111011100011100000100110 D -b1101110110010110011100100011111 % -b1101110110010110011100100011111 . -b1101110110010110011100100011111 6 -b1101110110010110011100100011111 @ -b1101110110010110011100100011111 F -b10001001000101111111100000111 ) -#99328000 -0& -#99344000 -b11000010000 , -#99360000 -1& -#99376000 -b111111111111111000010100001100 " -b111111111111111000010100001100 4 -b11111101101011111001111101010111 1 -b11111101101011111001111101010111 C -b11110110101111000111100 0 -b11110110101111000111100 H -08 -b10010100000110011010111000 < -b111111111111111000010100001100 2 -b111111111111111000010100001100 = -b111111111111111000010100001100 : -b111101101011110001111001010011 $ -b111101101011110001111001010011 - -b111101101011110001111001010011 5 -b111101101011110001111001010011 ? -b111101101011110001111001010011 D -b11111101101011111001100101000111 % -b11111101101011111001100101000111 . -b11111101101011111001100101000111 6 -b11111101101011111001100101000111 @ -b11111101101011111001100101000111 F -b111111111111111000010100001100 ) -#99392000 -0& -#99408000 -b11000010001 , -#99424000 -1& -#99440000 -b11111100000001000001100101111010 " -b11111100000001000001100101111010 4 -b1111111111111110010011011111111 1 -b1111111111111110010011011111111 C -b11110111111111100100000010 0 -b11110111111111100100000010 H -18 -b10000000000001001111100100011010 < -b11111100000001000001100101111010 2 -b11111100000001000001100101111010 = -b11111100000001000001100101111010 : -b1111011111111110010000001011111 $ -b1111011111111110010000001011111 - -b1111011111111110010000001011111 5 -b1111011111111110010000001011111 ? -b1111011111111110010000001011111 D -b1111111111110110000011011100101 % -b1111111111110110000011011100101 . -b1111111111110110000011011100101 6 -b1111111111110110000011011100101 @ -b1111111111110110000011011100101 F -b11111100000001000001100101111010 ) -#99456000 -0& -#99472000 -b11000010010 , -#99488000 -1& -#99504000 -b11101100010111000011001 " -b11101100010111000011001 4 -b11111111111111111101011111110111 1 -b11111111111111111101011111110111 C -b111111111 0 -b111111111 H -08 -b100001101010100100001000 < -b11101100010111000011001 2 -b11101100010111000011001 = -b11101100010111000011001 : -b11111111111011111000010100010000 $ -b11111111111011111000010100010000 - -b11111111111011111000010100010000 5 -b11111111111011111000010100010000 ? -b11111111111011111000010100010000 D -b11111111011110010101011011110111 % -b11111111011110010101011011110111 . -b11111111011110010101011011110111 6 -b11111111011110010101011011110111 @ -b11111111011110010101011011110111 F -b11101100010111000011001 ) -#99520000 -0& -#99536000 -b11000010011 , -#99552000 -1& -#99568000 -b1000000110111111010100101000111 " -b1000000110111111010100101000111 4 -b11111111111101110111110111111101 1 -b11111111111101110111110111111101 C -b101 0 -b101 H -19 -18 -b10000010000010001000101110000010 < -b1000000110111111010100101000111 2 -b1000000110111111010100101000111 = -b1000000110111111010100101000111 : -b10111110110101110001110111000100 $ -b10111110110101110001110111000100 - -b10111110110101110001110111000100 5 -b10111110110101110001110111000100 ? -b10111110110101110001110111000100 D -b1111101111101110111010001111101 % -b1111101111101110111010001111101 . -b1111101111101110111010001111101 6 -b1111101111101110111010001111101 @ -b1111101111101110111010001111101 F -b1000000110111111010100101000111 ) -#99584000 -0& -#99600000 -b11000010100 , -#99616000 -1& -#99632000 -b10111010001010000101011000110010 " -b10111010001010000101011000110010 4 -b11101111111111111110101111111110 1 -b11101111111111111110101111111110 C -b1110011111 0 -b1110011111 H -09 -18 -b11010010010100000111010100001001 < -b10111010001010000101011000110010 2 -b10111010001010000101011000110010 = -b10111010001010000101011000110010 : -b11100111110101111110000100101000 $ -b11100111110101111110000100101000 - -b11100111110101111110000100101000 5 -b11100111110101111110000100101000 ? -b11100111110101111110000100101000 D -b101101101011111000101011110110 % -b101101101011111000101011110110 . -b101101101011111000101011110110 6 -b101101101011111000101011110110 @ -b101101101011111000101011110110 F -b10111010001010000101011000110010 ) -#99648000 -0& -#99664000 -b11000010101 , -#99680000 -1& -#99696000 -b11010000000001101101101011011011 " -b11010000000001101101101011011011 4 -b1111111011111101110011111101111 1 -b1111111011111101110011111101111 C -b1111110111010011 0 -b1111110111010011 H -18 -b10010000100100011111100100010001 < -b11010000000001101101101011011011 2 -b11010000000001101101101011011011 = -b11010000000001101101101011011011 : -b111111011101001110000111001001 $ -b111111011101001110000111001001 - -b111111011101001110000111001001 5 -b111111011101001110000111001001 ? -b111111011101001110000111001001 D -b1101111011011100000011011101110 % -b1101111011011100000011011101110 . -b1101111011011100000011011101110 6 -b1101111011011100000011011101110 @ -b1101111011011100000011011101110 F -b11010000000001101101101011011011 ) -#99712000 -0& -#99728000 -b11000010110 , -#99744000 -1& -#99760000 -b100010000010000110001001001 " -b100010000010000110001001001 4 -b1011111111111110011111001111001 1 -b1011111111111110011111001111001 C -b10110111111111000110110 0 -b10110111111111000110110 H -08 -b10101000010000101101010111010111 < -b100010000010000110001001001 2 -b100010000010000110001001001 = -b100010000010000110001001001 : -b1011011111111100011011001110001 $ -b1011011111111100011011001110001 - -b1011011111111100011011001110001 5 -b1011011111111100011011001110001 ? -b1011011111111100011011001110001 D -b1010111101111010010101000101000 % -b1010111101111010010101000101000 . -b1010111101111010010101000101000 6 -b1010111101111010010101000101000 @ -b1010111101111010010101000101000 F -b100010000010000110001001001 ) -#99776000 -0& -#99792000 -b11000010111 , -#99808000 -1& -#99824000 -b100011000001000001101000011001 " -b100011000001000001101000011001 4 -b11111101111111111011111001110111 1 -b11111101111111111011111001110111 C -b111110010 0 -b111110010 H -08 -b101010000000000110110111001000 < -b100011000001000001101000011001 2 -b100011000001000001101000011001 = -b100011000001000001101000011001 : -b11111001000000111010110001010000 $ -b11111001000000111010110001010000 - -b11111001000000111010110001010000 5 -b11111001000000111010110001010000 ? -b11111001000000111010110001010000 D -b11010101111111111001001000110111 % -b11010101111111111001001000110111 . -b11010101111111111001001000110111 6 -b11010101111111111001001000110111 @ -b11010101111111111001001000110111 F -b100011000001000001101000011001 ) -#99840000 -0& -#99856000 -b11000011000 , -#99872000 -1& -#99888000 -b11001100010001110101110000110001 " -b11001100010001110101110000110001 4 -b11111111111111011011110000111011 1 -b11111111111111011011110000111011 C -b1101011111111010001100 0 -b1101011111111010001100 H -19 -08 -b1100000010010100100001111110110 < -b11001100010001110101110000110001 2 -b11001100010001110101110000110001 = -b11001100010001110101110000110001 : -b1101011111111010001100000111010 $ -b1101011111111010001100000111010 - -b1101011111111010001100000111010 5 -b1101011111111010001100000111010 ? -b1101011111111010001100000111010 D -b10011111101101011011110000001001 % -b10011111101101011011110000001001 . -b10011111101101011011110000001001 6 -b10011111101101011011110000001001 @ -b10011111101101011011110000001001 F -b11001100010001110101110000110001 ) -#99904000 -0& -#99920000 -b11000011001 , -#99936000 -1& -#99952000 -b11110011111000100010100111101010 " -b11110011111000100010100111101010 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b1111101111011101100 0 -b1111101111011101100 H -09 -18 -b11111000000001001001000111110010 < -b11110011111000100010100111101010 2 -b11110011111000100010100111101010 = -b11110011111000100010100111101010 : -b11111011110111011001011111110111 $ -b11111011110111011001011111110111 - -b11111011110111011001011111110111 5 -b11111011110111011001011111110111 ? -b11111011110111011001011111110111 D -b111111110110110111000001101 % -b111111110110110111000001101 . -b111111110110110111000001101 6 -b111111110110110111000001101 @ -b111111110110110111000001101 F -b11110011111000100010100111101010 ) -#99968000 -0& -#99984000 -b11000011010 , -#100000000 -1& -#100016000 -b1110100010101100001101010000110 " -b1110100010101100001101010000110 4 -b11111111111111110110110110111011 1 -b11111111111111110110110110111011 C -b1011 0 -b1011 H -08 -b11010001010001011011001100100 < -b1110100010101100001101010000110 2 -b1110100010101100001101010000110 = -b1110100010101100001101010000110 : -b1011010001011010110010000100001 $ -b1011010001011010110010000100001 - -b1011010001011010110010000100001 5 -b1011010001011010110010000100001 ? -b1011010001011010110010000100001 D -b11100101110101110100100110011011 % -b11100101110101110100100110011011 . -b11100101110101110100100110011011 6 -b11100101110101110100100110011011 @ -b11100101110101110100100110011011 F -b1110100010101100001101010000110 ) -#100032000 -0& -#100048000 -b11000011011 , -#100064000 -1& -#100080000 -b11110110001100001011010110101 " -b11110110001100001011010110101 4 -b1111111111111110111110110111101 1 -b1111111111111110111110110111101 C -b111101111000011011011000011 0 -b111101111000011011011000011 H -09 -08 -b10100011000000101010101001111011 < -b11110110001100001011010110101 2 -b11110110001100001011010110101 = -b11110110001100001011010110101 : -b1111011110000110110110000111001 $ -b1111011110000110110110000111001 - -b1111011110000110110110000111001 5 -b1111011110000110110110000111001 ? -b1111011110000110110110000111001 D -b1011100111111010101010110000100 % -b1011100111111010101010110000100 . -b1011100111111010101010110000100 6 -b1011100111111010101010110000100 @ -b1011100111111010101010110000100 F -b11110110001100001011010110101 ) -#100096000 -0& -#100112000 -b11000011100 , -#100128000 -1& -#100144000 -b11001111100111100000011011111111 " -b11001111100111100000011011111111 4 -b11110111111111111110111111110111 1 -b11110111111111111110111111110111 C -b11101101101 0 -b11101101101 H -19 -08 -b1011000110000000001100000001011 < -b11001111100111100000011011111111 2 -b11001111100111100000011011111111 = -b11001111100111100000011011111111 : -b1110110110111011110111011110011 $ -b1110110110111011110111011110011 - -b1110110110111011110111011110011 5 -b1110110110111011110111011110011 ? -b1110110110111011110111011110011 D -b10100111001111111110011111110100 % -b10100111001111111110011111110100 . -b10100111001111111110011111110100 6 -b10100111001111111110011111110100 @ -b10100111001111111110011111110100 F -b11001111100111100000011011111111 ) -#100160000 -0& -#100176000 -b11000011101 , -#100192000 -1& -#100208000 -b10010000001110110100101110100010 " -b10010000001110110100101110100010 4 -b11110111111101111111010111101110 1 -b11110111111101111111010111101110 C -b110011111110001110000 0 -b110011111110001110000 H -b101000010010011000101001010101 < -b10010000001110110100101110100010 2 -b10010000001110110100101110100010 = -b10010000001110110100101110100010 : -b1100111111100011100000101001100 $ -b1100111111100011100000101001100 - -b1100111111100011100000101001100 5 -b1100111111100011100000101001100 ? -b1100111111100011100000101001100 D -b11010111101101100111010110101010 % -b11010111101101100111010110101010 . -b11010111101101100111010110101010 6 -b11010111101101100111010110101010 @ -b11010111101101100111010110101010 F -b10010000001110110100101110100010 ) -#100224000 -0& -#100240000 -b11000011110 , -#100256000 -1& -#100272000 -b1011101110111110100011011000000 " -b1011101110111110100011011000000 4 -b10111110011111111111111111100001 1 -b10111110011111111111111111100001 C -b1101001011110111101010110000 0 -b1101001011110111101010110000 H -09 -08 -b1000011100000000101000101011110 < -b1011101110111110100011011000000 2 -b1011101110111110100011011000000 = -b1011101110111110100011011000000 : -b11010010111101111010101100001 $ -b11010010111101111010101100001 - -b11010010111101111010101100001 5 -b11010010111101111010101100001 ? -b11010010111101111010101100001 D -b10111100011111111010111010100001 % -b10111100011111111010111010100001 . -b10111100011111111010111010100001 6 -b10111100011111111010111010100001 @ -b10111100011111111010111010100001 F -b1011101110111110100011011000000 ) -#100288000 -0& -#100304000 -b11000011111 , -#100320000 -1& -#100336000 -b11010110011000001011000111011100 " -b11010110011000001011000111011100 4 -b11111111111111111101111101111101 1 -b11111111111111111101111101111101 C -b1101011 0 -b1101011 H -18 -09 -b1000001110001010100110 < -b11010110011000001011000111011100 2 -b11010110011000001011000111011100 = -b11010110011000001011000111011100 : -b11010110001111111100111100110101 $ -b11010110001111111100111100110101 - -b11010110001111111100111100110101 5 -b11010110001111111100111100110101 ? -b11010110001111111100111100110101 D -b11111111110111110001110101011001 % -b11111111110111110001110101011001 . -b11111111110111110001110101011001 6 -b11111111110111110001110101011001 @ -b11111111110111110001110101011001 F -b11010110011000001011000111011100 ) -#100352000 -0& -#100368000 -b11000100000 , -#100384000 -1& -#100400000 -b11001010001110111100110111011001 " -b11001010001110111100110111011001 4 -b11111111111111111111111111101111 1 -b11111111111111111111111111101111 C -b111111110011011111 0 -b111111110011011111 H -b11001011000000111110001000110001 < -b11001010001110111100110111011001 2 -b11001010001110111100110111011001 = -b11001010001110111100110111011001 : -b11111111001101111110101110100111 $ -b11111111001101111110101110100111 - -b11111111001101111110101110100111 5 -b11111111001101111110101110100111 ? -b11111111001101111110101110100111 D -b110100111111000001110111001110 % -b110100111111000001110111001110 . -b110100111111000001110111001110 6 -b110100111111000001110111001110 @ -b110100111111000001110111001110 F -b11001010001110111100110111011001 ) -#100416000 -0& -#100432000 -b11000100001 , -#100448000 -1& -#100464000 -b1000011110011000000111011000011 " -b1000011110011000000111011000011 4 -b11111110111111111010111011110111 1 -b11111110111111111010111011110111 C -b1111101010111 0 -b1111101010111 H -08 -b1001001000011000101111111001100 < -b1000011110011000000111011000011 2 -b1000011110011000000111011000011 = -b1000011110011000000111011000011 : -b11111010101111111010111011110110 $ -b11111010101111111010111011110110 - -b11111010101111111010111011110110 5 -b11111010101111111010111011110110 ? -b11111010101111111010111011110110 D -b10110110111100111010000000110011 % -b10110110111100111010000000110011 . -b10110110111100111010000000110011 6 -b10110110111100111010000000110011 @ -b10110110111100111010000000110011 F -b1000011110011000000111011000011 ) -#100480000 -0& -#100496000 -b11000100010 , -#100512000 -1& -#100528000 -b100100001000110001101010100011 " -b100100001000110001101010100011 4 -b11110111111111111111111110100101 1 -b11110111111111111111111110100101 C -b1111011111111110110110011010010 0 -b1111011111111110110110011010010 H -b101100001001000100000011111110 < -b100100001000110001101010100011 2 -b100100001000110001101010100011 = -b100100001000110001101010100011 : -b11110111111111101101100110100100 $ -b11110111111111101101100110100100 - -b11110111111111101101100110100100 5 -b11110111111111101101100110100100 ? -b11110111111111101101100110100100 D -b11010011110110111011111100000001 % -b11010011110110111011111100000001 . -b11010011110110111011111100000001 6 -b11010011110110111011111100000001 @ -b11010011110110111011111100000001 F -b100100001000110001101010100011 ) -#100544000 -0& -#100560000 -b11000100011 , -#100576000 -1& -#100592000 -b10000000001110010100101011001101 " -b10000000001110010100101011001101 4 -b11111101011111111111111110111101 1 -b11111101011111111111111110111101 C -b11111101 0 -b11111101 H -18 -b10000010110110100000000001000111 < -b10000000001110010100101011001101 2 -b10000000001110010100101011001101 = -b10000000001110010100101011001101 : -b11111101010111110100101010000101 $ -b11111101010111110100101010000101 - -b11111101010111110100101010000101 5 -b11111101010111110100101010000101 ? -b11111101010111110100101010000101 D -b1111101001001011111111110111000 % -b1111101001001011111111110111000 . -b1111101001001011111111110111000 6 -b1111101001001011111111110111000 @ -b1111101001001011111111110111000 F -b10000000001110010100101011001101 ) -#100608000 -0& -#100624000 -b11000100100 , -#100640000 -1& -#100656000 -b10011100011000111111111000010000 " -b10011100011000111111111000010000 4 -b11111111111111100100111110111111 1 -b11111111111111100100111110111111 C -b11111111111110100 0 -b11111111111110100 H -b10011100011010011011001001010000 < -b10011100011000111111111000010000 2 -b10011100011000111111111000010000 = -b10011100011000111111111000010000 : -b11111111111110100100101110111111 $ -b11111111111110100100101110111111 - -b11111111111110100100101110111111 5 -b11111111111110100100101110111111 ? -b11111111111110100100101110111111 D -b1100011100101100100110110101111 % -b1100011100101100100110110101111 . -b1100011100101100100110110101111 6 -b1100011100101100100110110101111 @ -b1100011100101100100110110101111 F -b10011100011000111111111000010000 ) -#100672000 -0& -#100688000 -b11000100101 , -#100704000 -1& -#100720000 -b10000100000000101011000001111010 " -b10000100000000101011000001111010 4 -b10111111111101111111110010001111 1 -b10111111111101111111110010001111 C -b1111111111011001101 0 -b1111111111011001101 H -08 -19 -b1000100000011000100001111110100 < -b10000100000000101011000001111010 2 -b10000100000000101011000001111010 = -b10000100000000101011000001111010 : -b111111111101100110110010000101 $ -b111111111101100110110010000101 - -b111111111101100110110010000101 5 -b111111111101100110110010000101 ? -b111111111101100110110010000101 D -b10111011111100111011110000001011 % -b10111011111100111011110000001011 . -b10111011111100111011110000001011 6 -b10111011111100111011110000001011 @ -b10111011111100111011110000001011 F -b10000100000000101011000001111010 ) -#100736000 -0& -#100752000 -b11000100110 , -#100768000 -1& -#100784000 -b11100101000111111111101010111111 " -b11100101000111111111101010111111 4 -b10111111111010111100111101101101 1 -b10111111111010111100111101101101 C -b1001111011101011110 0 -b1001111011101011110 H -18 -09 -b1000110001101000011010010010010 < -b11100101000111111111101010111111 2 -b11100101000111111111101010111111 = -b11100101000111111111101010111111 : -b10011110111010111100011000101100 $ -b10011110111010111100011000101100 - -b10011110111010111100011000101100 5 -b10011110111010111100011000101100 ? -b10011110111010111100011000101100 D -b10111001110010111100101101101101 % -b10111001110010111100101101101101 . -b10111001110010111100101101101101 6 -b10111001110010111100101101101101 @ -b10111001110010111100101101101101 F -b11100101000111111111101010111111 ) -#100800000 -0& -#100816000 -b11000100111 , -#100832000 -1& -#100848000 -b10111111100010111011001101110110 " -b10111111100010111011001101110110 4 -b11111111111111101101111010101111 1 -b11111111111111101101111010101111 C -b1111111011111101 0 -b1111111011111101 H -08 -19 -b1000000000011010010000101010000 < -b10111111100010111011001101110110 2 -b10111111100010111011001101110110 = -b10111111100010111011001101110110 : -b1111111011111101001001000100101 $ -b1111111011111101001001000100101 - -b1111111011111101001001000100101 5 -b1111111011111101001001000100101 ? -b1111111011111101001001000100101 D -b10111111111100101101111010101111 % -b10111111111100101101111010101111 . -b10111111111100101101111010101111 6 -b10111111111100101101111010101111 @ -b10111111111100101101111010101111 F -b10111111100010111011001101110110 ) -#100864000 -0& -#100880000 -b11000101000 , -#100896000 -1& -#100912000 -b1011010101110000100011100111110 " -b1011010101110000100011100111110 4 -b11111111111111110111110111100110 1 -b11111111111111110111110111100110 C -b10111111100111110110010100 0 -b10111111100111110110010100 H -18 -19 -b10011011000110001110001000011001 < -b1011010101110000100011100111110 2 -b1011010101110000100011100111110 = -b1011010101110000100011100111110 : -b10111111100111110110010100100100 $ -b10111111100111110110010100100100 - -b10111111100111110110010100100100 5 -b10111111100111110110010100100100 ? -b10111111100111110110010100100100 D -b1100100111001110001110111100110 % -b1100100111001110001110111100110 . -b1100100111001110001110111100110 6 -b1100100111001110001110111100110 @ -b1100100111001110001110111100110 F -b1011010101110000100011100111110 ) -#100928000 -0& -#100944000 -b11000101001 , -#100960000 -1& -#100976000 -b1110001011011001111110101101110 " -b1110001011011001111110101101110 4 -b10111111111111111100110111110110 1 -b10111111111111111100110111110110 C -b1110101011011110001011111 0 -b1110101011011110001011111 H -09 -08 -b1010100000100010011011101111011 < -b1110001011011001111110101101110 2 -b1110001011011001111110101101110 = -b1110001011011001111110101101110 : -b11101010110111100010111110010 $ -b11101010110111100010111110010 - -b11101010110111100010111110010 5 -b11101010110111100010111110010 ? -b11101010110111100010111110010 D -b10101011111011101100100010000100 % -b10101011111011101100100010000100 . -b10101011111011101100100010000100 6 -b10101011111011101100100010000100 @ -b10101011111011101100100010000100 F -b1110001011011001111110101101110 ) -#100992000 -0& -#101008000 -b11000101010 , -#101024000 -1& -#101040000 -b10111100100110101000111100011101 " -b10111100100110101000111100011101 4 -b11111111111111111111111111111101 1 -b11111111111111111111111111111101 C -b1110111 0 -b1110111 H -19 -08 -b1000100101000101010000100100111 < -b10111100100110101000111100011101 2 -b10111100100110101000111100011101 = -b10111100100110101000111100011101 : -b1110111111101111110110111110101 $ -b1110111111101111110110111110101 - -b1110111111101111110110111110101 5 -b1110111111101111110110111110101 ? -b1110111111101111110110111110101 D -b10111011010111010101111011011000 % -b10111011010111010101111011011000 . -b10111011010111010101111011011000 6 -b10111011010111010101111011011000 @ -b10111011010111010101111011011000 F -b10111100100110101000111100011101 ) -#101056000 -0& -#101072000 -b11000101011 , -#101088000 -1& -#101104000 -b110011100011001101011100110010 " -b110011100011001101011100110010 4 -b11111111111111110101101111110111 1 -b11111111111111110101101111110111 C -b11011111111111000001100110010 0 -b11011111111111000001100110010 H -09 -08 -b1010011100100001011110110011100 < -b110011100011001101011100110010 2 -b110011100011001101011100110010 = -b110011100011001101011100110010 : -b11011111111111000001100110010101 $ -b11011111111111000001100110010101 - -b11011111111111000001100110010101 5 -b11011111111111000001100110010101 ? -b11011111111111000001100110010101 D -b10101100011011110100001001100011 % -b10101100011011110100001001100011 . -b10101100011011110100001001100011 6 -b10101100011011110100001001100011 @ -b10101100011011110100001001100011 F -b110011100011001101011100110010 ) -#101120000 -0& -#101136000 -b11000101100 , -#101152000 -1& -#101168000 -b11100001000001011010011001111000 " -b11100001000001011010011001111000 4 -b10111111011111111101101110111001 1 -b10111111011111111101101110111001 C -b100111100111110 0 -b100111100111110 H -18 -b1000010100010000110010001001110 < -b11100001000001011010011001111000 2 -b11100001000001011010011001111000 = -b11100001000001011010011001111000 : -b10011110011111010100001000101001 $ -b10011110011111010100001000101001 - -b10011110011111010100001000101001 5 -b10011110011111010100001000101001 ? -b10011110011111010100001000101001 D -b10111101011101111001101110110001 % -b10111101011101111001101110110001 . -b10111101011101111001101110110001 6 -b10111101011101111001101110110001 @ -b10111101011101111001101110110001 F -b11100001000001011010011001111000 ) -#101184000 -0& -#101200000 -b11000101101 , -#101216000 -1& -#101232000 -b11111110100111101000110010110111 " -b11111110100111101000110010110111 4 -b11011111111111111111111111101111 1 -b11011111111111111111111111101111 C -b11011110011111011111 0 -b11011110011111011111 H -18 -b100000001000001000110101010011 < -b11111110100111101000110010110111 2 -b11111110100111101000110010110111 = -b11111110100111101000110010110111 : -b11011110011111011111111101100011 $ -b11011110011111011111111101100011 - -b11011110011111011111111101100011 5 -b11011110011111011111111101100011 ? -b11011110011111011111111101100011 D -b11011111110111110111001010101100 % -b11011111110111110111001010101100 . -b11011111110111110111001010101100 6 -b11011111110111110111001010101100 @ -b11011111110111110111001010101100 F -b11111110100111101000110010110111 ) -#101248000 -0& -#101264000 -b11000101110 , -#101280000 -1& -#101296000 -b10001000110011110100010010100 " -b10001000110011110100010010100 4 -b10111111111110110111111111111100 1 -b10111111111110110111111111111100 C -b101011111111 0 -b101011111111 H -08 -b1100001001001101001010000101011 < -b10001000110011110100010010100 2 -b10001000110011110100010010100 = -b10001000110011110100010010100 : -b10101111111100110101010001101000 $ -b10101111111100110101010001101000 - -b10101111111100110101010001101000 5 -b10101111111100110101010001101000 ? -b10101111111100110101010001101000 D -b10011110110110010110101111010100 % -b10011110110110010110101111010100 . -b10011110110110010110101111010100 6 -b10011110110110010110101111010100 @ -b10011110110110010110101111010100 F -b10001000110011110100010010100 ) -#101312000 -0& -#101328000 -b11000101111 , -#101344000 -1& -#101360000 -b1000011000001110001001101001001 " -b1000011000001110001001101001001 4 -b11111111111111110011011111011001 1 -b11111111111111110011011111011001 C -b11111111110110 0 -b11111111110110 H -08 -b11000100001101110001101111 < -b1000011000001110001001101001001 2 -b1000011000001110001001101001001 = -b1000011000001110001001101001001 : -b111111111101100011011011011001 $ -b111111111101100011011011011001 - -b111111111101100011011011011001 5 -b111111111101100011011011011001 ? -b111111111101100011011011011001 D -b11111100111011110010001110010000 % -b11111100111011110010001110010000 . -b11111100111011110010001110010000 6 -b11111100111011110010001110010000 @ -b11111100111011110010001110010000 F -b1000011000001110001001101001001 ) -#101376000 -0& -#101392000 -b11000110000 , -#101408000 -1& -#101424000 -b1101000100110011100011001000100 " -b1101000100110011100011001000100 4 -b11111111111111111101011111111101 1 -b11111111111111111101011111111101 C -b1101111010010111100 0 -b1101111010010111100 H -19 -18 -b10001010000000100010111100010010 < -b1101000100110011100011001000100 2 -b1101000100110011100011001000100 = -b1101000100110011100011001000100 : -b11011110100101111001011100110001 $ -b11011110100101111001011100110001 - -b11011110100101111001011100110001 5 -b11011110100101111001011100110001 ? -b11011110100101111001011100110001 D -b1110101111111011101000011101101 % -b1110101111111011101000011101101 . -b1110101111111011101000011101101 6 -b1110101111111011101000011101101 @ -b1110101111111011101000011101101 F -b1101000100110011100011001000100 ) -#101440000 -0& -#101456000 -b11000110001 , -#101472000 -1& -#101488000 -b1010101100111101101000100111011 " -b1010101100111101101000100111011 4 -b11111011111101111011110111111111 1 -b11111011111101111011110111111111 C -b1011 0 -b1011 H -b10011100001010110100001101000011 < -b1010101100111101101000100111011 2 -b1010101100111101101000100111011 = -b1010101100111101101000100111011 : -b10111001011100111000110111110111 $ -b10111001011100111000110111110111 - -b10111001011100111000110111110111 5 -b10111001011100111000110111110111 ? -b10111001011100111000110111110111 D -b1100011110101001011110010111100 % -b1100011110101001011110010111100 . -b1100011110101001011110010111100 6 -b1100011110101001011110010111100 @ -b1100011110101001011110010111100 F -b1010101100111101101000100111011 ) -#101504000 -0& -#101520000 -b11000110010 , -#101536000 -1& -#101552000 -b1101000010000101101101111001001 " -b1101000010000101101101111001001 4 -b11111111111111110011110111001101 1 -b11111111111111110011110111001101 C -b1111111000111110000110011000 0 -b1111111000111110000110011000 H -09 -08 -b1101010000001001100001000111011 < -b1101000010000101101101111001001 2 -b1101000010000101101101111001001 = -b1101000010000101101101111001001 : -b11111110001111100001100110001101 $ -b11111110001111100001100110001101 - -b11111110001111100001100110001101 5 -b11111110001111100001100110001101 ? -b11111110001111100001100110001101 D -b10010101111110110011110111000100 % -b10010101111110110011110111000100 . -b10010101111110110011110111000100 6 -b10010101111110110011110111000100 @ -b10010101111110110011110111000100 F -b1101000010000101101101111001001 ) -#101568000 -0& -#101584000 -b11000110011 , -#101600000 -1& -#101616000 -b1000011100111110011101110001001 " -b1000011100111110011101110001001 4 -b11011101111111101101011111111001 1 -b11011101111111101101011111111001 C -b110011001111000010010 0 -b110011001111000010010 H -08 -b101010000000010010100010010111 < -b1000011100111110011101110001001 2 -b1000011100111110011101110001001 = -b1000011100111110011101110001001 : -b11001100111100001001011110001 $ -b11001100111100001001011110001 - -b11001100111100001001011110001 5 -b11001100111100001001011110001 ? -b11001100111100001001011110001 D -b11010101111111101101011101101000 % -b11010101111111101101011101101000 . -b11010101111111101101011101101000 6 -b11010101111111101101011101101000 @ -b11010101111111101101011101101000 F -b1000011100111110011101110001001 ) -#101632000 -0& -#101648000 -b11000110100 , -#101664000 -1& -#101680000 -b1110001000110111011100100101111 " -b1110001000110111011100100101111 4 -b11111111111111111011111101010111 1 -b11111111111111111011111101010111 C -b1111101 0 -b1111101 H -b110010100000000111101011101000 < -b1110001000110111011100100101111 2 -b1110001000110111011100100101111 = -b1110001000110111011100100101111 : -b111110100110110011111001000110 $ -b111110100110110011111001000110 - -b111110100110110011111001000110 5 -b111110100110110011111001000110 ? -b111110100110110011111001000110 D -b11001101011111111000010100010111 % -b11001101011111111000010100010111 . -b11001101011111111000010100010111 6 -b11001101011111111000010100010111 @ -b11001101011111111000010100010111 F -b1110001000110111011100100101111 ) -#101696000 -0& -#101712000 -b11000110101 , -#101728000 -1& -#101744000 -b11111101111101111011111011000111 " -b11111101111101111011111011000111 4 -b11111111101111110101101111111111 1 -b11111111101111110101101111111111 C -b111111011011 0 -b111111011011 H -18 -09 -b10000001010010011001011 < -b11111101111101111011111011000111 2 -b11111101111101111011111011000111 = -b11111101111101111011111011000111 : -b11111101101101110001100111111011 $ -b11111101101101110001100111111011 - -b11111101101101110001100111111011 5 -b11111101101101110001100111111011 ? -b11111101101101110001100111111011 D -b11111111101111110101101100110100 % -b11111111101111110101101100110100 . -b11111111101111110101101100110100 6 -b11111111101111110101101100110100 @ -b11111111101111110101101100110100 F -b11111101111101111011111011000111 ) -#101760000 -0& -#101776000 -b11000110110 , -#101792000 -1& -#101808000 -b100000000110111000000111011100 " -b100000000110111000000111011100 4 -b11111111111111111110011111111101 1 -b11111111111111111110011111111101 C -b1101111111101111111001001111110 0 -b1101111111101111111001001111110 H -08 -b1000000001010111001110011011110 < -b100000000110111000000111011100 2 -b100000000110111000000111011100 = -b100000000110111000000111011100 : -b11011111111011111110010011111101 $ -b11011111111011111110010011111101 - -b11011111111011111110010011111101 5 -b11011111111011111110010011111101 ? -b11011111111011111110010011111101 D -b10111111110101000110001100100001 % -b10111111110101000110001100100001 . -b10111111110101000110001100100001 6 -b10111111110101000110001100100001 @ -b10111111110101000110001100100001 F -b100000000110111000000111011100 ) -#101824000 -0& -#101840000 -b11000110111 , -#101856000 -1& -#101872000 -b10001000000000000100001100110 " -b10001000000000000100001100110 4 -b1111111011111110011111111101111 1 -b1111111011111110011111111101111 C -b1111100011111110011011 0 -b1111100011111110011011 H -08 -b10010100100000001101000100010110 < -b10001000000000000100001100110 2 -b10001000000000000100001100110 = -b10001000000000000100001100110 : -b1111100011111110011011101001111 $ -b1111100011111110011011101001111 - -b1111100011111110011011101001111 5 -b1111100011111110011011101001111 ? -b1111100011111110011011101001111 D -b1101011011111110010111011101001 % -b1101011011111110010111011101001 . -b1101011011111110010111011101001 6 -b1101011011111110010111011101001 @ -b1101011011111110010111011101001 F -b10001000000000000100001100110 ) -#101888000 -0& -#101904000 -b11000111000 , -#101920000 -1& -#101936000 -b10000000001000001001111001001001 " -b10000000001000001001111001001001 4 -b11111101111101111111101001101111 1 -b11111101111101111111101001101111 C -b111111011111011111011000011 0 -b111111011111011111011000011 H -18 -b10000010001010001100010111011010 < -b10000000001000001001111001001001 2 -b10000000001000001001111001001001 = -b10000000001000001001111001001001 : -b11111101111101111101100001101110 $ -b11111101111101111101100001101110 - -b11111101111101111101100001101110 5 -b11111101111101111101100001101110 ? -b11111101111101111101100001101110 D -b1111101110101110011101000100101 % -b1111101110101110011101000100101 . -b1111101110101110011101000100101 6 -b1111101110101110011101000100101 @ -b1111101110101110011101000100101 F -b10000000001000001001111001001001 ) -#101952000 -0& -#101968000 -b11000111001 , -#101984000 -1& -#102000000 -b10010011001011110100011001110000 " -b10010011001011110100011001110000 4 -b11111111111111110111011110011100 1 -b11111111111111110111011110011100 C -b111 0 -b111 H -19 -08 -b10011010000001100111011100011 < -b10010011001011110100011001110000 2 -b10010011001011110100011001110000 = -b10010011001011110100011001110000 : -b1111111111011100111011110001100 $ -b1111111111011100111011110001100 - -b1111111111011100111011110001100 5 -b1111111111011100111011110001100 ? -b1111111111011100111011110001100 D -b11101100101111110011000100011100 % -b11101100101111110011000100011100 . -b11101100101111110011000100011100 6 -b11101100101111110011000100011100 @ -b11101100101111110011000100011100 F -b10010011001011110100011001110000 ) -#102016000 -0& -#102032000 -b11000111010 , -#102048000 -1& -#102064000 -b1000001111010010101100001010 " -b1000001111010010101100001010 4 -b1111111111111111111111111111110 1 -b1111111111111111111111111111110 C -b1 0 -b1 H -09 -08 -b10001000010001100000001100100001 < -b1000001111010010101100001010 2 -b1000001111010010101100001010 = -b1000001111010010101100001010 : -b1111111111101110010011111101000 $ -b1111111111101110010011111101000 - -b1111111111101110010011111101000 5 -b1111111111101110010011111101000 ? -b1111111111101110010011111101000 D -b1110111101110011111110011011110 % -b1110111101110011111110011011110 . -b1110111101110011111110011011110 6 -b1110111101110011111110011011110 @ -b1110111101110011111110011011110 F -b1000001111010010101100001010 ) -#102080000 -0& -#102096000 -b11000111011 , -#102112000 -1& -#102128000 -b11100011111110000011111001010011 " -b11100011111110000011111001010011 4 -b11111111111011110100011110111011 1 -b11111111111011110100011110111011 C -b11011111 0 -b11011111 H -18 -b100000100001111101011000111 < -b11100011111110000011111001010011 2 -b11100011111110000011111001010011 = -b11100011111110000011111001010011 : -b11011111111001110100001110001011 $ -b11011111111001110100001110001011 - -b11011111111001110100001110001011 5 -b11011111111001110100001110001011 ? -b11011111111001110100001110001011 D -b11111011111011110000010100111000 % -b11111011111011110000010100111000 . -b11111011111011110000010100111000 6 -b11111011111011110000010100111000 @ -b11111011111011110000010100111000 F -b11100011111110000011111001010011 ) -#102144000 -0& -#102160000 -b11000111100 , -#102176000 -1& -#102192000 -b11000101111100000100100100 " -b11000101111100000100100100 4 -b11011111111111111111101111111100 1 -b11011111111111111111101111111100 C -b11011110111111110111 0 -b11011110111111110111 H -08 -b100100000110000100010100110011 < -b11000101111100000100100100 2 -b11000101111100000100100100 = -b11000101111100000100100100 : -b11011110111111110111101111110000 $ -b11011110111111110111101111110000 - -b11011110111111110111101111110000 5 -b11011110111111110111101111110000 ? -b11011110111111110111101111110000 D -b11011011111001111011101011001100 % -b11011011111001111011101011001100 . -b11011011111001111011101011001100 6 -b11011011111001111011101011001100 @ -b11011011111001111011101011001100 F -b11000101111100000100100100 ) -#102208000 -0& -#102224000 -b11000111101 , -#102240000 -1& -#102256000 -b10000111100110111001111010100111 " -b10000111100110111001111010100111 4 -b10111111111111111010110111011001 1 -b10111111111111111010110111011001 C -b1011011 0 -b1011011 H -18 -b11010000000000001111001000100110 < -b10000111100110111001111010100111 2 -b10000111100110111001111010100111 = -b10000111100110111001111010100111 : -b10110111100110101010110010000000 $ -b10110111100110101010110010000000 - -b10110111100110101010110010000000 5 -b10110111100110101010110010000000 ? -b10110111100110101010110010000000 D -b101111111111110000110111011001 % -b101111111111110000110111011001 . -b101111111111110000110111011001 6 -b101111111111110000110111011001 @ -b101111111111110000110111011001 F -b10000111100110111001111010100111 ) -#102272000 -0& -#102288000 -b11000111110 , -#102304000 -1& -#102320000 -b1011010001100111111011110111 " -b1011010001100111111011110111 4 -b1111111111111111010011100111011 1 -b1111111111111111010011100111011 C -b11110110011110110100101000110 0 -b11110110011110110100101000110 H -08 -b10010000000010001101100111011101 < -b1011010001100111111011110111 2 -b1011010001100111111011110111 = -b1011010001100111111011110111 : -b1111011001111011010010100011001 $ -b1111011001111011010010100011001 - -b1111011001111011010010100011001 5 -b1111011001111011010010100011001 ? -b1111011001111011010010100011001 D -b1101111111101110010011000100010 % -b1101111111101110010011000100010 . -b1101111111101110010011000100010 6 -b1101111111101110010011000100010 @ -b1101111111101110010011000100010 F -b1011010001100111111011110111 ) -#102336000 -0& -#102352000 -b11000111111 , -#102368000 -1& -#102384000 -b10101011111110101100100011000011 " -b10101011111110101100100011000011 4 -b11111111111011010110101111111111 1 -b11111111111011010110101111111111 C -b10101 0 -b10101 H -18 -b100101001110100000100 < -b10101011111110101100100011000011 2 -b10101011111110101100100011000011 = -b10101011111110101100100011000011 : -b10101011111010000010101110111110 $ -b10101011111010000010101110111110 - -b10101011111010000010101110111110 5 -b10101011111010000010101110111110 ? -b10101011111010000010101110111110 D -b11111111111011010110001011111011 % -b11111111111011010110001011111011 . -b11111111111011010110001011111011 6 -b11111111111011010110001011111011 @ -b11111111111011010110001011111011 F -b10101011111110101100100011000011 ) -#102400000 -0& -#102416000 -b11001000000 , -#102432000 -1& -#102448000 -b11010100101010000011010001110001 " -b11010100101010000011010001110001 4 -b11111111111111110101101110111001 1 -b11111111111111110101101110111001 C -b11001111 0 -b11001111 H -b100110000001110010001000111 < -b11010100101010000011010001110001 2 -b11010100101010000011010001110001 = -b11010100101010000011010001110001 : -b11001111111001110101000000101001 $ -b11001111111001110101000000101001 - -b11001111111001110101000000101001 5 -b11001111111001110101000000101001 ? -b11001111111001110101000000101001 D -b11111011001111110001101110111000 % -b11111011001111110001101110111000 . -b11111011001111110001101110111000 6 -b11111011001111110001101110111000 @ -b11111011001111110001101110111000 F -b11010100101010000011010001110001 ) -#102464000 -0& -#102480000 -b11001000001 , -#102496000 -1& -#102512000 -b1100010000000001110100000011 " -b1100010000000001110100000011 4 -b11111111111101111111110100011011 1 -b11111111111101111111110100011011 C -b11110111 0 -b11110111 H -08 -b10101000010010000111111100111 < -b1100010000000001110100000011 2 -b1100010000000001110100000011 = -b1100010000000001110100000011 : -b11110111001101110000110100011011 $ -b11110111001101110000110100011011 - -b11110111001101110000110100011011 5 -b11110111001101110000110100011011 ? -b11110111001101110000110100011011 D -b11101010111101101111000000011000 % -b11101010111101101111000000011000 . -b11101010111101101111000000011000 6 -b11101010111101101111000000011000 @ -b11101010111101101111000000011000 F -b1100010000000001110100000011 ) -#102528000 -0& -#102544000 -b11001000010 , -#102560000 -1& -#102576000 -b10100101001000111011010100111001 " -b10100101001000111011010100111001 4 -b11111111111111101111011111110111 1 -b11111111111111101111011111110111 C -b111111101 0 -b111111101 H -18 -b10100110001100010011111010001000 < -b10100101001000111011010100111001 2 -b10100101001000111011010100111001 = -b10100101001000111011010100111001 : -b11111110111100100111011010110000 $ -b11111110111100100111011010110000 - -b11111110111100100111011010110000 5 -b11111110111100100111011010110000 ? -b11111110111100100111011010110000 D -b1011001110011101100000101110111 % -b1011001110011101100000101110111 . -b1011001110011101100000101110111 6 -b1011001110011101100000101110111 @ -b1011001110011101100000101110111 F -b10100101001000111011010100111001 ) -#102592000 -0& -#102608000 -b11001000011 , -#102624000 -1& -#102640000 -b1011111011100100111010010000100 " -b1011111011100100111010010000100 4 -b11111011111111111101111111101100 1 -b11111011111111111101111111101100 C -b111110011011111001001100 0 -b111110011011111001001100 H -08 -b1100101101101000010100000010111 < -b1011111011100100111010010000100 2 -b1011111011100100111010010000100 = -b1011111011100100111010010000100 : -b11111001101111100100110001101100 $ -b11111001101111100100110001101100 - -b11111001101111100100110001101100 5 -b11111001101111100100110001101100 ? -b11111001101111100100110001101100 D -b10011010010010111101011111101000 % -b10011010010010111101011111101000 . -b10011010010010111101011111101000 6 -b10011010010010111101011111101000 @ -b10011010010010111101011111101000 F -b1011111011100100111010010000100 ) -#102656000 -0& -#102672000 -b11001000100 , -#102688000 -1& -#102704000 -b11111110110001000000101010001110 " -b11111110110001000000101010001110 4 -b11111111111111111011011011011111 1 -b11111111111111111011011011011111 C -b11111101101110111010000 0 -b11111101101110111010000 H -18 -b1000010000110100110110110 < -b11111110110001000000101010001110 2 -b11111110110001000000101010001110 = -b11111110110001000000101010001110 : -b11111101101110111010000011010111 $ -b11111101101110111010000011010111 - -b11111101101110111010000011010111 5 -b11111101101110111010000011010111 ? -b11111101101110111010000011010111 D -b11111110111101111001011001001001 % -b11111110111101111001011001001001 . -b11111110111101111001011001001001 6 -b11111110111101111001011001001001 @ -b11111110111101111001011001001001 F -b11111110110001000000101010001110 ) -#102720000 -0& -#102736000 -b11001000101 , -#102752000 -1& -#102768000 -b10001011111011110011010010001110 " -b10001011111011110011010010001110 4 -b11111111111111111101110111110110 1 -b11111111111111111101110111110110 C -b1111111110111111110010010111 0 -b1111111110111111110010010111 H -18 -b10001100001011110110101100011011 < -b10001011111011110011010010001110 2 -b10001011111011110011010010001110 = -b10001011111011110011010010001110 : -b11111111101111111100100101110010 $ -b11111111101111111100100101110010 - -b11111111101111111100100101110010 5 -b11111111101111111100100101110010 ? -b11111111101111111100100101110010 D -b1110011110100001001010011100100 % -b1110011110100001001010011100100 . -b1110011110100001001010011100100 6 -b1110011110100001001010011100100 @ -b1110011110100001001010011100100 F -b10001011111011110011010010001110 ) -#102784000 -0& -#102800000 -b11001000110 , -#102816000 -1& -#102832000 -b11001111011101011010101111010100 " -b11001111011101011010101111010100 4 -b1111111111111110111110111111110 1 -b1111111111111110111110111111110 C -b11111101011101000101 0 -b11111101011101000101 H -18 -09 -b10010000000110001001011011010101 < -b11001111011101011010101111010100 2 -b11001111011101011010101111010100 = -b11001111011101011010101111010100 : -b111111010111010001010011111110 $ -b111111010111010001010011111110 - -b111111010111010001010011111110 5 -b111111010111010001010011111110 ? -b111111010111010001010011111110 D -b1101111111001110110100100101010 % -b1101111111001110110100100101010 . -b1101111111001110110100100101010 6 -b1101111111001110110100100101010 @ -b1101111111001110110100100101010 F -b11001111011101011010101111010100 ) -#102848000 -0& -#102864000 -b11001000111 , -#102880000 -1& -#102896000 -b1010000001101110011100111010 " -b1010000001101110011100111010 4 -b1111111101111111001111111011110 1 -b1111111101111111001111111011110 C -b1 0 -b1 H -08 -b10001010010010110110000010100001 < -b1010000001101110011100111010 2 -b1010000001101110011100111010 = -b1010000001101110011100111010 : -b1111111101110111000011010011000 $ -b1111111101110111000011010011000 - -b1111111101110111000011010011000 5 -b1111111101110111000011010011000 ? -b1111111101110111000011010011000 D -b1110101101101001001111101011110 % -b1110101101101001001111101011110 . -b1110101101101001001111101011110 6 -b1110101101101001001111101011110 @ -b1110101101101001001111101011110 F -b1010000001101110011100111010 ) -#102912000 -0& -#102928000 -b11001001000 , -#102944000 -1& -#102960000 -b11010111111011001111111110010001 " -b11010111111011001111111110010001 4 -b11111111101111111000111101110101 1 -b11111111101111111000111101110101 C -b110101111010 0 -b110101111010 H -18 -b10000000111000010001011 < -b11010111111011001111111110010001 2 -b11010111111011001111111110010001 = -b11010111111011001111111110010001 : -b11010111101011001000111100000101 $ -b11010111101011001000111100000101 - -b11010111101011001000111100000101 5 -b11010111101011001000111100000101 ? -b11010111101011001000111100000101 D -b11111111101111111000111101110100 % -b11111111101111111000111101110100 . -b11111111101111111000111101110100 6 -b11111111101111111000111101110100 @ -b11111111101111111000111101110100 F -b11010111111011001111111110010001 ) -#102976000 -0& -#102992000 -b11001001001 , -#103008000 -1& -#103024000 -b10010100100101011110010101011111 " -b10010100100101011110010101011111 4 -b11111111011111110111110111100011 1 -b11111111011111110111110111100011 C -b11111110000110100111001110000 0 -b11111110000110100111001110000 H -19 -08 -b10101100010001010101110011101 < -b10010100100101011110010101011111 2 -b10010100100101011110010101011111 = -b10010100100101011110010101011111 : -b1111111000011010011100111000001 $ -b1111111000011010011100111000001 - -b1111111000011010011100111000001 5 -b1111111000011010011100111000001 ? -b1111111000011010011100111000001 D -b11101010011101110101010001100010 % -b11101010011101110101010001100010 . -b11101010011101110101010001100010 6 -b11101010011101110101010001100010 @ -b11101010011101110101010001100010 F -b10010100100101011110010101011111 ) -#103040000 -0& -#103056000 -b11001001010 , -#103072000 -1& -#103088000 -b11000010011111101100110111110001 " -b11000010011111101100110111110001 4 -b11111111111111111111111111010001 1 -b11111111111111111111111111010001 C -b1111001001111110 0 -b1111001001111110 H -09 -18 -b11010000000000000101000000101111 < -b11000010011111101100110111110001 2 -b11000010011111101100110111110001 = -b11000010011111101100110111110001 : -b11110010011111100111110111000001 $ -b11110010011111100111110111000001 - -b11110010011111100111110111000001 5 -b11110010011111100111110111000001 ? -b11110010011111100111110111000001 D -b101111111111111010111111010000 % -b101111111111111010111111010000 . -b101111111111111010111111010000 6 -b101111111111111010111111010000 @ -b101111111111111010111111010000 F -b11000010011111101100110111110001 ) -#103104000 -0& -#103120000 -b11001001011 , -#103136000 -1& -#103152000 -b11101100000111110100001010000100 " -b11101100000111110100001010000100 4 -b11111111111111111111011110101100 1 -b11111111111111111111011110101100 C -b111001111111111100110110 0 -b111001111111111100110110 H -b100001000000000110001010111 < -b11101100000111110100001010000100 2 -b11101100000111110100001010000100 = -b11101100000111110100001010000100 : -b11100111111111110011011000101100 $ -b11100111111111110011011000101100 - -b11100111111111110011011000101100 5 -b11100111111111110011011000101100 ? -b11100111111111110011011000101100 D -b11111011110111111111001110101000 % -b11111011110111111111001110101000 . -b11111011110111111111001110101000 6 -b11111011110111111111001110101000 @ -b11111011110111111111001110101000 F -b11101100000111110100001010000100 ) -#103168000 -0& -#103184000 -b11001001100 , -#103200000 -1& -#103216000 -b11001110001001101110100000101110 " -b11001110001001101110100000101110 4 -b11111111101111111110111101110011 1 -b11111111101111111110111101110011 C -b11001101101111101100111101010 0 -b11001101101111101100111101010 H -b11010000001100011011100 < -b11001110001001101110100000101110 2 -b11001110001001101110100000101110 = -b11001110001001101110100000101110 : -b11001101101111101100111101010001 $ -b11001101101111101100111101010001 - -b11001101101111101100111101010001 5 -b11001101101111101100111101010001 ? -b11001101101111101100111101010001 D -b11111111100101111110011100100011 % -b11111111100101111110011100100011 . -b11111111100101111110011100100011 6 -b11111111100101111110011100100011 @ -b11111111100101111110011100100011 F -b11001110001001101110100000101110 ) -#103232000 -0& -#103248000 -b11001001101 , -#103264000 -1& -#103280000 -b11010111101010110010111100001111 " -b11010111101010110010111100001111 4 -b11111111011111111111111111111101 1 -b11111111011111111111111111111101 C -b111 0 -b111 H -b11100000100000001000001100100010 < -b11010111101010110010111100001111 2 -b11010111101010110010111100001111 = -b11010111101010110010111100001111 : -b11110111001010101010101111101100 $ -b11110111001010101010101111101100 - -b11110111001010101010101111101100 5 -b11110111001010101010101111101100 ? -b11110111001010101010101111101100 D -b11111011111110111110011011101 % -b11111011111110111110011011101 . -b11111011111110111110011011101 6 -b11111011111110111110011011101 @ -b11111011111110111110011011101 F -b11010111101010110010111100001111 ) -#103296000 -0& -#103312000 -b11001001110 , -#103328000 -1& -#103344000 -b11100001111111010110001111010 " -b11100001111111010110001111010 4 -b11111110110011110101111111111111 1 -b11111110110011110101111111111111 C -b10011010110011110000101000111 0 -b10011010110011110000101000111 H -19 -18 -b10000001011100001010001000111100 < -b11100001111111010110001111010 2 -b11100001111111010110001111010 = -b11100001111111010110001111010 : -b10011010110011110000101000111101 $ -b10011010110011110000101000111101 - -b10011010110011110000101000111101 5 -b10011010110011110000101000111101 ? -b10011010110011110000101000111101 D -b1111110100011110101110111000011 % -b1111110100011110101110111000011 . -b1111110100011110101110111000011 6 -b1111110100011110101110111000011 @ -b1111110100011110101110111000011 F -b11100001111111010110001111010 ) -#103360000 -0& -#103376000 -b11001001111 , -#103392000 -1& -#103408000 -b11111100100010011111101111101 " -b11111100100010011111101111101 4 -b11111111111111111101101010101101 1 -b11111111111111111101101010101101 C -b11011111100011001101 0 -b11011111100011001101 H -08 -09 -b1000000000001000110010101010011 < -b11111100100010011111101111101 2 -b11111100100010011111101111101 = -b11111100100010011111101111101 : -b11011111100011001101101000101001 $ -b11011111100011001101101000101001 - -b11011111100011001101101000101001 5 -b11011111100011001101101000101001 ? -b11011111100011001101101000101001 D -b10111111111110111001101010101100 % -b10111111111110111001101010101100 . -b10111111111110111001101010101100 6 -b10111111111110111001101010101100 @ -b10111111111110111001101010101100 F -b11111100100010011111101111101 ) -#103424000 -0& -#103440000 -b11001010000 , -#103456000 -1& -#103472000 -b110100011000000001011111000001 " -b110100011000000001011111000001 4 -b11111111111111101101111111011011 1 -b11111111111111101101111111011011 C -b1010111 0 -b1010111 H -19 -18 -b10000100100000010011011111100110 < -b110100011000000001011111000001 2 -b110100011000000001011111000001 = -b110100011000000001011111000001 : -b10101111110111101101111111011010 $ -b10101111110111101101111111011010 - -b10101111110111101101111111011010 5 -b10101111110111101101111111011010 ? -b10101111110111101101111111011010 D -b1111011011111101100100000011001 % -b1111011011111101100100000011001 . -b1111011011111101100100000011001 6 -b1111011011111101100100000011001 @ -b1111011011111101100100000011001 F -b110100011000000001011111000001 ) -#103488000 -0& -#103504000 -b11001010001 , -#103520000 -1& -#103536000 -b11000011111110000011000100100100 " -b11000011111110000011000100100100 4 -b11111111111111100011001100100110 1 -b11111111111111100011001100100110 C -b111111111111011000110011001001 0 -b111111111111011000110011001001 H -09 -18 -b11000100000000011111110111111101 < -b11000011111110000011000100100100 2 -b11000011111110000011000100100100 = -b11000011111110000011000100100100 : -b11111111111101100011001100100110 $ -b11111111111101100011001100100110 - -b11111111111101100011001100100110 5 -b11111111111101100011001100100110 ? -b11111111111101100011001100100110 D -b111011111111100000001000000010 % -b111011111111100000001000000010 . -b111011111111100000001000000010 6 -b111011111111100000001000000010 @ -b111011111111100000001000000010 F -b11000011111110000011000100100100 ) -#103552000 -0& -#103568000 -b11001010010 , -#103584000 -1& -#103600000 -b1011110000110111111110101001101 " -b1011110000110111111110101001101 4 -b11111111111111111110010111111111 1 -b11111111111111111110010111111111 C -b11011101110101 0 -b11011101110101 H -19 -18 -b10000000010001000001101101001101 < -b1011110000110111111110101001101 2 -b1011110000110111111110101001101 = -b1011110000110111111110101001101 : -b11011101110101111110000111111111 $ -b11011101110101111110000111111111 - -b11011101110101111110000111111111 5 -b11011101110101111110000111111111 ? -b11011101110101111110000111111111 D -b1111111101110111110010010110010 % -b1111111101110111110010010110010 . -b1111111101110111110010010110010 6 -b1111111101110111110010010110010 @ -b1111111101110111110010010110010 F -b1011110000110111111110101001101 ) -#103616000 -0& -#103632000 -b11001010011 , -#103648000 -1& -#103664000 -b10000010101111001110011000111101 " -b10000010101111001110011000111101 4 -b11111010111111111010111111100111 1 -b11111010111111111010111111100111 C -b111110101110111010001111001 0 -b111110101110111010001111001 H -09 -18 -b10000111110011100101011100011010 < -b10000010101111001110011000111101 2 -b10000010101111001110011000111101 = -b10000010101111001110011000111101 : -b11111010111011101000111100100010 $ -b11111010111011101000111100100010 - -b11111010111011101000111100100010 5 -b11111010111011101000111100100010 ? -b11111010111011101000111100100010 D -b1111000001100011010100011100101 % -b1111000001100011010100011100101 . -b1111000001100011010100011100101 6 -b1111000001100011010100011100101 @ -b1111000001100011010100011100101 F -b10000010101111001110011000111101 ) -#103680000 -0& -#103696000 -b11001010100 , -#103712000 -1& -#103728000 -b10100010101000111101101111000111 " -b10100010101000111101101111000111 4 -b11111111111111111110111111111111 1 -b11111111111111111110111111111111 C -b1111111111110101 0 -b1111111111110101 H -19 -08 -b100010101010001111000011010000 < -b10100010101000111101101111000111 2 -b10100010101000111101101111000111 = -b10100010101000111101101111000111 : -b1111111111110101110101011110110 $ -b1111111111110101110101011110110 - -b1111111111110101110101011110110 5 -b1111111111110101110101011110110 ? -b1111111111110101110101011110110 D -b11011101010101110000111100101111 % -b11011101010101110000111100101111 . -b11011101010101110000111100101111 6 -b11011101010101110000111100101111 @ -b11011101010101110000111100101111 F -b10100010101000111101101111000111 ) -#103744000 -0& -#103760000 -b11001010101 , -#103776000 -1& -#103792000 -b11100110000110101000001101101000 " -b11100110000110101000001101101000 4 -b11111111111111111010111110111011 1 -b11111111111111111010111110111011 C -b111000111100111110101 0 -b111000111100111110101 H -09 -18 -b10010010101101001111010100 < -b11100110000110101000001101101000 2 -b11100110000110101000001101101000 = -b11100110000110101000001101101000 : -b11100011110011111010111110010011 $ -b11100011110011111010111110010011 - -b11100011110011111010111110010011 5 -b11100011110011111010111110010011 ? -b11100011110011111010111110010011 D -b11111101101101010010110000101011 % -b11111101101101010010110000101011 . -b11111101101101010010110000101011 6 -b11111101101101010010110000101011 @ -b11111101101101010010110000101011 F -b11100110000110101000001101101000 ) -#103808000 -0& -#103824000 -b11001010110 , -#103840000 -1& -#103856000 -b11001100010101111010000010110001 " -b11001100010101111010000010110001 4 -b11111111111111110110110111110011 1 -b11111111111111110110110111110011 C -b111001111101011100001101111100 0 -b111001111101011100001101111100 H -b11100100100000001001001010111101 < -b11001100010101111010000010110001 2 -b11001100010101111010000010110001 = -b11001100010101111010000010110001 : -b11100111110101110000110111110011 $ -b11100111110101110000110111110011 - -b11100111110101110000110111110011 5 -b11100111110101110000110111110011 ? -b11100111110101110000110111110011 D -b11011011111110110110101000010 % -b11011011111110110110101000010 . -b11011011111110110110101000010 6 -b11011011111110110110101000010 @ -b11011011111110110110101000010 F -b11001100010101111010000010110001 ) -#103872000 -0& -#103888000 -b11001010111 , -#103904000 -1& -#103920000 -b1001111111111100010110010111011 " -b1001111111111100010110010111011 4 -b11011111111011111111011111111101 1 -b11011111111011111111011111111101 C -b1111111101101111101000101110 0 -b1111111101101111101000101110 H -08 -b110000000100000011100001011110 < -b1001111111111100010110010111011 2 -b1001111111111100010110010111011 = -b1001111111111100010110010111011 : -b11111111011011111010001011100 $ -b11111111011011111010001011100 - -b11111111011011111010001011100 5 -b11111111011011111010001011100 ? -b11111111011011111010001011100 D -b11001111111011111100011110100001 % -b11001111111011111100011110100001 . -b11001111111011111100011110100001 6 -b11001111111011111100011110100001 @ -b11001111111011111100011110100001 F -b1001111111111100010110010111011 ) -#103936000 -0& -#103952000 -b11001011000 , -#103968000 -1& -#103984000 -b111110100110101000111110000111 " -b111110100110101000111110000111 4 -b11111111111111101011001110101101 1 -b11111111111111101011001110101101 C -b1111011111100010100010101 0 -b1111011111100010100010101 H -b101000011110110011011010 < -b111110100110101000111110000111 2 -b111110100110101000111110000111 = -b111110100110101000111110000111 : -b111101111110001010001010101100 $ -b111101111110001010001010101100 - -b111101111110001010001010101100 5 -b111101111110001010001010101100 ? -b111101111110001010001010101100 D -b11111111010111100001001100100101 % -b11111111010111100001001100100101 . -b11111111010111100001001100100101 6 -b11111111010111100001001100100101 @ -b11111111010111100001001100100101 F -b111110100110101000111110000111 ) -#104000000 -0& -#104016000 -b11001011001 , -#104032000 -1& -#104048000 -b10010100101010111011101100010111 " -b10010100101010111011101100010111 4 -b11111111111111111110011101111111 1 -b11111111111111111110011101111111 C -b1111111111 0 -b1111111111 H -18 -09 -b10010100101011000101100111001001 < -b10010100101010111011101100010111 2 -b10010100101010111011101100010111 = -b10010100101010111011101100010111 : -b11111111111111110110000101001101 $ -b11111111111111110110000101001101 - -b11111111111111110110000101001101 5 -b11111111111111110110000101001101 ? -b11111111111111110110000101001101 D -b1101011010100111010011000110110 % -b1101011010100111010011000110110 . -b1101011010100111010011000110110 6 -b1101011010100111010011000110110 @ -b1101011010100111010011000110110 F -b10010100101010111011101100010111 ) -#104064000 -0& -#104080000 -b11001011010 , -#104096000 -1& -#104112000 -b10000100111001110100001101110011 " -b10000100111001110100001101110011 4 -b11111111111111110110011111111111 1 -b11111111111111110110011111111111 C -b111101111010110011001 0 -b111101111010110011001 H -19 -08 -b1001000100001101110110010101 < -b10000100111001110100001101110011 2 -b10000100111001110100001101110011 = -b10000100111001110100001101110011 : -b1111011110101100110010111011101 $ -b1111011110101100110010111011101 - -b1111011110101100110010111011101 5 -b1111011110101100110010111011101 ? -b1111011110101100110010111011101 D -b11110110111011110010001001101010 % -b11110110111011110010001001101010 . -b11110110111011110010001001101010 6 -b11110110111011110010001001101010 @ -b11110110111011110010001001101010 F -b10000100111001110100001101110011 ) -#104128000 -0& -#104144000 -b11001011011 , -#104160000 -1& -#104176000 -b11111110001110000011001111010110 " -b11111110001110000011001111010110 4 -b11101011011111110011110111101010 1 -b11101011011111110011110111101010 C -b1110100101111111001110 0 -b1110100101111111001110 H -18 -09 -b10100101110001111101000010101 < -b11111110001110000011001111010110 2 -b11111110001110000011001111010110 = -b11111110001110000011001111010110 : -b11101001011111110011100111000000 $ -b11101001011111110011100111000000 - -b11101001011111110011100111000000 5 -b11101001011111110011100111000000 ? -b11101001011111110011100111000000 D -b11101011010001110000010111101010 % -b11101011010001110000010111101010 . -b11101011010001110000010111101010 6 -b11101011010001110000010111101010 @ -b11101011010001110000010111101010 F -b11111110001110000011001111010110 ) -#104192000 -0& -#104208000 -b11001011100 , -#104224000 -1& -#104240000 -b10111110110011011011110101011101 " -b10111110110011011011110101011101 4 -b11111111111111111101011111111101 1 -b11111111111111111101011111111101 C -b1111110 0 -b1111110 H -08 -19 -b1000000000000100110100110100111 < -b10111110110011011011110101011101 2 -b10111110110011011011110101011101 = -b10111110110011011011110101011101 : -b1111110110010110101001110110101 $ -b1111110110010110101001110110101 - -b1111110110010110101001110110101 5 -b1111110110010110101001110110101 ? -b1111110110010110101001110110101 D -b10111111111111011001011001011000 % -b10111111111111011001011001011000 . -b10111111111111011001011001011000 6 -b10111111111111011001011001011000 @ -b10111111111111011001011001011000 F -b10111110110011011011110101011101 ) -#104256000 -0& -#104272000 -b11001011101 , -#104288000 -1& -#104304000 -b1100011100101011111111000110 " -b1100011100101011111111000110 4 -b10111111111111111111011101111111 1 -b10111111111111111111011101111111 C -b101 0 -b101 H -09 -b1010100100000000000100010000010 < -b1100011100101011111111000110 2 -b1100011100101011111111000110 = -b1100011100101011111111000110 : -b10110111111100101011011101000011 $ -b10110111111100101011011101000011 - -b10110111111100101011011101000011 5 -b10110111111100101011011101000011 ? -b10110111111100101011011101000011 D -b10101011011111111111011101111101 % -b10101011011111111111011101111101 . -b10101011011111111111011101111101 6 -b10101011011111111111011101111101 @ -b10101011011111111111011101111101 F -b1100011100101011111111000110 ) -#104320000 -0& -#104336000 -b11001011110 , -#104352000 -1& -#104368000 -b11011101110100001111011111011100 " -b11011101110100001111011111011100 4 -b1111111111111110111110111101110 1 -b1111111111111110111110111101110 C -b1111011100111000 0 -b1111011100111000 H -18 -b10100000000000101011101011110001 < -b11011101110100001111011111011100 2 -b11011101110100001111011111011100 = -b11011101110100001111011111011100 : -b111101110011100011110011101010 $ -b111101110011100011110011101010 - -b111101110011100011110011101010 5 -b111101110011100011110011101010 ? -b111101110011100011110011101010 D -b1011111111111010100010100001110 % -b1011111111111010100010100001110 . -b1011111111111010100010100001110 6 -b1011111111111010100010100001110 @ -b1011111111111010100010100001110 F -b11011101110100001111011111011100 ) -#104384000 -0& -#104400000 -b11001011111 , -#104416000 -1& -#104432000 -b11111011001100010111001110010110 " -b11111011001100010111001110010110 4 -b11111111111111111001111111010111 1 -b11111111111111111001111111010111 C -b1111101011101111100100101101011 0 -b1111101011101111100100101101011 H -b10000011110000010111110 < -b11111011001100010111001110010110 2 -b11111011001100010111001110010110 = -b11111011001100010111001110010110 : -b11111010111011111001001011010111 $ -b11111010111011111001001011010111 - -b11111010111011111001001011010111 5 -b11111010111011111001001011010111 ? -b11111010111011111001001011010111 D -b11111111101111100001111101000001 % -b11111111101111100001111101000001 . -b11111111101111100001111101000001 6 -b11111111101111100001111101000001 @ -b11111111101111100001111101000001 F -b11111011001100010111001110010110 ) -#104448000 -0& -#104464000 -b11001100000 , -#104480000 -1& -#104496000 -b11111010010011101100010011001100 " -b11111010010011101100010011001100 4 -b111111111110111111011011011100 1 -b111111111110111111011011011100 C -b1101011100101010110110 0 -b1101011100101010110110 H -18 -b11000100100001000000110111110111 < -b11111010010011101100010011001100 2 -b11111010010011101100010011001100 = -b11111010010011101100010011001100 : -b110101110010101011011011010100 $ -b110101110010101011011011010100 - -b110101110010101011011011010100 5 -b110101110010101011011011010100 ? -b110101110010101011011011010100 D -b111011011110111111001000001000 % -b111011011110111111001000001000 . -b111011011110111111001000001000 6 -b111011011110111111001000001000 @ -b111011011110111111001000001000 F -b11111010010011101100010011001100 ) -#104512000 -0& -#104528000 -b11001100001 , -#104544000 -1& -#104560000 -b11000100000011111101110101101101 " -b11000100000011111101110101101101 4 -b1011111111110110111110110111111 1 -b1011111111110110111110110111111 C -b0 0 -b0 H -b10100100001001001010001111000000 < -b11000100000011111101110101101101 2 -b11000100000011111101110101101101 = -b11000100000011111101110101101101 : -b11111111010110011100110101100 $ -b11111111010110011100110101100 - -b11111111010110011100110101100 5 -b11111111010110011100110101100 ? -b11111111010110011100110101100 D -b1011011110110110101110000111111 % -b1011011110110110101110000111111 . -b1011011110110110101110000111111 6 -b1011011110110110101110000111111 @ -b1011011110110110101110000111111 F -b11000100000011111101110101101101 ) -#104576000 -0& -#104592000 -b11001100010 , -#104608000 -1& -#104624000 -b1000001010111110100101000101010 " -b1000001010111110100101000101010 4 -b11110111111111111110111011111111 1 -b11110111111111111110111011111111 C -b110100110111110 0 -b110100110111110 H -08 -b1100100000000001110110010000 < -b1000001010111110100101000101010 2 -b1000001010111110100101000101010 = -b1000001010111110100101000101010 : -b110100110111110010110010011001 $ -b110100110111110010110010011001 - -b110100110111110010110010011001 5 -b110100110111110010110010011001 ? -b110100110111110010110010011001 D -b11110011011111111110001001101111 % -b11110011011111111110001001101111 . -b11110011011111111110001001101111 6 -b11110011011111111110001001101111 @ -b11110011011111111110001001101111 F -b1000001010111110100101000101010 ) -#104640000 -0& -#104656000 -b11001100011 , -#104672000 -1& -#104688000 -b10111111100111100101011111010001 " -b10111111100111100101011111010001 4 -b1011111111011111111110011010111 1 -b1011111111011111111110011010111 C -b111110110111000110100010 0 -b111110110111000110100010 H -18 -b10100000001100000010001101111010 < -b10111111100111100101011111010001 2 -b10111111100111100101011111010001 = -b10111111100111100101011111010001 : -b11111011011100011010001010110 $ -b11111011011100011010001010110 - -b11111011011100011010001010110 5 -b11111011011100011010001010110 ? -b11111011011100011010001010110 D -b1011111110011111101110010000101 % -b1011111110011111101110010000101 . -b1011111110011111101110010000101 6 -b1011111110011111101110010000101 @ -b1011111110011111101110010000101 F -b10111111100111100101011111010001 ) -#104704000 -0& -#104720000 -b11001100100 , -#104736000 -1& -#104752000 -b1101110011000010010111000011010 " -b1101110011000010010111000011010 4 -b11111111111101011111111011101111 1 -b11111111111101011111111011101111 C -b1101111001010101100 0 -b1101111001010101100 H -19 -18 -b10010000000010111001001110010010 < -b1101110011000010010111000011010 2 -b1101110011000010010111000011010 = -b1101110011000010010111000011010 : -b11011110010101011001101010000111 $ -b11011110010101011001101010000111 - -b11011110010101011001101010000111 5 -b11011110010101011001101010000111 ? -b11011110010101011001101010000111 D -b1101111111101000110110001101101 % -b1101111111101000110110001101101 . -b1101111111101000110110001101101 6 -b1101111111101000110110001101101 @ -b1101111111101000110110001101101 F -b1101110011000010010111000011010 ) -#104768000 -0& -#104784000 -b11001100101 , -#104800000 -1& -#104816000 -b10011100110000000100010101100 " -b10011100110000000100010101100 4 -b1111111111111110111111101111101 1 -b1111111111111110111111101111101 C -b111111 0 -b111111 H -08 -09 -b10010011101000001010000010000110 < -b10011100110000000100010101100 2 -b10011100110000000100010101100 = -b10011100110000000100010101100 : -b1111111111101110110100000100101 $ -b1111111111101110110100000100101 - -b1111111111101110110100000100101 5 -b1111111111101110110100000100101 ? -b1111111111101110110100000100101 D -b1101100010111110101111101111001 % -b1101100010111110101111101111001 . -b1101100010111110101111101111001 6 -b1101100010111110101111101111001 @ -b1101100010111110101111101111001 F -b10011100110000000100010101100 ) -#104832000 -0& -#104848000 -b11001100110 , -#104864000 -1& -#104880000 -b10101000011111000101000111110100 " -b10101000011111000101000111110100 4 -b11101111111111011010111111011100 1 -b11101111111111011010111111011100 C -b100 0 -b100 H -19 -08 -b1011001000000101101000000100011 < -b10101000011111000101000111110100 2 -b10101000011111000101000111110100 = -b10101000011111000101000111110100 : -b1001111011110011000000111010000 $ -b1001111011110011000000111010000 - -b1001111011110011000000111010000 5 -b1001111011110011000000111010000 ? -b1001111011110011000000111010000 D -b10100110111111010010111111011100 % -b10100110111111010010111111011100 . -b10100110111111010010111111011100 6 -b10100110111111010010111111011100 @ -b10100110111111010010111111011100 F -b10101000011111000101000111110100 ) -#104896000 -0& -#104912000 -b11001100111 , -#104928000 -1& -#104944000 -b11100001100000110101100011101 " -b11100001100000110101100011101 4 -b1111111111111111111010100100011 1 -b1111111111111111111010100100011 C -b1110111100111111011000000100 0 -b1110111100111111011000000100 H -09 -08 -b10100100100100001011101011111100 < -b11100001100000110101100011101 2 -b11100001100000110101100011101 = -b11100001100000110101100011101 : -b1110111100111111011000000100000 $ -b1110111100111111011000000100000 - -b1110111100111111011000000100000 5 -b1110111100111111011000000100000 ? -b1110111100111111011000000100000 D -b1011011011011110100010100000011 % -b1011011011011110100010100000011 . -b1011011011011110100010100000011 6 -b1011011011011110100010100000011 @ -b1011011011011110100010100000011 F -b11100001100000110101100011101 ) -#104960000 -0& -#104976000 -b11001101000 , -#104992000 -1& -#105008000 -b11111001110011111101100001111110 " -b11111001110011111101100001111110 4 -b11111111111111111110100110001110 1 -b11111111111111111110100110001110 C -b111101111100111101100001 0 -b111101111100111101100001 H -18 -b10000000000111011101110111 < -b11111001110011111101100001111110 2 -b11111001110011111101100001111110 = -b11111001110011111101100001111110 : -b11110111110011110110000100000110 $ -b11110111110011110110000100000110 - -b11110111110011110110000100000110 5 -b11110111110011110110000100000110 ? -b11110111110011110110000100000110 D -b11111101111111111000100010001000 % -b11111101111111111000100010001000 . -b11111101111111111000100010001000 6 -b11111101111111111000100010001000 @ -b11111101111111111000100010001000 F -b11111001110011111101100001111110 ) -#105024000 -0& -#105040000 -b11001101001 , -#105056000 -1& -#105072000 -b11111100111100110111100111101111 " -b11111100111100110111100111101111 4 -b11011111111111111011101101111011 1 -b11011111111111111011101101111011 C -b1101110011110010101100 0 -b1101110011110010101100 H -b100000000000001100011010110101 < -b11111100111100110111100111101111 2 -b11111100111100110111100111101111 = -b11111100111100110111100111101111 : -b11011100111100101011001100111001 $ -b11011100111100101011001100111001 - -b11011100111100101011001100111001 5 -b11011100111100101011001100111001 ? -b11011100111100101011001100111001 D -b11011111111111110011100101001010 % -b11011111111111110011100101001010 . -b11011111111111110011100101001010 6 -b11011111111111110011100101001010 @ -b11011111111111110011100101001010 F -b11111100111100110111100111101111 ) -#105088000 -0& -#105104000 -b11001101010 , -#105120000 -1& -#105136000 -b100100011100110001101001001 " -b100100011100110001101001001 4 -b1111101111111111001110111111011 1 -b1111101111111111001110111111011 C -b1111101011110111000000 0 -b1111101011110111000000 H -08 -b10000111000100101110001010010110 < -b100100011100110001101001001 2 -b100100011100110001101001001 = -b100100011100110001101001001 : -b1111101011110111000000010110010 $ -b1111101011110111000000010110010 - -b1111101011110111000000010110010 5 -b1111101011110111000000010110010 ? -b1111101011110111000000010110010 D -b1111000111011010001110101101001 % -b1111000111011010001110101101001 . -b1111000111011010001110101101001 6 -b1111000111011010001110101101001 @ -b1111000111011010001110101101001 F -b100100011100110001101001001 ) -#105152000 -0& -#105168000 -b11001101011 , -#105184000 -1& -#105200000 -b1111110010001111111010000110011 " -b1111110010001111111010000110011 4 -b11111111111111101011111111011011 1 -b11111111111111101011111111011011 C -b11111101 0 -b11111101 H -19 -18 -b10000000010010010101010010100111 < -b1111110010001111111010000110011 2 -b1111110010001111111010000110011 = -b1111110010001111111010000110011 : -b11111101111111101001111110001011 $ -b11111101111111101001111110001011 - -b11111101111111101001111110001011 5 -b11111101111111101001111110001011 ? -b11111101111111101001111110001011 D -b1111111101101101010101101011000 % -b1111111101101101010101101011000 . -b1111111101101101010101101011000 6 -b1111111101101101010101101011000 @ -b1111111101101101010101101011000 F -b1111110010001111111010000110011 ) -#105216000 -0& -#105232000 -b11001101100 , -#105248000 -1& -#105264000 -b110100011100100101011000100010 " -b110100011100100101011000100010 4 -b11111110111111111111111010101111 1 -b11111110111111111111111010101111 C -b1010101111000101001 0 -b1010101111000101001 H -09 -08 -b1001100000010000101101110100 < -b110100011100100101011000100010 2 -b110100011100100101011000100010 = -b110100011100100101011000100010 : -b101010111100010100101010101101 $ -b101010111100010100101010101101 - -b101010111100010100101010101101 5 -b101010111100010100101010101101 ? -b101010111100010100101010101101 D -b11110110011111101111010010001011 % -b11110110011111101111010010001011 . -b11110110011111101111010010001011 6 -b11110110011111101111010010001011 @ -b11110110011111101111010010001011 F -b110100011100100101011000100010 ) -#105280000 -0& -#105296000 -b11001101101 , -#105312000 -1& -#105328000 -b11001010000001110110011101000000 " -b11001010000001110110011101000000 4 -b1101111011011111011111111000001 1 -b1101111011011111011111111000001 C -b10111101101110100101011000000 0 -b10111101101110100101011000000 H -18 -b10011010100110001101000110111110 < -b11001010000001110110011101000000 2 -b11001010000001110110011101000000 = -b11001010000001110110011101000000 : -b101111011011101001010110000001 $ -b101111011011101001010110000001 - -b101111011011101001010110000001 5 -b101111011011101001010110000001 ? -b101111011011101001010110000001 D -b1100101011001110010111001000001 % -b1100101011001110010111001000001 . -b1100101011001110010111001000001 6 -b1100101011001110010111001000001 @ -b1100101011001110010111001000001 F -b11001010000001110110011101000000 ) -#105344000 -0& -#105360000 -b11001101110 , -#105376000 -1& -#105392000 -b1001100111110100100100001010110 " -b1001100111110100100100001010110 4 -b11111111111111110101111110111110 1 -b11111111111111110101111110111110 C -b101111001101 0 -b101111001101 H -19 -18 -b10010000000110101111000001001011 < -b1001100111110100100100001010110 2 -b1001100111110100100100001010110 = -b1001100111110100100100001010110 : -b10111100110111110101100000001010 $ -b10111100110111110101100000001010 - -b10111100110111110101100000001010 5 -b10111100110111110101100000001010 ? -b10111100110111110101100000001010 D -b1101111111001010000111110110100 % -b1101111111001010000111110110100 . -b1101111111001010000111110110100 6 -b1101111111001010000111110110100 @ -b1101111111001010000111110110100 F -b1001100111110100100100001010110 ) -#105408000 -0& -#105424000 -b11001101111 , -#105440000 -1& -#105456000 -b11000010011111010011000110011100 " -b11000010011111010011000110011100 4 -b1101111111101110011111111111101 1 -b1101111111101110011111111111101 C -b10111111110100001111101111110 0 -b10111111110100001111101111110 H -09 -18 -b10010010100010001111001010011110 < -b11000010011111010011000110011100 2 -b11000010011111010011000110011100 = -b11000010011111010011000110011100 : -b101111111101000011111011111101 $ -b101111111101000011111011111101 - -b101111111101000011111011111101 5 -b101111111101000011111011111101 ? -b101111111101000011111011111101 D -b1101101011101110000110101100001 % -b1101101011101110000110101100001 . -b1101101011101110000110101100001 6 -b1101101011101110000110101100001 @ -b1101101011101110000110101100001 F -b11000010011111010011000110011100 ) -#105472000 -0& -#105488000 -b11001110000 , -#105504000 -1& -#105520000 -b11111111101101110101111110000000 " -b11111111101101110101111110000000 4 -b1111111111111111111001110000101 1 -b1111111111111111111001110000101 C -b11111111010111100110001100 0 -b11111111010111100110001100 H -18 -b10000000000010000010110111111010 < -b11111111101101110101111110000000 2 -b11111111101101110101111110000000 = -b11111111101101110101111110000000 : -b1111111101011110011000110000101 $ -b1111111101011110011000110000101 - -b1111111101011110011000110000101 5 -b1111111101011110011000110000101 ? -b1111111101011110011000110000101 D -b1111111111101111101001000000101 % -b1111111111101111101001000000101 . -b1111111111101111101001000000101 6 -b1111111111101111101001000000101 @ -b1111111111101111101001000000101 F -b11111111101101110101111110000000 ) -#105536000 -0& -#105552000 -b11001110001 , -#105568000 -1& -#105584000 -b1000000000101100001110100110011 " -b1000000000101100001110100110011 4 -b1111111101111101111111110110011 1 -b1111111101111101111111110110011 C -b1111111101110101111101010110011 0 -b1111111101110101111101010110011 H -08 -b11000000010110110010001001111111 < -b1000000000101100001110100110011 2 -b1000000000101100001110100110011 = -b1000000000101100001110100110011 : -b1111111101110101111101010110011 $ -b1111111101110101111101010110011 - -b1111111101110101111101010110011 5 -b1111111101110101111101010110011 ? -b1111111101110101111101010110011 D -b111111101001001101110110000000 % -b111111101001001101110110000000 . -b111111101001001101110110000000 6 -b111111101001001101110110000000 @ -b111111101001001101110110000000 F -b1000000000101100001110100110011 ) -#105600000 -0& -#105616000 -b11001110010 , -#105632000 -1& -#105648000 -b11100000100011000011111010100010 " -b11100000100011000011111010100010 4 -b1111111111111111111000111101110 1 -b1111111111111111111000111101110 C -b111111111110111111000001 0 -b111111111110111111000001 H -18 -b10100000100100000100111001011001 < -b11100000100011000011111010100010 2 -b11100000100011000011111010100010 = -b11100000100011000011111010100010 : -b111111111110111111000001001000 $ -b111111111110111111000001001000 - -b111111111110111111000001001000 5 -b111111111110111111000001001000 ? -b111111111110111111000001001000 D -b1011111011011111011000110100110 % -b1011111011011111011000110100110 . -b1011111011011111011000110100110 6 -b1011111011011111011000110100110 @ -b1011111011011111011000110100110 F -b11100000100011000011111010100010 ) -#105664000 -0& -#105680000 -b11001110011 , -#105696000 -1& -#105712000 -b1011111000001111100011100010101 " -b1011111000001111100011100010101 4 -b1111111111011101011101101111101 1 -b1111111111011101011101101111101 C -b111111011101110100000100111 0 -b111111011101110100000100111 H -08 -b11100000000110010100010010011011 < -b1011111000001111100011100010101 2 -b1011111000001111100011100010101 = -b1011111000001111100011100010101 : -b1111110111011101000001001111001 $ -b1111110111011101000001001111001 - -b1111110111011101000001001111001 5 -b1111110111011101000001001111001 ? -b1111110111011101000001001111001 D -b11111111001101011101101100100 % -b11111111001101011101101100100 . -b11111111001101011101101100100 6 -b11111111001101011101101100100 @ -b11111111001101011101101100100 F -b1011111000001111100011100010101 ) -#105728000 -0& -#105744000 -b11001110100 , -#105760000 -1& -#105776000 -b11001001101001111010111100111011 " -b11001001101001111010111100111011 4 -b11111111111110111101001111011111 1 -b11111111111110111101001111011111 C -b1011 0 -b1011 H -18 -b10100001011000010110110100011 < -b11001001101001111010111100111011 2 -b11001001101001111010111100111011 = -b11001001101001111010111100111011 : -b10110101011110111000000110010111 $ -b10110101011110111000000110010111 - -b10110101011110111000000110010111 5 -b10110101011110111000000110010111 ? -b10110101011110111000000110010111 D -b11101011110100111101001001011100 % -b11101011110100111101001001011100 . -b11101011110100111101001001011100 6 -b11101011110100111101001001011100 @ -b11101011110100111101001001011100 F -b11001001101001111010111100111011 ) -#105792000 -0& -#105808000 -b11001110101 , -#105824000 -1& -#105840000 -b1001101000000011110101100101111 " -b1001101000000011110101100101111 4 -b11111111101111110010111111111011 1 -b11111111101111110010111111111011 C -b110011 0 -b110011 H -19 -18 -b10000000010000101101110010000101 < -b1001101000000011110101100101111 2 -b1001101000000011110101100101111 = -b1001101000000011110101100101111 : -b11001100101111110000111010101001 $ -b11001100101111110000111010101001 - -b11001100101111110000111010101001 5 -b11001100101111110000111010101001 ? -b11001100101111110000111010101001 D -b1111111101111010010001101111010 % -b1111111101111010010001101111010 . -b1111111101111010010001101111010 6 -b1111111101111010010001101111010 @ -b1111111101111010010001101111010 F -b1001101000000011110101100101111 ) -#105856000 -0& -#105872000 -b11001110110 , -#105888000 -1& -#105904000 -b100010110100000111110111110100 " -b100010110100000111110111110100 4 -b1111111111111001010111101010110 1 -b1111111111111001010111101010110 C -b1110001111011 0 -b1110001111011 H -09 -08 -b10110000111000111101000010101101 < -b100010110100000111110111110100 2 -b100010110100000111110111110100 = -b100010110100000111110111110100 : -b1110001111011001010110101000110 $ -b1110001111011001010110101000110 - -b1110001111011001010110101000110 5 -b1110001111011001010110101000110 ? -b1110001111011001010110101000110 D -b1001111000111000010111101010010 % -b1001111000111000010111101010010 . -b1001111000111000010111101010010 6 -b1001111000111000010111101010010 @ -b1001111000111000010111101010010 F -b100010110100000111110111110100 ) -#105920000 -0& -#105936000 -b11001110111 , -#105952000 -1& -#105968000 -b110100011100100101001000110100 " -b110100011100100101001000110100 4 -b11111111111111110111110111111111 1 -b11111111111111110111110111111111 C -b11111 0 -b11111 H -08 -b111001000100101110011000000100 < -b110100011100100101001000110100 2 -b110100011100100101001000110100 = -b110100011100100101001000110100 : -b11111011010111110110110000101111 $ -b11111011010111110110110000101111 - -b11111011010111110110110000101111 5 -b11111011010111110110110000101111 ? -b11111011010111110110110000101111 D -b11000110111011010001100111111011 % -b11000110111011010001100111111011 . -b11000110111011010001100111111011 6 -b11000110111011010001100111111011 @ -b11000110111011010001100111111011 F -b110100011100100101001000110100 ) -#105984000 -0& -#106000000 -b11001111000 , -#106016000 -1& -#106032000 -b10000010000100100001000111101010 " -b10000010000100100001000111101010 4 -b11111011111111111111111111110111 1 -b11111011111111111111111111110111 C -b111110111 0 -b111110111 H -18 -b10000110000100100100000000001000 < -b10000010000100100001000111101010 2 -b10000010000100100001000111101010 = -b10000010000100100001000111101010 : -b11111011111111111101000111100001 $ -b11111011111111111101000111100001 - -b11111011111111111101000111100001 5 -b11111011111111111101000111100001 ? -b11111011111111111101000111100001 D -b1111001111011011011111111110111 % -b1111001111011011011111111110111 . -b1111001111011011011111111110111 6 -b1111001111011011011111111110111 @ -b1111001111011011011111111110111 F -b10000010000100100001000111101010 ) -#106048000 -0& -#106064000 -b11001111001 , -#106080000 -1& -#106096000 -b11110111110111000100011111111 " -b11110111110111000100011111111 4 -b11111111111111111111111111001111 1 -b11111111111111111111111111001111 C -b111101111101101 0 -b111101111101101 H -08 -09 -b10010000110001 < -b11110111110111000100011111111 2 -b11110111110111000100011111111 = -b11110111110111000100011111111 : -b11110111110110110010011001101 $ -b11110111110110110010011001101 - -b11110111110110110010011001101 5 -b11110111110110110010011001101 ? -b11110111110110110010011001101 D -b11111111111111111101101111001110 % -b11111111111111111101101111001110 . -b11111111111111111101101111001110 6 -b11111111111111111101101111001110 @ -b11111111111111111101101111001110 F -b11110111110111000100011111111 ) -#106112000 -0& -#106128000 -b11001111010 , -#106144000 -1& -#106160000 -b110011011101101010100011001010 " -b110011011101101010100011001010 4 -b11110111111111111111111111001010 1 -b11110111111111111111111111001010 C -b11100111011011100110010010001010 0 -b11100111011011100110010010001010 H -08 -b1001100000010000100010000111111 < -b110011011101101010100011001010 2 -b110011011101101010100011001010 = -b110011011101101010100011001010 : -b11100111011011100110010010001010 $ -b11100111011011100110010010001010 - -b11100111011011100110010010001010 5 -b11100111011011100110010010001010 ? -b11100111011011100110010010001010 D -b10110011111101111011101111000000 % -b10110011111101111011101111000000 . -b10110011111101111011101111000000 6 -b10110011111101111011101111000000 @ -b10110011111101111011101111000000 F -b110011011101101010100011001010 ) -#106176000 -0& -#106192000 -b11001111011 , -#106208000 -1& -#106224000 -b1111110111010000111000010000011 " -b1111110111010000111000010000011 4 -b11111111111110101111011010111011 1 -b11111111111110101111011010111011 C -b1111011 0 -b1111011 H -08 -b11000001011011100111000111 < -b1111110111010000111000010000011 2 -b1111110111010000111000010000011 = -b1111110111010000111000010000011 : -b1111011111000101011011010111011 $ -b1111011111000101011011010111011 - -b1111011111000101011011010111011 5 -b1111011111000101011011010111011 ? -b1111011111000101011011010111011 D -b11111100111110100100011000111000 % -b11111100111110100100011000111000 . -b11111100111110100100011000111000 6 -b11111100111110100100011000111000 @ -b11111100111110100100011000111000 F -b1111110111010000111000010000011 ) -#106240000 -0& -#106256000 -b11001111100 , -#106272000 -1& -#106288000 -b100001001001001001111000010010 " -b100001001001001001111000010010 4 -b11110111011101111111010111111111 1 -b11110111011101111111010111111111 C -b101110 0 -b101110 H -b1001110011001100101000001000 < -b100001001001001001111000010010 2 -b100001001001001001111000010010 = -b100001001001001001111000010010 : -b10111010101111101010000001001 $ -b10111010101111101010000001001 - -b10111010101111101010000001001 5 -b10111010101111101010000001001 ? -b10111010101111101010000001001 D -b11110110001100110011010111110111 % -b11110110001100110011010111110111 . -b11110110001100110011010111110111 6 -b11110110001100110011010111110111 @ -b11110110001100110011010111110111 F -b100001001001001001111000010010 ) -#106304000 -0& -#106320000 -b11001111101 , -#106336000 -1& -#106352000 -b10111110111111101001011111010 " -b10111110111111101001011111010 4 -b11111111111110011110111100111011 1 -b11111111111110011110111100111011 C -b1011111011000111000010001101 0 -b1011111011000111000010001101 H -b1101111000111011110 < -b10111110111111101001011111010 2 -b10111110111111101001011111010 = -b10111110111111101001011111010 : -b10111110110001110000100011011 $ -b10111110110001110000100011011 - -b10111110110001110000100011011 5 -b10111110110001110000100011011 ? -b10111110110001110000100011011 D -b11111111111110010000111000100001 % -b11111111111110010000111000100001 . -b11111111111110010000111000100001 6 -b11111111111110010000111000100001 @ -b11111111111110010000111000100001 F -b10111110111111101001011111010 ) -#106368000 -0& -#106384000 -b11001111110 , -#106400000 -1& -#106416000 -b11011110000000011010000000011101 " -b11011110000000011010000000011101 4 -b11110111111111111011001101100011 1 -b11110111111111111011001101100011 C -b11110101111111010011001101100 0 -b11110101111111010011001101100 H -18 -b11101000000001000110110010111100 < -b11011110000000011010000000011101 2 -b11011110000000011010000000011101 = -b11011110000000011010000000011101 : -b11110101111111010011001101100000 $ -b11110101111111010011001101100000 - -b11110101111111010011001101100000 5 -b11110101111111010011001101100000 ? -b11110101111111010011001101100000 D -b10111111110111001001101000011 % -b10111111110111001001101000011 . -b10111111110111001001101000011 6 -b10111111110111001001101000011 @ -b10111111110111001001101000011 F -b11011110000000011010000000011101 ) -#106432000 -0& -#106448000 -b11001111111 , -#106464000 -1& -#106480000 -b1101111100111000101110111010100 " -b1101111100111000101110111010100 4 -b11111100111111101111111111110111 1 -b11111100111111101111111111110111 C -b101110001111 0 -b101110001111 H -08 -b10011001000011010111011101100 < -b1101111100111000101110111010100 2 -b1101111100111000101110111010100 = -b1101111100111000101110111010100 : -b1011100011110101010111011100111 $ -b1011100011110101010111011100111 - -b1011100011110101010111011100111 5 -b1011100011110101010111011100111 ? -b1011100011110101010111011100111 D -b11101100110111100101000100010011 % -b11101100110111100101000100010011 . -b11101100110111100101000100010011 6 -b11101100110111100101000100010011 @ -b11101100110111100101000100010011 F -b1101111100111000101110111010100 ) -#106496000 -0& -#106512000 -b11010000000 , -#106528000 -1& -#106544000 -b11110001101111000010100110001101 " -b11110001101111000010100110001101 4 -b11111011111111111110101111110011 1 -b11111011111111111110101111110011 C -b1110101111111 0 -b1110101111111 H -18 -b101110000000001111000101100 < -b11110001101111000010100110001101 2 -b11110001101111000010100110001101 = -b11110001101111000010100110001101 : -b11101011111111000000101101100000 $ -b11101011111111000000101101100000 - -b11101011111111000000101101100000 5 -b11101011111111000000101101100000 ? -b11101011111111000000101101100000 D -b11111010001111111110000111010011 % -b11111010001111111110000111010011 . -b11111010001111111110000111010011 6 -b11111010001111111110000111010011 @ -b11111010001111111110000111010011 F -b11110001101111000010100110001101 ) -#106560000 -0& -#106576000 -b11010000001 , -#106592000 -1& -#106608000 -b1111001111010000011000000010001 " -b1111001111010000011000000010001 4 -b10111111111110111111110011110111 1 -b10111111111110111111110011110111 C -b1101111101101111111100111 0 -b1101111101101111111100111 H -08 -b1000010000011000011001100011010 < -b1111001111010000011000000010001 2 -b1111001111010000011000000010001 = -b1111001111010000011000000010001 : -b110111110110111111110011110110 $ -b110111110110111111110011110110 - -b110111110110111111110011110110 5 -b110111110110111111110011110110 ? -b110111110110111111110011110110 D -b10111101111100111100110011100101 % -b10111101111100111100110011100101 . -b10111101111100111100110011100101 6 -b10111101111100111100110011100101 @ -b10111101111100111100110011100101 F -b1111001111010000011000000010001 ) -#106624000 -0& -#106640000 -b11010000010 , -#106656000 -1& -#106672000 -b1100011011110111101001000111 " -b1100011011110111101001000111 4 -b11101101111111111010010111111001 1 -b11101101111111111010010111111001 C -b11101101111111101010000 0 -b11101101111111101010000 H -08 -09 -b11110011100001101101000010110 < -b1100011011110111101001000111 2 -b1100011011110111101001000111 = -b1100011011110111101001000111 : -b11101101111111101010000000110000 $ -b11101101111111101010000000110000 - -b11101101111111101010000000110000 5 -b11101101111111101010000000110000 ? -b11101101111111101010000000110000 D -b11100001100011110010010111101001 % -b11100001100011110010010111101001 . -b11100001100011110010010111101001 6 -b11100001100011110010010111101001 @ -b11100001100011110010010111101001 F -b1100011011110111101001000111 ) -#106688000 -0& -#106704000 -b11010000011 , -#106720000 -1& -#106736000 -b11111111100010000011101101011100 " -b11111111100010000011101101011100 4 -b1110111111111110100011101011110 1 -b1110111111111110100011101011110 C -b11101110111111101000010010111 0 -b11101110111111101000010010111 H -18 -b10001000000010001111100011111101 < -b11111111100010000011101101011100 2 -b11111111100010000011101101011100 = -b11111111100010000011101101011100 : -b1110111011111110100001001011110 $ -b1110111011111110100001001011110 - -b1110111011111110100001001011110 5 -b1110111011111110100001001011110 ? -b1110111011111110100001001011110 D -b1110111111101110000011100000010 % -b1110111111101110000011100000010 . -b1110111111101110000011100000010 6 -b1110111111101110000011100000010 @ -b1110111111101110000011100000010 F -b11111111100010000011101101011100 ) -#106752000 -0& -#106768000 -b11010000100 , -#106784000 -1& -#106800000 -b110011111001010110111001101000 " -b110011111001010110111001101000 4 -b11110111111111111111010111111100 1 -b11110111111111111111010111111100 C -b1001111101 0 -b1001111101 H -08 -b1100000010101001101000101011 < -b110011111001010110111001101000 2 -b110011111001010110111001101000 = -b110011111001010110111001101000 : -b100111110110101101010000111100 $ -b100111110110101101010000111100 - -b100111110110101101010000111100 5 -b100111110110101101010000111100 ? -b100111110110101101010000111100 D -b11110011111101010110010111010100 % -b11110011111101010110010111010100 . -b11110011111101010110010111010100 6 -b11110011111101010110010111010100 @ -b11110011111101010110010111010100 F -b110011111001010110111001101000 ) -#106816000 -0& -#106832000 -b11010000101 , -#106848000 -1& -#106864000 -b1000011101001100101011010101100 " -b1000011101001100101011010101100 4 -b1111111101111110111111111101100 1 -b1111111101111110111111111101100 C -b1111011001110110111110111101100 0 -b1111011001110110111110111101100 H -09 -08 -b11001000011010101101100010111111 < -b1000011101001100101011010101100 2 -b1000011101001100101011010101100 = -b1000011101001100101011010101100 : -b1111011001110110111110111101100 $ -b1111011001110110111110111101100 - -b1111011001110110111110111101100 5 -b1111011001110110111110111101100 ? -b1111011001110110111110111101100 D -b110111100101010010011101000000 % -b110111100101010010011101000000 . -b110111100101010010011101000000 6 -b110111100101010010011101000000 @ -b110111100101010010011101000000 F -b1000011101001100101011010101100 ) -#106880000 -0& -#106896000 -b11010000110 , -#106912000 -1& -#106928000 -b11111110110011100100110011010 " -b11111110110011100100110011010 4 -b11111111011111111101111011111110 1 -b11111111011111111101111011111110 C -b11111111010110 0 -b11111111010110 H -08 -b100000100000000011000100101101 < -b11111110110011100100110011010 2 -b11111110110011100100110011010 = -b11111110110011100100110011010 : -b11111111010110011001100001101100 $ -b11111111010110011001100001101100 - -b11111111010110011001100001101100 5 -b11111111010110011001100001101100 ? -b11111111010110011001100001101100 D -b11011111011111111100111011010010 % -b11011111011111111100111011010010 . -b11011111011111111100111011010010 6 -b11011111011111111100111011010010 @ -b11011111011111111100111011010010 F -b11111110110011100100110011010 ) -#106944000 -0& -#106960000 -b11010000111 , -#106976000 -1& -#106992000 -b1111101000111101011111001111000 " -b1111101000111101011111001111000 4 -b11111111111111111110010111111101 1 -b11111111111111111110010111111101 C -b11111001111110111100100010 0 -b11111001111110111100100010 H -08 -b1000001101101000011010 < -b1111101000111101011111001111000 2 -b1111101000111101011111001111000 = -b1111101000111101011111001111000 : -b1111100111111011110010001011101 $ -b1111100111111011110010001011101 - -b1111100111111011110010001011101 5 -b1111100111111011110010001011101 ? -b1111100111111011110010001011101 D -b11111111110111110010010111100101 % -b11111111110111110010010111100101 . -b11111111110111110010010111100101 6 -b11111111110111110010010111100101 @ -b11111111110111110010010111100101 F -b1111101000111101011111001111000 ) -#107008000 -0& -#107024000 -b11010001000 , -#107040000 -1& -#107056000 -b1011111101111100010010101001010 " -b1011111101111100010010101001010 4 -b10111110011101111111111111011110 1 -b10111110011101111111111111011110 C -b11110001101011111 0 -b11110001101011111 H -b1000001100010000011000010110011 < -b1011111101111100010010101001010 2 -b1011111101111100010010101001010 = -b1011111101111100010010101001010 : -b11110001101011111010010010110 $ -b11110001101011111010010010110 - -b11110001101011111010010010110 5 -b11110001101011111010010010110 ? -b11110001101011111010010010110 D -b10111110011101111100111101001100 % -b10111110011101111100111101001100 . -b10111110011101111100111101001100 6 -b10111110011101111100111101001100 @ -b10111110011101111100111101001100 F -b1011111101111100010010101001010 ) -#107072000 -0& -#107088000 -b11010001001 , -#107104000 -1& -#107120000 -b11100101101001010111110111100100 " -b11100101101001010111110111100100 4 -b11111111111111111011001111100111 1 -b11111111111111111011001111100111 C -b11011011101001010011000110100 0 -b11011011101001010011000110100 H -18 -09 -b1010000000000100110000111100 < -b11100101101001010111110111100100 2 -b11100101101001010111110111100100 = -b11100101101001010111110111100100 : -b11011011101001010011000110100111 $ -b11011011101001010011000110100111 - -b11011011101001010011000110100111 5 -b11011011101001010011000110100111 ? -b11011011101001010011000110100111 D -b11110101111111111011001111000011 % -b11110101111111111011001111000011 . -b11110101111111111011001111000011 6 -b11110101111111111011001111000011 @ -b11110101111111111011001111000011 F -b11100101101001010111110111100100 ) -#107136000 -0& -#107152000 -b11010001010 , -#107168000 -1& -#107184000 -b10000100001111000110010011110100 " -b10000100001111000110010011110100 4 -b11111111111110111111110101010100 1 -b11111111111110111111110101010100 C -b1111111110111000 0 -b1111111110111000 H -18 -b10000100100001000000011110101111 < -b10000100001111000110010011110100 2 -b10000100001111000110010011110100 = -b10000100001111000110010011110100 : -b11111111101110000101110101000100 $ -b11111111101110000101110101000100 - -b11111111101110000101110101000100 5 -b11111111101110000101110101000100 ? -b11111111101110000101110101000100 D -b1111011011110111111100001010000 % -b1111011011110111111100001010000 . -b1111011011110111111100001010000 6 -b1111011011110111111100001010000 @ -b1111011011110111111100001010000 F -b10000100001111000110010011110100 ) -#107200000 -0& -#107216000 -b11010001011 , -#107232000 -1& -#107248000 -b11011010011100101010111101101000 " -b11011010011100101010111101101000 4 -b10101111111111111101111111111111 1 -b10101111111111111101111111111111 C -b10001010011011111 0 -b10001010011011111 H -09 -18 -b1010000000000101110000110110000 < -b11011010011100101010111101101000 2 -b11011010011100101010111101101000 = -b11011010011100101010111101101000 : -b10001010011011111100110110110111 $ -b10001010011011111100110110110111 - -b10001010011011111100110110110111 5 -b10001010011011111100110110110111 ? -b10001010011011111100110110110111 D -b10101111111111010001111001001111 % -b10101111111111010001111001001111 . -b10101111111111010001111001001111 6 -b10101111111111010001111001001111 @ -b10101111111111010001111001001111 F -b11011010011100101010111101101000 ) -#107264000 -0& -#107280000 -b11010001100 , -#107296000 -1& -#107312000 -b11111100111000001000110001001111 " -b11111100111000001000110001001111 4 -b11011111111111111011110011110101 1 -b11011111111111111011110011110101 C -b11011011110 0 -b11011011110 H -18 -b100001000000001101011110001010 < -b11111100111000001000110001001111 2 -b11111100111000001000110001001111 = -b11111100111000001000110001001111 : -b11011011110111111011010011000100 $ -b11011011110111111011010011000100 - -b11011011110111111011010011000100 5 -b11011011110111111011010011000100 ? -b11011011110111111011010011000100 D -b11011110111111110010100001110101 % -b11011110111111110010100001110101 . -b11011110111111110010100001110101 6 -b11011110111111110010100001110101 @ -b11011110111111110010100001110101 F -b11111100111000001000110001001111 ) -#107328000 -0& -#107344000 -b11010001101 , -#107360000 -1& -#107376000 -b1100010000110100001100100100100 " -b1100010000110100001100100100100 4 -b11111111111111111101110111111100 1 -b11111111111111111101110111111100 C -b1100001100101011101 0 -b1100001100101011101 H -08 -b100001000011101100110011 < -b1100010000110100001100100100100 2 -b1100010000110100001100100100100 = -b1100010000110100001100100100100 : -b1100001100101011101110111110000 $ -b1100001100101011101110111110000 - -b1100001100101011101110111110000 5 -b1100001100101011101110111110000 ? -b1100001100101011101110111110000 D -b11111111011110111100010011001100 % -b11111111011110111100010011001100 . -b11111111011110111100010011001100 6 -b11111111011110111100010011001100 @ -b11111111011110111100010011001100 F -b1100010000110100001100100100100 ) -#107392000 -0& -#107408000 -b11010001110 , -#107424000 -1& -#107440000 -b1100000100000001110001111110 " -b1100000100000001110001111110 4 -b1111111101111110110011111100110 1 -b1111111101111110110011111100110 C -b111101110111111010000100110 0 -b111101110111111010000100110 H -b10010000010100001101101000011011 < -b1100000100000001110001111110 2 -b1100000100000001110001111110 = -b1100000100000001110001111110 : -b1111011101111110100001001100010 $ -b1111011101111110100001001100010 - -b1111011101111110100001001100010 5 -b1111011101111110100001001100010 ? -b1111011101111110100001001100010 D -b1101111101011110010010111100100 % -b1101111101011110010010111100100 . -b1101111101011110010010111100100 6 -b1101111101011110010010111100100 @ -b1101111101011110010010111100100 F -b1100000100000001110001111110 ) -#107456000 -0& -#107472000 -b11010001111 , -#107488000 -1& -#107504000 -b1100011110011100011000001111001 " -b1100011110011100011000001111001 4 -b11111111011101111101111111111101 1 -b11111111011101111101111111111101 C -b1011001100110011110011000101 0 -b1011001100110011110011000101 H -18 -19 -b10110000100110100110010000011011 < -b1100011110011100011000001111001 2 -b1100011110011100011000001111001 = -b1100011110011100011000001111001 : -b10110011001100111100110001011101 $ -b10110011001100111100110001011101 - -b10110011001100111100110001011101 5 -b10110011001100111100110001011101 ? -b10110011001100111100110001011101 D -b1001111011001011001101111100100 % -b1001111011001011001101111100100 . -b1001111011001011001101111100100 6 -b1001111011001011001101111100100 @ -b1001111011001011001101111100100 F -b1100011110011100011000001111001 ) -#107520000 -0& -#107536000 -b11010010000 , -#107552000 -1& -#107568000 -b1111100001101011100010110000010 " -b1111100001101011100010110000010 4 -b11111111111110101100110110101111 1 -b11111111111110101100110110101111 C -b1111101111110000100 0 -b1111101111110000100 H -19 -18 -b10000000010001010011101111010010 < -b1111100001101011100010110000010 2 -b1111100001101011100010110000010 = -b1111100001101011100010110000010 : -b11111011111100001000100110101111 $ -b11111011111100001000100110101111 - -b11111011111100001000100110101111 5 -b11111011111100001000100110101111 ? -b11111011111100001000100110101111 D -b1111111101110101100010000101101 % -b1111111101110101100010000101101 . -b1111111101110101100010000101101 6 -b1111111101110101100010000101101 @ -b1111111101110101100010000101101 F -b1111100001101011100010110000010 ) -#107584000 -0& -#107600000 -b11010010001 , -#107616000 -1& -#107632000 -b11101001000101110011111100001111 " -b11101001000101110011111100001111 4 -b11111011111111111101011111110111 1 -b11111011111111111101011111110111 C -b110110111111 0 -b110110111111 H -09 -18 -b1101000110000010100000001011 < -b11101001000101110011111100001111 2 -b11101001000101110011111100001111 = -b11101001000101110011111100001111 : -b11011011111111110001011100000011 $ -b11011011111111110001011100000011 - -b11011011111111110001011100000011 5 -b11011011111111110001011100000011 ? -b11011011111111110001011100000011 D -b11110010111001111101011111110100 % -b11110010111001111101011111110100 . -b11110010111001111101011111110100 6 -b11110010111001111101011111110100 @ -b11110010111001111101011111110100 F -b11101001000101110011111100001111 ) -#107648000 -0& -#107664000 -b11010010010 , -#107680000 -1& -#107696000 -b10110111000001111000111100101000 " -b10110111000001111000111100101000 4 -b11111111111111111011010111111111 1 -b11111111111111111011010111111111 C -b1 0 -b1 H -18 -b11000001000010000110101000000000 < -b10110111000001111000111100101000 2 -b10110111000001111000111100101000 = -b10110111000001111000111100101000 : -b11110101111111110010010100100111 $ -b11110101111111110010010100100111 - -b11110101111111110010010100100111 5 -b11110101111111110010010100100111 ? -b11110101111111110010010100100111 D -b111110111101111001010111111111 % -b111110111101111001010111111111 . -b111110111101111001010111111111 6 -b111110111101111001010111111111 @ -b111110111101111001010111111111 F -b10110111000001111000111100101000 ) -#107712000 -0& -#107728000 -b11010010011 , -#107744000 -1& -#107760000 -b10110001011101111101111111100010 " -b10110001011101111101111111100010 4 -b11111111111111110010110110101010 1 -b11111111111111110010110110101010 C -b100111111111011100001101 0 -b100111111111011100001101 H -09 -18 -b10001100000001101001001010111 < -b10110001011101111101111111100010 2 -b10110001011101111101111111100010 = -b10110001011101111101111111100010 : -b10011111111101110000110110001010 $ -b10011111111101110000110110001010 - -b10011111111101110000110110001010 5 -b10011111111101110000110110001010 ? -b10011111111101110000110110001010 D -b11101110011111110010110110101000 % -b11101110011111110010110110101000 . -b11101110011111110010110110101000 6 -b11101110011111110010110110101000 @ -b11101110011111110010110110101000 F -b10110001011101111101111111100010 ) -#107776000 -0& -#107792000 -b11010010100 , -#107808000 -1& -#107824000 -b10000000011011011001000100101111 " -b10000000011011011001000100101111 4 -b11111111111111101111010101111111 1 -b11111111111111101111010101111111 C -b11111111101110001 0 -b11111111101110001 H -08 -19 -b100100010010101111010001 < -b10000000011011011001000100101111 2 -b10000000011011011001000100101111 = -b10000000011011011001000100101111 : -b1111111110111000110010101011101 $ -b1111111110111000110010101011101 - -b1111111110111000110010101011101 5 -b1111111110111000110010101011101 ? -b1111111110111000110010101011101 D -b11111111011011101101010000101110 % -b11111111011011101101010000101110 . -b11111111011011101101010000101110 6 -b11111111011011101101010000101110 @ -b11111111011011101101010000101110 F -b10000000011011011001000100101111 ) -#107840000 -0& -#107856000 -b11010010101 , -#107872000 -1& -#107888000 -b1101101111000000000110111111111 " -b1101101111000000000110111111111 4 -b11110111111111111111011011100001 1 -b11110111111111111111011011100001 C -b110010110111111111000101110000 0 -b110010110111111111000101110000 H -09 -08 -b1000001000000010101100011110 < -b1101101111000000000110111111111 2 -b1101101111000000000110111111111 = -b1101101111000000000110111111111 : -b1100101101111111110001011100000 $ -b1100101101111111110001011100000 - -b1100101101111111110001011100000 5 -b1100101101111111110001011100000 ? -b1100101101111111110001011100000 D -b11110111110111111101010011100001 % -b11110111110111111101010011100001 . -b11110111110111111101010011100001 6 -b11110111110111111101010011100001 @ -b11110111110111111101010011100001 F -b1101101111000000000110111111111 ) -#107904000 -0& -#107920000 -b11010010110 , -#107936000 -1& -#107952000 -b11000100001000011110110010101010 " -b11000100001000011110110010101010 4 -b1111111111011100011110101111010 1 -b1111111111011100011110101111010 C -b111011 0 -b111011 H -18 -b10001000001100111101001110000111 < -b11000100001000011110110010101010 2 -b11000100001000011110110010101010 = -b11000100001000011110110010101010 : -b111011111011100001100100100010 $ -b111011111011100001100100100010 - -b111011111011100001100100100010 5 -b111011111011100001100100100010 ? -b111011111011100001100100100010 D -b1110111110011000010110001111000 % -b1110111110011000010110001111000 . -b1110111110011000010110001111000 6 -b1110111110011000010110001111000 @ -b1110111110011000010110001111000 F -b11000100001000011110110010101010 ) -#107968000 -0& -#107984000 -b11010010111 , -#108000000 -1& -#108016000 -b11011110000100111100001100111 " -b11011110000100111100001100111 4 -b11111111111111111101111111011111 1 -b11111111111111111101111111011111 C -b1 0 -b1 H -08 -b100100110001000010100010100000 < -b11011110000100111100001100111 2 -b11011110000100111100001100111 = -b11011110000100111100001100111 : -b11110110111111100100111111000110 $ -b11110110111111100100111111000110 - -b11110110111111100100111111000110 5 -b11110110111111100100111111000110 ? -b11110110111111100100111111000110 D -b11011011001110111101011101011111 % -b11011011001110111101011101011111 . -b11011011001110111101011101011111 6 -b11011011001110111101011101011111 @ -b11011011001110111101011101011111 F -b11011110000100111100001100111 ) -#108032000 -0& -#108048000 -b11010011000 , -#108064000 -1& -#108080000 -b10110001001101110011100000010001 " -b10110001001101110011100000010001 4 -b11111111111111111111111110110111 1 -b11111111111111111111111110110111 C -b111011111011010111111111101 0 -b111011111011010111111111101 H -18 -b11000001100000010011100001011010 < -b10110001001101110011100000010001 2 -b10110001001101110011100000010001 = -b10110001001101110011100000010001 : -b11101111101101011111111110110110 $ -b11101111101101011111111110110110 - -b11101111101101011111111110110110 5 -b11101111101101011111111110110110 ? -b11101111101101011111111110110110 D -b111110011111101100011110100101 % -b111110011111101100011110100101 . -b111110011111101100011110100101 6 -b111110011111101100011110100101 @ -b111110011111101100011110100101 F -b10110001001101110011100000010001 ) -#108096000 -0& -#108112000 -b11010011001 , -#108128000 -1& -#108144000 -b11011111011100010111101001110010 " -b11011111011100010111101001110010 4 -b1110111111111111000111111011111 1 -b1110111111111111000111111011111 C -b110 0 -b110 H -18 -09 -b10101000000000011111010010100100 < -b11011111011100010111101001110010 2 -b11011111011100010111101001110010 = -b11011111011100010111101001110010 : -b110111011011111000010111001101 $ -b110111011011111000010111001101 - -b110111011011111000010111001101 5 -b110111011011111000010111001101 ? -b110111011011111000010111001101 D -b1010111111111100000101101011011 % -b1010111111111100000101101011011 . -b1010111111111100000101101011011 6 -b1010111111111100000101101011011 @ -b1010111111111100000101101011011 F -b11011111011100010111101001110010 ) -#108160000 -0& -#108176000 -b11010011010 , -#108192000 -1& -#108208000 -b10000111111000101101011100000 " -b10000111111000101101011100000 4 -b11111111111111111110111011111000 1 -b11111111111111111110111011111000 C -b11111111 0 -b11111111 H -08 -b10001000000100001000111100111 < -b10000111111000101101011100000 2 -b10000111111000101101011100000 = -b10000111111000101101011100000 : -b11111111111110100100100011111000 $ -b11111111111110100100100011111000 - -b11111111111110100100100011111000 5 -b11111111111110100100100011111000 ? -b11111111111110100100100011111000 D -b11101110111111011110111000011000 % -b11101110111111011110111000011000 . -b11101110111111011110111000011000 6 -b11101110111111011110111000011000 @ -b11101110111111011110111000011000 F -b10000111111000101101011100000 ) -#108224000 -0& -#108240000 -b11010011011 , -#108256000 -1& -#108272000 -b11110001001000001000110111110111 " -b11110001001000001000110111110111 4 -b10111111111111111001111111001111 1 -b10111111111111111001111111001111 C -b100111110001111110 0 -b100111110001111110 H -18 -b1010010000000001111001000110001 < -b11110001001000001000110111110111 2 -b11110001001000001000110111110111 = -b11110001001000001000110111110111 : -b10011111000111111001101111000101 $ -b10011111000111111001101111000101 - -b10011111000111111001101111000101 5 -b10011111000111111001101111000101 ? -b10011111000111111001101111000101 D -b10101101111111110000110111001110 % -b10101101111111110000110111001110 . -b10101101111111110000110111001110 6 -b10101101111111110000110111001110 @ -b10101101111111110000110111001110 F -b11110001001000001000110111110111 ) -#108288000 -0& -#108304000 -b11010011100 , -#108320000 -1& -#108336000 -b1101111110011101000100010011001 " -b1101111110011101000100010011001 4 -b11111111111111111011111111111011 1 -b11111111111111111011111111111011 C -b11011 0 -b11011 H -08 -b110000010100101 < -b1101111110011101000100010011001 2 -b1101111110011101000100010011001 = -b1101111110011101000100010011001 : -b1101111110011100010011111110011 $ -b1101111110011100010011111110011 - -b1101111110011100010011111110011 5 -b1101111110011100010011111110011 ? -b1101111110011100010011111110011 D -b11111111111111111001111101011010 % -b11111111111111111001111101011010 . -b11111111111111111001111101011010 6 -b11111111111111111001111101011010 @ -b11111111111111111001111101011010 F -b1101111110011101000100010011001 ) -#108352000 -0& -#108368000 -b11010011101 , -#108384000 -1& -#108400000 -b1000000100000000010011100000001 " -b1000000100000000010011100000001 4 -b11111111111011111110110100101111 1 -b11111111111011111110110100101111 C -b1111111101101111110011000 0 -b1111111101101111110011000 H -08 -b1000001000100000101101011011000 < -b1000000100000000010011100000001 2 -b1000000100000000010011100000001 = -b1000000100000000010011100000001 : -b11111111011011111100110000101000 $ -b11111111011011111100110000101000 - -b11111111011011111100110000101000 5 -b11111111011011111100110000101000 ? -b11111111011011111100110000101000 D -b10111110111011111010010100100111 % -b10111110111011111010010100100111 . -b10111110111011111010010100100111 6 -b10111110111011111010010100100111 @ -b10111110111011111010010100100111 F -b1000000100000000010011100000001 ) -#108416000 -0& -#108432000 -b11010011110 , -#108448000 -1& -#108464000 -b10000011100011111100001000010110 " -b10000011100011111100001000010110 4 -b11111111111111111101111101111110 1 -b11111111111111111101111101111110 C -b1100001110 0 -b1100001110 H -18 -b11000000000000000010010010101001 < -b10000011100011111100001000010110 2 -b10000011100011111100001000010110 = -b10000011100011111100001000010110 : -b11000011100011111001110101101100 $ -b11000011100011111001110101101100 - -b11000011100011111001110101101100 5 -b11000011100011111001110101101100 ? -b11000011100011111001110101101100 D -b111111111111111101101101010110 % -b111111111111111101101101010110 . -b111111111111111101101101010110 6 -b111111111111111101101101010110 @ -b111111111111111101101101010110 F -b10000011100011111100001000010110 ) -#108480000 -0& -#108496000 -b11010011111 , -#108512000 -1& -#108528000 -b1110001011111111101101101010111 " -b1110001011111111101101101010111 4 -b11111111111111111111011110101011 1 -b11111111111111111111011110101011 C -b1111000101111111110100 0 -b1111000101111111110100 H -19 -18 -b10000000000000000000100111010101 < -b1110001011111111101101101010111 2 -b1110001011111111101101101010111 = -b1110001011111111101101101010111 : -b11110001011111111101000110000001 $ -b11110001011111111101000110000001 - -b11110001011111111101000110000001 5 -b11110001011111111101000110000001 ? -b11110001011111111101000110000001 D -b1111111111111111111011000101010 % -b1111111111111111111011000101010 . -b1111111111111111111011000101010 6 -b1111111111111111111011000101010 @ -b1111111111111111111011000101010 F -b1110001011111111101101101010111 ) -#108544000 -0& -#108560000 -b11010100000 , -#108576000 -1& -#108592000 -b1100000100001001111110111111111 " -b1100000100001001111110111111111 4 -b11111111111111110111111000011001 1 -b11111111111111110111111000011001 C -b101111 0 -b101111 H -09 -08 -b100010011000001111100110 < -b1100000100001001111110111111111 2 -b1100000100001001111110111111111 = -b1100000100001001111110111111111 : -b1011111111110110111101000011000 $ -b1011111111110110111101000011000 - -b1011111111110110111101000011000 5 -b1011111111110110111101000011000 ? -b1011111111110110111101000011000 D -b11111111011101100111110000011001 % -b11111111011101100111110000011001 . -b11111111011101100111110000011001 6 -b11111111011101100111110000011001 @ -b11111111011101100111110000011001 F -b1100000100001001111110111111111 ) -#108608000 -0& -#108624000 -b11010100001 , -#108640000 -1& -#108656000 -b11111101101111111101011000100001 " -b11111101101111111101011000100001 4 -b1101011011111011111101011100111 1 -b1101011011111011111101011100111 C -b1101001001111011011100011000 0 -b1101001001111011011100011000 H -18 -b10010100100000100001110101011100 < -b11111101101111111101011000100001 2 -b11111101101111111101011000100001 = -b11111101101111111101011000100001 : -b1101001001111011011100011000100 $ -b1101001001111011011100011000100 - -b1101001001111011011100011000100 5 -b1101001001111011011100011000100 ? -b1101001001111011011100011000100 D -b1101011011111011110001010100011 % -b1101011011111011110001010100011 . -b1101011011111011110001010100011 6 -b1101011011111011110001010100011 @ -b1101011011111011110001010100011 F -b11111101101111111101011000100001 ) -#108672000 -0& -#108688000 -b11010100010 , -#108704000 -1& -#108720000 -b1101110011000010001010010 " -b1101110011000010001010010 4 -b1111011111111111000110101010010 1 -b1111011111111111000110101010010 C -b1111011101011111000110101010010 0 -b1111011101011111000110101010010 H -08 -b10000110000010011111011011111111 < -b1101110011000010001010010 2 -b1101110011000010001010010 = -b1101110011000010001010010 : -b1111011101011111000110101010010 $ -b1111011101011111000110101010010 - -b1111011101011111000110101010010 5 -b1111011101011111000110101010010 ? -b1111011101011111000110101010010 D -b1111001111101100000100100000000 % -b1111001111101100000100100000000 . -b1111001111101100000100100000000 6 -b1111001111101100000100100000000 @ -b1111001111101100000100100000000 F -b1101110011000010001010010 ) -#108736000 -0& -#108752000 -b11010100011 , -#108768000 -1& -#108784000 -b10011011011100000010000011111011 " -b10011011011100000010000011111011 4 -b10111111111111101111100100001101 1 -b10111111111111101111100100001101 C -b111011011011101111100 0 -b111011011011101111100 H -19 -08 -b1100000000000010010011111110110 < -b10011011011100000010000011111011 2 -b10011011011100000010000011111011 = -b10011011011100000010000011111011 : -b111011011011101111100100000100 $ -b111011011011101111100100000100 - -b111011011011101111100100000100 5 -b111011011011101111100100000100 ? -b111011011011101111100100000100 D -b10011111111111101101100000001001 % -b10011111111111101101100000001001 . -b10011111111111101101100000001001 6 -b10011111111111101101100000001001 @ -b10011111111111101101100000001001 F -b10011011011100000010000011111011 ) -#108800000 -0& -#108816000 -b11010100100 , -#108832000 -1& -#108848000 -b1111111000000000100101010011110 " -b1111111000000000100101010011110 4 -b11111111111111111101111110111011 1 -b11111111111111111101111110111011 C -b1111 0 -b1111 H -09 -08 -b10011001101100100 < -b1111111000000000100101010011110 2 -b1111111000000000100101010011110 = -b1111111000000000100101010011110 : -b1111110111111110001011100111001 $ -b1111110111111110001011100111001 - -b1111110111111110001011100111001 5 -b1111110111111110001011100111001 ? -b1111110111111110001011100111001 D -b11111111111111101100110010011011 % -b11111111111111101100110010011011 . -b11111111111111101100110010011011 6 -b11111111111111101100110010011011 @ -b11111111111111101100110010011011 F -b1111111000000000100101010011110 ) -#108864000 -0& -#108880000 -b11010100101 , -#108896000 -1& -#108912000 -b10110000111110001011111111001001 " -b10110000111110001011111111001001 4 -b11111101111111110100111111111011 1 -b11111101111111110100111111111011 C -b11011 0 -b11011 H -19 -08 -b1000011000000011011000011100101 < -b10110000111110001011111111001001 2 -b10110000111110001011111111001001 = -b10110000111110001011111111001001 : -b1101101111101110000111011100011 $ -b1101101111101110000111011100011 - -b1101101111101110000111011100011 5 -b1101101111101110000111011100011 ? -b1101101111101110000111011100011 D -b10111100111111100100111100011010 % -b10111100111111100100111100011010 . -b10111100111111100100111100011010 6 -b10111100111111100100111100011010 @ -b10111100111111100100111100011010 F -b10110000111110001011111111001001 ) -#108928000 -0& -#108944000 -b11010100110 , -#108960000 -1& -#108976000 -b11111111100011100111010000101111 " -b11111111100011100111010000101111 4 -b1001111101111101111011011110001 1 -b1001111101111101111011011110001 C -b100111100101100110101101111000 0 -b100111100101100110101101111000 H -18 -09 -b10110000011000011001110100111110 < -b11111111100011100111010000101111 2 -b11111111100011100111010000101111 = -b11111111100011100111010000101111 : -b1001111001011001101011011110000 $ -b1001111001011001101011011110000 - -b1001111001011001101011011110000 5 -b1001111001011001101011011110000 ? -b1001111001011001101011011110000 D -b1001111100111100110001011000001 % -b1001111100111100110001011000001 . -b1001111100111100110001011000001 6 -b1001111100111100110001011000001 @ -b1001111100111100110001011000001 F -b11111111100011100111010000101111 ) -#108992000 -0& -#109008000 -b11010100111 , -#109024000 -1& -#109040000 -b1100110101100101001000001111 " -b1100110101100101001000001111 4 -b1110111111111110111111000011111 1 -b1110111111111110111111000011111 C -b11101001100110101 0 -b11101001100110101 H -08 -b10011000000010001110100111110001 < -b1100110101100101001000001111 2 -b1100110101100101001000001111 = -b1100110101100101001000001111 : -b1110100110011010110100000011101 $ -b1110100110011010110100000011101 - -b1110100110011010110100000011101 5 -b1110100110011010110100000011101 ? -b1110100110011010110100000011101 D -b1100111111101110001011000001110 % -b1100111111101110001011000001110 . -b1100111111101110001011000001110 6 -b1100111111101110001011000001110 @ -b1100111111101110001011000001110 F -b1100110101100101001000001111 ) -#109056000 -0& -#109072000 -b11010101000 , -#109088000 -1& -#109104000 -b11111110010101001001110000110111 " -b11111110010101001001110000110111 4 -b1011111111111111110111111111011 1 -b1011111111111111110111111111011 C -b10111011110111110000111111110 0 -b10111011110111110000111111110 H -18 -b10100000011001010001010000111101 < -b11111110010101001001110000110111 2 -b11111110010101001001110000110111 = -b11111110010101001001110000110111 : -b1011101111011111000011111111001 $ -b1011101111011111000011111111001 - -b1011101111011111000011111111001 5 -b1011101111011111000011111111001 ? -b1011101111011111000011111111001 D -b1011111100110101110101111000010 % -b1011111100110101110101111000010 . -b1011111100110101110101111000010 6 -b1011111100110101110101111000010 @ -b1011111100110101110101111000010 F -b11111110010101001001110000110111 ) -#109120000 -0& -#109136000 -b11010101001 , -#109152000 -1& -#109168000 -b11111100000110111110100111101 " -b11111100000110111110100111101 4 -b111111111111111001111111001011 1 -b111111111111111001111111001011 C -b1111101111111100010 0 -b1111101111111100010 H -08 -b11100000100001000110011000110100 < -b11111100000110111110100111101 2 -b11111100000110111110100111101 = -b11111100000110111110100111101 : -b111110111111110001011100001000 $ -b111110111111110001011100001000 - -b111110111111110001011100001000 5 -b111110111111110001011100001000 ? -b111110111111110001011100001000 D -b11111011110111001100111001011 % -b11111011110111001100111001011 . -b11111011110111001100111001011 6 -b11111011110111001100111001011 @ -b11111011110111001100111001011 F -b11111100000110111110100111101 ) -#109184000 -0& -#109200000 -b11010101010 , -#109216000 -1& -#109232000 -b10011111000010000001111001100000 " -b10011111000010000001111001100000 4 -b11111111111111110110101111110101 1 -b11111111111111110110101111110101 C -b11111110111 0 -b11111110111 H -18 -b10100000000010001101010000001010 < -b10011111000010000001111001100000 2 -b10011111000010000001111001100000 = -b10011111000010000001111001100000 : -b11111110111111110100101001010101 $ -b11111110111111110100101001010101 - -b11111110111111110100101001010101 5 -b11111110111111110100101001010101 ? -b11111110111111110100101001010101 D -b1011111111101110010101111110101 % -b1011111111101110010101111110101 . -b1011111111101110010101111110101 6 -b1011111111101110010101111110101 @ -b1011111111101110010101111110101 F -b10011111000010000001111001100000 ) -#109248000 -0& -#109264000 -b11010101011 , -#109280000 -1& -#109296000 -b10000110010000100101011011111000 " -b10000110010000100101011011111000 4 -b11111111111010111101011101001001 1 -b11111111111010111101011101001001 C -b11110110001010111001011 0 -b11110110001010111001011 H -b10010000000101101011111110110110 < -b10000110010000100101011011111000 2 -b10000110010000100101011011111000 = -b10000110010000100101011011111000 : -b11110110001010111001011101000001 $ -b11110110001010111001011101000001 - -b11110110001010111001011101000001 5 -b11110110001010111001011101000001 ? -b11110110001010111001011101000001 D -b1101111111010010100000001001001 % -b1101111111010010100000001001001 . -b1101111111010010100000001001001 6 -b1101111111010010100000001001001 @ -b1101111111010010100000001001001 F -b10000110010000100101011011111000 ) -#109312000 -0& -#109328000 -b11010101100 , -#109344000 -1& -#109360000 -b11000011100100000011010001100110 " -b11000011100100000011010001100110 4 -b11101111111111101101111001101010 1 -b11101111111111101101111001101010 C -b101011110111111010001010011010 0 -b101011110111111010001010011010 H -09 -18 -b10100000100011010100111111101 < -b11000011100100000011010001100110 2 -b11000011100100000011010001100110 = -b11000011100100000011010001100110 : -b10101111011111101000101001101000 $ -b10101111011111101000101001101000 - -b10101111011111101000101001101000 5 -b10101111011111101000101001101000 ? -b10101111011111101000101001101000 D -b11101011111011100101011000000010 % -b11101011111011100101011000000010 . -b11101011111011100101011000000010 6 -b11101011111011100101011000000010 @ -b11101011111011100101011000000010 F -b11000011100100000011010001100110 ) -#109376000 -0& -#109392000 -b11010101101 , -#109408000 -1& -#109424000 -b10110100101000000001000110001011 " -b10110100101000000001000110001011 4 -b11111111101111111011111110101111 1 -b11111111101111111011111110101111 C -b1110111100111111101011010010 0 -b1110111100111111101011010010 H -18 -b11000101011000000110010001011011 < -b10110100101000000001000110001011 2 -b10110100101000000001000110001011 = -b10110100101000000001000110001011 : -b11101111001111111010110100101111 $ -b11101111001111111010110100101111 - -b11101111001111111010110100101111 5 -b11101111001111111010110100101111 ? -b11101111001111111010110100101111 D -b111010100111111001101110100100 % -b111010100111111001101110100100 . -b111010100111111001101110100100 6 -b111010100111111001101110100100 @ -b111010100111111001101110100100 F -b10110100101000000001000110001011 ) -#109440000 -0& -#109456000 -b11010101110 , -#109472000 -1& -#109488000 -b11001100001001001101110001011100 " -b11001100001001001101110001011100 4 -b1111111001001101110111111100101 1 -b1111111001001101110111111100101 C -b10010110010011011100111010 0 -b10010110010011011100111010 H -18 -b10000000111111011111010100011010 < -b11001100001001001101110001011100 2 -b11001100001001001101110001011100 = -b11001100001001001101110001011100 : -b1001011001001101110011101000001 $ -b1001011001001101110011101000001 - -b1001011001001101110011101000001 5 -b1001011001001101110011101000001 ? -b1001011001001101110011101000001 D -b1111111000000100000101011100101 % -b1111111000000100000101011100101 . -b1111111000000100000101011100101 6 -b1111111000000100000101011100101 @ -b1111111000000100000101011100101 F -b11001100001001001101110001011100 ) -#109504000 -0& -#109520000 -b11010101111 , -#109536000 -1& -#109552000 -b11000010111111100110101010111101 " -b11000010111111100110101010111101 4 -b11111111111111111111111101011111 1 -b11111111111111111111111101011111 C -b11 0 -b11 H -19 -08 -b1000011000000000010110110100010 < -b11000010111111100110101010111101 2 -b11000010111111100110101010111101 = -b11000010111111100110101010111101 : -b1111111111111100011110100011010 $ -b1111111111111100011110100011010 - -b1111111111111100011110100011010 5 -b1111111111111100011110100011010 ? -b1111111111111100011110100011010 D -b10111100111111111101001001011101 % -b10111100111111111101001001011101 . -b10111100111111111101001001011101 6 -b10111100111111111101001001011101 @ -b10111100111111111101001001011101 F -b11000010111111100110101010111101 ) -#109568000 -0& -#109584000 -b11010110000 , -#109600000 -1& -#109616000 -b100000100111011100000100110000 " -b100000100111011100000100110000 4 -b11111111111011111100101111011110 1 -b11111111111011111100101111011110 C -09 -08 -b100010000100001111010110100001 < -b100000100111011100000100110000 2 -b100000100111011100000100110000 = -b100000100111011100000100110000 : -b11111110100011001100101110001110 $ -b11111110100011001100101110001110 - -b11111110100011001100101110001110 5 -b11111110100011001100101110001110 ? -b11111110100011001100101110001110 D -b11011101111011110000101001011110 % -b11011101111011110000101001011110 . -b11011101111011110000101001011110 6 -b11011101111011110000101001011110 @ -b11011101111011110000101001011110 F -b100000100111011100000100110000 ) -#109632000 -0& -#109648000 -b11010110001 , -#109664000 -1& -#109680000 -b11000011000000001000101010101010 " -b11000011000000001000101010101010 4 -b11111111111111111000111101111110 1 -b11111111111111111000111101111110 C -b11111111111111 0 -b11111111111111 H -18 -b11000011000000010111101110001101 < -b11000011000000001000101010101010 2 -b11000011000000001000101010101010 = -b11000011000000001000101010101010 : -b11111111111111110000111100011100 $ -b11111111111111110000111100011100 - -b11111111111111110000111100011100 5 -b11111111111111110000111100011100 ? -b11111111111111110000111100011100 D -b111100111111101000010001110010 % -b111100111111101000010001110010 . -b111100111111101000010001110010 6 -b111100111111101000010001110010 @ -b111100111111101000010001110010 F -b11000011000000001000101010101010 ) -#109696000 -0& -#109712000 -b11010110010 , -#109728000 -1& -#109744000 -b110101000110000101101110110100 " -b110101000110000101101110110100 4 -b1111111001110110110111011110100 1 -b1111111001110110110111011110100 C -b1110011001100110110011001010100 0 -b1110011001100110110011001010100 H -08 -b11000001111001001111010101011111 < -b110101000110000101101110110100 2 -b110101000110000101101110110100 = -b110101000110000101101110110100 : -b1110011001100110110011001010100 $ -b1110011001100110110011001010100 - -b1110011001100110110011001010100 5 -b1110011001100110110011001010100 ? -b1110011001100110110011001010100 D -b111110000110110000101010100000 % -b111110000110110000101010100000 . -b111110000110110000101010100000 6 -b111110000110110000101010100000 @ -b111110000110110000101010100000 F -b110101000110000101101110110100 ) -#109760000 -0& -#109776000 -b11010110011 , -#109792000 -1& -#109808000 -b1101101001111010110010101111100 " -b1101101001111010110010101111100 4 -b11111111111110111011111111011100 1 -b11111111111110111011111111011100 C -b1110101110111001 0 -b1110101110111001 H -19 -18 -b10000001100001000101000000101111 < -b1101101001111010110010101111100 2 -b1101101001111010110010101111100 = -b1101101001111010110010101111100 : -b11101011101110010001010101001100 $ -b11101011101110010001010101001100 - -b11101011101110010001010101001100 5 -b11101011101110010001010101001100 ? -b11101011101110010001010101001100 D -b1111110011110111010111111010000 % -b1111110011110111010111111010000 . -b1111110011110111010111111010000 6 -b1111110011110111010111111010000 @ -b1111110011110111010111111010000 F -b1101101001111010110010101111100 ) -#109824000 -0& -#109840000 -b11010110100 , -#109856000 -1& -#109872000 -b11101111100111001001001001011010 " -b11101111100111001001001001011010 4 -b11111111111111011101110111111110 1 -b11111111111111011101110111111110 C -b1110 0 -b1110 H -09 -18 -b11110000101011011000100011 < -b11101111100111001001001001011010 2 -b11101111100111001001001001011010 = -b11101111100111001001001001011010 : -b11101011110110011101110000110110 $ -b11101011110110011101110000110110 - -b11101011110110011101110000110110 5 -b11101011110110011101110000110110 ? -b11101011110110011101110000110110 D -b11111100001111010100100111011100 % -b11111100001111010100100111011100 . -b11111100001111010100100111011100 6 -b11111100001111010100100111011100 @ -b11111100001111010100100111011100 F -b11101111100111001001001001011010 ) -#109888000 -0& -#109904000 -b11010110101 , -#109920000 -1& -#109936000 -b1100011111100000000100100110001 " -b1100011111100000000100100110001 4 -b1111111111111100011110111110111 1 -b1111111111111100011110111110111 C -b1111111111011100011010111 0 -b1111111111011100011010111 H -08 -b11100100000000011101001100111001 < -b1100011111100000000100100110001 2 -b1100011111100000000100100110001 = -b1100011111100000000100100110001 : -b1111111111011100011010111110111 $ -b1111111111011100011010111110111 - -b1111111111011100011010111110111 5 -b1111111111011100011010111110111 ? -b1111111111011100011010111110111 D -b11011111111100010110011000110 % -b11011111111100010110011000110 . -b11011111111100010110011000110 6 -b11011111111100010110011000110 @ -b11011111111100010110011000110 F -b1100011111100000000100100110001 ) -#109952000 -0& -#109968000 -b11010110110 , -#109984000 -1& -#110000000 -b11001001011111011101000011000111 " -b11001001011111011101000011000111 4 -b11111111101111111011111111111101 1 -b11111111101111111011111111111101 C -b10100101001 0 -b10100101001 H -18 -b100100010001100100000100001010 < -b11001001011111011101000011000111 2 -b11001001011111011101000011000111 = -b11001001011111011101000011000111 : -b10100101001101111000111110111100 $ -b10100101001101111000111110111100 - -b10100101001101111000111110111100 5 -b10100101001101111000111110111100 ? -b10100101001101111000111110111100 D -b11011011101110011011111011110101 % -b11011011101110011011111011110101 . -b11011011101110011011111011110101 6 -b11011011101110011011111011110101 @ -b11011011101110011011111011110101 F -b11001001011111011101000011000111 ) -#110016000 -0& -#110032000 -b11010110111 , -#110048000 -1& -#110064000 -b110100100100001111010101110110 " -b110100100100001111010101110110 4 -b11111111110111111111010111011111 1 -b11111111110111111111010111011111 C -b1 0 -b1 H -08 -b110100101101000001111110100000 < -b110100100100001111010101110110 2 -b110100100100001111010101110110 = -b110100100100001111010101110110 : -b11111111110111001101010111010101 $ -b11111111110111001101010111010101 - -b11111111110111001101010111010101 5 -b11111111110111001101010111010101 ? -b11111111110111001101010111010101 D -b11001011010010111110000001011111 % -b11001011010010111110000001011111 . -b11001011010010111110000001011111 6 -b11001011010010111110000001011111 @ -b11001011010010111110000001011111 F -b110100100100001111010101110110 ) -#110080000 -0& -#110096000 -b11010111000 , -#110112000 -1& -#110128000 -b1111001101000100110011001000110 " -b1111001101000100110011001000110 4 -b10111111111011111011110111001111 1 -b10111111111011111011110111001111 C -b101101111110111100011100000 0 -b101101111110111100011100000 H -19 -18 -b11000001101100110100101000111010 < -b1111001101000100110011001000110 2 -b1111001101000100110011001000110 = -b1111001101000100110011001000110 : -b10110111111011110001110000001011 $ -b10110111111011110001110000001011 - -b10110111111011110001110000001011 5 -b10110111111011110001110000001011 ? -b10110111111011110001110000001011 D -b111110010011001011010111000101 % -b111110010011001011010111000101 . -b111110010011001011010111000101 6 -b111110010011001011010111000101 @ -b111110010011001011010111000101 F -b1111001101000100110011001000110 ) -#110144000 -0& -#110160000 -b11010111001 , -#110176000 -1& -#110192000 -b10010110100100011111111101000101 " -b10010110100100011111111101000101 4 -b11111111111111111111111111111101 1 -b11111111111111111111111111111101 C -b11111110 0 -b11111110 H -09 -18 -b10011000000000011111111101000111 < -b10010110100100011111111101000101 2 -b10010110100100011111111101000101 = -b10010110100100011111111101000101 : -b11111110100011111111111111111101 $ -b11111110100011111111111111111101 - -b11111110100011111111111111111101 5 -b11111110100011111111111111111101 ? -b11111110100011111111111111111101 D -b1100111111111100000000010111000 % -b1100111111111100000000010111000 . -b1100111111111100000000010111000 6 -b1100111111111100000000010111000 @ -b1100111111111100000000010111000 F -b10010110100100011111111101000101 ) -#110208000 -0& -#110224000 -b11010111010 , -#110240000 -1& -#110256000 -b10000100001111101000010111111 " -b10000100001111101000010111111 4 -b1111111111111111111101111111111 1 -b1111111111111111111101111111111 C -b1111111111111110110101010011111 0 -b1111111111111110110101010011111 H -08 -b10010000100010000110011000011111 < -b10000100001111101000010111111 2 -b10000100001111101000010111111 = -b10000100001111101000010111111 : -b1111111111111110110101010011111 $ -b1111111111111110110101010011111 - -b1111111111111110110101010011111 5 -b1111111111111110110101010011111 ? -b1111111111111110110101010011111 D -b1101111011101111001100111100000 % -b1101111011101111001100111100000 . -b1101111011101111001100111100000 6 -b1101111011101111001100111100000 @ -b1101111011101111001100111100000 F -b10000100001111101000010111111 ) -#110272000 -0& -#110288000 -b11010111011 , -#110304000 -1& -#110320000 -b11100000010011100001010101010100 " -b11100000010011100001010101010100 4 -b11101111111111110111111011111111 1 -b11101111111111110111111011111111 C -b11011110 0 -b11011110 H -19 -08 -b1110001000000001010101100001000 < -b11100000010011100001010101010100 2 -b11100000010011100001010101010100 = -b11100000010011100001010101010100 : -b1101111010011010110101001001011 $ -b1101111010011010110101001001011 - -b1101111010011010110101001001011 5 -b1101111010011010110101001001011 ? -b1101111010011010110101001001011 D -b10001110111111110101010011110111 % -b10001110111111110101010011110111 . -b10001110111111110101010011110111 6 -b10001110111111110101010011110111 @ -b10001110111111110101010011110111 F -b11100000010011100001010101010100 ) -#110336000 -0& -#110352000 -b11010111100 , -#110368000 -1& -#110384000 -b11101110111000101010011110101011 " -b11101110111000101010011110101011 4 -b1111111111111111111101011110101 1 -b1111111111111111111101011110101 C -b11011101101111111100010100 0 -b11011101101111111100010100 H -18 -09 -b10000000000000101100010100011010 < -b11101110111000101010011110101011 2 -b11101110111000101010011110101011 = -b11101110111000101010011110101011 : -b1101110110111111110001010010000 $ -b1101110110111111110001010010000 - -b1101110110111111110001010010000 5 -b1101110110111111110001010010000 ? -b1101110110111111110001010010000 D -b1111111111111010011101011100101 % -b1111111111111010011101011100101 . -b1111111111111010011101011100101 6 -b1111111111111010011101011100101 @ -b1111111111111010011101011100101 F -b11101110111000101010011110101011 ) -#110400000 -0& -#110416000 -b11010111101 , -#110432000 -1& -#110448000 -b111010100011111101100101100 " -b111010100011111101100101100 4 -b11111111111111111111111110111101 1 -b11111111111111111111111110111101 C -b111111101111111 0 -b111111101111111 H -08 -b1000010100100011110101101110 < -b111010100011111101100101100 2 -b111010100011111101100101100 = -b111010100011111101100101100 : -b11111110111111111011110110111101 $ -b11111110111111111011110110111101 - -b11111110111111111011110110111101 5 -b11111110111111111011110110111101 ? -b11111110111111111011110110111101 D -b11110111101011011100001010010001 % -b11110111101011011100001010010001 . -b11110111101011011100001010010001 6 -b11110111101011011100001010010001 @ -b11110111101011011100001010010001 F -b111010100011111101100101100 ) -#110464000 -0& -#110480000 -b11010111110 , -#110496000 -1& -#110512000 -b10111111100011101001000011000001 " -b10111111100011101001000011000001 4 -b11111111111110111111001111011001 1 -b11111111111110111111001111011001 C -b11111111 0 -b11111111 H -18 -b11000000000101001100110101100111 < -b10111111100011101001000011000001 2 -b10111111100011101001000011000001 = -b10111111100011101001000011000001 : -b11111111011110011100001101011001 $ -b11111111011110011100001101011001 - -b11111111011110011100001101011001 5 -b11111111011110011100001101011001 ? -b11111111011110011100001101011001 D -b111111111010110011001010011000 % -b111111111010110011001010011000 . -b111111111010110011001010011000 6 -b111111111010110011001010011000 @ -b111111111010110011001010011000 F -b10111111100011101001000011000001 ) -#110528000 -0& -#110544000 -b11010111111 , -#110560000 -1& -#110576000 -b11101011100010111010110101000100 " -b11101011100010111010110101000100 4 -b11111111111111111111101011111100 1 -b11111111111111111111101011111100 C -b111010011111 0 -b111010011111 H -b1100011000011010100001011 < -b11101011100010111010110101000100 2 -b11101011100010111010110101000100 = -b11101011100010111010110101000100 : -b11101001111111110111100000111000 $ -b11101001111111110111100000111000 - -b11101001111111110111100000111000 5 -b11101001111111110111100000111000 ? -b11101001111111110111100000111000 D -b11111110011100111100101011110100 % -b11111110011100111100101011110100 . -b11111110011100111100101011110100 6 -b11111110011100111100101011110100 @ -b11111110011100111100101011110100 F -b11101011100010111010110101000100 ) -#110592000 -0& -#110608000 -b11011000000 , -#110624000 -1& -#110640000 -b1011110100101011110000001101001 " -b1011110100101011110000001101001 4 -b11111111111111110011101111111111 1 -b11111111111111110011101111111111 C -b11011101010101010 0 -b11011101010101010 H -19 -18 -b10000001010000001100010001110000 < -b1011110100101011110000001101001 2 -b1011110100101011110000001101001 = -b1011110100101011110000001101001 : -b11011101010101010001101111111000 $ -b11011101010101010001101111111000 - -b11011101010101010001101111111000 5 -b11011101010101010001101111111000 ? -b11011101010101010001101111111000 D -b1111110101111110011101110001111 % -b1111110101111110011101110001111 . -b1111110101111110011101110001111 6 -b1111110101111110011101110001111 @ -b1111110101111110011101110001111 F -b1011110100101011110000001101001 ) -#110656000 -0& -#110672000 -b11011000001 , -#110688000 -1& -#110704000 -b110101010111110010111010110 " -b110101010111110010111010110 4 -b1111111111111110111111101111110 1 -b1111111111111110111111101111110 C -b11101100111 0 -b11101100111 H -09 -08 -b10010000001100001001000010101011 < -b110101010111110010111010110 2 -b110101010111110010111010110 = -b110101010111110010111010110 : -b1110110011110110101010100101010 $ -b1110110011110110101010100101010 - -b1110110011110110101010100101010 5 -b1110110011110110101010100101010 ? -b1110110011110110101010100101010 D -b1101111110011110110111101010100 % -b1101111110011110110111101010100 . -b1101111110011110110111101010100 6 -b1101111110011110110111101010100 @ -b1101111110011110110111101010100 F -b110101010111110010111010110 ) -#110720000 -0& -#110736000 -b11011000010 , -#110752000 -1& -#110768000 -b111011001000101110100000011111 " -b111011001000101110100000011111 4 -b11101111111111111111101011111111 1 -b11101111111111111111101011111111 C -b0 0 -b0 H -08 -b10011001000101110110110000000 < -b111011001000101110100000011111 2 -b111011001000101110100000011111 = -b111011001000101110100000011111 : -b100111111111111111101010011110 $ -b100111111111111111101010011110 - -b100111111111111111101010011110 5 -b100111111111111111101010011110 ? -b100111111111111111101010011110 D -b11101100110111010001001001111111 % -b11101100110111010001001001111111 . -b11101100110111010001001001111111 6 -b11101100110111010001001001111111 @ -b11101100110111010001001001111111 F -b111011001000101110100000011111 ) -#110784000 -0& -#110800000 -b11011000011 , -#110816000 -1& -#110832000 -b10101000010011011000010000100010 " -b10101000010011011000010000100010 4 -b11111111111111111101110100111110 1 -b11111111111111111101110100111110 C -b111001 0 -b111001 H -18 -b11000000100100000010011011100101 < -b10101000010011011000010000100010 2 -b10101000010011011000010000100010 = -b10101000010011011000010000100010 : -b11100111101111010101110100111100 $ -b11100111101111010101110100111100 - -b11100111101111010101110100111100 5 -b11100111101111010101110100111100 ? -b11100111101111010101110100111100 D -b111111011011111101100100011010 % -b111111011011111101100100011010 . -b111111011011111101100100011010 6 -b111111011011111101100100011010 @ -b111111011011111101100100011010 F -b10101000010011011000010000100010 ) -#110848000 -0& -#110864000 -b11011000100 , -#110880000 -1& -#110896000 -b10000111011011011111000011101110 " -b10000111011011011111000011101110 4 -b11111111111111111111000111101110 1 -b11111111111111111111000111101110 C -b1111111010111011011000101101110 0 -b1111111010111011011000101101110 H -19 -08 -b1000000100000011111101111111 < -b10000111011011011111000011101110 2 -b10000111011011011111000011101110 = -b10000111011011011111000011101110 : -b1111111010111011011000101101110 $ -b1111111010111011011000101101110 - -b1111111010111011011000101101110 5 -b1111111010111011011000101101110 ? -b1111111010111011011000101101110 D -b11110111111011111100000010000000 % -b11110111111011111100000010000000 . -b11110111111011111100000010000000 6 -b11110111111011111100000010000000 @ -b11110111111011111100000010000000 F -b10000111011011011111000011101110 ) -#110912000 -0& -#110928000 -b11011000101 , -#110944000 -1& -#110960000 -b1001111111011110111110010001 " -b1001111111011110111110010001 4 -b1111111011111111111011111110101 1 -b1111111011111111111011111110101 C -b11111110101 0 -b11111110101 H -09 -08 -b10001010100111100000100110101011 < -b1001111111011110111110010001 2 -b1001111111011110111110010001 = -b1001111111011110111110010001 : -b1111111010111111110010111100101 $ -b1111111010111111110010111100101 - -b1111111010111111110010111100101 5 -b1111111010111111110010111100101 ? -b1111111010111111110010111100101 D -b1110101011000011111011001010100 % -b1110101011000011111011001010100 . -b1110101011000011111011001010100 6 -b1110101011000011111011001010100 @ -b1110101011000011111011001010100 F -b1001111111011110111110010001 ) -#110976000 -0& -#110992000 -b11011000110 , -#111008000 -1& -#111024000 -b1011100011100010100011000000101 " -b1011100011100010100011000000101 4 -b11111111111110110100111011111111 1 -b11111111111110110100111011111111 C -b11111111 0 -b11111111 H -08 -b11100100001101111101100001001 < -b1011100011100010100011000000101 2 -b1011100011100010100011000000101 = -b1011100011100010100011000000101 : -b111111111010100100101011111011 $ -b111111111010100100101011111011 - -b111111111010100100101011111011 5 -b111111111010100100101011111011 ? -b111111111010100100101011111011 D -b11100011011110010000010011110110 % -b11100011011110010000010011110110 . -b11100011011110010000010011110110 6 -b11100011011110010000010011110110 @ -b11100011011110010000010011110110 F -b1011100011100010100011000000101 ) -#111040000 -0& -#111056000 -b11011000111 , -#111072000 -1& -#111088000 -b10101111000110010011001001000100 " -b10101111000110010011001001000100 4 -b11111101111111111111111111100111 1 -b11111101111111111111111111100111 C -b11101100111111111111101000100 0 -b11101100111111111111101000100 H -18 -09 -b11000010000110010011100000011100 < -b10101111000110010011001001000100 2 -b10101111000110010011001001000100 = -b10101111000110010011001001000100 : -b11101100111111111111101000100111 $ -b11101100111111111111101000100111 - -b11101100111111111111101000100111 5 -b11101100111111111111101000100111 ? -b11101100111111111111101000100111 D -b111101111001101100011111100011 % -b111101111001101100011111100011 . -b111101111001101100011111100011 6 -b111101111001101100011111100011 @ -b111101111001101100011111100011 F -b10101111000110010011001001000100 ) -#111104000 -0& -#111120000 -b11011001000 , -#111136000 -1& -#111152000 -b111111010101001100011011011010 " -b111111010101001100011011011010 4 -b11111111111111111101100101101010 1 -b11111111111111111101100101101010 C -b1111110100111111011000 0 -b1111110100111111011000 H -08 -b1001110111010010111 < -b111111010101001100011011011010 2 -b111111010101001100011011011010 = -b111111010101001100011011011010 : -b111111010011111101100001000010 $ -b111111010011111101100001000010 - -b111111010011111101100001000010 5 -b111111010011111101100001000010 ? -b111111010011111101100001000010 D -b11111111111110110001000101101000 % -b11111111111110110001000101101000 . -b11111111111110110001000101101000 6 -b11111111111110110001000101101000 @ -b11111111111110110001000101101000 F -b111111010101001100011011011010 ) -#111168000 -0& -#111184000 -b11011001001 , -#111200000 -1& -#111216000 -b1110110100010010111110110101101 " -b1110110100010010111110110101101 4 -b11111111111111111011111110111111 1 -b11111111111111111011111110111111 C -b11110101011111101010111 0 -b11110101011111101010111 H -19 -18 -b10000001000010101100111001110110 < -b1110110100010010111110110101101 2 -b1110110100010010111110110101101 = -b1110110100010010111110110101101 : -b11110101011111101010111100110110 $ -b11110101011111101010111100110110 - -b11110101011111101010111100110110 5 -b11110101011111101010111100110110 ? -b11110101011111101010111100110110 D -b1111110111101010011000110001001 % -b1111110111101010011000110001001 . -b1111110111101010011000110001001 6 -b1111110111101010011000110001001 @ -b1111110111101010011000110001001 F -b1110110100010010111110110101101 ) -#111232000 -0& -#111248000 -b11011001010 , -#111264000 -1& -#111280000 -b1100000001000111010000110010101 " -b1100000001000111010000110010101 4 -b11101111111111101110101111111011 1 -b11101111111111101110101111111011 C -b1001111110111100110101011111 0 -b1001111110111100110101011111 H -09 -08 -b10000010001010011011010011100 < -b1100000001000111010000110010101 2 -b1100000001000111010000110010101 = -b1100000001000111010000110010101 : -b1001111110111100110101011111000 $ -b1001111110111100110101011111000 - -b1001111110111100110101011111000 5 -b1001111110111100110101011111000 ? -b1001111110111100110101011111000 D -b11101111101110101100100101100011 % -b11101111101110101100100101100011 . -b11101111101110101100100101100011 6 -b11101111101110101100100101100011 @ -b11101111101110101100100101100011 F -b1100000001000111010000110010101 ) -#111296000 -0& -#111312000 -b11011001011 , -#111328000 -1& -#111344000 -b1101111101110000101110011010111 " -b1101111101110000101110011010111 4 -b11111111111111010110111101111011 1 -b11111111111111010110111101111011 C -b11101111011111 0 -b11101111011111 H -19 -18 -b10000000001110101111000010001101 < -b1101111101110000101110011010111 2 -b1101111101110000101110011010111 = -b1101111101110000101110011010111 : -b11101111011111010110110001001001 $ -b11101111011111010110110001001001 - -b11101111011111010110110001001001 5 -b11101111011111010110110001001001 ? -b11101111011111010110110001001001 D -b1111111110001010000111101110010 % -b1111111110001010000111101110010 . -b1111111110001010000111101110010 6 -b1111111110001010000111101110010 @ -b1111111110001010000111101110010 F -b1101111101110000101110011010111 ) -#111360000 -0& -#111376000 -b11011001100 , -#111392000 -1& -#111408000 -b10000001101001110001101001001011 " -b10000001101001110001101001001011 4 -b11101111111111111111111011011111 1 -b11101111111111111111111011011111 C -b1101111100111 0 -b1101111100111 H -08 -b10010000010000000101110101101 < -b10000001101001110001101001001011 2 -b10000001101001110001101001001011 = -b10000001101001110001101001001011 : -b1101111100111110000111010011101 $ -b1101111100111110000111010011101 - -b1101111100111110000111010011101 5 -b1101111100111110000111010011101 ? -b1101111100111110000111010011101 D -b11101101111101111111010001010010 % -b11101101111101111111010001010010 . -b11101101111101111111010001010010 6 -b11101101111101111111010001010010 @ -b11101101111101111111010001010010 F -b10000001101001110001101001001011 ) -#111424000 -0& -#111440000 -b11011001101 , -#111456000 -1& -#111472000 -b110110001001000000000111 " -b110110001001000000000111 4 -b1111111101011111001110011110111 1 -b1111111101011111001110011110111 C -b111111110000111 0 -b111111110000111 H -09 -08 -b10000001010100001111001100001111 < -b110110001001000000000111 2 -b110110001001000000000111 = -b110110001001000000000111 : -b1111111100001111001110011110111 $ -b1111111100001111001110011110111 - -b1111111100001111001110011110111 5 -b1111111100001111001110011110111 ? -b1111111100001111001110011110111 D -b1111110101011110000110011110000 % -b1111110101011110000110011110000 . -b1111110101011110000110011110000 6 -b1111110101011110000110011110000 @ -b1111110101011110000110011110000 F -b110110001001000000000111 ) -#111488000 -0& -#111504000 -b11011001110 , -#111520000 -1& -#111536000 -b1001100100111111010111011110000 " -b1001100100111111010111011110000 4 -b11110111111111110111000101111110 1 -b11110111111111110111000101111110 C -b101100110111111100 0 -b101100110111111100 H -18 -19 -b10011001001000001001111010110001 < -b1001100100111111010111011110000 2 -b1001100100111111010111011110000 = -b1001100100111111010111011110000 : -b10110011011111110001000000111110 $ -b10110011011111110001000000111110 - -b10110011011111110001000000111110 5 -b10110011011111110001000000111110 ? -b10110011011111110001000000111110 D -b1100110110111110110000101001110 % -b1100110110111110110000101001110 . -b1100110110111110110000101001110 6 -b1100110110111110110000101001110 @ -b1100110110111110110000101001110 F -b1001100100111111010111011110000 ) -#111552000 -0& -#111568000 -b11011001111 , -#111584000 -1& -#111600000 -b11110010101110100010001111001110 " -b11110010101110100010001111001110 4 -b1011111111111111011111101111010 1 -b1011111111111111011111101111010 C -b100111101111001101100 0 -b100111101111001101100 H -09 -18 -b10100011010000000111000010010101 < -b11110010101110100010001111001110 2 -b11110010101110100010001111001110 = -b11110010101110100010001111001110 : -b1001111011110011011001100111000 $ -b1001111011110011011001100111000 - -b1001111011110011011001100111000 5 -b1001111011110011011001100111000 ? -b1001111011110011011001100111000 D -b1011100101111111000111101101010 % -b1011100101111111000111101101010 . -b1011100101111111000111101101010 6 -b1011100101111111000111101101010 @ -b1011100101111111000111101101010 F -b11110010101110100010001111001110 ) -#111616000 -0& -#111632000 -b11011010000 , -#111648000 -1& -#111664000 -b1111010110000010000000101111111 " -b1111010110000010000000101111111 4 -b11101111111111111010111011110001 1 -b11101111111111111010111011110001 C -b111010101011111 0 -b111010101011111 H -19 -18 -b10010000000000010101010100001110 < -b1111010110000010000000101111111 2 -b1111010110000010000000101111111 = -b1111010110000010000000101111111 : -b11101010101111111010110001110000 $ -b11101010101111111010110001110000 - -b11101010101111111010110001110000 5 -b11101010101111111010110001110000 ? -b11101010101111111010110001110000 D -b1101111111111101010101011110001 % -b1101111111111101010101011110001 . -b1101111111111101010101011110001 6 -b1101111111111101010101011110001 @ -b1101111111111101010101011110001 F -b1111010110000010000000101111111 ) -#111680000 -0& -#111696000 -b11011010001 , -#111712000 -1& -#111728000 -b11111001000010001000011010100111 " -b11111001000010001000011010100111 4 -b11111101011111111111011111111101 1 -b11111101011111111111011111111101 C -b11101101011 0 -b11101101011 H -09 -18 -b1011100010001000111101001010 < -b11111001000010001000011010100111 2 -b11111001000010001000011010100111 = -b11111001000010001000011010100111 : -b11101101011111111111011101011100 $ -b11101101011111111111011101011100 - -b11101101011111111111011101011100 5 -b11101101011111111111011101011100 ? -b11101101011111111111011101011100 D -b11110100011101110111000010110101 % -b11110100011101110111000010110101 . -b11110100011101110111000010110101 6 -b11110100011101110111000010110101 @ -b11110100011101110111000010110101 F -b11111001000010001000011010100111 ) -#111744000 -0& -#111760000 -b11011010010 , -#111776000 -1& -#111792000 -b101111100111101100001111100100 " -b101111100111101100001111100100 4 -b11111110111111111111010001101110 1 -b11111110111111111111010001101110 C -b1110111010011110011101 0 -b1110111010011110011101 H -08 -b1000001000000000100111110110101 < -b101111100111101100001111100100 2 -b101111100111101100001111100100 = -b101111100111101100001111100100 : -b11101110100111100111010000101110 $ -b11101110100111100111010000101110 - -b11101110100111100111010000101110 5 -b11101110100111100111010000101110 ? -b11101110100111100111010000101110 D -b10111110111111111011000001001010 % -b10111110111111111011000001001010 . -b10111110111111111011000001001010 6 -b10111110111111111011000001001010 @ -b10111110111111111011000001001010 F -b101111100111101100001111100100 ) -#111808000 -0& -#111824000 -b11011010011 , -#111840000 -1& -#111856000 -b11111111000110000101000000111 " -b11111111000110000101000000111 4 -b11111111111111111100111101111111 1 -b11111111111111111100111101111111 C -b11111111110111111100 0 -b11111111110111111100 H -b100000000000110011101010010011 < -b11111111000110000101000000111 2 -b11111111000110000101000000111 = -b11111111000110000101000000111 : -b11111111110111111100111101110011 $ -b11111111110111111100111101110011 - -b11111111110111111100111101110011 5 -b11111111110111111100111101110011 ? -b11111111110111111100111101110011 D -b11011111111111001100010101101100 % -b11011111111111001100010101101100 . -b11011111111111001100010101101100 6 -b11011111111111001100010101101100 @ -b11011111111111001100010101101100 F -b11111111000110000101000000111 ) -#111872000 -0& -#111888000 -b11011010100 , -#111904000 -1& -#111920000 -b10011101011101001110100101100 " -b10011101011101001110100101100 4 -b1111111111111111111111111010101 1 -b1111111111111111111111111010101 C -b1101111100 0 -b1101111100 H -08 -b10100100000100001100000110101010 < -b10011101011101001110100101100 2 -b10011101011101001110100101100 = -b10011101011101001110100101100 : -b1101111100111011101101110000001 $ -b1101111100111011101101110000001 - -b1101111100111011101101110000001 5 -b1101111100111011101101110000001 ? -b1101111100111011101101110000001 D -b1011011111011110011111001010101 % -b1011011111011110011111001010101 . -b1011011111011110011111001010101 6 -b1011011111011110011111001010101 @ -b1011011111011110011111001010101 F -b10011101011101001110100101100 ) -#111936000 -0& -#111952000 -b11011010101 , -#111968000 -1& -#111984000 -b10101000000001011001100111100110 " -b10101000000001011001100111100110 4 -b11111111111111111110111100101110 1 -b11111111111111111110111100101110 C -b11111111111101101000101 0 -b11111111111101101000101 H -19 -08 -b101000000010100101010011010111 < -b10101000000001011001100111100110 2 -b10101000000001011001100111100110 = -b10101000000001011001100111100110 : -b1111111111110110100010100001110 $ -b1111111111110110100010100001110 - -b1111111111110110100010100001110 5 -b1111111111110110100010100001110 ? -b1111111111110110100010100001110 D -b11010111111101011010101100101000 % -b11010111111101011010101100101000 . -b11010111111101011010101100101000 6 -b11010111111101011010101100101000 @ -b11010111111101011010101100101000 F -b10101000000001011001100111100110 ) -#112000000 -0& -#112016000 -b11011010110 , -#112032000 -1& -#112048000 -b1100100001111111101001011010001 " -b1100100001111111101001011010001 4 -b11111111110111110111110101111011 1 -b11111111110111110111110101111011 C -b1101111 0 -b1101111 H -18 -b10000110001000001010101010000110 < -b1100100001111111101001011010001 2 -b1100100001111111101001011010001 = -b1100100001111111101001011010001 : -b11011110000111110010100001001010 $ -b11011110000111110010100001001010 - -b11011110000111110010100001001010 5 -b11011110000111110010100001001010 ? -b11011110000111110010100001001010 D -b1111001110111110101010101111001 % -b1111001110111110101010101111001 . -b1111001110111110101010101111001 6 -b1111001110111110101010101111001 @ -b1111001110111110101010101111001 F -b1100100001111111101001011010001 ) -#112064000 -0& -#112080000 -b11011010111 , -#112096000 -1& -#112112000 -b11100100010000100100100010110001 " -b11100100010000100100100010110001 4 -b11111101011111111101101111111111 1 -b11111101011111111101101111111111 C -b1011100101111111100 0 -b1011100101111111100 H -09 -18 -b101010110000101011011000010010 < -b11100100010000100100100010110001 2 -b11100100010000100100100010110001 = -b11100100010000100100100010110001 : -b10111001011111111001001010011110 $ -b10111001011111111001001010011110 - -b10111001011111111001001010011110 5 -b10111001011111111001001010011110 ? -b10111001011111111001001010011110 D -b11010101001111010100100111101101 % -b11010101001111010100100111101101 . -b11010101001111010100100111101101 6 -b11010101001111010100100111101101 @ -b11010101001111010100100111101101 F -b11100100010000100100100010110001 ) -#112128000 -0& -#112144000 -b11011011000 , -#112160000 -1& -#112176000 -b1001100010000110011011101110010 " -b1001100010000110011011101110010 4 -b11011111011111110101101111111011 1 -b11011111011111110101101111111011 C -b10010111011111100101001 0 -b10010111011111100101001 H -19 -18 -b10110100110001001110010000110110 < -b1001100010000110011011101110010 2 -b1001100010000110011011101110010 = -b1001100010000110011011101110010 : -b10010111011111100101001100111011 $ -b10010111011111100101001100111011 - -b10010111011111100101001100111011 5 -b10010111011111100101001100111011 ? -b10010111011111100101001100111011 D -b1001011001110110001101111001001 % -b1001011001110110001101111001001 . -b1001011001110110001101111001001 6 -b1001011001110110001101111001001 @ -b1001011001110110001101111001001 F -b1001100010000110011011101110010 ) -#112192000 -0& -#112208000 -b11011011001 , -#112224000 -1& -#112240000 -b110011100101101010100100101011 " -b110011100101101010100100101011 4 -b1111111111111010111101111101111 1 -b1111111111111010111101111101111 C -b1101111100101000001001010001 0 -b1101111100101000001001010001 H -08 -09 -b11000100000000101001011010011100 < -b110011100101101010100100101011 2 -b110011100101101010100100101011 = -b110011100101101010100100101011 : -b1101111100101000001001010001110 $ -b1101111100101000001001010001110 - -b1101111100101000001001010001110 5 -b1101111100101000001001010001110 ? -b1101111100101000001001010001110 D -b111011111111010110100101100011 % -b111011111111010110100101100011 . -b111011111111010110100101100011 6 -b111011111111010110100101100011 @ -b111011111111010110100101100011 F -b110011100101101010100100101011 ) -#112256000 -0& -#112272000 -b11011011010 , -#112288000 -1& -#112304000 -b100111100110010101010101000111 " -b100111100110010101010101000111 4 -b11011011111111111111011101011111 1 -b11011011111111111111011101011111 C -b11 0 -b11 H -08 -b100100000110101000111011100111 < -b100111100110010101010101000111 2 -b100111100110010101010101000111 = -b100111100110010101010101000111 : -b11011111101100011001011111 $ -b11011111101100011001011111 - -b11011111101100011001011111 5 -b11011111101100011001011111 ? -b11011111101100011001011111 D -b11011011111001010111000100011000 % -b11011011111001010111000100011000 . -b11011011111001010111000100011000 6 -b11011011111001010111000100011000 @ -b11011011111001010111000100011000 F -b100111100110010101010101000111 ) -#112320000 -0& -#112336000 -b11011011011 , -#112352000 -1& -#112368000 -b10011101110111101010001000011010 " -b10011101110111101010001000011010 4 -b1111111111111011110111101111010 1 -b1111111111111011110111101111010 C -b1110110111100 0 -b1110110111100 H -18 -b10000000001000100001010010101111 < -b10011101110111101010001000011010 2 -b10011101110111101010001000011010 = -b10011101110111101010001000011010 : -b11101101111001000110101101010 $ -b11101101111001000110101101010 - -b11101101111001000110101101010 5 -b11101101111001000110101101010 ? -b11101101111001000110101101010 D -b1111111110111011110101101010000 % -b1111111110111011110101101010000 . -b1111111110111011110101101010000 6 -b1111111110111011110101101010000 @ -b1111111110111011110101101010000 F -b10011101110111101010001000011010 ) -#112384000 -0& -#112400000 -b11011011100 , -#112416000 -1& -#112432000 -b10000000100000000010000000001011 " -b10000000100000000010000000001011 4 -b11111111101000111110000001111111 1 -b11111111101000111110000001111111 C -b111111100010001111100 0 -b111111100010001111100 H -18 -b10000010010111000011111110010100 < -b10000000100000000010000000001011 2 -b10000000100000000010000000001011 = -b10000000100000000010000000001011 : -b11111110001000111110000001110110 $ -b11111110001000111110000001110110 - -b11111110001000111110000001110110 5 -b11111110001000111110000001110110 ? -b11111110001000111110000001110110 D -b1111101101000111100000001101011 % -b1111101101000111100000001101011 . -b1111101101000111100000001101011 6 -b1111101101000111100000001101011 @ -b1111101101000111100000001101011 F -b10000000100000000010000000001011 ) -#112448000 -0& -#112464000 -b11011011101 , -#112480000 -1& -#112496000 -b1101101101011011001111111000110 " -b1101101101011011001111111000110 4 -b11011111111111111111000011101010 1 -b11011111111111111111000011101010 C -b110011011001110011010000011010 0 -b110011011001110011010000011010 H -19 -18 -b10100000000100001100111101011101 < -b1101101101011011001111111000110 2 -b1101101101011011001111111000110 = -b1101101101011011001111111000110 : -b11001101100111001101000001101000 $ -b11001101100111001101000001101000 - -b11001101100111001101000001101000 5 -b11001101100111001101000001101000 ? -b11001101100111001101000001101000 D -b1011111111011110011000010100010 % -b1011111111011110011000010100010 . -b1011111111011110011000010100010 6 -b1011111111011110011000010100010 @ -b1011111111011110011000010100010 F -b1101101101011011001111111000110 ) -#112512000 -0& -#112528000 -b11011011110 , -#112544000 -1& -#112560000 -b10011001100001111010000111 " -b10011001100001111010000111 4 -b11111111111111100110011111011011 1 -b11111111111111100110011111011011 C -b1111111110111110010001 0 -b1111111110111110010001 H -09 -08 -b10101001111101100100110101 < -b10011001100001111010000111 2 -b10011001100001111010000111 = -b10011001100001111010000111 : -b11111111101111100100010101010001 $ -b11111111101111100100010101010001 - -b11111111101111100100010101010001 5 -b11111111101111100100010101010001 ? -b11111111101111100100010101010001 D -b11111101010110000010011011001010 % -b11111101010110000010011011001010 . -b11111101010110000010011011001010 6 -b11111101010110000010011011001010 @ -b11111101010110000010011011001010 F -b10011001100001111010000111 ) -#112576000 -0& -#112592000 -b11011011111 , -#112608000 -1& -#112624000 -b1000011111011111101010011101110 " -b1000011111011111101010011101110 4 -b11101111111101111111101111010110 1 -b11101111111101111111101111010110 C -b1010111111 0 -b1010111111 H -19 -18 -b10010100000010000001110000101001 < -b1000011111011111101010011101110 2 -b1000011111011111101010011101110 = -b1000011111011111101010011101110 : -b10101111111001111011100011000100 $ -b10101111111001111011100011000100 - -b10101111111001111011100011000100 5 -b10101111111001111011100011000100 ? -b10101111111001111011100011000100 D -b1101011111101111110001111010110 % -b1101011111101111110001111010110 . -b1101011111101111110001111010110 6 -b1101011111101111110001111010110 @ -b1101011111101111110001111010110 F -b1000011111011111101010011101110 ) -#112640000 -0& -#112656000 -b11011100000 , -#112672000 -1& -#112688000 -b10110000010011000011000100101111 " -b10110000010011000011000100101111 4 -b1111101111111010101011011110101 1 -b1111101111111010101011011110101 C -b1011011111100101000100000 0 -b1011011111100101000100000 H -09 -18 -b10000010010100101110110100011010 < -b10110000010011000011000100101111 2 -b10110000010011000011000100101111 = -b10110000010011000011000100101111 : -b101101111110010100010000010100 $ -b101101111110010100010000010100 - -b101101111110010100010000010100 5 -b101101111110010100010000010100 ? -b101101111110010100010000010100 D -b1111101101011010001001011100101 % -b1111101101011010001001011100101 . -b1111101101011010001001011100101 6 -b1111101101011010001001011100101 @ -b1111101101011010001001011100101 F -b10110000010011000011000100101111 ) -#112704000 -0& -#112720000 -b11011100001 , -#112736000 -1& -#112752000 -b1111000000000110100101100010010 " -b1111000000000110100101100010010 4 -b10111111111111111101110111110111 1 -b10111111111111111101110111110111 C -b10110111111 0 -b10110111111 H -19 -18 -b11000000000000111011011000001010 < -b1111000000000110100101100010010 2 -b1111000000000110100101100010010 = -b1111000000000110100101100010010 : -b10110111111111111001010100000111 $ -b10110111111111111001010100000111 - -b10110111111111111001010100000111 5 -b10110111111111111001010100000111 ? -b10110111111111111001010100000111 D -b111111111111000100100111110101 % -b111111111111000100100111110101 . -b111111111111000100100111110101 6 -b111111111111000100100111110101 @ -b111111111111000100100111110101 F -b1111000000000110100101100010010 ) -#112768000 -0& -#112784000 -b11011100010 , -#112800000 -1& -#112816000 -b110001100011010000010011101101 " -b110001100011010000010011101101 4 -b11111111111111111111101110110111 1 -b11111111111111111111101110110111 C -b1010111011111111111000001 0 -b1010111011111111111000001 H -b10000010100011010010010001011000 < -b110001100011010000010011101101 2 -b110001100011010000010011101101 = -b110001100011010000010011101101 : -b10101110111111111110000010010100 $ -b10101110111111111110000010010100 - -b10101110111111111110000010010100 5 -b10101110111111111110000010010100 ? -b10101110111111111110000010010100 D -b1111101011100101101101110100111 % -b1111101011100101101101110100111 . -b1111101011100101101101110100111 6 -b1111101011100101101101110100111 @ -b1111101011100101101101110100111 F -b110001100011010000010011101101 ) -#112832000 -0& -#112848000 -b11011100011 , -#112864000 -1& -#112880000 -b10111011111101000011110110101110 " -b10111011111101000011110110101110 4 -b11111111011111111110111001111110 1 -b11111111011111111110111001111110 C -b1111011101011111 0 -b1111011101011111 H -09 -18 -b11000100100101001001000110001111 < -b10111011111101000011110110101110 2 -b10111011111101000011110110101110 = -b10111011111101000011110110101110 : -b11110111010111111010110000011110 $ -b11110111010111111010110000011110 - -b11110111010111111010110000011110 5 -b11110111010111111010110000011110 ? -b11110111010111111010110000011110 D -b111011011010110110111001110000 % -b111011011010110110111001110000 . -b111011011010110110111001110000 6 -b111011011010110110111001110000 @ -b111011011010110110111001110000 F -b10111011111101000011110110101110 ) -#112896000 -0& -#112912000 -b11011100100 , -#112928000 -1& -#112944000 -b10111101110111000010000000111101 " -b10111101110111000010000000111101 4 -b11111011101111110011111111111111 1 -b11111011101111110011111111111111 C -b101110 0 -b101110 H -09 -18 -b100010000001110100000000101 < -b10111101110111000010000000111101 2 -b10111101110111000010000000111101 = -b10111101110111000010000000111101 : -b10111001100110110011100000110111 $ -b10111001100110110011100000110111 - -b10111001100110110011100000110111 5 -b10111001100110110011100000110111 ? -b10111001100110110011100000110111 D -b11111011101111110001011111111010 % -b11111011101111110001011111111010 . -b11111011101111110001011111111010 6 -b11111011101111110001011111111010 @ -b11111011101111110001011111111010 F -b10111101110111000010000000111101 ) -#112960000 -0& -#112976000 -b11011100101 , -#112992000 -1& -#113008000 -b1011101101100000101001000010111 " -b1011101101100000101001000010111 4 -b11101111111111110101011001101011 1 -b11101111111111110101011001101011 C -b100101111011111010101 0 -b100101111011111010101 H -08 -b10001110100001111101111010101 < -b1011101101100000101001000010111 2 -b1011101101100000101001000010111 = -b1011101101100000101001000010111 : -b1001011110111110101011001000001 $ -b1001011110111110101011001000001 - -b1001011110111110101011001000001 5 -b1001011110111110101011001000001 ? -b1001011110111110101011001000001 D -b11101110001011110000010000101010 % -b11101110001011110000010000101010 . -b11101110001011110000010000101010 6 -b11101110001011110000010000101010 @ -b11101110001011110000010000101010 F -b1011101101100000101001000010111 ) -#113024000 -0& -#113040000 -b11011100110 , -#113056000 -1& -#113072000 -b10100111101110001111111111001011 " -b10100111101110001111111111001011 4 -b11111101111111111111110000110111 1 -b11111101111111111111110000110111 C -b1001110101 0 -b1001110101 H -18 -b1010010000010000001111001001 < -b10100111101110001111111111001011 2 -b10100111101110001111111111001011 = -b10100111101110001111111111001011 : -b10011101011101111111110000000001 $ -b10011101011101111111110000000001 - -b10011101011101111111110000000001 5 -b10011101011101111111110000000001 ? -b10011101011101111111110000000001 D -b11110101101111101111110000110110 % -b11110101101111101111110000110110 . -b11110101101111101111110000110110 6 -b11110101101111101111110000110110 @ -b11110101101111101111110000110110 F -b10100111101110001111111111001011 ) -#113088000 -0& -#113104000 -b11011100111 , -#113120000 -1& -#113136000 -b11110111001100000000001001110101 " -b11110111001100000000001001110101 4 -b1111111111111110011001110011111 1 -b1111111111111110011001110011111 C -b111010111 0 -b111010111 H -b10000001010100001100111101101001 < -b11110111001100000000001001110101 2 -b11110111001100000000001001110101 = -b11110111001100000000001001110101 : -b1110101110111110011001100001011 $ -b1110101110111110011001100001011 - -b1110101110111110011001100001011 5 -b1110101110111110011001100001011 ? -b1110101110111110011001100001011 D -b1111110101011110011000010010110 % -b1111110101011110011000010010110 . -b1111110101011110011000010010110 6 -b1111110101011110011000010010110 @ -b1111110101011110011000010010110 F -b11110111001100000000001001110101 ) -#113152000 -0& -#113168000 -b11011101000 , -#113184000 -1& -#113200000 -b1001111110111001000110 " -b1001111110111001000110 4 -b1101111111111111111111111111111 1 -b1101111111111111111111111111111 C -b0 0 -b0 H -08 -b10010000001010000000111100100000 < -b1001111110111001000110 2 -b1001111110111001000110 = -b1001111110111001000110 : -b1101111111111111101111100100101 $ -b1101111111111111101111100100101 - -b1101111111111111101111100100101 5 -b1101111111111111101111100100101 ? -b1101111111111111101111100100101 D -b1101111110101111111000011011111 % -b1101111110101111111000011011111 . -b1101111110101111111000011011111 6 -b1101111110101111111000011011111 @ -b1101111110101111111000011011111 F -b1001111110111001000110 ) -#113216000 -0& -#113232000 -b11011101001 , -#113248000 -1& -#113264000 -b11000000000000101011001110101100 " -b11000000000000101011001110101100 4 -b11111111111111111111110110111110 1 -b11111111111111111111110110111110 C -b1111111111111111111100 0 -b1111111111111111111100 H -18 -b11000000000000101100001001110101 < -b11000000000000101011001110101100 2 -b11000000000000101011001110101100 = -b11000000000000101011001110101100 : -b11111111111111111111000100110110 $ -b11111111111111111111000100110110 - -b11111111111111111111000100110110 5 -b11111111111111111111000100110110 ? -b11111111111111111111000100110110 D -b111111111111010011110110001010 % -b111111111111010011110110001010 . -b111111111111010011110110001010 6 -b111111111111010011110110001010 @ -b111111111111010011110110001010 F -b11000000000000101011001110101100 ) -#113280000 -0& -#113296000 -b11011101010 , -#113312000 -1& -#113328000 -b10111111100111101001101110111010 " -b10111111100111101001101110111010 4 -b11111111111111111010110011111110 1 -b11111111111111111010110011111110 C -b1 0 -b1 H -19 -08 -b1000000010000101111001101000001 < -b10111111100111101001101110111010 2 -b10111111100111101001101110111010 = -b10111111100111101001101110111010 : -b1111111010110111010100001111000 $ -b1111111010110111010100001111000 - -b1111111010110111010100001111000 5 -b1111111010110111010100001111000 ? -b1111111010110111010100001111000 D -b10111111101111010000110010111110 % -b10111111101111010000110010111110 . -b10111111101111010000110010111110 6 -b10111111101111010000110010111110 @ -b10111111101111010000110010111110 F -b10111111100111101001101110111010 ) -#113344000 -0& -#113360000 -b11011101011 , -#113376000 -1& -#113392000 -b11000001111110011111011001111011 " -b11000001111110011111011001111011 4 -b11111111110111111101101111101101 1 -b11111111110111111101101111101101 C -b11111101110101111101000 0 -b11111101110101111101000 H -09 -18 -b11000100001000100010010010010110 < -b11000001111110011111011001111011 2 -b11000001111110011111011001111011 = -b11000001111110011111011001111011 : -b11111101110101111101000111100100 $ -b11111101110101111101000111100100 - -b11111101110101111101000111100100 5 -b11111101110101111101000111100100 ? -b11111101110101111101000111100100 D -b111011110111011101101101101001 % -b111011110111011101101101101001 . -b111011110111011101101101101001 6 -b111011110111011101101101101001 @ -b111011110111011101101101101001 F -b11000001111110011111011001111011 ) -#113408000 -0& -#113424000 -b11011101100 , -#113440000 -1& -#113456000 -b1110111000000001011110100011110 " -b1110111000000001011110100011110 4 -b11111011111111111111010100100011 1 -b11111011111111111111010100100011 C -b11101001111111100111000100100 0 -b11101001111111100111000100100 H -19 -18 -b10001101000000100100101111111100 < -b1110111000000001011110100011110 2 -b1110111000000001011110100011110 = -b1110111000000001011110100011110 : -b11101001111111100111000100100001 $ -b11101001111111100111000100100001 - -b11101001111111100111000100100001 5 -b11101001111111100111000100100001 ? -b11101001111111100111000100100001 D -b1110010111111011011010000000011 % -b1110010111111011011010000000011 . -b1110010111111011011010000000011 6 -b1110010111111011011010000000011 @ -b1110010111111011011010000000011 F -b1110111000000001011110100011110 ) -#113472000 -0& -#113488000 -b11011101101 , -#113504000 -1& -#113520000 -b111000000111100010010011001 " -b111000000111100010010011001 4 -b1111111111111110111110111101011 1 -b1111111111111110111110111101011 C -b1111110111111110100000 0 -b1111110111111110100000 H -08 -09 -b10001000000001001000001011010110 < -b111000000111100010010011001 2 -b111000000111100010010011001 = -b111000000111100010010011001 : -b1111110111111110100000111000010 $ -b1111110111111110100000111000010 - -b1111110111111110100000111000010 5 -b1111110111111110100000111000010 ? -b1111110111111110100000111000010 D -b1110111111110110111110100101001 % -b1110111111110110111110100101001 . -b1110111111110110111110100101001 6 -b1110111111110110111110100101001 @ -b1110111111110110111110100101001 F -b111000000111100010010011001 ) -#113536000 -0& -#113552000 -b11011101110 , -#113568000 -1& -#113584000 -b1011111010011100101110110101100 " -b1011111010011100101110110101100 4 -b11111110111111111110011001011111 1 -b11111110111111111110011001011111 C -b0 0 -b0 H -08 -b1000101100111100110100000 < -b1011111010011100101110110101100 2 -b1011111010011100101110110101100 = -b1011111010011100101110110101100 : -b1011110001101111110010000001011 $ -b1011110001101111110010000001011 - -b1011110001101111110010000001011 5 -b1011110001101111110010000001011 ? -b1011110001101111110010000001011 D -b11111110111010011000011001011111 % -b11111110111010011000011001011111 . -b11111110111010011000011001011111 6 -b11111110111010011000011001011111 @ -b11111110111010011000011001011111 F -b1011111010011100101110110101100 ) -#113600000 -0& -#113616000 -b11011101111 , -#113632000 -1& -#113648000 -b1000001001110000111110000111001 " -b1000001001110000111110000111001 4 -b11111111111111101011010001111111 1 -b11111111111111101011010001111111 C -b11111 0 -b11111 H -08 -09 -b1000001010000011100101111000100 < -b1000001001110000111110000111001 2 -b1000001001110000111110000111001 = -b1000001001110000111110000111001 : -b11111111111101101011000001110100 $ -b11111111111101101011000001110100 - -b11111111111101101011000001110100 5 -b11111111111101101011000001110100 ? -b11111111111101101011000001110100 D -b10111110101111100011010000111011 % -b10111110101111100011010000111011 . -b10111110101111100011010000111011 6 -b10111110101111100011010000111011 @ -b10111110101111100011010000111011 F -b1000001001110000111110000111001 ) -#113664000 -0& -#113680000 -b11011110000 , -#113696000 -1& -#113712000 -b11101001010100100110110000001100 " -b11101001010100100110110000001100 4 -b111111111111111010111001110101 1 -b111111111111111010111001110101 C -b11111111 0 -b11111111 H -18 -b11001001010100110101110110101010 < -b11101001010100100110110000001100 2 -b11101001010100100110110000001100 = -b11101001010100100110110000001100 : -b11111111111110000111001100001 $ -b11111111111110000111001100001 - -b11111111111110000111001100001 5 -b11111111111110000111001100001 ? -b11111111111110000111001100001 D -b110110101011001010001001010101 % -b110110101011001010001001010101 . -b110110101011001010001001010101 6 -b110110101011001010001001010101 @ -b110110101011001010001001010101 F -b11101001010100100110110000001100 ) -#113728000 -0& -#113744000 -b11011110001 , -#113760000 -1& -#113776000 -b11101010011011111100011110000111 " -b11101010011011111100011110000111 4 -b11111111111101111100101011111111 1 -b11111111111101111100101011111111 C -b110101011 0 -b110101011 H -b10100011110000111110100001000 < -b11101010011011111100011110000111 2 -b11101010011011111100011110000111 = -b11101010011011111100011110000111 : -b11010101111101110100101001111110 $ -b11010101111101110100101001111110 - -b11010101111101110100101001111110 5 -b11010101111101110100101001111110 ? -b11010101111101110100101001111110 D -b11101011100001111000001011110111 % -b11101011100001111000001011110111 . -b11101011100001111000001011110111 6 -b11101011100001111000001011110111 @ -b11101011100001111000001011110111 F -b11101010011011111100011110000111 ) -#113792000 -0& -#113808000 -b11011110010 , -#113824000 -1& -#113840000 -b100001000001100101010110011101 " -b100001000001100101010110011101 4 -b1111111111111101111110111110111 1 -b1111111111111101111110111110111 C -b111111111 0 -b111111111 H -08 -b10100001000100010110101111001001 < -b100001000001100101010110011101 2 -b100001000001100101010110011101 = -b100001000001100101010110011101 : -b1111111111101001110100111010011 $ -b1111111111101001110100111010011 - -b1111111111101001110100111010011 5 -b1111111111101001110100111010011 ? -b1111111111101001110100111010011 D -b1011110111011101001010000110110 % -b1011110111011101001010000110110 . -b1011110111011101001010000110110 6 -b1011110111011101001010000110110 @ -b1011110111011101001010000110110 F -b100001000001100101010110011101 ) -#113856000 -0& -#113872000 -b11011110011 , -#113888000 -1& -#113904000 -b10110011000011101000011000110101 " -b10110011000011101000011000110101 4 -b11111111111111111110101001011101 1 -b11111111111111111110101001011101 C -b110 0 -b110 H -19 -08 -b1000011001000000001110111100011 < -b10110011000011101000011000110101 2 -b10110011000011101000011000110101 = -b10110011000011101000011000110101 : -b1101111111011100110100001010001 $ -b1101111111011100110100001010001 - -b1101111111011100110100001010001 5 -b1101111111011100110100001010001 ? -b1101111111011100110100001010001 D -b10111100110111111110001000011100 % -b10111100110111111110001000011100 . -b10111100110111111110001000011100 6 -b10111100110111111110001000011100 @ -b10111100110111111110001000011100 F -b10110011000011101000011000110101 ) -#113920000 -0& -#113936000 -b11011110100 , -#113952000 -1& -#113968000 -b11110001100111100001100101111110 " -b11110001100111100001100101111110 4 -b10111111111111111111101111011111 1 -b10111111111111111111101111011111 C -b101 0 -b101 H -18 -09 -b1000000001000010000010110100010 < -b11110001100111100001100101111110 2 -b11110001100111100001100101111110 = -b11110001100111100001100101111110 : -b10110001011111010001001111011011 $ -b10110001011111010001001111011011 - -b10110001011111010001001111011011 5 -b10110001011111010001001111011011 ? -b10110001011111010001001111011011 D -b10111111110111101111101001011101 % -b10111111110111101111101001011101 . -b10111111110111101111101001011101 6 -b10111111110111101111101001011101 @ -b10111111110111101111101001011101 F -b11110001100111100001100101111110 ) -#113984000 -0& -#114000000 -b11011110101 , -#114016000 -1& -#114032000 -b1001010100111111000111100100001 " -b1001010100111111000111100100001 4 -b11011111111111101011011101101001 1 -b11011111111111101011011101101001 C -b111111111111000100011 0 -b111111111111000100011 H -08 -b101010101000010110101110110111 < -b1001010100111111000111100100001 2 -b1001010100111111000111100100001 = -b1001010100111111000111100100001 : -b11111111111100010001101101001 $ -b11111111111100010001101101001 - -b11111111111100010001101101001 5 -b11111111111100010001101101001 ? -b11111111111100010001101101001 D -b11010101010111101001010001001000 % -b11010101010111101001010001001000 . -b11010101010111101001010001001000 6 -b11010101010111101001010001001000 @ -b11010101010111101001010001001000 F -b1001010100111111000111100100001 ) -#114048000 -0& -#114064000 -b11011110110 , -#114080000 -1& -#114096000 -b1100000100000101111110111110100 " -b1100000100000101111110111110100 4 -b1111111111011110011001001111100 1 -b1111111111011110011001001111100 C -b111111111101001001100000101 0 -b111111111101001001100000101 H -09 -08 -b11100000100110011100110110011011 < -b1100000100000101111110111110100 2 -b1100000100000101111110111110100 = -b1100000100000101111110111110100 : -b1111111111010010011000001011000 $ -b1111111111010010011000001011000 - -b1111111111010010011000001011000 5 -b1111111111010010011000001011000 ? -b1111111111010010011000001011000 D -b11111011001100011001001100100 % -b11111011001100011001001100100 . -b11111011001100011001001100100 6 -b11111011001100011001001100100 @ -b11111011001100011001001100100 F -b1100000100000101111110111110100 ) -#114112000 -0& -#114128000 -b11011110111 , -#114144000 -1& -#114160000 -b1111100101000111110011001010 " -b1111100101000111110011001010 4 -b1111111111111101001111011011111 1 -b1111111111111101001111011011111 C -b111111011111 0 -b111111011111 H -b10010000100110011110110111101100 < -b1111100101000111110011001010 2 -b1111100101000111110011001010 = -b1111100101000111110011001010 : -b1111110111110101000111011011101 $ -b1111110111110101000111011011101 - -b1111110111110101000111011011101 5 -b1111110111110101000111011011101 ? -b1111110111110101000111011011101 D -b1101111011001100001001000010011 % -b1101111011001100001001000010011 . -b1101111011001100001001000010011 6 -b1101111011001100001001000010011 @ -b1101111011001100001001000010011 F -b1111100101000111110011001010 ) -#114176000 -0& -#114192000 -b11011111000 , -#114208000 -1& -#114224000 -b10111011111100110110010010 " -b10111011111100110110010010 4 -b11101111111111110100111110111111 1 -b11101111111111110100111110111111 C -b1110111111011111000 0 -b1110111111011111000 H -08 -b10011000100001011110111010010 < -b10111011111100110110010010 2 -b10111011111100110110010010 = -b10111011111100110110010010 : -b11101111110111110000111110111111 $ -b11101111110111110000111110111111 - -b11101111110111110000111110111111 5 -b11101111110111110000111110111111 ? -b11101111110111110000111110111111 D -b11101100111011110100001000101101 % -b11101100111011110100001000101101 . -b11101100111011110100001000101101 6 -b11101100111011110100001000101101 @ -b11101100111011110100001000101101 F -b10111011111100110110010010 ) -#114240000 -0& -#114256000 -b11011111001 , -#114272000 -1& -#114288000 -b10101000110100011110110000110000 " -b10101000110100011110110000110000 4 -b11101111111111100011110111111010 1 -b11101111111111100011110111111010 C -b1110111111001100000101 0 -b1110111111001100000101 H -18 -b10111001000001011101011000110101 < -b10101000110100011110110000110000 2 -b10101000110100011110110000110000 = -b10101000110100011110110000110000 : -b11101111110011000001010111111010 $ -b11101111110011000001010111111010 - -b11101111110011000001010111111010 5 -b11101111110011000001010111111010 ? -b11101111110011000001010111111010 D -b1000110111110100010100111001010 % -b1000110111110100010100111001010 . -b1000110111110100010100111001010 6 -b1000110111110100010100111001010 @ -b1000110111110100010100111001010 F -b10101000110100011110110000110000 ) -#114304000 -0& -#114320000 -b11011111010 , -#114336000 -1& -#114352000 -b11000000000010111011011010101100 " -b11000000000010111011011010101100 4 -b11111111101111110111111111101100 1 -b11111111101111110111111111101100 C -b10111111101010110001110111101100 0 -b10111111101010110001110111101100 H -09 -18 -b11000001001100010111111 < -b11000000000010111011011010101100 2 -b11000000000010111011011010101100 = -b11000000000010111011011010101100 : -b10111111101010110001110111101100 $ -b10111111101010110001110111101100 - -b10111111101010110001110111101100 5 -b10111111101010110001110111101100 ? -b10111111101010110001110111101100 D -b11111111100111110110011101000000 % -b11111111100111110110011101000000 . -b11111111100111110110011101000000 6 -b11111111100111110110011101000000 @ -b11111111100111110110011101000000 F -b11000000000010111011011010101100 ) -#114368000 -0& -#114384000 -b11011111011 , -#114400000 -1& -#114416000 -b11011001100111000011100001001001 " -b11011001100111000011100001001001 4 -b11111111101111110111111111011001 1 -b11111111101111110111111111011001 C -b110101110011101101101111 0 -b110101110011101101101111 H -b10011000001100100010110111 < -b11011001100111000011100001001001 2 -b11011001100111000011100001001001 = -b11011001100111000011100001001001 : -b11010111001110110110111110010001 $ -b11010111001110110110111110010001 - -b11010111001110110110111110010001 5 -b11010111001110110110111110010001 ? -b11010111001110110110111110010001 D -b11111101100111110011011101001000 % -b11111101100111110011011101001000 . -b11111101100111110011011101001000 6 -b11111101100111110011011101001000 @ -b11111101100111110011011101001000 F -b11011001100111000011100001001001 ) -#114432000 -0& -#114448000 -b11011111100 , -#114464000 -1& -#114480000 -b10010001000110001101001110011101 " -b10010001000110001101001110011101 4 -b11111111111111111111010111100111 1 -b11111111111111111111010111100111 C -b1000111111111111110001010 0 -b1000111111111111110001010 H -b1000110010000111001011000 < -b10010001000110001101001110011101 2 -b10010001000110001101001110011101 = -b10010001000110001101001110011101 : -b10001111111111111100010101000100 $ -b10001111111111111100010101000100 - -b10001111111111111100010101000100 5 -b10001111111111111100010101000100 ? -b10001111111111111100010101000100 D -b11111110111001101111000110100111 % -b11111110111001101111000110100111 . -b11111110111001101111000110100111 6 -b11111110111001101111000110100111 @ -b11111110111001101111000110100111 F -b10010001000110001101001110011101 ) -#114496000 -0& -#114512000 -b11011111101 , -#114528000 -1& -#114544000 -b11000000100000100001110001110101 " -b11000000100000100001110001110101 4 -b1111111111111111111110111110101 1 -b1111111111111111111110111110101 C -b111111011111011001100111010101 0 -b111111011111011001100111010101 H -18 -b10000001000001001000001010011111 < -b11000000100000100001110001110101 2 -b11000000100000100001110001110101 = -b11000000100000100001110001110101 : -b111111011111011001100111010101 $ -b111111011111011001100111010101 - -b111111011111011001100111010101 5 -b111111011111011001100111010101 ? -b111111011111011001100111010101 D -b1111110111110110111110101100000 % -b1111110111110110111110101100000 . -b1111110111110110111110101100000 6 -b1111110111110110111110101100000 @ -b1111110111110110111110101100000 F -b11000000100000100001110001110101 ) -#114560000 -0& -#114576000 -b11011111110 , -#114592000 -1& -#114608000 -b11111001000011001001000100001101 " -b11111001000011001001000100001101 4 -b1011111011111101111000100111111 1 -b1011111011111101111000100111111 C -b1010111011101101 0 -b1010111011101101 H -b10100001100101011010111111010000 < -b11111001000011001001000100001101 2 -b11111001000011001001000100001101 = -b11111001000011001001000100001101 : -b1010111011101101110000100111100 $ -b1010111011101101110000100111100 - -b1010111011101101110000100111100 5 -b1010111011101101110000100111100 ? -b1010111011101101110000100111100 D -b1011110011010100101000000101111 % -b1011110011010100101000000101111 . -b1011110011010100101000000101111 6 -b1011110011010100101000000101111 @ -b1011110011010100101000000101111 F -b11111001000011001001000100001101 ) -#114624000 -0& -#114640000 -b11011111111 , -#114656000 -1& -#114672000 -b1111111000001001011111101011011 " -b1111111000001001011111101011011 4 -b11111011111111111111111111011101 1 -b11111011111111111111111111011101 C -b111101011100011111110111001110 0 -b111101011100011111110111001110 H -08 -b100001000001100001110111110 < -b1111111000001001011111101011011 2 -b1111111000001001011111101011011 = -b1111111000001001011111101011011 : -b1111010111000111111101110011100 $ -b1111010111000111111101110011100 - -b1111010111000111111101110011100 5 -b1111010111000111111101110011100 ? -b1111010111000111111101110011100 D -b11111011110111110011110001000001 % -b11111011110111110011110001000001 . -b11111011110111110011110001000001 6 -b11111011110111110011110001000001 @ -b11111011110111110011110001000001 F -b1111111000001001011111101011011 ) -#114688000 -0& -#114704000 -b11100000000 , -#114720000 -1& -#114736000 -b1001011110001110011111011011001 " -b1001011110001110011111011011001 4 -b10111111111111111110011011110111 1 -b10111111111111111110011011110111 C -b10111 0 -b10111 H -b1000000000010001001100111101000 < -b1001011110001110011111011011001 2 -b1001011110001110011111011011001 = -b1001011110001110011111011011001 : -b1011101111101010010011110000 $ -b1011101111101010010011110000 - -b1011101111101010010011110000 5 -b1011101111101010010011110000 ? -b1011101111101010010011110000 D -b10111111111101110110011000010111 % -b10111111111101110110011000010111 . -b10111111111101110110011000010111 6 -b10111111111101110110011000010111 @ -b10111111111101110110011000010111 F -b1001011110001110011111011011001 ) -#114752000 -0& -#114768000 -b11100000001 , -#114784000 -1& -#114800000 -b1100111100000000010001001011101 " -b1100111100000000010001001011101 4 -b11111111111111110010111111111111 1 -b11111111111111110010111111111111 C -b1 0 -b1 H -19 -18 -b10101000000000001111100010000000 < -b1100111100000000010001001011101 2 -b1100111100000000010001001011101 = -b1100111100000000010001001011101 : -b10111111011111110010100111011100 $ -b10111111011111110010100111011100 - -b10111111011111110010100111011100 5 -b10111111011111110010100111011100 ? -b10111111011111110010100111011100 D -b1010111111111110000011101111111 % -b1010111111111110000011101111111 . -b1010111111111110000011101111111 6 -b1010111111111110000011101111111 @ -b1010111111111110000011101111111 F -b1100111100000000010001001011101 ) -#114816000 -0& -#114832000 -b11100000010 , -#114848000 -1& -#114864000 -b10100001011100110111011001001110 " -b10100001011100110111011001001110 4 -b11111111111111111101110111111111 1 -b11111111111111111101110111111111 C -b101111 0 -b101111 H -08 -19 -b1000001100000000010101000100110 < -b10100001011100110111011001001110 2 -b10100001011100110111011001001110 = -b10100001011100110111011001001110 : -b1011111111100110100110000100111 $ -b1011111111100110100110000100111 - -b1011111111100110100110000100111 5 -b1011111111100110100110000100111 ? -b1011111111100110100110000100111 D -b10111110011111111101010111011001 % -b10111110011111111101010111011001 . -b10111110011111111101010111011001 6 -b10111110011111111101010111011001 @ -b10111110011111111101010111011001 F -b10100001011100110111011001001110 ) -#114880000 -0& -#114896000 -b11100000011 , -#114912000 -1& -#114928000 -b111001101111011010010101011101 " -b111001101111011010010101011101 4 -b11111110111111110101111011111111 1 -b11111110111111110101111011111111 C -b1011100001111101000000100011111 0 -b1011100001111101000000100011111 H -18 -19 -b10000001010000001010001100011110 < -b111001101111011010010101011101 2 -b111001101111011010010101011101 = -b111001101111011010010101011101 : -b10111000011111010000001000111110 $ -b10111000011111010000001000111110 - -b10111000011111010000001000111110 5 -b10111000011111010000001000111110 ? -b10111000011111010000001000111110 D -b1111110101111110101110011100001 % -b1111110101111110101110011100001 . -b1111110101111110101110011100001 6 -b1111110101111110101110011100001 @ -b1111110101111110101110011100001 F -b111001101111011010010101011101 ) -#114944000 -0& -#114960000 -b11100000100 , -#114976000 -1& -#114992000 -b10010000111111101001000011010011 " -b10010000111111101001000011010011 4 -b11110111111011111111010011111111 1 -b11110111111011111111010011111111 C -b1111011011101011111001001 0 -b1111011011101011111001001 H -09 -18 -b10011010000100101010101111011000 < -b10010000111111101001000011010011 2 -b10010000111111101001000011010011 = -b10010000111111101001000011010011 : -b11110110111010111110010011111010 $ -b11110110111010111110010011111010 - -b11110110111010111110010011111010 5 -b11110110111010111110010011111010 ? -b11110110111010111110010011111010 D -b1100101111011010101010000100111 % -b1100101111011010101010000100111 . -b1100101111011010101010000100111 6 -b1100101111011010101010000100111 @ -b1100101111011010101010000100111 F -b10010000111111101001000011010011 ) -#115008000 -0& -#115024000 -b11100000101 , -#115040000 -1& -#115056000 -b11111111000000100110011101100001 " -b11111111000000100110011101100001 4 -b11111111110111100110101110101101 1 -b11111111110111100110101110101101 C -b11111110110111100110 0 -b11111110110111100110 H -b1000111111110111010011 < -b11111111000000100110011101100001 2 -b11111111000000100110011101100001 = -b11111111000000100110011101100001 : -b11111110110111100110100110001101 $ -b11111110110111100110100110001101 - -b11111110110111100110100110001101 5 -b11111110110111100110100110001101 ? -b11111110110111100110100110001101 D -b11111111110111000000001000101100 % -b11111111110111000000001000101100 . -b11111111110111000000001000101100 6 -b11111111110111000000001000101100 @ -b11111111110111000000001000101100 F -b11111111000000100110011101100001 ) -#115072000 -0& -#115088000 -b11100000110 , -#115104000 -1& -#115120000 -b11010111011111110100100001110100 " -b11010111011111110100100001110100 4 -b11111110111101111101101110111111 1 -b11111110111101111101101110111111 C -b101111100 0 -b101111100 H -b11001000010000010110011001000 < -b11010111011111110100100001110100 2 -b11010111011111110100100001110100 = -b11010111011111110100100001110100 : -b10111110011101110001101110101011 $ -b10111110011101110001101110101011 - -b10111110011101110001101110101011 5 -b10111110011101110001101110101011 ? -b10111110011101110001101110101011 D -b11100110111101111101001100110111 % -b11100110111101111101001100110111 . -b11100110111101111101001100110111 6 -b11100110111101111101001100110111 @ -b11100110111101111101001100110111 F -b11010111011111110100100001110100 ) -#115136000 -0& -#115152000 -b11100000111 , -#115168000 -1& -#115184000 -b11111010001000110100000011111011 " -b11111010001000110100000011111011 4 -b11111111111111111110001101011111 1 -b11111111111111111110001101011111 C -b111101 0 -b111101 H -18 -b10001010000001111010100101 < -b11111010001000110100000011111011 2 -b11111010001000110100000011111011 = -b11111010001000110100000011111011 : -b11110111111110110010001001010101 $ -b11110111111110110010001001010101 - -b11110111111110110010001001010101 5 -b11110111111110110010001001010101 ? -b11110111111110110010001001010101 D -b11111101110101111110000101011010 % -b11111101110101111110000101011010 . -b11111101110101111110000101011010 6 -b11111101110101111110000101011010 @ -b11111101110101111110000101011010 F -b11111010001000110100000011111011 ) -#115200000 -0& -#115216000 -b11100001000 , -#115232000 -1& -#115248000 -b1010000101111110100000001011101 " -b1010000101111110100000001011101 4 -b11111111110111111111111111110011 1 -b11111111110111111111111111110011 C -b1111111001011 0 -b1111111001011 H -08 -b1010010011000000000000100001100 < -b1010000101111110100000001011101 2 -b1010000101111110100000001011101 = -b1010000101111110100000001011101 : -b11111110010111110011111101010000 $ -b11111110010111110011111101010000 - -b11111110010111110011111101010000 5 -b11111110010111110011111101010000 ? -b11111110010111110011111101010000 D -b10101101100111111111111011110011 % -b10101101100111111111111011110011 . -b10101101100111111111111011110011 6 -b10101101100111111111111011110011 @ -b10101101100111111111111011110011 F -b1010000101111110100000001011101 ) -#115264000 -0& -#115280000 -b11100001001 , -#115296000 -1& -#115312000 -b111011000001111001010101100 " -b111011000001111001010101100 4 -b1111000111011110111101111011111 1 -b1111000111011110111101111011111 C -b0 0 -b0 H -08 -b10001111001100011011011100100000 < -b111011000001111001010101100 2 -b111011000001111001010101100 = -b111011000001111001010101100 : -b1111000001011110011101110001011 $ -b1111000001011110011101110001011 - -b1111000001011110011101110001011 5 -b1111000001011110011101110001011 ? -b1111000001011110011101110001011 D -b1110000110011100100100011011111 % -b1110000110011100100100011011111 . -b1110000110011100100100011011111 6 -b1110000110011100100100011011111 @ -b1110000110011100100100011011111 F -b111011000001111001010101100 ) -#115328000 -0& -#115344000 -b11100001010 , -#115360000 -1& -#115376000 -b100100000000001010000100100010 " -b100100000000001010000100100010 4 -b1111111111111110111011111111010 1 -b1111111111111110111011111111010 C -b1110011 0 -b1110011 H -b10110000000001001000100101000111 < -b100100000000001010000100100010 2 -b100100000000001010000100100010 = -b100100000000001010000100100010 : -b1110011111111000001011111011010 $ -b1110011111111000001011111011010 - -b1110011111111000001011111011010 5 -b1110011111111000001011111011010 ? -b1110011111111000001011111011010 D -b1001111111110110111011010111000 % -b1001111111110110111011010111000 . -b1001111111110110111011010111000 6 -b1001111111110110111011010111000 @ -b1001111111110110111011010111000 F -b100100000000001010000100100010 ) -#115392000 -0& -#115408000 -b11100001011 , -#115424000 -1& -#115440000 -b11100100100000000101000100000001 " -b11100100100000000101000100000001 4 -b1101111111111111111011111101001 1 -b1101111111111111111011111101001 C -b10011111111111111110110 0 -b10011111111111111110110 H -18 -b10010100100000000101101000010111 < -b11100100100000000101000100000001 2 -b11100100100000000101000100000001 = -b11100100100000000101000100000001 : -b1001111111111111111011011101001 $ -b1001111111111111111011011101001 - -b1001111111111111111011011101001 5 -b1001111111111111111011011101001 ? -b1001111111111111111011011101001 D -b1101011011111111010010111101000 % -b1101011011111111010010111101000 . -b1101011011111111010010111101000 6 -b1101011011111111010010111101000 @ -b1101011011111111010010111101000 F -b11100100100000000101000100000001 ) -#115456000 -0& -#115472000 -b11100001100 , -#115488000 -1& -#115504000 -b10011011001000110101011100101110 " -b10011011001000110101011100101110 4 -b11111111111111011111100111101110 1 -b11111111111111011111100111101110 C -b1011010111111010010100010001110 0 -b1011010111111010010100010001110 H -08 -19 -b1000000001001100010111010011111 < -b10011011001000110101011100101110 2 -b10011011001000110101011100101110 = -b10011011001000110101011100101110 : -b1011010111111010010100010001110 $ -b1011010111111010010100010001110 - -b1011010111111010010100010001110 5 -b1011010111111010010100010001110 ? -b1011010111111010010100010001110 D -b10111111110110011101000101100000 % -b10111111110110011101000101100000 . -b10111111110110011101000101100000 6 -b10111111110110011101000101100000 @ -b10111111110110011101000101100000 F -b10011011001000110101011100101110 ) -#115520000 -0& -#115536000 -b11100001101 , -#115552000 -1& -#115568000 -b110011111000010111011110100001 " -b110011111000010111011110100001 4 -b11111111011110110111110110111111 1 -b11111111011110110111110110111111 C -b110 0 -b110 H -09 -08 -b101001011111101001100100 < -b110011111000010111011110100001 2 -b110011111000010111011110100001 = -b110011111000010111011110100001 : -b110011001110110111110100111100 $ -b110011001110110111110100111100 - -b110011001110110111110100111100 5 -b110011001110110111110100111100 ? -b110011001110110111110100111100 D -b11111111010110100000010110011011 % -b11111111010110100000010110011011 . -b11111111010110100000010110011011 6 -b11111111010110100000010110011011 @ -b11111111010110100000010110011011 F -b110011111000010111011110100001 ) -#115584000 -0& -#115600000 -b11100001110 , -#115616000 -1& -#115632000 -b10011001011101101000011011011010 " -b10011001011101101000011011011010 4 -b11111111111111111000111111111110 1 -b11111111111111111000111111111110 C -b111 0 -b111 H -19 -08 -b100000000000010111100001100011 < -b10011001011101101000011011011010 2 -b10011001011101101000011011011010 = -b10011001011101101000011011011010 : -b1111001011101010000111001110110 $ -b1111001011101010000111001110110 - -b1111001011101010000111001110110 5 -b1111001011101010000111001110110 ? -b1111001011101010000111001110110 D -b11011111111111101000011110011100 % -b11011111111111101000011110011100 . -b11011111111111101000011110011100 6 -b11011111111111101000011110011100 @ -b11011111111111101000011110011100 F -b10011001011101101000011011011010 ) -#115648000 -0& -#115664000 -b11100001111 , -#115680000 -1& -#115696000 -b10110110001000110000110010001101 " -b10110110001000110000110010001101 4 -b1111111111101010111110111111111 1 -b1111111111101010111110111111111 C -b0 0 -b0 H -09 -18 -b10000000001011101100001100000001 < -b10110110001000110000110010001101 2 -b10110110001000110000110010001101 = -b10110110001000110000110010001101 : -b110101111101000100100110001011 $ -b110101111101000100100110001011 - -b110101111101000100100110001011 5 -b110101111101000100100110001011 ? -b110101111101000100100110001011 D -b1111111110100010011110011111110 % -b1111111110100010011110011111110 . -b1111111110100010011110011111110 6 -b1111111110100010011110011111110 @ -b1111111110100010011110011111110 F -b10110110001000110000110010001101 ) -#115712000 -0& -#115728000 -b11100010000 , -#115744000 -1& -#115760000 -b1001001111110000011001110010101 " -b1001001111110000011001110010101 4 -b11111111111111111100111001110111 1 -b11111111111111111100111001110111 C -b110001111111111 0 -b110001111111111 H -19 -18 -b10000001111110000111000110001110 < -b1001001111110000011001110010101 2 -b1001001111110000011001110010101 = -b1001001111110000011001110010101 : -b11000111111111111100001000000110 $ -b11000111111111111100001000000110 - -b11000111111111111100001000000110 5 -b11000111111111111100001000000110 ? -b11000111111111111100001000000110 D -b1111110000001111000111001110001 % -b1111110000001111000111001110001 . -b1111110000001111000111001110001 6 -b1111110000001111000111001110001 @ -b1111110000001111000111001110001 F -b1001001111110000011001110010101 ) -#115776000 -0& -#115792000 -b11100010001 , -#115808000 -1& -#115824000 -b10000011001100010001001101000010 " -b10000011001100010001001101000010 4 -b11111111011101111111101101111011 1 -b11111111011101111111101101111011 C -b1111110 0 -b1111110 H -09 -18 -b10000101101110010100011111000110 < -b10000011001100010001001101000010 2 -b10000011001100010001001101000010 = -b10000011001100010001001101000010 : -b11111101011101111100101101111011 $ -b11111101011101111100101101111011 - -b11111101011101111100101101111011 5 -b11111101011101111100101101111011 ? -b11111101011101111100101101111011 D -b1111010010001101011100000111001 % -b1111010010001101011100000111001 . -b1111010010001101011100000111001 6 -b1111010010001101011100000111001 @ -b1111010010001101011100000111001 F -b10000011001100010001001101000010 ) -#115840000 -0& -#115856000 -b11100010010 , -#115872000 -1& -#115888000 -b100101101100101011101110 " -b100101101100101011101110 4 -b11111111111110110100111111111110 1 -b11111111111110110100111111111110 C -b111111111111000100 0 -b111111111111000100 H -08 -b101001011011110100010001 < -b100101101100101011101110 2 -b100101101100101011101110 = -b100101101100101011101110 : -b11111111111100010000110111011100 $ -b11111111111100010000110111011100 - -b11111111111100010000110111011100 5 -b11111111111100010000110111011100 ? -b11111111111100010000110111011100 D -b11111111010110100100001011101110 % -b11111111010110100100001011101110 . -b11111111010110100100001011101110 6 -b11111111010110100100001011101110 @ -b11111111010110100100001011101110 F -b100101101100101011101110 ) -#115904000 -0& -#115920000 -b11100010011 , -#115936000 -1& -#115952000 -b1100110001001000010011110100101 " -b1100110001001000010011110100101 4 -b11111111111111111111111111101111 1 -b11111111111111111111111111101111 C -b1100101111110111001111111 0 -b1100101111110111001111111 H -b1010001000011111011001 < -b1100110001001000010011110100101 2 -b1100110001001000010011110100101 = -b1100110001001000010011110100101 : -b1100101111110111001111111001011 $ -b1100101111110111001111111001011 - -b1100101111110111001111111001011 5 -b1100101111110111001111111001011 ? -b1100101111110111001111111001011 D -b11111111110101110111100000100110 % -b11111111110101110111100000100110 . -b11111111110101110111100000100110 6 -b11111111110101110111100000100110 @ -b11111111110101110111100000100110 F -b1100110001001000010011110100101 ) -#115968000 -0& -#115984000 -b11100010100 , -#116000000 -1& -#116016000 -b1101011101100100001011000010011 " -b1101011101100100001011000010011 4 -b1111111011111110011111010011101 1 -b1111111011111110011111010011101 C -b11110110011000100111010100 0 -b11110110011000100111010100 H -b11110000100000001101101101111010 < -b1101011101100100001011000010011 2 -b1101011101100100001011000010011 = -b1101011101100100001011000010011 : -b1111011001100010011101010011000 $ -b1111011001100010011101010011000 - -b1111011001100010011101010011000 5 -b1111011001100010011101010011000 ? -b1111011001100010011101010011000 D -b1111011111110010010010000101 % -b1111011111110010010010000101 . -b1111011111110010010010000101 6 -b1111011111110010010010000101 @ -b1111011111110010010010000101 F -b1101011101100100001011000010011 ) -#116032000 -0& -#116048000 -b11100010101 , -#116064000 -1& -#116080000 -b100001110111100011111011101010 " -b100001110111100011111011101010 4 -b11111111111111111111111101101111 1 -b11111111111111111111111101101111 C -b111110011011111111111101010 0 -b111110011011111111111101010 H -08 -b101000000111100100000110011010 < -b100001110111100011111011101010 2 -b100001110111100011111011101010 = -b100001110111100011111011101010 : -b11111001101111111111110101001111 $ -b11111001101111111111110101001111 - -b11111001101111111111110101001111 5 -b11111001101111111111110101001111 ? -b11111001101111111111110101001111 D -b11010111111000011011111001100101 % -b11010111111000011011111001100101 . -b11010111111000011011111001100101 6 -b11010111111000011011111001100101 @ -b11010111111000011011111001100101 F -b100001110111100011111011101010 ) -#116096000 -0& -#116112000 -b11100010110 , -#116128000 -1& -#116144000 -b10011111101111110001101111011 " -b10011111101111110001101111011 4 -b1011100011111110110011010001111 1 -b1011100011111110110011010001111 C -b10111000110111100 0 -b10111000110111100 H -08 -b10110111100010001011110101110001 < -b10011111101111110001101111011 2 -b10011111101111110001101111011 = -b10011111101111110001101111011 : -b1011100011011110010011000001001 $ -b1011100011011110010011000001001 - -b1011100011011110010011000001001 5 -b1011100011011110010011000001001 ? -b1011100011011110010011000001001 D -b1001000011101110100001010001110 % -b1001000011101110100001010001110 . -b1001000011101110100001010001110 6 -b1001000011101110100001010001110 @ -b1001000011101110100001010001110 F -b10011111101111110001101111011 ) -#116160000 -0& -#116176000 -b11100010111 , -#116192000 -1& -#116208000 -b10010111111111001111011111011110 " -b10010111111111001111011111011110 4 -b11111111111110111010111111110010 1 -b11111111111110111010111111110010 C -b1111111111110 0 -b1111111111110 H -19 -08 -b11000000001000101001111101101 < -b10010111111111001111011111011110 2 -b10010111111111001111011111011110 = -b10010111111111001111011111011110 : -b1111111111110001010001111110000 $ -b1111111111110001010001111110000 - -b1111111111110001010001111110000 5 -b1111111111110001010001111110000 ? -b1111111111110001010001111110000 D -b11100111111110111010110000010010 % -b11100111111110111010110000010010 . -b11100111111110111010110000010010 6 -b11100111111110111010110000010010 @ -b11100111111110111010110000010010 F -b10010111111111001111011111011110 ) -#116224000 -0& -#116240000 -b11100011000 , -#116256000 -1& -#116272000 -b100000100010110001001101100110 " -b100000100010110001001101100110 4 -b11101111111111111111011101111111 1 -b11101111111111111111011101111111 C -b1110111101111111000 0 -b1110111101111111000 H -09 -08 -b110001000011000000110111110010 < -b100000100010110001001101100110 2 -b100000100010110001001101100110 = -b100000100010110001001101100110 : -b11101111011111110000010101110011 $ -b11101111011111110000010101110011 - -b11101111011111110000010101110011 5 -b11101111011111110000010101110011 ? -b11101111011111110000010101110011 D -b11001110111100111111001000001101 % -b11001110111100111111001000001101 . -b11001110111100111111001000001101 6 -b11001110111100111111001000001101 @ -b11001110111100111111001000001101 F -b100000100010110001001101100110 ) -#116288000 -0& -#116304000 -b11100011001 , -#116320000 -1& -#116336000 -b101000000010000000101000010000 " -b101000000010000000101000010000 4 -b1111111101111110001111100011100 1 -b1111111101111110001111100011100 C -b1011111101111110001 0 -b1011111101111110001 H -08 -b11001000010010001111001011110011 < -b101000000010000000101000010000 2 -b101000000010000000101000010000 = -b101000000010000000101000010000 : -b1011111101111110001011100011100 $ -b1011111101111110001011100011100 - -b1011111101111110001011100011100 5 -b1011111101111110001011100011100 ? -b1011111101111110001011100011100 D -b110111101101110000110100001100 % -b110111101101110000110100001100 . -b110111101101110000110100001100 6 -b110111101101110000110100001100 @ -b110111101101110000110100001100 F -b101000000010000000101000010000 ) -#116352000 -0& -#116368000 -b11100011010 , -#116384000 -1& -#116400000 -b11001100010010000010111100111100 " -b11001100010010000010111100111100 4 -b11110111111111111111011111101100 1 -b11110111111111111111011111101100 C -b10110011101101110001 0 -b10110011101101110001 H -18 -b11000100100010001100001010011 < -b11001100010010000010111100111100 2 -b11001100010010000010111100111100 = -b11001100010010000010111100111100 : -b10110011101101110001011011101000 $ -b10110011101101110001011011101000 - -b10110011101101110001011011101000 5 -b10110011101101110001011011101000 ? -b10110011101101110001011011101000 D -b11100111011011101110011110101100 % -b11100111011011101110011110101100 . -b11100111011011101110011110101100 6 -b11100111011011101110011110101100 @ -b11100111011011101110011110101100 F -b11001100010010000010111100111100 ) -#116416000 -0& -#116432000 -b11100011011 , -#116448000 -1& -#116464000 -b11101101111010110001010011100110 " -b11101101111010110001010011100110 4 -b11111111110111110010111110111111 1 -b11111111110111110010111110111111 C -b11011111110010100 0 -b11011111110010100 H -b1110001000001111000001010000 < -b11101101111010110001010011100110 2 -b11101101111010110001010011100110 = -b11101101111010110001010011100110 : -b11011111110010100010010010010101 $ -b11011111110010100010010010010101 - -b11011111110010100010010010010101 5 -b11011111110010100010010010010101 ? -b11011111110010100010010010010101 D -b11110001110111110000111110101111 % -b11110001110111110000111110101111 . -b11110001110111110000111110101111 6 -b11110001110111110000111110101111 @ -b11110001110111110000111110101111 F -b11101101111010110001010011100110 ) -#116480000 -0& -#116496000 -b11100011100 , -#116512000 -1& -#116528000 -b10111110110111110100011000111001 " -b10111110110111110100011000111001 4 -b11111111111111110101100111011011 1 -b11111111111111110101100111011011 C -b11111011011111 0 -b11111011011111 H -18 -b11000011011000001111011000101101 < -b10111110110111110100011000111001 2 -b10111110110111110100011000111001 = -b10111110110111110100011000111001 : -b11111011011111100101000000001011 $ -b11111011011111100101000000001011 - -b11111011011111100101000000001011 5 -b11111011011111100101000000001011 ? -b11111011011111100101000000001011 D -b111100100111110000100111010010 % -b111100100111110000100111010010 . -b111100100111110000100111010010 6 -b111100100111110000100111010010 @ -b111100100111110000100111010010 F -b10111110110111110100011000111001 ) -#116544000 -0& -#116560000 -b11100011101 , -#116576000 -1& -#116592000 -b1010110001011101001010110110100 " -b1010110001011101001010110110100 4 -b11111110111111111011010111111101 1 -b11111110111111111011010111111101 C -b111010101110111000110101111 0 -b111010101110111000110101111 H -08 -b1101011010000000101111110111010 < -b1010110001011101001010110110100 2 -b1010110001011101001010110110100 = -b1010110001011101001010110110100 : -b11101010111011100011010111111001 $ -b11101010111011100011010111111001 - -b11101010111011100011010111111001 5 -b11101010111011100011010111111001 ? -b11101010111011100011010111111001 D -b10010100101111111010000001000101 % -b10010100101111111010000001000101 . -b10010100101111111010000001000101 6 -b10010100101111111010000001000101 @ -b10010100101111111010000001000101 F -b1010110001011101001010110110100 ) -#116608000 -0& -#116624000 -b11100011110 , -#116640000 -1& -#116656000 -b101000000001000000001000010010 " -b101000000001000000001000010010 4 -b1111111111111110010101001111110 1 -b1111111111111110010101001111110 C -b11111 0 -b11111 H -08 -b10101000000001001101011110100101 < -b101000000001000000001000010010 2 -b101000000001000000001000010010 = -b101000000001000000001000010010 : -b1111111111111110010101001101100 $ -b1111111111111110010101001101100 - -b1111111111111110010101001101100 5 -b1111111111111110010101001101100 ? -b1111111111111110010101001101100 D -b1010111111110110010100001011010 % -b1010111111110110010100001011010 . -b1010111111110110010100001011010 6 -b1010111111110110010100001011010 @ -b1010111111110110010100001011010 F -b101000000001000000001000010010 ) -#116672000 -0& -#116688000 -b11100011111 , -#116704000 -1& -#116720000 -b1111010111000100000110111110 " -b1111010111000100000110111110 4 -b1011111111111111111011001111110 1 -b1011111111111111111011001111110 C -b101111101011011 0 -b101111101011011 H -b10110000000000000100101110001111 < -b1111010111000100000110111110 2 -b1111010111000100000110111110 = -b1111010111000100000110111110 : -b1011111010110111111011000101110 $ -b1011111010110111111011000101110 - -b1011111010110111111011000101110 5 -b1011111010110111111011000101110 ? -b1011111010110111111011000101110 D -b1001111111111111011010001110000 % -b1001111111111111011010001110000 . -b1001111111111111011010001110000 6 -b1001111111111111011010001110000 @ -b1001111111111111011010001110000 F -b1111010111000100000110111110 ) -#116736000 -0& -#116752000 -b11100100000 , -#116768000 -1& -#116784000 -b11010100000000000010001111011100 " -b11010100000000000010001111011100 4 -b1111111111111101110011010100100 1 -b1111111111111101110011010100100 C -b10101111111110100001101000 0 -b10101111111110100001101000 H -18 -b10101000000000011001110101011011 < -b11010100000000000010001111011100 2 -b11010100000000000010001111011100 = -b11010100000000000010001111011100 : -b101011111111101000011010000000 $ -b101011111111101000011010000000 - -b101011111111101000011010000000 5 -b101011111111101000011010000000 ? -b101011111111101000011010000000 D -b1010111111111100110001010100100 % -b1010111111111100110001010100100 . -b1010111111111100110001010100100 6 -b1010111111111100110001010100100 @ -b1010111111111100110001010100100 F -b11010100000000000010001111011100 ) -#116800000 -0& -#116816000 -b11100100001 , -#116832000 -1& -#116848000 -b111000100000111011000110101101 " -b111000100000111011000110101101 4 -b11111111111111111111101110110011 1 -b11111111111111111111101110110011 C -b101111111110110101101010110 0 -b101111111110110101101010110 H -08 -b1000100010000101011011111100 < -b111000100000111011000110101101 2 -b111000100000111011000110101101 = -b111000100000111011000110101101 : -b101111111110110101101010110000 $ -b101111111110110101101010110000 - -b101111111110110101101010110000 5 -b101111111110110101101010110000 ? -b101111111110110101101010110000 D -b11110111011101111010100100000011 % -b11110111011101111010100100000011 . -b11110111011101111010100100000011 6 -b11110111011101111010100100000011 @ -b11110111011101111010100100000011 F -b111000100000111011000110101101 ) -#116864000 -0& -#116880000 -b11100100010 , -#116896000 -1& -#116912000 -b11111110000010110001101110000001 " -b11111110000010110001101110000001 4 -b1111111111111111110010010011111 1 -b1111111111111111110010010011111 C -b1111011111110101 0 -b1111011111110101 H -09 -18 -b10000010000100000011101101110000 < -b11111110000010110001101110000001 2 -b11111110000010110001101110000001 = -b11111110000010110001101110000001 : -b1111011111110101110000000010000 $ -b1111011111110101110000000010000 - -b1111011111110101110000000010000 5 -b1111011111110101110000000010000 ? -b1111011111110101110000000010000 D -b1111101111011111100010010001111 % -b1111101111011111100010010001111 . -b1111101111011111100010010001111 6 -b1111101111011111100010010001111 @ -b1111101111011111100010010001111 F -b11111110000010110001101110000001 ) -#116928000 -0& -#116944000 -b11100100011 , -#116960000 -1& -#116976000 -b1110110001010101111110110110010 " -b1110110001010101111110110110010 4 -b11111011111111110101001101111111 1 -b11111011111111110101001101111111 C -b1111000111011110010100010 0 -b1111000111011110010100010 H -19 -18 -b10000100010011001010110010011000 < -b1110110001010101111110110110010 2 -b1110110001010101111110110110010 = -b1110110001010101111110110110010 : -b11110001110111100101000100011001 $ -b11110001110111100101000100011001 - -b11110001110111100101000100011001 5 -b11110001110111100101000100011001 ? -b11110001110111100101000100011001 D -b1111011101100110101001101100111 % -b1111011101100110101001101100111 . -b1111011101100110101001101100111 6 -b1111011101100110101001101100111 @ -b1111011101100110101001101100111 F -b1110110001010101111110110110010 ) -#116992000 -0& -#117008000 -b11100100100 , -#117024000 -1& -#117040000 -b11000001111101011111101011010101 " -b11000001111101011111101011010101 4 -b11101101111111111001111111111101 1 -b11101101111111111001111111111101 C -b1110110111111101100110101011 0 -b1110110111111101100110101011 H -09 -18 -b11010011111110000110000000011011 < -b11000001111101011111101011010101 2 -b11000001111101011111101011010101 = -b11000001111101011111101011010101 : -b11101101111111011001101010111001 $ -b11101101111111011001101010111001 - -b11101101111111011001101010111001 5 -b11101101111111011001101010111001 ? -b11101101111111011001101010111001 D -b101100000001111001111111100100 % -b101100000001111001111111100100 . -b101100000001111001111111100100 6 -b101100000001111001111111100100 @ -b101100000001111001111111100100 F -b11000001111101011111101011010101 ) -#117056000 -0& -#117072000 -b11100100101 , -#117088000 -1& -#117104000 -b1100100011111110010001001000101 " -b1100100011111110010001001000101 4 -b1111111111011111110111011011111 1 -b1111111111011111110111011011111 C -b111101001 0 -b111101001 H -08 -b11101010000100000001100110101001 < -b1100100011111110010001001000101 2 -b1100100011111110010001001000101 = -b1100100011111110010001001000101 : -b1111010011011110000100010011011 $ -b1111010011011110000100010011011 - -b1111010011011110000100010011011 5 -b1111010011011110000100010011011 ? -b1111010011011110000100010011011 D -b10101111011111110011001010110 % -b10101111011111110011001010110 . -b10101111011111110011001010110 6 -b10101111011111110011001010110 @ -b10101111011111110011001010110 F -b1100100011111110010001001000101 ) -#117120000 -0& -#117136000 -b11100100110 , -#117152000 -1& -#117168000 -b1011000000100101001101000 " -b1011000000100101001101000 4 -b1111111011011111111110111111100 1 -b1111111011011111111110111111100 C -b111 0 -b111 H -b10000010111100001000001000000011 < -b1011000000100101001101000 2 -b1011000000100101001101000 = -b1011000000100101001101000 : -b1111110011011111100100001100100 $ -b1111110011011111100100001100100 - -b1111110011011111100100001100100 5 -b1111110011011111100100001100100 ? -b1111110011011111100100001100100 D -b1111101000011110111110111111100 % -b1111101000011110111110111111100 . -b1111101000011110111110111111100 6 -b1111101000011110111110111111100 @ -b1111101000011110111110111111100 F -b1011000000100101001101000 ) -#117184000 -0& -#117200000 -b11100100111 , -#117216000 -1& -#117232000 -b1111000000011110111101001010011 " -b1111000000011110111101001010011 4 -b11111111011111111001111111111111 1 -b11111111011111111001111111111111 C -b111011101 0 -b111011101 H -08 -b101000001110110010001001 < -b1111000000011110111101001010011 2 -b1111000000011110111101001010011 = -b1111000000011110111101001010011 : -b1110111011011101000110111001001 $ -b1110111011011101000110111001001 - -b1110111011011101000110111001001 5 -b1110111011011101000110111001001 ? -b1110111011011101000110111001001 D -b11111111010111110001001101110110 % -b11111111010111110001001101110110 . -b11111111010111110001001101110110 6 -b11111111010111110001001101110110 @ -b11111111010111110001001101110110 F -b1111000000011110111101001010011 ) -#117248000 -0& -#117264000 -b11100101000 , -#117280000 -1& -#117296000 -b11010110111110011111000110110110 " -b11010110111110011111000110110110 4 -b11111011111111111011111110111110 1 -b11111011111111111011111110111110 C -b110100101110111110101101 0 -b110100101110111110101101 H -18 -b100000010100100010001110111 < -b11010110111110011111000110110110 2 -b11010110111110011111000110110110 = -b11010110111110011111000110110110 : -b11010010111011111010110100111110 $ -b11010010111011111010110100111110 - -b11010010111011111010110100111110 5 -b11010010111011111010110100111110 ? -b11010010111011111010110100111110 D -b11111011111101011011101110001000 % -b11111011111101011011101110001000 . -b11111011111101011011101110001000 6 -b11111011111101011011101110001000 @ -b11111011111101011011101110001000 F -b11010110111110011111000110110110 ) -#117312000 -0& -#117328000 -b11100101001 , -#117344000 -1& -#117360000 -b11111111111001011011101111100100 " -b11111111111001011011101111100100 4 -b111110111111110111111111110101 1 -b111110111111110111111111110101 C -b1111100111111 0 -b1111100111111 H -18 -b11000001011001101000000000101110 < -b11111111111001011011101111100100 2 -b11111111111001011011101111100100 = -b11111111111001011011101111100100 : -b111110011111110011101110110101 $ -b111110011111110011101110110101 - -b111110011111110011101110110101 5 -b111110011111110011101110110101 ? -b111110011111110011101110110101 D -b111110100110010111111111010001 % -b111110100110010111111111010001 . -b111110100110010111111111010001 6 -b111110100110010111111111010001 @ -b111110100110010111111111010001 F -b11111111111001011011101111100100 ) -#117376000 -0& -#117392000 -b11100101010 , -#117408000 -1& -#117424000 -b11001111001100001111100001011110 " -b11001111001100001111100001011110 4 -b11110011111111111101111010110011 1 -b11110011111111111101111010110011 C -b1011001011011 0 -b1011001011011 H -18 -b11100010100010010000111001100 < -b11001111001100001111100001011110 2 -b11001111001100001111100001011110 = -b11001111001100001111100001011110 : -b10110010110111111101011010010001 $ -b10110010110111111101011010010001 - -b10110010110111111101011010010001 5 -b10110010110111111101011010010001 ? -b10110010110111111101011010010001 D -b11100011101011101101111000110011 % -b11100011101011101101111000110011 . -b11100011101011101101111000110011 6 -b11100011101011101101111000110011 @ -b11100011101011101101111000110011 F -b11001111001100001111100001011110 ) -#117440000 -0& -#117456000 -b11100101011 , -#117472000 -1& -#117488000 -b1100000010111011100000011101110 " -b1100000010111011100000011101110 4 -b11111111111111110100101111111111 1 -b11111111111111110100101111111111 C -b111111111110110 0 -b111111111110110 H -08 -b100000011000101011010100110000 < -b1100000010111011100000011101110 2 -b1100000010111011100000011101110 = -b1100000010111011100000011101110 : -b111111111110110000101110111101 $ -b111111111110110000101110111101 - -b111111111110110000101110111101 5 -b111111111110110000101110111101 ? -b111111111110110000101110111101 D -b11011111100111010100101011001111 % -b11011111100111010100101011001111 . -b11011111100111010100101011001111 6 -b11011111100111010100101011001111 @ -b11011111100111010100101011001111 F -b1100000010111011100000011101110 ) -#117504000 -0& -#117520000 -b11100101100 , -#117536000 -1& -#117552000 -b1110100100011010110100110111101 " -b1110100100011010110100110111101 4 -b11111111111111011010111111011101 1 -b11111111111111011010111111011101 C -b1101011 0 -b1101011 H -09 -08 -b1000100011111101110011100111 < -b1110100100011010110100110111101 2 -b1110100100011010110100110111101 = -b1110100100011010110100110111101 : -b1101011111111011000110011010101 $ -b1101011111111011000110011010101 - -b1101011111111011000110011010101 5 -b1101011111111011000110011010101 ? -b1101011111111011000110011010101 D -b11110111011100000010001100011000 % -b11110111011100000010001100011000 . -b11110111011100000010001100011000 6 -b11110111011100000010001100011000 @ -b11110111011100000010001100011000 F -b1110100100011010110100110111101 ) -#117568000 -0& -#117584000 -b11100101101 , -#117600000 -1& -#117616000 -b10000011111100001000100110110110 " -b10000011111100001000100110110110 4 -b11111111111111111011111001011110 1 -b11111111111111111011111001011110 C -b111 0 -b111 H -19 -08 -b100000000001101001110100011 < -b10000011111100001000100110110110 2 -b10000011111100001000100110110110 = -b10000011111100001000100110110110 : -b1111111111011111011011000010010 $ -b1111111111011111011011000010010 - -b1111111111011111011011000010010 5 -b1111111111011111011011000010010 ? -b1111111111011111011011000010010 D -b11111011111111110010110001011100 % -b11111011111111110010110001011100 . -b11111011111111110010110001011100 6 -b11111011111111110010110001011100 @ -b11111011111111110010110001011100 F -b10000011111100001000100110110110 ) -#117632000 -0& -#117648000 -b11100101110 , -#117664000 -1& -#117680000 -b10111111000101011011011010110011 " -b10111111000101011011011010110011 4 -b11011111111111111111101111110111 1 -b11011111111111111111101111110111 C -b10011110111111111110101001010 0 -b10011110111111111110101001010 H -18 -09 -b100000000101011100110001011100 < -b10111111000101011011011010110011 2 -b10111111000101011011011010110011 = -b10111111000101011011011010110011 : -b10011110111111111110101001010110 $ -b10011110111111111110101001010110 - -b10011110111111111110101001010110 5 -b10011110111111111110101001010110 ? -b10011110111111111110101001010110 D -b11011111111010100011001110100011 % -b11011111111010100011001110100011 . -b11011111111010100011001110100011 6 -b11011111111010100011001110100011 @ -b11011111111010100011001110100011 F -b10111111000101011011011010110011 ) -#117696000 -0& -#117712000 -b11100101111 , -#117728000 -1& -#117744000 -b10010000100100011000101100110 " -b10010000100100011000101100110 4 -b1101111101111110011100111101111 1 -b1101111101111110011100111101111 C -b10000011011111100111001101 0 -b10000011011111100111001101 H -08 -b11010000010100101111011110111010 < -b10010000100100011000101100110 2 -b10010000100100011000101100110 = -b10010000100100011000101100110 : -b1000001101111110011100110101011 $ -b1000001101111110011100110101011 - -b1000001101111110011100110101011 5 -b1000001101111110011100110101011 ? -b1000001101111110011100110101011 D -b101111101011010000100001000101 % -b101111101011010000100001000101 . -b101111101011010000100001000101 6 -b101111101011010000100001000101 @ -b101111101011010000100001000101 F -b10010000100100011000101100110 ) -#117760000 -0& -#117776000 -b11100110000 , -#117792000 -1& -#117808000 -b10100010000001001000001101001 " -b10100010000001001000001101001 4 -b11111111111111111111001101111111 1 -b11111111111111111111001101111111 C -b11111111111111111 0 -b11111111111111111 H -08 -b10100010000001010110011110000 < -b10100010000001001000001101001 2 -b10100010000001001000001101001 = -b10100010000001001000001101001 : -b11111111111111111110001101111000 $ -b11111111111111111110001101111000 - -b11111111111111111110001101111000 5 -b11111111111111111110001101111000 ? -b11111111111111111110001101111000 D -b11101011101111110101001100001111 % -b11101011101111110101001100001111 . -b11101011101111110101001100001111 6 -b11101011101111110101001100001111 @ -b11101011101111110101001100001111 F -b10100010000001001000001101001 ) -#117824000 -0& -#117840000 -b11100110001 , -#117856000 -1& -#117872000 -b100100001110111001100001100111 " -b100100001110111001100001100111 4 -b11111111111111111101110010111111 1 -b11111111111111111101110010111111 C -b0 0 -b0 H -b1000010000000011101111000000 < -b100100001110111001100001100111 2 -b100100001110111001100001100111 = -b100100001110111001100001100111 : -b11011111110110101110010100110 $ -b11011111110110101110010100110 - -b11011111110110101110010100110 5 -b11011111110110101110010100110 ? -b11011111110110101110010100110 D -b11110111101111111100010000111111 % -b11110111101111111100010000111111 . -b11110111101111111100010000111111 6 -b11110111101111111100010000111111 @ -b11110111101111111100010000111111 F -b100100001110111001100001100111 ) -#117888000 -0& -#117904000 -b11100110010 , -#117920000 -1& -#117936000 -b111111001001101101011000100101 " -b111111001001101101011000100101 4 -b11111111111111111101111100101111 1 -b11111111111111111101111100101111 C -b11111100011111110111110 0 -b11111100011111110111110 H -b1101111011011111000 < -b111111001001101101011000100101 2 -b111111001001101101011000100101 = -b111111001001101101011000100101 : -b111111000111111101111100101100 $ -b111111000111111101111100101100 - -b111111000111111101111100101100 5 -b111111000111111101111100101100 ? -b111111000111111101111100101100 D -b11111111111110010000100100000111 % -b11111111111110010000100100000111 . -b11111111111110010000100100000111 6 -b11111111111110010000100100000111 @ -b11111111111110010000100100000111 F -b111111001001101101011000100101 ) -#117952000 -0& -#117968000 -b11100110011 , -#117984000 -1& -#118000000 -b11110011011111000100010010110010 " -b11110011011111000100010010110010 4 -b11111111101111011110110011111111 1 -b11111111101111011110110011111111 C -b1 0 -b1 H -18 -b100010001100101011111000000 < -b11110011011111000100010010110010 2 -b11110011011111000100010010110010 = -b11110011011111000100010010110010 : -b11101111001101011110110011110001 $ -b11101111001101011110110011110001 - -b11101111001101011110110011110001 5 -b11101111001101011110110011110001 ? -b11101111001101011110110011110001 D -b11111011101110011010100000111111 % -b11111011101110011010100000111111 . -b11111011101110011010100000111111 6 -b11111011101110011010100000111111 @ -b11111011101110011010100000111111 F -b11110011011111000100010010110010 ) -#118016000 -0& -#118032000 -b11100110100 , -#118048000 -1& -#118064000 -b11010110110000010001011001010 " -b11010110110000010001011001010 4 -b11111111111111110011111111110111 1 -b11111111111111110011111111110111 C -b111101111 0 -b111101111 H -08 -b100011000000001110010011101000 < -b11010110110000010001011001010 2 -b11010110110000010001011001010 = -b11010110110000010001011001010 : -b11110111110101110011110111100001 $ -b11110111110101110011110111100001 - -b11110111110101110011110111100001 5 -b11110111110101110011110111100001 ? -b11110111110101110011110111100001 D -b11011100111111110001101100010111 % -b11011100111111110001101100010111 . -b11011100111111110001101100010111 6 -b11011100111111110001101100010111 @ -b11011100111111110001101100010111 F -b11010110110000010001011001010 ) -#118080000 -0& -#118096000 -b11100110101 , -#118112000 -1& -#118128000 -b10010111010101010010000011000000 " -b10010111010101010010000011000000 4 -b11111111111111110111111101101000 1 -b11111111111111110111111101101000 C -b111011101101001101111111 0 -b111011101101001101111111 H -18 -b10101000100000011010000110010111 < -b10010111010101010010000011000000 2 -b10010111010101010010000011000000 = -b10010111010101010010000011000000 : -b11101110110100110111111100101000 $ -b11101110110100110111111100101000 - -b11101110110100110111111100101000 5 -b11101110110100110111111100101000 ? -b11101110110100110111111100101000 D -b1010111011111100101111001101000 % -b1010111011111100101111001101000 . -b1010111011111100101111001101000 6 -b1010111011111100101111001101000 @ -b1010111011111100101111001101000 F -b10010111010101010010000011000000 ) -#118144000 -0& -#118160000 -b11100110110 , -#118176000 -1& -#118192000 -b11100001010100000001110100101010 " -b11100001010100000001110100101010 4 -b1111111101111111110011011011111 1 -b1111111101111111110011011011111 C -b1 0 -b1 H -18 -09 -b10100010110000000011101100100010 < -b11100001010100000001110100101010 2 -b11100001010100000001110100101010 = -b11100001010100000001110100101010 : -b111110100011111110001000000111 $ -b111110100011111110001000000111 - -b111110100011111110001000000111 5 -b111110100011111110001000000111 ? -b111110100011111110001000000111 D -b1011101001111111100010011011101 % -b1011101001111111100010011011101 . -b1011101001111111100010011011101 6 -b1011101001111111100010011011101 @ -b1011101001111111100010011011101 F -b11100001010100000001110100101010 ) -#118208000 -0& -#118224000 -b11100110111 , -#118240000 -1& -#118256000 -b1111111010000001001001000101110 " -b1111111010000001001001000101110 4 -b10111111111111011011111011111111 1 -b10111111111111011011111011111111 C -b1011111011111101101 0 -b1011111011111101101 H -19 -18 -b11000000010000101110000100110010 < -b1111111010000001001001000101110 2 -b1111111010000001001001000101110 = -b1111111010000001001001000101110 : -b10111110111111011011000011111011 $ -b10111110111111011011000011111011 - -b10111110111111011011000011111011 5 -b10111110111111011011000011111011 ? -b10111110111111011011000011111011 D -b111111101111010001111011001101 % -b111111101111010001111011001101 . -b111111101111010001111011001101 6 -b111111101111010001111011001101 @ -b111111101111010001111011001101 F -b1111111010000001001001000101110 ) -#118272000 -0& -#118288000 -b11100111000 , -#118304000 -1& -#118320000 -b10000000010101100001110001100 " -b10000000010101100001110001100 4 -b111111111111111111110111011100 1 -b111111111111111111110111011100 C -b1111111111110111111000 0 -b1111111111110111111000 H -08 -09 -b11010000000011001100101010110111 < -b10000000010101100001110001100 2 -b10000000010101100001110001100 = -b10000000010101100001110001100 : -b111111111111011111100011010100 $ -b111111111111011111100011010100 - -b111111111111011111100011010100 5 -b111111111111011111100011010100 ? -b111111111111011111100011010100 D -b101111111100110011010101001000 % -b101111111100110011010101001000 . -b101111111100110011010101001000 6 -b101111111100110011010101001000 @ -b101111111100110011010101001000 F -b10000000010101100001110001100 ) -#118336000 -0& -#118352000 -b11100111001 , -#118368000 -1& -#118384000 -b111100011011100101001011111011 " -b111100011011100101001011111011 4 -b1110101111111111101011111110111 1 -b1110101111111111101011111110111 C -b11100011 0 -b11100011 H -b11001010011100000111110100001000 < -b111100011011100101001011111011 2 -b111100011011100101001011111011 = -b111100011011100101001011111011 : -b1110001111111011101010111110010 $ -b1110001111111011101010111110010 - -b1110001111111011101010111110010 5 -b1110001111111011101010111110010 ? -b1110001111111011101010111110010 D -b110101100011111000001011110111 % -b110101100011111000001011110111 . -b110101100011111000001011110111 6 -b110101100011111000001011110111 @ -b110101100011111000001011110111 F -b111100011011100101001011111011 ) -#118400000 -0& -#118416000 -b11100111010 , -#118432000 -1& -#118448000 -b10000101111101110010100101100010 " -b10000101111101110010100101100010 4 -b11111111111111110111111110111111 1 -b11111111111111110111111110111111 C -b1111101111101100 0 -b1111101111101100 H -19 -08 -b1000000000001011000011010000 < -b10000101111101110010100101100010 2 -b10000101111101110010100101100010 = -b10000101111101110010100101100010 : -b1111101111101100111100010010001 $ -b1111101111101100111100010010001 - -b1111101111101100111100010010001 5 -b1111101111101100111100010010001 ? -b1111101111101100111100010010001 D -b11110111111111110100111100101111 % -b11110111111111110100111100101111 . -b11110111111111110100111100101111 6 -b11110111111111110100111100101111 @ -b11110111111111110100111100101111 F -b10000101111101110010100101100010 ) -#118464000 -0& -#118480000 -b11100111011 , -#118496000 -1& -#118512000 -b10000000000000001011110000000110 " -b10000000000000001011110000000110 4 -b11011111111111010100011011011110 1 -b11011111111111010100011011011110 C -b11011111111111010000 0 -b11011111111111010000 H -18 -09 -b10100000000000111011100100110011 < -b10000000000000001011110000000110 2 -b10000000000000001011110000000110 = -b10000000000000001011110000000110 : -b11011111111111010000001011010010 $ -b11011111111111010000001011010010 - -b11011111111111010000001011010010 5 -b11011111111111010000001011010010 ? -b11011111111111010000001011010010 D -b1011111111111000100011011001100 % -b1011111111111000100011011001100 . -b1011111111111000100011011001100 6 -b1011111111111000100011011001100 @ -b1011111111111000100011011001100 F -b10000000000000001011110000000110 ) -#118528000 -0& -#118544000 -b11100111100 , -#118560000 -1& -#118576000 -b11110010100111100010000011100011 " -b11110010100111100010000011100011 4 -b111011111101111110111110110111 1 -b111011111101111110111110110111 C -b101011010100 0 -b101011010100 H -18 -09 -b11000111010010100011010001001101 < -b11110010100111100010000011100011 2 -b11110010100111100010000011100011 = -b11110010100111100010000011100011 : -b101011010100111110110010010101 $ -b101011010100111110110010010101 - -b101011010100111110110010010101 5 -b101011010100111110110010010101 ? -b101011010100111110110010010101 D -b111000101101011100101110110010 % -b111000101101011100101110110010 . -b111000101101011100101110110010 6 -b111000101101011100101110110010 @ -b111000101101011100101110110010 F -b11110010100111100010000011100011 ) -#118592000 -0& -#118608000 -b11100111101 , -#118624000 -1& -#118640000 -b101010000011110111010010101 " -b101010000011110111010010101 4 -b1011111111111110111011111111111 1 -b1011111111111110111011111111111 C -b1011111101111010110001111 0 -b1011111101111010110001111 H -08 -b10100101100001001000101010011001 < -b101010000011110111010010101 2 -b101010000011110111010010101 = -b101010000011110111010010101 : -b1011111101111010110001111111011 $ -b1011111101111010110001111111011 - -b1011111101111010110001111111011 5 -b1011111101111010110001111111011 ? -b1011111101111010110001111111011 D -b1011010011110110111010101100110 % -b1011010011110110111010101100110 . -b1011010011110110111010101100110 6 -b1011010011110110111010101100110 @ -b1011010011110110111010101100110 F -b101010000011110111010010101 ) -#118656000 -0& -#118672000 -b11100111110 , -#118688000 -1& -#118704000 -b1101101000100001101101110100011 " -b1101101000100001101101110100011 4 -b11111101101111111111011101100111 1 -b11111101101111111111011101100111 C -b1111110110111111111100110000 0 -b1111110110111111111100110000 H -08 -b1101111010100001110100010011011 < -b1101101000100001101101110100011 2 -b1101101000100001101101110100011 = -b1101101000100001101101110100011 : -b11111101101111111111001100000111 $ -b11111101101111111111001100000111 - -b11111101101111111111001100000111 5 -b11111101101111111111001100000111 ? -b11111101101111111111001100000111 D -b10010000101011110001011101100100 % -b10010000101011110001011101100100 . -b10010000101011110001011101100100 6 -b10010000101011110001011101100100 @ -b10010000101011110001011101100100 F -b1101101000100001101101110100011 ) -#118720000 -0& -#118736000 -b11100111111 , -#118752000 -1& -#118768000 -b11101010111011011010110111000111 " -b11101010111011011010110111000111 4 -b11111111110110111011101101111011 1 -b11111111110110111011101101111011 C -b101111 0 -b101111 H -18 -b101100001001000111010010100101 < -b11101010111011011010110111000111 2 -b11101010111011011010110111000111 = -b11101010111011011010110111000111 : -b10111110110010010011100100100001 $ -b10111110110010010011100100100001 - -b10111110110010010011100100100001 5 -b10111110110010010011100100100001 ? -b10111110110010010011100100100001 D -b11010011110110111000101101011010 % -b11010011110110111000101101011010 . -b11010011110110111000101101011010 6 -b11010011110110111000101101011010 @ -b11010011110110111000101101011010 F -b11101010111011011010110111000111 ) -#118784000 -0& -#118800000 -b11101000000 , -#118816000 -1& -#118832000 -b10110011100011000101001111110011 " -b10110011100011000101001111110011 4 -b11111111111101111111111111111011 1 -b11111111111101111111111111111011 C -b111011110110010000101111 0 -b111011110110010000101111 H -18 -b11000100001010000010010000010111 < -b10110011100011000101001111110011 2 -b10110011100011000101001111110011 = -b10110011100011000101001111110011 : -b11101111011001000010111111011011 $ -b11101111011001000010111111011011 - -b11101111011001000010111111011011 5 -b11101111011001000010111111011011 ? -b11101111011001000010111111011011 D -b111011110101111101101111101000 % -b111011110101111101101111101000 . -b111011110101111101101111101000 6 -b111011110101111101101111101000 @ -b111011110101111101101111101000 F -b10110011100011000101001111110011 ) -#118848000 -0& -#118864000 -b11101000001 , -#118880000 -1& -#118896000 -b11111101101110100011111101011010 " -b11111101101110100011111101011010 4 -b1110111111111111110001111101110 1 -b1110111111111111110001111101110 C -b11101011011011111 0 -b11101011011011111 H -18 -b10001000000000100101110000110001 < -b11111101101110100011111101011010 2 -b11111101101110100011111101011010 = -b11111101101110100011111101011010 : -b1110101101101111110001100101000 $ -b1110101101101111110001100101000 - -b1110101101101111110001100101000 5 -b1110101101101111110001100101000 ? -b1110101101101111110001100101000 D -b1110111111111011010001111001110 % -b1110111111111011010001111001110 . -b1110111111111011010001111001110 6 -b1110111111111011010001111001110 @ -b1110111111111011010001111001110 F -b11111101101110100011111101011010 ) -#118912000 -0& -#118928000 -b11101000010 , -#118944000 -1& -#118960000 -b11111010110001010111101001001111 " -b11111010110001010111101001001111 4 -b1111111111111111100111111110101 1 -b1111111111111111100111111110101 C -b11110101011110011001001101 0 -b11110101011110011001001101 H -18 -b10000000000010001011000010011010 < -b11111010110001010111101001001111 2 -b11111010110001010111101001001111 = -b11111010110001010111101001001111 : -b1111010101111001100100110110100 $ -b1111010101111001100100110110100 - -b1111010101111001100100110110100 5 -b1111010101111001100100110110100 ? -b1111010101111001100100110110100 D -b1111111111101110100111101100101 % -b1111111111101110100111101100101 . -b1111111111101110100111101100101 6 -b1111111111101110100111101100101 @ -b1111111111101110100111101100101 F -b11111010110001010111101001001111 ) -#118976000 -0& -#118992000 -b11101000011 , -#119008000 -1& -#119024000 -b10100101011100100100001100001011 " -b10100101011100100100001100001011 4 -b11111111111111111100111111111101 1 -b11111111111111111100111111111101 C -b1001010 0 -b1001010 H -18 -b10000011100100111010000100110 < -b10100101011100100100001100001011 2 -b10100101011100100100001100001011 = -b10100101011100100100001100001011 : -b10010100111111111100111011100100 $ -b10010100111111111100111011100100 - -b10010100111111111100111011100100 5 -b10010100111111111100111011100100 ? -b10010100111111111100111011100100 D -b11101111100011011000101111011001 % -b11101111100011011000101111011001 . -b11101111100011011000101111011001 6 -b11101111100011011000101111011001 @ -b11101111100011011000101111011001 F -b10100101011100100100001100001011 ) -#119040000 -0& -#119056000 -b11101000100 , -#119072000 -1& -#119088000 -b11010000100010100101111110011100 " -b11010000100010100101111110011100 4 -b11111111111111011111111111100100 1 -b11111111111111011111111111100100 C -b1110110010000100001111101110 0 -b1110110010000100001111101110 H -b11100100000001100010000010111011 < -b11010000100010100101111110011100 2 -b11010000100010100101111110011100 = -b11010000100010100101111110011100 : -b11101100100001000011111011100000 $ -b11101100100001000011111011100000 - -b11101100100001000011111011100000 5 -b11101100100001000011111011100000 ? -b11101100100001000011111011100000 D -b11011111110011101111101000100 % -b11011111110011101111101000100 . -b11011111110011101111101000100 6 -b11011111110011101111101000100 @ -b11011111110011101111101000100 F -b11010000100010100101111110011100 ) -#119104000 -0& -#119120000 -b11101000101 , -#119136000 -1& -#119152000 -b11111111111011010011011100100100 " -b11111111111011010011011100100100 4 -b11101111110111111011110111100101 1 -b11101111110111111011110111100101 C -b1110111111001010101111001000010 0 -b1110111111001010101111001000010 H -b10000001000100111101010011110 < -b11111111111011010011011100100100 2 -b11111111111011010011011100100100 = -b11111111111011010011011100100100 : -b11101111110010101011110010000101 $ -b11101111110010101011110010000101 - -b11101111110010101011110010000101 5 -b11101111110010101011110010000101 ? -b11101111110010101011110010000101 D -b11101111110111011000010101100001 % -b11101111110111011000010101100001 . -b11101111110111011000010101100001 6 -b11101111110111011000010101100001 @ -b11101111110111011000010101100001 F -b11111111111011010011011100100100 ) -#119168000 -0& -#119184000 -b11101000110 , -#119200000 -1& -#119216000 -b10000110110101111101111001101111 " -b10000110110101111101111001101111 4 -b11111111111111111110101110111111 1 -b11111111111111111110101110111111 C -b111111111101011101101010 0 -b111111111101011101101010 H -18 -b10000111000000000111010001010111 < -b10000110110101111101111001101111 2 -b10000110110101111101111001101111 = -b10000110110101111101111001101111 : -b11111111110101110110101000010111 $ -b11111111110101110110101000010111 - -b11111111110101110110101000010111 5 -b11111111110101110110101000010111 ? -b11111111110101110110101000010111 D -b1111000111111111000101110101000 % -b1111000111111111000101110101000 . -b1111000111111111000101110101000 6 -b1111000111111111000101110101000 @ -b1111000111111111000101110101000 F -b10000110110101111101111001101111 ) -#119232000 -0& -#119248000 -b11101000111 , -#119264000 -1& -#119280000 -b10101011101110111110101011011010 " -b10101011101110111110101011011010 4 -b11111111111111111110111101111010 1 -b11111111111111111110111101111010 C -b1111111110111001 0 -b1111111110111001 H -b10101100000000011111110010001111 < -b10101011101110111110101011011010 2 -b10101011101110111110101011011010 = -b10101011101110111110101011011010 : -b11111111101110011110111001001010 $ -b11111111101110011110111001001010 - -b11111111101110011110111001001010 5 -b11111111101110011110111001001010 ? -b11111111101110011110111001001010 D -b1010011111111100000001101110000 % -b1010011111111100000001101110000 . -b1010011111111100000001101110000 6 -b1010011111111100000001101110000 @ -b1010011111111100000001101110000 F -b10101011101110111110101011011010 ) -#119296000 -0& -#119312000 -b11101001000 , -#119328000 -1& -#119344000 -b1010111111111100011110100010101 " -b1010111111111100011110100010101 4 -b11111111111011111111111111111111 1 -b11111111111011111111111111111111 C -b101111 0 -b101111 H -19 -18 -b10011000000100000000000110000101 < -b1010111111111100011110100010101 2 -b1010111111111100011110100010101 = -b1010111111111100011110100010101 : -b10111111111011100011101110001111 $ -b10111111111011100011101110001111 - -b10111111111011100011101110001111 5 -b10111111111011100011101110001111 ? -b10111111111011100011101110001111 D -b1100111111011111111111001111010 % -b1100111111011111111111001111010 . -b1100111111011111111111001111010 6 -b1100111111011111111111001111010 @ -b1100111111011111111111001111010 F -b1010111111111100011110100010101 ) -#119360000 -0& -#119376000 -b11101001001 , -#119392000 -1& -#119408000 -b11110000001000100010110001011010 " -b11110000001000100010110001011010 4 -b1111111111111101010111110110111 1 -b1111111111111101010111110110111 C -b11011111 0 -b11011111 H -09 -b10000000001000110111110011001000 < -b11110000001000100010110001011010 2 -b11110000001000100010110001011010 = -b11110000001000100010110001011010 : -b1101111111111101010111110010001 $ -b1101111111111101010111110010001 - -b1101111111111101010111110010001 5 -b1101111111111101010111110010001 ? -b1101111111111101010111110010001 D -b1111111110111001000001100110111 % -b1111111110111001000001100110111 . -b1111111110111001000001100110111 6 -b1111111110111001000001100110111 @ -b1111111110111001000001100110111 F -b11110000001000100010110001011010 ) -#119424000 -0& -#119440000 -b11101001010 , -#119456000 -1& -#119472000 -b1011000000000110010101011010001 " -b1011000000000110010101011010001 4 -b11111010111111111111111111101111 1 -b11111010111111111111111111101111 C -b11010010111000110 0 -b11010010111000110 H -19 -18 -b10000101001000000000110001110000 < -b1011000000000110010101011010001 2 -b1011000000000110010101011010001 = -b1011000000000110010101011010001 : -b11010010111000110001111001100000 $ -b11010010111000110001111001100000 - -b11010010111000110001111001100000 5 -b11010010111000110001111001100000 ? -b11010010111000110001111001100000 D -b1111010110111111111001110001111 % -b1111010110111111111001110001111 . -b1111010110111111111001110001111 6 -b1111010110111111111001110001111 @ -b1111010110111111111001110001111 F -b1011000000000110010101011010001 ) -#119488000 -0& -#119504000 -b11101001011 , -#119520000 -1& -#119536000 -b1000101110100011011111010000 " -b1000101110100011011111010000 4 -b1111111111111111011110001111001 1 -b1111111111111111011110001111001 C -b1111100101110011011110 0 -b1111100101110011011110 H -08 -09 -b10001100000000000111101110010110 < -b1000101110100011011111010000 2 -b1000101110100011011111010000 = -b1000101110100011011111010000 : -b1111100101110011011110000111001 $ -b1111100101110011011110000111001 - -b1111100101110011011110000111001 5 -b1111100101110011011110000111001 ? -b1111100101110011011110000111001 D -b1110011111111111000010001101001 % -b1110011111111111000010001101001 . -b1110011111111111000010001101001 6 -b1110011111111111000010001101001 @ -b1110011111111111000010001101001 F -b1000101110100011011111010000 ) -#119552000 -0& -#119568000 -b11101001100 , -#119584000 -1& -#119600000 -b11011100010000001010111100110010 " -b11011100010000001010111100110010 4 -b1110111111111111111111011111110 1 -b1110111111111111111111011111110 C -b110100001111111101111000 0 -b110100001111111101111000 H -18 -b10101000000000001101000100011001 < -b11011100010000001010111100110010 2 -b11011100010000001010111100110010 = -b11011100010000001010111100110010 : -b110100001111111101111000011000 $ -b110100001111111101111000011000 - -b110100001111111101111000011000 5 -b110100001111111101111000011000 ? -b110100001111111101111000011000 D -b1010111111111110010111011100110 % -b1010111111111110010111011100110 . -b1010111111111110010111011100110 6 -b1010111111111110010111011100110 @ -b1010111111111110010111011100110 F -b11011100010000001010111100110010 ) -#119616000 -0& -#119632000 -b11101001101 , -#119648000 -1& -#119664000 -b10101011111110000111100001010011 " -b10101011111110000111100001010011 4 -b11111111111111111111111110111101 1 -b11111111111111111111111110111101 C -b110101 0 -b110101 H -19 -08 -b1000000000000100000000011000110 < -b10101011111110000111100001010011 2 -b10101011111110000111100001010011 = -b10101011111110000111100001010011 : -b1101011111101100111011110001100 $ -b1101011111101100111011110001100 - -b1101011111101100111011110001100 5 -b1101011111101100111011110001100 ? -b1101011111101100111011110001100 D -b10111111111111011111111100111001 % -b10111111111111011111111100111001 . -b10111111111111011111111100111001 6 -b10111111111111011111111100111001 @ -b10111111111111011111111100111001 F -b10101011111110000111100001010011 ) -#119680000 -0& -#119696000 -b11101001110 , -#119712000 -1& -#119728000 -b110000011000000000100001101001 " -b110000011000000000100001101001 4 -b1111111111111111001111110111101 1 -b1111111111111111001111110111101 C -b10111111101 0 -b10111111101 H -09 -08 -b11010000100000000110100011001011 < -b110000011000000000100001101001 2 -b110000011000000000100001101001 = -b110000011000000000100001101001 : -b1011111110111111001111110011101 $ -b1011111110111111001111110011101 - -b1011111110111111001111110011101 5 -b1011111110111111001111110011101 ? -b1011111110111111001111110011101 D -b101111011111111001011100110100 % -b101111011111111001011100110100 . -b101111011111111001011100110100 6 -b101111011111111001011100110100 @ -b101111011111111001011100110100 F -b110000011000000000100001101001 ) -#119744000 -0& -#119760000 -b11101001111 , -#119776000 -1& -#119792000 -b11000010101111111001111101000001 " -b11000010101111111001111101000001 4 -b11111111111111111111010111010111 1 -b11111111111111111111010111010111 C -b1111111010 0 -b1111111010 H -18 -b11000100000000000100101001101001 < -b11000010101111111001111101000001 2 -b11000010101111111001111101000001 = -b11000010101111111001111101000001 : -b11111110101111110101010011010111 $ -b11111110101111110101010011010111 - -b11111110101111110101010011010111 5 -b11111110101111110101010011010111 ? -b11111110101111110101010011010111 D -b111011111111111011010110010110 % -b111011111111111011010110010110 . -b111011111111111011010110010110 6 -b111011111111111011010110010110 @ -b111011111111111011010110010110 F -b11000010101111111001111101000001 ) -#119808000 -0& -#119824000 -b11101010000 , -#119840000 -1& -#119856000 -b10011100000001100011001000010011 " -b10011100000001100011001000010011 4 -b11111101011011111011001010110011 1 -b11111101011011111011001010110011 C -b1001100101101111 0 -b1001100101101111 H -09 -18 -b10100101100111111101101111 < -b10011100000001100011001000010011 2 -b10011100000001100011001000010011 = -b10011100000001100011001000010011 : -b10011001011011111011001010100011 $ -b10011001011011111011001010100011 - -b10011001011011111011001010100011 5 -b10011001011011111011001010100011 ? -b10011001011011111011001010100011 D -b11111101011010011000000010010000 % -b11111101011010011000000010010000 . -b11111101011010011000000010010000 6 -b11111101011010011000000010010000 @ -b11111101011010011000000010010000 F -b10011100000001100011001000010011 ) -#119872000 -0& -#119888000 -b11101010001 , -#119904000 -1& -#119920000 -b10001011111100100010001110001111 " -b10001011111100100010001110001111 4 -b11111111111111111111010110110111 1 -b11111111111111111111010110110111 C -b11101111111 0 -b11101111111 H -19 -08 -b10100000000000000111011101011 < -b10001011111100100010001110001111 2 -b10001011111100100010001110001111 = -b10001011111100100010001110001111 : -b1110111111100100001010010100011 $ -b1110111111100100001010010100011 - -b1110111111100100001010010100011 5 -b1110111111100100001010010100011 ? -b1110111111100100001010010100011 D -b11101011111111111111000100010100 % -b11101011111111111111000100010100 . -b11101011111111111111000100010100 6 -b11101011111111111111000100010100 @ -b11101011111111111111000100010100 F -b10001011111100100010001110001111 ) -#119936000 -0& -#119952000 -b11101010010 , -#119968000 -1& -#119984000 -b10000000001001011111101 " -b10000000001001011111101 4 -b1111111111111111101111111001111 1 -b1111111111111111101111111001111 C -b111111110111111110110 0 -b111111110111111110110 H -09 -08 -b10000000100000000011100000110101 < -b10000000001001011111101 2 -b10000000001001011111101 = -b10000000001001011111101 : -b1111111101111111101101011000111 $ -b1111111101111111101101011000111 - -b1111111101111111101101011000111 5 -b1111111101111111101101011000111 ? -b1111111101111111101101011000111 D -b1111111011111111100011111001010 % -b1111111011111111100011111001010 . -b1111111011111111100011111001010 6 -b1111111011111111100011111001010 @ -b1111111011111111100011111001010 F -b10000000001001011111101 ) -#120000000 -0& -#120016000 -b11101010011 , -#120032000 -1& -#120048000 -b10101110100110110000101111100010 " -b10101110100110110000101111100010 4 -b11111111111110110111111111110110 1 -b11111111111110110111111111110110 C -b11111111110010 0 -b11111111110010 H -18 -b10101110110011111100010001101101 < -b10101110100110110000101111100010 2 -b10101110100110110000101111100010 = -b10101110100110110000101111100010 : -b11111111110010110100011101110100 $ -b11111111110010110100011101110100 - -b11111111110010110100011101110100 5 -b11111111110010110100011101110100 ? -b11111111110010110100011101110100 D -b1010001001100000011101110010010 % -b1010001001100000011101110010010 . -b1010001001100000011101110010010 6 -b1010001001100000011101110010010 @ -b1010001001100000011101110010010 F -b10101110100110110000101111100010 ) -#120064000 -0& -#120080000 -b11101010100 , -#120096000 -1& -#120112000 -b1010000111110100101001100 " -b1010000111110100101001100 4 -b11111111110111110101111111111100 1 -b11111111110111110101111111111100 C -b1111111110011111 0 -b1111111110011111 H -08 -b1101001001010000101001111 < -b1010000111110100101001100 2 -b1010000111110100101001100 = -b1010000111110100101001100 : -b11111111100111110100011111111100 $ -b11111111100111110100011111111100 - -b11111111100111110100011111111100 5 -b11111111100111110100011111111100 ? -b11111111100111110100011111111100 D -b11111110010110110101111010110000 % -b11111110010110110101111010110000 . -b11111110010110110101111010110000 6 -b11111110010110110101111010110000 @ -b11111110010110110101111010110000 F -b1010000111110100101001100 ) -#120128000 -0& -#120144000 -b11101010101 , -#120160000 -1& -#120176000 -b1100111100001101010110010010110 " -b1100111100001101010110010010110 4 -b10111111111111111101110101110110 1 -b10111111111111111101110101110110 C -b1001111101110101 0 -b1001111101110101 H -19 -18 -b11001000000100010110001110001111 < -b1100111100001101010110010010110 2 -b1100111100001101010110010010110 = -b1100111100001101010110010010110 : -b10011111011101010100100100000110 $ -b10011111011101010100100100000110 - -b10011111011101010100100100000110 5 -b10011111011101010100100100000110 ? -b10011111011101010100100100000110 D -b110111111011101001110001110000 % -b110111111011101001110001110000 . -b110111111011101001110001110000 6 -b110111111011101001110001110000 @ -b110111111011101001110001110000 F -b1100111100001101010110010010110 ) -#120192000 -0& -#120208000 -b11101010110 , -#120224000 -1& -#120240000 -b1001001110000010011010000010111 " -b1001001110000010011010000010111 4 -b11111111110111111101110010101111 1 -b11111111110111111101110010101111 C -b10111110110111111100 0 -b10111110110111111100 H -19 -18 -b10001010111000010110101101110011 < -b1001001110000010011010000010111 2 -b1001001110000010011010000010111 = -b1001001110000010011010000010111 : -b10111110110111111100100010100011 $ -b10111110110111111100100010100011 - -b10111110110111111100100010100011 5 -b10111110110111111100100010100011 ? -b10111110110111111100100010100011 D -b1110101000111101001010010001100 % -b1110101000111101001010010001100 . -b1110101000111101001010010001100 6 -b1110101000111101001010010001100 @ -b1110101000111101001010010001100 F -b1001001110000010011010000010111 ) -#120256000 -0& -#120272000 -b11101010111 , -#120288000 -1& -#120304000 -b10100001110001101010110001011000 " -b10100001110001101010110001011000 4 -b11111111111110111101011111101001 1 -b11111111111110111101011111101001 C -b11111111101100011100001 0 -b11111111101100011100001 H -09 -18 -b10100010000101001110100100010110 < -b10100001110001101010110001011000 2 -b10100001110001101010110001011000 = -b10100001110001101010110001011000 : -b11111111101100011100001101000001 $ -b11111111101100011100001101000001 - -b11111111101100011100001101000001 5 -b11111111101100011100001101000001 ? -b11111111101100011100001101000001 D -b1011101111010110001011011101001 % -b1011101111010110001011011101001 . -b1011101111010110001011011101001 6 -b1011101111010110001011011101001 @ -b1011101111010110001011011101001 F -b10100001110001101010110001011000 ) -#120320000 -0& -#120336000 -b11101011000 , -#120352000 -1& -#120368000 -b11000110100110001011110101111000 " -b11000110100110001011110101111000 4 -b11111111111110111111110111101001 1 -b11111111111110111111110111101001 C -b1110110011110111110110 0 -b1110110011110111110110 H -19 -08 -b1010000000111001100111110010110 < -b11000110100110001011110101111000 2 -b11000110100110001011110101111000 = -b11000110100110001011110101111000 : -b1110110011110111110110111100001 $ -b1110110011110111110110111100001 - -b1110110011110111110110111100001 5 -b1110110011110111110110111100001 ? -b1110110011110111110110111100001 D -b10101111111000110011000001101001 % -b10101111111000110011000001101001 . -b10101111111000110011000001101001 6 -b10101111111000110011000001101001 @ -b10101111111000110011000001101001 F -b11000110100110001011110101111000 ) -#120384000 -0& -#120400000 -b11101011001 , -#120416000 -1& -#120432000 -b1110110110001100011111000110001 " -b1110110110001100011111000110001 4 -b11011111111111111110000111011101 1 -b11011111111111111110000111011101 C -b101 0 -b101 H -09 -08 -b100000001000101001111000100011 < -b1110110110001100011111000110001 2 -b1110110110001100011111000110001 = -b1110110110001100011111000110001 : -b1010110101000111010000000001101 $ -b1010110101000111010000000001101 - -b1010110101000111010000000001101 5 -b1010110101000111010000000001101 ? -b1010110101000111010000000001101 D -b11011111110111010110000111011100 % -b11011111110111010110000111011100 . -b11011111110111010110000111011100 6 -b11011111110111010110000111011100 @ -b11011111110111010110000111011100 F -b1110110110001100011111000110001 ) -#120448000 -0& -#120464000 -b11101011010 , -#120480000 -1& -#120496000 -b1001000001110001001000111001100 " -b1001000001110001001000111001100 4 -b11111111111111101111010111110110 1 -b11111111111111101111010111110110 C -b1111111111 0 -b1111111111 H -08 -09 -b1001000010000011010101111101001 < -b1001000001110001001000111001100 2 -b1001000001110001001000111001100 = -b1001000001110001001000111001100 : -b11111111111101101110010111100010 $ -b11111111111101101110010111100010 - -b11111111111101101110010111100010 5 -b11111111111101101110010111100010 ? -b11111111111101101110010111100010 D -b10110111101111100101010000010110 % -b10110111101111100101010000010110 . -b10110111101111100101010000010110 6 -b10110111101111100101010000010110 @ -b10110111101111100101010000010110 F -b1001000001110001001000111001100 ) -#120512000 -0& -#120528000 -b11101011011 , -#120544000 -1& -#120560000 -b100010101111011010101111110 " -b100010101111011010101111110 4 -b11111111111111010101101110111111 1 -b11111111111111010101101110111111 C -b1000001110110101000100001001111 0 -b1000001110110101000100001001111 H -19 -18 -b10000000101000101010010011011110 < -b100010101111011010101111110 2 -b100010101111011010101111110 = -b100010101111011010101111110 : -b10000011101101010001000010011111 $ -b10000011101101010001000010011111 - -b10000011101101010001000010011111 5 -b10000011101101010001000010011111 ? -b10000011101101010001000010011111 D -b1111111010111010101101100100001 % -b1111111010111010101101100100001 . -b1111111010111010101101100100001 6 -b1111111010111010101101100100001 @ -b1111111010111010101101100100001 F -b100010101111011010101111110 ) -#120576000 -0& -#120592000 -b11101011100 , -#120608000 -1& -#120624000 -b11010010111101111111010011001111 " -b11010010111101111111010011001111 4 -b11111111101111111100111101111111 1 -b11111111101111111100111101111111 C -b1 0 -b1 H -09 -18 -b11010101010010000011000010000000 < -b11010010111101111111010011001111 2 -b11010010111101111111010011001111 = -b11010010111101111111010011001111 : -b11111101101011111100010001001110 $ -b11111101101011111100010001001110 - -b11111101101011111100010001001110 5 -b11111101101011111100010001001110 ? -b11111101101011111100010001001110 D -b101010101101111100111101111111 % -b101010101101111100111101111111 . -b101010101101111100111101111111 6 -b101010101101111100111101111111 @ -b101010101101111100111101111111 F -b11010010111101111111010011001111 ) -#120640000 -0& -#120656000 -b11101011101 , -#120672000 -1& -#120688000 -b100000000000111000100000011110 " -b100000000000111000100000011110 4 -b11111111111111111101101000100010 1 -b11111111111111111101101000100010 C -b111111111111001101010010001000 0 -b111111111111001101010010001000 H -08 -b100000000100000011010111111101 < -b100000000000111000100000011110 2 -b100000000000111000100000011110 = -b100000000000111000100000011110 : -b11111111111100110101001000100000 $ -b11111111111100110101001000100000 - -b11111111111100110101001000100000 5 -b11111111111100110101001000100000 ? -b11111111111100110101001000100000 D -b11011111111011111100101000000010 % -b11011111111011111100101000000010 . -b11011111111011111100101000000010 6 -b11011111111011111100101000000010 @ -b11011111111011111100101000000010 F -b100000000000111000100000011110 ) -#120704000 -0& -#120720000 -b11101011110 , -#120736000 -1& -#120752000 -b10010001101100000110010100011011 " -b10010001101100000110010100011011 4 -b11111111111111111111101100100111 1 -b11111111111111111111101100100111 C -b1111111100111100101000000 0 -b1111111100111100101000000 H -19 -08 -b10010000100100001010011111001 < -b10010001101100000110010100011011 2 -b10010001101100000110010100011011 = -b10010001101100000110010100011011 : -b1111111100111100101000000100001 $ -b1111111100111100101000000100001 - -b1111111100111100101000000100001 5 -b1111111100111100101000000100001 ? -b1111111100111100101000000100001 D -b11101101111011011110101100000110 % -b11101101111011011110101100000110 . -b11101101111011011110101100000110 6 -b11101101111011011110101100000110 @ -b11101101111011011110101100000110 F -b10010001101100000110010100011011 ) -#120768000 -0& -#120784000 -b11101011111 , -#120800000 -1& -#120816000 -b110000000000110100101000010001 " -b110000000000110100101000010001 4 -b11111111101101111111111001111111 1 -b11111111101101111111111001111111 C -b111011111011011100100 0 -b111011111011011100100 H -09 -08 -b1000000010011000010001110010100 < -b110000000000110100101000010001 2 -b110000000000110100101000010001 = -b110000000000110100101000010001 : -b11101111101101110010011001111100 $ -b11101111101101110010011001111100 - -b11101111101101110010011001111100 5 -b11101111101101110010011001111100 ? -b11101111101101110010011001111100 D -b10111111101100111101110001101011 % -b10111111101100111101110001101011 . -b10111111101100111101110001101011 6 -b10111111101100111101110001101011 @ -b10111111101100111101110001101011 F -b110000000000110100101000010001 ) -#120832000 -0& -#120848000 -b11101100000 , -#120864000 -1& -#120880000 -b1010101100111110101101011101010 " -b1010101100111110101101011101010 4 -b11101111111100111111110101111111 1 -b11101111111100111111110101111111 C -b1000101100 0 -b1000101100 H -08 -b10000000011001000011010001010 < -b1010101100111110101101011101010 2 -b1010101100111110101101011101010 = -b1010101100111110101101011101010 : -b1000101100100101101010001011111 $ -b1000101100100101101010001011111 - -b1000101100100101101010001011111 5 -b1000101100100101101010001011111 ? -b1000101100100101101010001011111 D -b11101111111100110111100101110101 % -b11101111111100110111100101110101 . -b11101111111100110111100101110101 6 -b11101111111100110111100101110101 @ -b11101111111100110111100101110101 F -b1010101100111110101101011101010 ) -#120896000 -0& -#120912000 -b11101100001 , -#120928000 -1& -#120944000 -b11110100101000110000111001001000 " -b11110100101000110000111001001000 4 -b1101111111111110011001111011101 1 -b1101111111111110011001111011101 C -b110001111011010001 0 -b110001111011010001 H -18 -b10010000110010001101110000110010 < -b11110100101000110000111001001000 2 -b11110100101000110000111001001000 = -b11110100101000110000111001001000 : -b1100011110110100011001000010101 $ -b1100011110110100011001000010101 - -b1100011110110100011001000010101 5 -b1100011110110100011001000010101 ? -b1100011110110100011001000010101 D -b1101111001101110010001111001101 % -b1101111001101110010001111001101 . -b1101111001101110010001111001101 6 -b1101111001101110010001111001101 @ -b1101111001101110010001111001101 F -b11110100101000110000111001001000 ) -#120960000 -0& -#120976000 -b11101100010 , -#120992000 -1& -#121008000 -b11111011111110111110110110000001 " -b11111011111110111110110110000001 4 -b10110101111111100011111111011111 1 -b10110101111111100011111111011111 C -b101 0 -b101 H -18 -b1001010000000011100011000100010 < -b11111011111110111110110110000001 2 -b11111011111110111110110110000001 = -b11111011111110111110110110000001 : -b10110001111110100010011101011110 $ -b10110001111110100010011101011110 - -b10110001111110100010011101011110 5 -b10110001111110100010011101011110 ? -b10110001111110100010011101011110 D -b10110101111111100011100111011101 % -b10110101111111100011100111011101 . -b10110101111111100011100111011101 6 -b10110101111111100011100111011101 @ -b10110101111111100011100111011101 F -b11111011111110111110110110000001 ) -#121024000 -0& -#121040000 -b11101100011 , -#121056000 -1& -#121072000 -b10111111110000000101101011001110 " -b10111111110000000101101011001110 4 -b11111111111111111010111111101111 1 -b11111111111111111010111111101111 C -b1111111110111111100001010110111 0 -b1111111110111111100001010110111 H -18 -b11000000000000001101010101011110 < -b10111111110000000101101011001110 2 -b10111111110000000101101011001110 = -b10111111110000000101101011001110 : -b11111111101111111000010101101111 $ -b11111111101111111000010101101111 - -b11111111101111111000010101101111 5 -b11111111101111111000010101101111 ? -b11111111101111111000010101101111 D -b111111111111110010101010100001 % -b111111111111110010101010100001 . -b111111111111110010101010100001 6 -b111111111111110010101010100001 @ -b111111111111110010101010100001 F -b10111111110000000101101011001110 ) -#121088000 -0& -#121104000 -b11101100100 , -#121120000 -1& -#121136000 -b11000010011011111110000111010010 " -b11000010011011111110000111010010 4 -b11111111111111010111111111011110 1 -b11111111111111010111111111011110 C -b1011111111101101001111 0 -b1011111111101101001111 H -09 -18 -b10100000101010001011110101 < -b11000010011011111110000111010010 2 -b11000010011011111110000111010010 = -b11000010011011111110000111010010 : -b10111111111011010011111011011100 $ -b10111111111011010011111011011100 - -b10111111111011010011111011011100 5 -b10111111111011010011111011011100 ? -b10111111111011010011111011011100 D -b11111101011111010101110100001010 % -b11111101011111010101110100001010 . -b11111101011111010101110100001010 6 -b11111101011111010101110100001010 @ -b11111101011111010101110100001010 F -b11000010011011111110000111010010 ) -#121152000 -0& -#121168000 -b11101100101 , -#121184000 -1& -#121200000 -b1111100110011011000100000001100 " -b1111100110011011000100000001100 4 -b11101111111111111111110100011111 1 -b11101111111111111111110100011111 C -b1101011011101110 0 -b1101011011101110 H -08 -b10001010101100000101011110000 < -b1111100110011011000100000001100 2 -b1111100110011011000100000001100 = -b1111100110011011000100000001100 : -b1101011011101110111110100011011 $ -b1101011011101110111110100011011 - -b1101011011101110111110100011011 5 -b1101011011101110111110100011011 ? -b1101011011101110111110100011011 D -b11101110101010011111010100001111 % -b11101110101010011111010100001111 . -b11101110101010011111010100001111 6 -b11101110101010011111010100001111 @ -b11101110101010011111010100001111 F -b1111100110011011000100000001100 ) -#121216000 -0& -#121232000 -b11101100110 , -#121248000 -1& -#121264000 -b11011000111111001010111110001111 " -b11011000111111001010111110001111 4 -b11111111111001111111110111110001 1 -b11111111111001111111110111110001 C -b110110001110001 0 -b110110001110001 H -18 -b110100100001000101110 < -b11011000111111001010111110001111 2 -b11011000111111001010111110001111 = -b11011000111111001010111110001111 : -b11011000111000100110110101100000 $ -b11011000111000100110110101100000 - -b11011000111000100110110101100000 5 -b11011000111000100110110101100000 ? -b11011000111000100110110101100000 D -b11111111111001011011110111010001 % -b11111111111001011011110111010001 . -b11111111111001011011110111010001 6 -b11111111111001011011110111010001 @ -b11111111111001011011110111010001 F -b11011000111111001010111110001111 ) -#121280000 -0& -#121296000 -b11101100111 , -#121312000 -1& -#121328000 -b1111011110100010000010110010101 " -b1111011110100010000010110010101 4 -b10111111111111110011011110010111 1 -b10111111111111110011011110010111 C -b1110111011111100110111100101 0 -b1110111011111100110111100101 H -08 -b1000000000100011100110111111101 < -b1111011110100010000010110010101 2 -b1111011110100010000010110010101 = -b1111011110100010000010110010101 : -b111011101111110011011110010111 $ -b111011101111110011011110010111 - -b111011101111110011011110010111 5 -b111011101111110011011110010111 ? -b111011101111110011011110010111 D -b10111111111011100011001000000010 % -b10111111111011100011001000000010 . -b10111111111011100011001000000010 6 -b10111111111011100011001000000010 @ -b10111111111011100011001000000010 F -b1111011110100010000010110010101 ) -#121344000 -0& -#121360000 -b11101101000 , -#121376000 -1& -#121392000 -b11111100001010001010100001100010 " -b11111100001010001010100001100010 4 -b1111110111111110111110111111110 1 -b1111110111111110111110111111110 C -b11110101111111000 0 -b11110101111111000 H -09 -18 -b10000001001010101001001001110001 < -b11111100001010001010100001100010 2 -b11111100001010001010100001100010 = -b11111100001010001010100001100010 : -b1111010111111100001010111110000 $ -b1111010111111100001010111110000 - -b1111010111111100001010111110000 5 -b1111010111111100001010111110000 ? -b1111010111111100001010111110000 D -b1111110110101010110110110001110 % -b1111110110101010110110110001110 . -b1111110110101010110110110001110 6 -b1111110110101010110110110001110 @ -b1111110110101010110110110001110 F -b11111100001010001010100001100010 ) -#121408000 -0& -#121424000 -b11101101001 , -#121440000 -1& -#121456000 -b1000010000111001001100001010010 " -b1000010000111001001100001010010 4 -b11111110111101111110110110111111 1 -b11111110111101111110110110111111 C -b101111001 0 -b101111001 H -19 -18 -b10000101010010010101001101001000 < -b1000010000111001001100001010010 2 -b1000010000111001001100001010010 = -b1000010000111001001100001010010 : -b10111100110100110100010100001001 $ -b10111100110100110100010100001001 - -b10111100110100110100010100001001 5 -b10111100110100110100010100001001 ? -b10111100110100110100010100001001 D -b1111010101101101010110010110111 % -b1111010101101101010110010110111 . -b1111010101101101010110010110111 6 -b1111010101101101010110010110111 @ -b1111010101101101010110010110111 F -b1000010000111001001100001010010 ) -#121472000 -0& -#121488000 -b11101101010 , -#121504000 -1& -#121520000 -b11101011101111000000001110000110 " -b11101011101111000000001110000110 4 -b1111111111111010100011111001010 1 -b1111111111111010100011111001010 C -b11010110111100101000101110010 0 -b11010110111100101000101110010 H -09 -18 -b10000000010000101011110110111101 < -b11101011101111000000001110000110 2 -b11101011101111000000001110000110 = -b11101011101111000000001110000110 : -b1101011011110010100010111001000 $ -b1101011011110010100010111001000 - -b1101011011110010100010111001000 5 -b1101011011110010100010111001000 ? -b1101011011110010100010111001000 D -b1111111101111010100001001000010 % -b1111111101111010100001001000010 . -b1111111101111010100001001000010 6 -b1111111101111010100001001000010 @ -b1111111101111010100001001000010 F -b11101011101111000000001110000110 ) -#121536000 -0& -#121552000 -b11101101011 , -#121568000 -1& -#121584000 -b11000001001001000011000000001101 " -b11000001001001000011000000001101 4 -b1111011111111110101110100001111 1 -b1111011111111110101110100001111 C -b1110111111101101001101000011 0 -b1110111111101101001101000011 H -b10000101001010001110001011111101 < -b11000001001001000011000000001101 2 -b11000001001001000011000000001101 = -b11000001001001000011000000001101 : -b111011111110110100110100001111 $ -b111011111110110100110100001111 - -b111011111110110100110100001111 5 -b111011111110110100110100001111 ? -b111011111110110100110100001111 D -b1111010110101110001110100000010 % -b1111010110101110001110100000010 . -b1111010110101110001110100000010 6 -b1111010110101110001110100000010 @ -b1111010110101110001110100000010 F -b11000001001001000011000000001101 ) -#121600000 -0& -#121616000 -b11101101100 , -#121632000 -1& -#121648000 -b11111111101001000101100100101010 " -b11111111101001000101100100101010 4 -b11101101111111111110100111101010 1 -b11101101111111111110100111101010 C -b11101101100110111110000111001010 0 -b11101101100110111110000111001010 H -18 -b10010000010000111011101011111 < -b11111111101001000101100100101010 2 -b11111111101001000101100100101010 = -b11111111101001000101100100101010 : -b11101101100110111110000111001010 $ -b11101101100110111110000111001010 - -b11101101100110111110000111001010 5 -b11101101100110111110000111001010 ? -b11101101100110111110000111001010 D -b11101101111101111000100010100000 % -b11101101111101111000100010100000 . -b11101101111101111000100010100000 6 -b11101101111101111000100010100000 @ -b11101101111101111000100010100000 F -b11111111101001000101100100101010 ) -#121664000 -0& -#121680000 -b11101101101 , -#121696000 -1& -#121712000 -b11011101001000011000010100101010 " -b11011101001000011000010100101010 4 -b11111111111111111001101111111010 1 -b11111111111111111001101111111010 C -b11011011 0 -b11011011 H -b1001000100110110001000111 < -b11011101001000011000010100101010 2 -b11011101001000011000010100101010 = -b11011101001000011000010100101010 : -b11011011111111110001100011100010 $ -b11011011111111110001100011100010 - -b11011011111111110001100011100010 5 -b11011011111111110001100011100010 ? -b11011011111111110001100011100010 D -b11111110110111011001001110111000 % -b11111110110111011001001110111000 . -b11111110110111011001001110111000 6 -b11111110110111011001001110111000 @ -b11111110110111011001001110111000 F -b11011101001000011000010100101010 ) -#121728000 -0& -#121744000 -b11101101110 , -#121760000 -1& -#121776000 -b1000101000010010001011001100001 " -b1000101000010010001011001100001 4 -b11111111111111111110111111101111 1 -b11111111111111111110111111101111 C -b1111111111011111000 0 -b1111111111011111000 H -08 -b101000100010101000100110100 < -b1000101000010010001011001100001 2 -b1000101000010010001011001100001 = -b1000101000010010001011001100001 : -b111111111101111100010100101100 $ -b111111111101111100010100101100 - -b111111111101111100010100101100 5 -b111111111101111100010100101100 ? -b111111111101111100010100101100 D -b11111010111011101010111011001011 % -b11111010111011101010111011001011 . -b11111010111011101010111011001011 6 -b11111010111011101010111011001011 @ -b11111010111011101010111011001011 F -b1000101000010010001011001100001 ) -#121792000 -0& -#121808000 -b11101101111 , -#121824000 -1& -#121840000 -b10001101110011001101101001000001 " -b10001101110011001101101001000001 4 -b11111111111111111111101011101111 1 -b11111111111111111111101011101111 C -b1110011101111011111 0 -b1110011101111011111 H -18 -b10100110010100001110011101010010 < -b10001101110011001101101001000001 2 -b10001101110011001101101001000001 = -b10001101110011001101101001000001 : -b11100111011110111111001011101110 $ -b11100111011110111111001011101110 - -b11100111011110111111001011101110 5 -b11100111011110111111001011101110 ? -b11100111011110111111001011101110 D -b1011001101011110001100010101101 % -b1011001101011110001100010101101 . -b1011001101011110001100010101101 6 -b1011001101011110001100010101101 @ -b1011001101011110001100010101101 F -b10001101110011001101101001000001 ) -#121856000 -0& -#121872000 -b11101110000 , -#121888000 -1& -#121904000 -b11110100000100100011111000110001 " -b11110100000100100011111000110001 4 -b1111111111111111100001011110001 1 -b1111111111111111100001011110001 C -b1101011111011111000000011110001 0 -b1101011111011111000000011110001 H -18 -b10001000001000101011110100111111 < -b11110100000100100011111000110001 2 -b11110100000100100011111000110001 = -b11110100000100100011111000110001 : -b1101011111011111000000011110001 $ -b1101011111011111000000011110001 - -b1101011111011111000000011110001 5 -b1101011111011111000000011110001 ? -b1101011111011111000000011110001 D -b1110111110111010100001011000000 % -b1110111110111010100001011000000 . -b1110111110111010100001011000000 6 -b1110111110111010100001011000000 @ -b1110111110111010100001011000000 F -b11110100000100100011111000110001 ) -#121920000 -0& -#121936000 -b11101110001 , -#121952000 -1& -#121968000 -b110010110110010100000000110011 " -b110010110110010100000000110011 4 -b1111111011111111110000011110111 1 -b1111111011111111110000011110111 C -b110111100111 0 -b110111100111 H -08 -b11000011100110011001111110001100 < -b110010110110010100000000110011 2 -b110010110110010100000000110011 = -b110010110110010100000000110011 : -b1101111001111111010000010100110 $ -b1101111001111111010000010100110 - -b1101111001111111010000010100110 5 -b1101111001111111010000010100110 ? -b1101111001111111010000010100110 D -b111100011001100110000001110011 % -b111100011001100110000001110011 . -b111100011001100110000001110011 6 -b111100011001100110000001110011 @ -b111100011001100110000001110011 F -b110010110110010100000000110011 ) -#121984000 -0& -#122000000 -b11101110010 , -#122016000 -1& -#122032000 -b11101111000001100101111011110111 " -b11101111000001100101111011110111 4 -b1111101111111100110010110001111 1 -b1111101111111100110010110001111 C -b1101100111100100110 0 -b1101100111100100110 H -18 -b10000010000100111111101001110011 < -b11101111000001100101111011110111 2 -b11101111000001100101111011110111 = -b11101111000001100101111011110111 : -b1101100111100100110010010000011 $ -b1101100111100100110010010000011 - -b1101100111100100110010010000011 5 -b1101100111100100110010010000011 ? -b1101100111100100110010010000011 D -b1111101111011000000010110001100 % -b1111101111011000000010110001100 . -b1111101111011000000010110001100 6 -b1111101111011000000010110001100 @ -b1111101111011000000010110001100 F -b11101111000001100101111011110111 ) -#122048000 -0& -#122064000 -b11101110011 , -#122080000 -1& -#122096000 -b10001010011110001001110101101011 " -b10001010011110001001110101101011 4 -b11111111111111111110010111111111 1 -b11111111111111111110010111111111 C -b11110100111011111100 0 -b11110100111011111100 H -08 -19 -b10000000000001011101101110100 < -b10001010011110001001110101101011 2 -b10001010011110001001110101101011 = -b10001010011110001001110101101011 : -b1111010011101111110000111110110 $ -b1111010011101111110000111110110 - -b1111010011101111110000111110110 5 -b1111010011101111110000111110110 ? -b1111010011101111110000111110110 D -b11101111111111110100010010001011 % -b11101111111111110100010010001011 . -b11101111111111110100010010001011 6 -b11101111111111110100010010001011 @ -b11101111111111110100010010001011 F -b10001010011110001001110101101011 ) -#122112000 -0& -#122128000 -b11101110100 , -#122144000 -1& -#122160000 -b11101000010110111000111000001010 " -b11101000010110111000111000001010 4 -b1111111111111111111011010110111 1 -b1111111111111111111011010110111 C -b110011111110111110001001 0 -b110011111110111110001001 H -09 -18 -b10000000011000111100100101011000 < -b11101000010110111000111000001010 2 -b11101000010110111000111000001010 = -b11101000010110111000111000001010 : -b1100111111101111100010010110001 $ -b1100111111101111100010010110001 - -b1100111111101111100010010110001 5 -b1100111111101111100010010110001 ? -b1100111111101111100010010110001 D -b1111111100111000011011010100111 % -b1111111100111000011011010100111 . -b1111111100111000011011010100111 6 -b1111111100111000011011010100111 @ -b1111111100111000011011010100111 F -b11101000010110111000111000001010 ) -#122176000 -0& -#122192000 -b11101110101 , -#122208000 -1& -#122224000 -b11111111111111110100010110011101 " -b11111111111111110100010110011101 4 -b1111111111101111110111111111101 1 -b1111111111101111110111111111101 C -b111 0 -b111 H -18 -b10000000000010001001101000000011 < -b11111111111111110100010110011101 2 -b11111111111111110100010110011101 = -b11111111111111110100010110011101 : -b1111111111101101010101110011001 $ -b1111111111101101010101110011001 - -b1111111111101101010101110011001 5 -b1111111111101101010101110011001 ? -b1111111111101101010101110011001 D -b1111111111101110110010111111100 % -b1111111111101110110010111111100 . -b1111111111101110110010111111100 6 -b1111111111101110110010111111100 @ -b1111111111101110110010111111100 F -b11111111111111110100010110011101 ) -#122240000 -0& -#122256000 -b11101110110 , -#122272000 -1& -#122288000 -b1100101001000011000010011011110 " -b1100101001000011000010011011110 4 -b11101111111111111000011111101111 1 -b11101111111111111000011111101111 C -b11001100111111111 0 -b11001100111111111 H -19 -18 -b10011000001000011111111000010000 < -b1100101001000011000010011011110 2 -b1100101001000011000010011011110 = -b1100101001000011000010011011110 : -b11001100111111111000011011001101 $ -b11001100111111111000011011001101 - -b11001100111111111000011011001101 5 -b11001100111111111000011011001101 ? -b11001100111111111000011011001101 D -b1100111110111100000000111101111 % -b1100111110111100000000111101111 . -b1100111110111100000000111101111 6 -b1100111110111100000000111101111 @ -b1100111110111100000000111101111 F -b1100101001000011000010011011110 ) -#122304000 -0& -#122320000 -b11101110111 , -#122336000 -1& -#122352000 -b101000110111110101010010011111 " -b101000110111110101010010011111 4 -b11111111111111111111111111101101 1 -b11111111111111111111111111101101 C -b10011111011110101 0 -b10011111011110101 H -09 -08 -b1000000001010001000110010 < -b101000110111110101010010011111 2 -b101000110111110101010010011111 = -b101000110111110101010010011111 : -b100111110111101011001001101100 $ -b100111110111101011001001101100 - -b100111110111101011001001101100 5 -b100111110111101011001001101100 ? -b100111110111101011001001101100 D -b11111110111111110101110111001101 % -b11111110111111110101110111001101 . -b11111110111111110101110111001101 6 -b11111110111111110101110111001101 @ -b11111110111111110101110111001101 F -b101000110111110101010010011111 ) -#122368000 -0& -#122384000 -b11101111000 , -#122400000 -1& -#122416000 -b11101111100010111011111000011 " -b11101111100010111011111000011 4 -b1111111111111111111111101110101 1 -b1111111111111111111111101110101 C -b11111011101111 0 -b11111011101111 H -b10100000000100011000100010001110 < -b11101111100010111011111000011 2 -b11101111100010111011111000011 = -b11101111100010111011111000011 : -b1111101110111111110111100110100 $ -b1111101110111111110111100110100 - -b1111101110111111110111100110100 5 -b1111101110111111110111100110100 ? -b1111101110111111110111100110100 D -b1011111111011100111011101110001 % -b1011111111011100111011101110001 . -b1011111111011100111011101110001 6 -b1011111111011100111011101110001 @ -b1011111111011100111011101110001 F -b11101111100010111011111000011 ) -#122432000 -0& -#122448000 -b11101111001 , -#122464000 -1& -#122480000 -b1110100010010010001111000110101 " -b1110100010010010001111000110101 4 -b11111111111111111110001011110101 1 -b11111111111111111110001011110101 C -b11101111111111101110000010010101 0 -b11101111111111101110000010010101 H -19 -18 -b10000100010010100011110110011111 < -b1110100010010010001111000110101 2 -b1110100010010010001111000110101 = -b1110100010010010001111000110101 : -b11101111111111101110000010010101 $ -b11101111111111101110000010010101 - -b11101111111111101110000010010101 5 -b11101111111111101110000010010101 ? -b11101111111111101110000010010101 D -b1111011101101011100001001100000 % -b1111011101101011100001001100000 . -b1111011101101011100001001100000 6 -b1111011101101011100001001100000 @ -b1111011101101011100001001100000 F -b1110100010010010001111000110101 ) -#122496000 -0& -#122512000 -b11101111010 , -#122528000 -1& -#122544000 -b11110101100111110000001011000101 " -b11110101100111110000001011000101 4 -b1111111111111110110011111111101 1 -b1111111111111110110011111111101 C -b1101101 0 -b1101101 H -09 -18 -b10001000000000111001110000000111 < -b11110101100111110000001011000101 2 -b11110101100111110000001011000101 = -b11110101100111110000001011000101 : -b1101101100110110110011010111101 $ -b1101101100110110110011010111101 - -b1101101100110110110011010111101 5 -b1101101100110110110011010111101 ? -b1101101100110110110011010111101 D -b1110111111111000110001111111000 % -b1110111111111000110001111111000 . -b1110111111111000110001111111000 6 -b1110111111111000110001111111000 @ -b1110111111111000110001111111000 F -b11110101100111110000001011000101 ) -#122560000 -0& -#122576000 -b11101111011 , -#122592000 -1& -#122608000 -b11110100010111011010001010010100 " -b11110100010111011010001010010100 4 -b1111110111111101111111010101101 1 -b1111110111111101111111010101101 C -b110101011111100011 0 -b110101011111100011 H -b10001001011000010010010111110010 < -b11110100010111011010001010010100 2 -b11110100010111011010001010010100 = -b11110100010111011010001010010100 : -b1101010111111000111110010100001 $ -b1101010111111000111110010100001 - -b1101010111111000111110010100001 5 -b1101010111111000111110010100001 ? -b1101010111111000111110010100001 D -b1110110100111101101101000001101 % -b1110110100111101101101000001101 . -b1110110100111101101101000001101 6 -b1110110100111101101101000001101 @ -b1110110100111101101101000001101 F -b11110100010111011010001010010100 ) -#122624000 -0& -#122640000 -b11101111100 , -#122656000 -1& -#122672000 -b101001100111111111110100101000 " -b101001100111111111110100101000 4 -b11111111111111111000011011111110 1 -b11111111111111111000011011111110 C -b1110100011 0 -b1110100011 H -08 -b1000000101000000111101100001001 < -b101001100111111111110100101000 2 -b101001100111111111110100101000 = -b101001100111111111110100101000 : -b11101000111111111000001000011110 $ -b11101000111111111000001000011110 - -b11101000111111111000001000011110 5 -b11101000111111111000001000011110 ? -b11101000111111111000001000011110 D -b10111111010111111000010011110110 % -b10111111010111111000010011110110 . -b10111111010111111000010011110110 6 -b10111111010111111000010011110110 @ -b10111111010111111000010011110110 F -b101001100111111111110100101000 ) -#122688000 -0& -#122704000 -b11101111101 , -#122720000 -1& -#122736000 -b1111111101110111000010111011001 " -b1111111101110111000010111011001 4 -b11111111111111101000111100111111 1 -b11111111111111101000111100111111 C -b11 0 -b11 H -08 -b11110001010111011011000010 < -b1111111101110111000010111011001 2 -b1111111101110111000010111011001 = -b1111111101110111000010111011001 : -b1111011111101100000111100010110 $ -b1111011111101100000111100010110 - -b1111011111101100000111100010110 5 -b1111011111101100000111100010110 ? -b1111011111101100000111100010110 D -b11111100001110101000100100111101 % -b11111100001110101000100100111101 . -b11111100001110101000100100111101 6 -b11111100001110101000100100111101 @ -b11111100001110101000100100111101 F -b1111111101110111000010111011001 ) -#122752000 -0& -#122768000 -b11101111110 , -#122784000 -1& -#122800000 -b1010110110011111111100110010 " -b1010110110011111111100110010 4 -b111111111111111111011111011111 1 -b111111111111111111011111011111 C -b0 0 -b0 H -b11001011000010100000100110100000 < -b1010110110011111111100110010 2 -b1010110110011111111100110010 = -b1010110110011111111100110010 : -b111111110011111111010110010001 $ -b111111110011111111010110010001 - -b111111110011111111010110010001 5 -b111111110011111111010110010001 ? -b111111110011111111010110010001 D -b110100111101011111011001011111 % -b110100111101011111011001011111 . -b110100111101011111011001011111 6 -b110100111101011111011001011111 @ -b110100111101011111011001011111 F -b1010110110011111111100110010 ) -#122816000 -0& -#122832000 -b11101111111 , -#122848000 -1& -#122864000 -b110000111110010011110010010011 " -b110000111110010011110010010011 4 -b11111111110110111111101111111101 1 -b11111111110110111111101111111101 C -b11101111110 0 -b11101111110 H -08 -b1000001001001010100010000001010 < -b110000111110010011110010010011 2 -b110000111110010011110010010011 = -b110000111110010011110010010011 : -b11101111110100111111100010001000 $ -b11101111110100111111100010001000 - -b11101111110100111111100010001000 5 -b11101111110100111111100010001000 ? -b11101111110100111111100010001000 D -b10111110110110101011101111110101 % -b10111110110110101011101111110101 . -b10111110110110101011101111110101 6 -b10111110110110101011101111110101 @ -b10111110110110101011101111110101 F -b110000111110010011110010010011 ) -#122880000 -0& -#122896000 -b11110000000 , -#122912000 -1& -#122928000 -b11111111000010000011001000100100 " -b11111111000010000011001000100100 4 -b11111111111111011101111010110100 1 -b11111111111111011101111010110100 C -b1111110111111101 0 -b1111110111111101 H -18 -b1000010101010010101101111 < -b11111111000010000011001000100100 2 -b11111111000010000011001000100100 = -b11111111000010000011001000100100 : -b11111101111111011000110010110100 $ -b11111101111111011000110010110100 - -b11111101111111011000110010110100 5 -b11111101111111011000110010110100 ? -b11111101111111011000110010110100 D -b11111110111101010101101010010000 % -b11111110111101010101101010010000 . -b11111110111101010101101010010000 6 -b11111110111101010101101010010000 @ -b11111110111101010101101010010000 F -b11111111000010000011001000100100 ) -#122944000 -0& -#122960000 -b11110000001 , -#122976000 -1& -#122992000 -b11011101000001011011100001110001 " -b11011101000001011011100001110001 4 -b11111111111110111100101110111011 1 -b11111111111110111100101110111011 C -b1101110011111001100000 0 -b1101110011111001100000 H -b11000011011001010101 < -b11011101000001011011100001110001 2 -b11011101000001011011100001110001 = -b11011101000001011011100001110001 : -b11011100111110011000001000011011 $ -b11011100111110011000001000011011 - -b11011100111110011000001000011011 5 -b11011100111110011000001000011011 ? -b11011100111110011000001000011011 D -b11111111111100111100100110101010 % -b11111111111100111100100110101010 . -b11111111111100111100100110101010 6 -b11111111111100111100100110101010 @ -b11111111111100111100100110101010 F -b11011101000001011011100001110001 ) -#123008000 -0& -#123024000 -b11110000010 , -#123040000 -1& -#123056000 -b10000011101101101101010000000111 " -b10000011101101101101010000000111 4 -b11101111111111111011011101000111 1 -b11101111111111111011011101000111 C -b11101111101101101000011101000111 0 -b11101111101101101000011101000111 H -b10010100000000000100110010111111 < -b10000011101101101101010000000111 2 -b10000011101101101101010000000111 = -b10000011101101101101010000000111 : -b11101111101101101000011101000111 $ -b11101111101101101000011101000111 - -b11101111101101101000011101000111 5 -b11101111101101101000011101000111 ? -b11101111101101101000011101000111 D -b1101011111111111011001101000000 % -b1101011111111111011001101000000 . -b1101011111111111011001101000000 6 -b1101011111111111011001101000000 @ -b1101011111111111011001101000000 F -b10000011101101101101010000000111 ) -#123072000 -0& -#123088000 -b11110000011 , -#123104000 -1& -#123120000 -b10110100100001011100001110100010 " -b10110100100001011100001110100010 4 -b11111110101111111111111110100111 1 -b11111110101111111111111110100111 C -b1101110101101011011101100100 0 -b1101110101101011011101100100 H -19 -08 -b1000101110100000000100001111100 < -b10110100100001011100001110100010 2 -b10110100100001011100001110100010 = -b10110100100001011100001110100010 : -b1101110101101011011101100100101 $ -b1101110101101011011101100100101 - -b1101110101101011011101100100101 5 -b1101110101101011011101100100101 ? -b1101110101101011011101100100101 D -b10111010001011111111011110000011 % -b10111010001011111111011110000011 . -b10111010001011111111011110000011 6 -b10111010001011111111011110000011 @ -b10111010001011111111011110000011 F -b10110100100001011100001110100010 ) -#123136000 -0& -#123152000 -b11110000100 , -#123168000 -1& -#123184000 -b10100100000000000001010010110001 " -b10100100000000000001010010110001 4 -b11111111011111111011111101010101 1 -b11111111011111111011111101010101 C -b111111110111 0 -b111111110111 H -09 -18 -b10100100100000000101100110101011 < -b10100100000000000001010010110001 2 -b10100100000000000001010010110001 = -b10100100000000000001010010110001 : -b11111111011111111011101100000101 $ -b11111111011111111011101100000101 - -b11111111011111111011101100000101 5 -b11111111011111111011101100000101 ? -b11111111011111111011101100000101 D -b1011011011111111010011001010100 % -b1011011011111111010011001010100 . -b1011011011111111010011001010100 6 -b1011011011111111010011001010100 @ -b1011011011111111010011001010100 F -b10100100000000000001010010110001 ) -#123200000 -0& -#123216000 -b11110000101 , -#123232000 -1& -#123248000 -b100010010110010010110111010111 " -b100010010110010010110111010111 4 -b11111111111111110110111011111101 1 -b11111111111111110110111011111101 C -b11011101111 0 -b11011101111 H -08 -b1000100010110011101111101101010 < -b100010010110010010110111010111 2 -b100010010110010010110111010111 = -b100010010110010010110111010111 : -b11011101111111110100111001101100 $ -b11011101111111110100111001101100 - -b11011101111111110100111001101100 5 -b11011101111111110100111001101100 ? -b11011101111111110100111001101100 D -b10111011101001100010000010010101 % -b10111011101001100010000010010101 . -b10111011101001100010000010010101 6 -b10111011101001100010000010010101 @ -b10111011101001100010000010010101 F -b100010010110010010110111010111 ) -#123264000 -0& -#123280000 -b11110000110 , -#123296000 -1& -#123312000 -b11000101000101111101100110100111 " -b11000101000101111101100110100111 4 -b11111101111111111111111111111111 1 -b11111101111111111111111111111111 C -b111 0 -b111 H -19 -08 -b1000111001010000000110000000011 < -b11000101000101111101100110100111 2 -b11000101000101111101100110100111 = -b11000101000101111101100110100111 : -b1111101111011111100110110100011 $ -b1111101111011111100110110100011 - -b1111101111011111100110110100011 5 -b1111101111011111100110110100011 ? -b1111101111011111100110110100011 D -b10111000110101111111001111111100 % -b10111000110101111111001111111100 . -b10111000110101111111001111111100 6 -b10111000110101111111001111111100 @ -b10111000110101111111001111111100 F -b11000101000101111101100110100111 ) -#123328000 -0& -#123344000 -b11110000111 , -#123360000 -1& -#123376000 -b11000000000000111011010111010001 " -b11000000000000111011010111010001 4 -b1101101111111111111111011111111 1 -b1101101111111111111111011111111 C -b1011011111111101 0 -b1011011111111101 H -09 -18 -b10010010000001000100101100010001 < -b11000000000000111011010111010001 2 -b11000000000000111011010111010001 = -b11000000000000111011010111010001 : -b101101111111110110101010111111 $ -b101101111111110110101010111111 - -b101101111111110110101010111111 5 -b101101111111110110101010111111 ? -b101101111111110110101010111111 D -b1101101111110111011010011101110 % -b1101101111110111011010011101110 . -b1101101111110111011010011101110 6 -b1101101111110111011010011101110 @ -b1101101111110111011010011101110 F -b11000000000000111011010111010001 ) -#123392000 -0& -#123408000 -b11110001000 , -#123424000 -1& -#123440000 -b1110100110001000001001001011100 " -b1110100110001000001001001011100 4 -b11111101011111010001111111111101 1 -b11111101011111010001111111111101 C -b111011010011110 0 -b111011010011110 H -19 -18 -b10000111100001101111010010101110 < -b1110100110001000001001001011100 2 -b1110100110001000001001001011100 = -b1110100110001000001001001011100 : -b11101101001111010001110110101101 $ -b11101101001111010001110110101101 - -b11101101001111010001110110101101 5 -b11101101001111010001110110101101 ? -b11101101001111010001110110101101 D -b1111000011110010000101101010001 % -b1111000011110010000101101010001 . -b1111000011110010000101101010001 6 -b1111000011110010000101101010001 @ -b1111000011110010000101101010001 F -b1110100110001000001001001011100 ) -#123456000 -0& -#123472000 -b11110001001 , -#123488000 -1& -#123504000 -b101001101111011110100100001111 " -b101001101111011110100100001111 4 -b1111111111111111110101110011111 1 -b1111111111111111110101110011111 C -b10111111011110011101011 0 -b10111111011110011101011 H -09 -08 -b11001010000000001111110101110111 < -b101001101111011110100100001111 2 -b101001101111011110100100001111 = -b101001101111011110100100001111 : -b1011111101111001110101110010111 $ -b1011111101111001110101110010111 - -b1011111101111001110101110010111 5 -b1011111101111001110101110010111 ? -b1011111101111001110101110010111 D -b110101111111110000001010001000 % -b110101111111110000001010001000 . -b110101111111110000001010001000 6 -b110101111111110000001010001000 @ -b110101111111110000001010001000 F -b101001101111011110100100001111 ) -#123520000 -0& -#123536000 -b11110001010 , -#123552000 -1& -#123568000 -b11000010001000010110000001101111 " -b11000010001000010110000001101111 4 -b1110111111111111110101111110111 1 -b1110111111111111110101111110111 C -b110111111111111100101111 0 -b110111111111111100101111 H -18 -b10001010001000011001010001111001 < -b11000010001000010110000001101111 2 -b11000010001000010110000001101111 = -b11000010001000010110000001101111 : -b110111111111111100101111110101 $ -b110111111111111100101111110101 - -b110111111111111100101111110101 5 -b110111111111111100101111110101 ? -b110111111111111100101111110101 D -b1110101110111100110101110000110 % -b1110101110111100110101110000110 . -b1110101110111100110101110000110 6 -b1110101110111100110101110000110 @ -b1110101110111100110101110000110 F -b11000010001000010110000001101111 ) -#123584000 -0& -#123600000 -b11110001011 , -#123616000 -1& -#123632000 -b100010101010110001011001110100 " -b100010101010110001011001110100 4 -b1111111111111111110101111111111 1 -b1111111111111111110101111111111 C -b101111010101001111000010 0 -b101111010101001111000010 H -08 -b11000100000000010011010100011000 < -b100010101010110001011001110100 2 -b100010101010110001011001110100 = -b100010101010110001011001110100 : -b1011110101010011110000101011011 $ -b1011110101010011110000101011011 - -b1011110101010011110000101011011 5 -b1011110101010011110000101011011 ? -b1011110101010011110000101011011 D -b111011111111101100101011100111 % -b111011111111101100101011100111 . -b111011111111101100101011100111 6 -b111011111111101100101011100111 @ -b111011111111101100101011100111 F -b100010101010110001011001110100 ) -#123648000 -0& -#123664000 -b11110001100 , -#123680000 -1& -#123696000 -b1100000011000010001101110101110 " -b1100000011000010001101110101110 4 -b11111110111111110011110111010010 1 -b11111110111111110011110111010010 C -b1011110110111 0 -b1011110110111 H -b1100000101110001011101101 < -b1100000011000010001101110101110 2 -b1100000011000010001101110101110 = -b1100000011000010001101110101110 : -b1011110110111100011100011000000 $ -b1011110110111100011100011000000 - -b1011110110111100011100011000000 5 -b1011110110111100011100011000000 ? -b1011110110111100011100011000000 D -b11111110011111010001110100010010 % -b11111110011111010001110100010010 . -b11111110011111010001110100010010 6 -b11111110011111010001110100010010 @ -b11111110011111010001110100010010 F -b1100000011000010001101110101110 ) -#123712000 -0& -#123728000 -b11110001101 , -#123744000 -1& -#123760000 -b11101110101100000001010101000000 " -b11101110101100000001010101000000 4 -b11111001111111111011111111101011 1 -b11111001111111111011111111101011 C -b101110001010111110111 0 -b101110001010111110111 H -18 -b110110000000000101010110010100 < -b11101110101100000001010101000000 2 -b11101110101100000001010101000000 = -b11101110101100000001010101000000 : -b10111000101011111011111110101011 $ -b10111000101011111011111110101011 - -b10111000101011111011111110101011 5 -b10111000101011111011111110101011 ? -b10111000101011111011111110101011 D -b11001001111111111010101001101011 % -b11001001111111111010101001101011 . -b11001001111111111010101001101011 6 -b11001001111111111010101001101011 @ -b11001001111111111010101001101011 F -b11101110101100000001010101000000 ) -#123776000 -0& -#123792000 -b11110001110 , -#123808000 -1& -#123824000 -b10101001100100110101001 " -b10101001100100110101001 4 -b1111100111111110111111011111001 1 -b1111100111111110111111011111001 C -b11111001111010000100100 0 -b11111001111010000100100 H -08 -b10000011011000001010010101010111 < -b10101001100100110101001 2 -b10101001100100110101001 = -b10101001100100110101001 : -b1111100111101000010010001010001 $ -b1111100111101000010010001010001 - -b1111100111101000010010001010001 5 -b1111100111101000010010001010001 ? -b1111100111101000010010001010001 D -b1111100100111110101101010101000 % -b1111100100111110101101010101000 . -b1111100100111110101101010101000 6 -b1111100100111110101101010101000 @ -b1111100100111110101101010101000 F -b10101001100100110101001 ) -#123840000 -0& -#123856000 -b11110001111 , -#123872000 -1& -#123888000 -b11001110111000100100111000111 " -b11001110111000100100111000111 4 -b11111111111001111110101111011011 1 -b11111111111001111110101111011011 C -b101111100001111101 0 -b101111100001111101 H -08 -b10000110000101111000110100 < -b11001110111000100100111000111 2 -b11001110111000100100111000111 = -b11001110111000100100111000111 : -b10111110000111110101110010010 $ -b10111110000111110101110010010 - -b10111110000111110101110010010 5 -b10111110000111110101110010010 ? -b10111110000111110101110010010 D -b11111101111001111010000111001011 % -b11111101111001111010000111001011 . -b11111101111001111010000111001011 6 -b11111101111001111010000111001011 @ -b11111101111001111010000111001011 F -b11001110111000100100111000111 ) -#123904000 -0& -#123920000 -b11110010000 , -#123936000 -1& -#123952000 -b1110010011001010110110001111000 " -b1110010011001010110110001111000 4 -b11111111011111111011101111101100 1 -b11111111011111111011101111101100 C -b1110001011111111001 0 -b1110001011111111001 H -b111001011101010000010011 < -b1110010011001010110110001111000 2 -b1110010011001010110110001111000 = -b1110010011001010110110001111000 : -b1110001011111111001100001100100 $ -b1110001011111111001100001100100 - -b1110001011111111001100001100100 5 -b1110001011111111001100001100100 ? -b1110001011111111001100001100100 D -b11111111000110100010101111101100 % -b11111111000110100010101111101100 . -b11111111000110100010101111101100 6 -b11111111000110100010101111101100 @ -b11111111000110100010101111101100 F -b1110010011001010110110001111000 ) -#123968000 -0& -#123984000 -b11110010001 , -#124000000 -1& -#124016000 -b11100010011011111000011100101010 " -b11100010011011111000011100101010 4 -b11110111101111111111111111101110 1 -b11110111101111111111111111101110 C -b1101011000101101110000111000 0 -b1101011000101101110000111000 H -18 -b1100010000011100001110011011 < -b11100010011011111000011100101010 2 -b11100010011011111000011100101010 = -b11100010011011111000011100101010 : -b11010110001011011100001110001110 $ -b11010110001011011100001110001110 - -b11010110001011011100001110001110 5 -b11010110001011011100001110001110 ? -b11010110001011011100001110001110 D -b11110011101111100011110001100100 % -b11110011101111100011110001100100 . -b11110011101111100011110001100100 6 -b11110011101111100011110001100100 @ -b11110011101111100011110001100100 F -b11100010011011111000011100101010 ) -#124032000 -0& -#124048000 -b11110010010 , -#124064000 -1& -#124080000 -b11010111000010111001100111101110 " -b11010111000010111001100111101110 4 -b1111111111111110111011000011111 1 -b1111111111111110111011000011111 C -b11011 0 -b11011 H -18 -b10100000000011001000100111100110 < -b11010111000010111001100111101110 2 -b11010111000010111001100111101110 = -b11010111000010111001100111101110 : -b110110111111110001000000000111 $ -b110110111111110001000000000111 - -b110110111111110001000000000111 5 -b110110111111110001000000000111 ? -b110110111111110001000000000111 D -b1011111111100110111011000011001 % -b1011111111100110111011000011001 . -b1011111111100110111011000011001 6 -b1011111111100110111011000011001 @ -b1011111111100110111011000011001 F -b11010111000010111001100111101110 ) -#124096000 -0& -#124112000 -b11110010011 , -#124128000 -1& -#124144000 -b10101000000100010000010011011110 " -b10101000000100010000010011011110 4 -b11111111101111011001111111011111 1 -b11111111101111011001111111011111 C -b111111110111101100111100101111 0 -b111111110111101100111100101111 H -19 -08 -b101000010100110110011001111110 < -b10101000000100010000010011011110 2 -b10101000000100010000010011011110 = -b10101000000100010000010011011110 : -b1111111101111011001111001011111 $ -b1111111101111011001111001011111 - -b1111111101111011001111001011111 5 -b1111111101111011001111001011111 ? -b1111111101111011001111001011111 D -b11010111101011001001100110000001 % -b11010111101011001001100110000001 . -b11010111101011001001100110000001 6 -b11010111101011001001100110000001 @ -b11010111101011001001100110000001 F -b10101000000100010000010011011110 ) -#124160000 -0& -#124176000 -b11110010100 , -#124192000 -1& -#124208000 -b100011110110100010110111101001 " -b100011110110100010110111101001 4 -b1111111110111110101111101111101 1 -b1111111110111110101111101111101 C -b1111111100111110100 0 -b1111111100111110100 H -09 -08 -b10100100001110101110000010010011 < -b100011110110100010110111101001 2 -b100011110110100010110111101001 = -b100011110110100010110111101001 : -b1111111100111110100110101010101 $ -b1111111100111110100110101010101 - -b1111111100111110100110101010101 5 -b1111111100111110100110101010101 ? -b1111111100111110100110101010101 D -b1011011110001010001111101101100 % -b1011011110001010001111101101100 . -b1011011110001010001111101101100 6 -b1011011110001010001111101101100 @ -b1011011110001010001111101101100 F -b100011110110100010110111101001 ) -#124224000 -0& -#124240000 -b11110010101 , -#124256000 -1& -#124272000 -b11101011000100000010100111100111 " -b11101011000100000010100111100111 4 -b1111111111111110101101100101011 1 -b1111111111111110101101100101011 C -b1011010111111110100001100001 0 -b1011010111111110100001100001 H -18 -b10010000000100001110011011011100 < -b11101011000100000010100111100111 2 -b11101011000100000010100111100111 = -b11101011000100000010100111100111 : -b1011010111111110100001100001010 $ -b1011010111111110100001100001010 - -b1011010111111110100001100001010 5 -b1011010111111110100001100001010 ? -b1011010111111110100001100001010 D -b1101111111011110001100100100011 % -b1101111111011110001100100100011 . -b1101111111011110001100100100011 6 -b1101111111011110001100100100011 @ -b1101111111011110001100100100011 F -b11101011000100000010100111100111 ) -#124288000 -0& -#124304000 -b11110010110 , -#124320000 -1& -#124336000 -b10111011000111000010001001011010 " -b10111011000111000010001001011010 4 -b11111111111111110110010111111111 1 -b11111111111111110110010111111111 C -b10 0 -b10 H -08 -19 -b1011100001000001011111000100010 < -b10111011000111000010001001011010 2 -b10111011000111000010001001011010 = -b10111011000111000010001001011010 : -b1011110111110110110010000110111 $ -b1011110111110110110010000110111 - -b1011110111110110110010000110111 5 -b1011110111110110110010000110111 ? -b1011110111110110110010000110111 D -b10100011110111110100000111011101 % -b10100011110111110100000111011101 . -b10100011110111110100000111011101 6 -b10100011110111110100000111011101 @ -b10100011110111110100000111011101 F -b10111011000111000010001001011010 ) -#124352000 -0& -#124368000 -b11110010111 , -#124384000 -1& -#124400000 -b10000011111101001001101001100001 " -b10000011111101001001101001100001 4 -b11101111111111011010111111111111 1 -b11101111111111011010111111111111 C -b11 0 -b11 H -b10100000000110111010000100010 < -b10000011111101001001101001100001 2 -b10000011111101001001101001100001 = -b10000011111101001001101001100001 : -b1101111111100010010011000111110 $ -b1101111111100010010011000111110 - -b1101111111100010010011000111110 5 -b1101111111100010010011000111110 ? -b1101111111100010010011000111110 D -b11101011111111001000101111011101 % -b11101011111111001000101111011101 . -b11101011111111001000101111011101 6 -b11101011111111001000101111011101 @ -b11101011111111001000101111011101 F -b10000011111101001001101001100001 ) -#124416000 -0& -#124432000 -b11110011000 , -#124448000 -1& -#124464000 -b10100000101011000101110110110010 " -b10100000101011000101110110110010 4 -b11110101111111111110101101110011 1 -b11110101111111111110101101110011 C -b111101011010101 0 -b111101011010101 H -09 -18 -b10101011000000001001010010001110 < -b10100000101011000101110110110010 2 -b10100000101011000101110110110010 = -b10100000101011000101110110110010 : -b11110101101010111100100100100011 $ -b11110101101010111100100100100011 - -b11110101101010111100100100100011 5 -b11110101101010111100100100100011 ? -b11110101101010111100100100100011 D -b1010100111111110110101101110001 % -b1010100111111110110101101110001 . -b1010100111111110110101101110001 6 -b1010100111111110110101101110001 @ -b1010100111111110110101101110001 F -b10100000101011000101110110110010 ) -#124480000 -0& -#124496000 -b11110011001 , -#124512000 -1& -#124528000 -b10110011111100110101100001110001 " -b10110011111100110101100001110001 4 -b11111111011111110111101111110001 1 -b11111111011111110111101111110001 C -b1111001101110010 0 -b1111001101110010 H -b11000000100000001110010100001111 < -b10110011111100110101100001110001 2 -b10110011111100110101100001110001 = -b10110011111100110101100001110001 : -b11110011011100100111001101100001 $ -b11110011011100100111001101100001 - -b11110011011100100111001101100001 5 -b11110011011100100111001101100001 ? -b11110011011100100111001101100001 D -b111111011111110001101011110000 % -b111111011111110001101011110000 . -b111111011111110001101011110000 6 -b111111011111110001101011110000 @ -b111111011111110001101011110000 F -b10110011111100110101100001110001 ) -#124544000 -0& -#124560000 -b11110011010 , -#124576000 -1& -#124592000 -b1111100110110011010111011111100 " -b1111100110110011010111011111100 4 -b11111111111111111011100110111110 1 -b11111111111111111011100110111110 C -b11111100011111 0 -b11111100011111 H -19 -18 -b10000000010111000111011001001101 < -b1111100110110011010111011111100 2 -b1111100110110011010111011111100 = -b1111100110110011010111011111100 : -b11111100011111010011100010101110 $ -b11111100011111010011100010101110 - -b11111100011111010011100010101110 5 -b11111100011111010011100010101110 ? -b11111100011111010011100010101110 D -b1111111101000111000100110110010 % -b1111111101000111000100110110010 . -b1111111101000111000100110110010 6 -b1111111101000111000100110110010 @ -b1111111101000111000100110110010 F -b1111100110110011010111011111100 ) -#124608000 -0& -#124624000 -b11110011011 , -#124640000 -1& -#124656000 -b11110100001111111011101111111101 " -b11110100001111111011101111111101 4 -b1111111111111111111111111001101 1 -b1111111111111111111111111001101 C -b11101000011111110011111 0 -b11101000011111110011111 H -09 -18 -b10000000000000000001110000110111 < -b11110100001111111011101111111101 2 -b11110100001111111011101111111101 = -b11110100001111111011101111111101 : -b1110100001111111001111111000101 $ -b1110100001111111001111111000101 - -b1110100001111111001111111000101 5 -b1110100001111111001111111000101 ? -b1110100001111111001111111000101 D -b1111111111111111110001111001000 % -b1111111111111111110001111001000 . -b1111111111111111110001111001000 6 -b1111111111111111110001111001000 @ -b1111111111111111110001111001000 F -b11110100001111111011101111111101 ) -#124672000 -0& -#124688000 -b11110011100 , -#124704000 -1& -#124720000 -b101101010100011101010110001000 " -b101101010100011101010110001000 4 -b11111111111111111111111011111011 1 -b11111111111111111111111011111011 C -b10101 0 -b10101 H -19 -18 -b10000000011000100001100100100100 < -b101101010100011101010110001000 2 -b101101010100011101010110001000 = -b101101010100011101010110001000 : -b10101100111011111011110001100011 $ -b10101100111011111011110001100011 - -b10101100111011111011110001100011 5 -b10101100111011111011110001100011 ? -b10101100111011111011110001100011 D -b1111111100111011110011011011011 % -b1111111100111011110011011011011 . -b1111111100111011110011011011011 6 -b1111111100111011110011011011011 @ -b1111111100111011110011011011011 F -b101101010100011101010110001000 ) -#124736000 -0& -#124752000 -b11110011101 , -#124768000 -1& -#124784000 -b1111111011110010111110101100100 " -b1111111011110010111110101100100 4 -b11111111111111111001010110101111 1 -b11111111111111111001010110101111 C -b11111110111100100010 0 -b11111110111100100010 H -09 -08 -b110101111010100 < -b1111111011110010111110101100100 2 -b1111111011110010111110101100100 = -b1111111011110010111110101100100 : -b1111111011110010001000110001111 $ -b1111111011110010001000110001111 - -b1111111011110010001000110001111 5 -b1111111011110010001000110001111 ? -b1111111011110010001000110001111 D -b11111111111111111001010000101011 % -b11111111111111111001010000101011 . -b11111111111111111001010000101011 6 -b11111111111111111001010000101011 @ -b11111111111111111001010000101011 F -b1111111011110010111110101100100 ) -#124800000 -0& -#124816000 -b11110011110 , -#124832000 -1& -#124848000 -b111101100110000100000010001111 " -b111101100110000100000010001111 4 -b11111111111111110111000111110111 1 -b11111111111111110111000111110111 C -b1011110110 0 -b1011110110 H -19 -18 -b10000000000000001100111010101001 < -b111101100110000100000010001111 2 -b111101100110000100000010001111 = -b111101100110000100000010001111 : -b10111101100101110111000111100101 $ -b10111101100101110111000111100101 - -b10111101100101110111000111100101 5 -b10111101100101110111000111100101 ? -b10111101100101110111000111100101 D -b1111111111111110011000101010110 % -b1111111111111110011000101010110 . -b1111111111111110011000101010110 6 -b1111111111111110011000101010110 @ -b1111111111111110011000101010110 F -b111101100110000100000010001111 ) -#124864000 -0& -#124880000 -b11110011111 , -#124896000 -1& -#124912000 -b1101011010100010110010010111000 " -b1101011010100010110010010111000 4 -b11111111010111111111111111111011 1 -b11111111010111111111111111111011 C -b101011001011 0 -b101011001011 H -09 -08 -b10100111100011001000100001100 < -b1101011010100010110010010111000 2 -b1101011010100010110010010111000 = -b1101011010100010110010010111000 : -b1010110010111111101001110101011 $ -b1010110010111111101001110101011 - -b1010110010111111101001110101011 5 -b1010110010111111101001110101011 ? -b1010110010111111101001110101011 D -b11101011000011100110111011110011 % -b11101011000011100110111011110011 . -b11101011000011100110111011110011 6 -b11101011000011100110111011110011 @ -b11101011000011100110111011110011 F -b1101011010100010110010010111000 ) -#124928000 -0& -#124944000 -b11110100000 , -#124960000 -1& -#124976000 -b10110111111010101011111000 " -b10110111111010101011111000 4 -b1011111111011111110111110111001 1 -b1011111111011111110111110111001 C -b101100111101110110011111001100 0 -b101100111101110110011111001100 H -b10101000111100001101101101011110 < -b10110111111010101011111000 2 -b10110111111010101011111000 = -b10110111111010101011111000 : -b1011001111011101100111110011001 $ -b1011001111011101100111110011001 - -b1011001111011101100111110011001 5 -b1011001111011101100111110011001 ? -b1011001111011101100111110011001 D -b1010111000011110010010010100001 % -b1010111000011110010010010100001 . -b1010111000011110010010010100001 6 -b1010111000011110010010010100001 @ -b1010111000011110010010010100001 F -b10110111111010101011111000 ) -#124992000 -0& -#125008000 -b11110100001 , -#125024000 -1& -#125040000 -b11111011001101110101100101010001 " -b11111011001101110101100101010001 4 -b11101111111111110111101010111111 1 -b11101111111111110111101010111111 C -b1 0 -b1 H -18 -b10000010010001110011101000000 < -b11111011001101110101100101010001 2 -b11111011001101110101100101010001 = -b11111011001101110101100101010001 : -b11101010111011100111001000010000 $ -b11101010111011100111001000010000 - -b11101010111011100111001000010000 5 -b11101010111011100111001000010000 ? -b11101010111011100111001000010000 D -b11101111101101110001100010111111 % -b11101111101101110001100010111111 . -b11101111101101110001100010111111 6 -b11101111101101110001100010111111 @ -b11101111101101110001100010111111 F -b11111011001101110101100101010001 ) -#125056000 -0& -#125072000 -b11110100010 , -#125088000 -1& -#125104000 -b1101000001101010101000001101111 " -b1101000001101010101000001101111 4 -b11111111111111110111111111011101 1 -b11111111111111110111111111011101 C -b110 0 -b110 H -19 -18 -b10001000010000001101010000100010 < -b1101000001101010101000001101111 2 -b1101000001101010101000001101111 = -b1101000001101010101000001101111 : -b11011111111101000111110001001100 $ -b11011111111101000111110001001100 - -b11011111111101000111110001001100 5 -b11011111111101000111110001001100 ? -b11011111111101000111110001001100 D -b1110111101111110010101111011101 % -b1110111101111110010101111011101 . -b1110111101111110010101111011101 6 -b1110111101111110010101111011101 @ -b1110111101111110010101111011101 F -b1101000001101010101000001101111 ) -#125120000 -0& -#125136000 -b11110100011 , -#125152000 -1& -#125168000 -b1011010010101101110011111111000 " -b1011010010101101110011111111000 4 -b11111111111111111111100101111011 1 -b11111111111111111111100101111011 C -b11010111111101100111100101011 0 -b11010111111101100111100101011 H -b10000010011000000110111010011100 < -b1011010010101101110011111111000 2 -b1011010010101101110011111111000 = -b1011010010101101110011111111000 : -b11010111111101100111100101011011 $ -b11010111111101100111100101011011 - -b11010111111101100111100101011011 5 -b11010111111101100111100101011011 ? -b11010111111101100111100101011011 D -b1111101100111111001000101100011 % -b1111101100111111001000101100011 . -b1111101100111111001000101100011 6 -b1111101100111111001000101100011 @ -b1111101100111111001000101100011 F -b1011010010101101110011111111000 ) -#125184000 -0& -#125200000 -b11110100100 , -#125216000 -1& -#125232000 -b10011110000010011001010100000110 " -b10011110000010011001010100000110 4 -b11111111111111110111111101110110 1 -b11111111111111110111111101110110 C -b111110111111111 0 -b111110111111111 H -08 -19 -b100000000010101000000110001111 < -b10011110000010011001010100000110 2 -b10011110000010011001010100000110 = -b10011110000010011001010100000110 : -b1111101111111110001001101110110 $ -b1111101111111110001001101110110 - -b1111101111111110001001101110110 5 -b1111101111111110001001101110110 ? -b1111101111111110001001101110110 D -b11011111111101010111111001110000 % -b11011111111101010111111001110000 . -b11011111111101010111111001110000 6 -b11011111111101010111111001110000 @ -b11011111111101010111111001110000 F -b10011110000010011001010100000110 ) -#125248000 -0& -#125264000 -b11110100101 , -#125280000 -1& -#125296000 -b11110101111110110111111110011010 " -b11110101111110110111111110011010 4 -b11111111111111111101110011101110 1 -b11111111111111111101110011101110 C -b1111010111110111010111 0 -b1111010111110111010111 H -09 -18 -b1000010001100110101 < -b11110101111110110111111110011010 2 -b11110101111110110111111110011010 = -b11110101111110110111111110011010 : -b11110101111101110101110001100100 $ -b11110101111101110101110001100100 - -b11110101111101110101110001100100 5 -b11110101111101110101110001100100 ? -b11110101111101110101110001100100 D -b11111111111110111101110011001010 % -b11111111111110111101110011001010 . -b11111111111110111101110011001010 6 -b11111111111110111101110011001010 @ -b11111111111110111101110011001010 F -b11110101111110110111111110011010 ) -#125312000 -0& -#125328000 -b11110100110 , -#125344000 -1& -#125360000 -b11000111111111010110001111000001 " -b11000111111111010110001111000001 4 -b11111101110111111111110111001011 1 -b11111101110111111111110111001011 C -b111110111011101010110 0 -b111110111011101010110 H -19 -08 -b1001010001000000000101011110101 < -b11000111111111010110001111000001 2 -b11000111111111010110001111000001 = -b11000111111111010110001111000001 : -b1111101110111010101100011001011 $ -b1111101110111010101100011001011 - -b1111101110111010101100011001011 5 -b1111101110111010101100011001011 ? -b1111101110111010101100011001011 D -b10110101110111111111010100001010 % -b10110101110111111111010100001010 . -b10110101110111111111010100001010 6 -b10110101110111111111010100001010 @ -b10110101110111111111010100001010 F -b11000111111111010110001111000001 ) -#125376000 -0& -#125392000 -b11110100111 , -#125408000 -1& -#125424000 -b1111110001111001000110000100101 " -b1111110001111001000110000100101 4 -b11111111111111011011010011101111 1 -b11111111111111011011010011101111 C -b111111000101101101100001 0 -b111111000101101101100001 H -09 -08 -b11101101101110011000 < -b1111110001111001000110000100101 2 -b1111110001111001000110000100101 = -b1111110001111001000110000100101 : -b1111110001011011011000010001100 $ -b1111110001011011011000010001100 - -b1111110001011011011000010001100 5 -b1111110001011011011000010001100 ? -b1111110001011011011000010001100 D -b11111111111100010010010001100111 % -b11111111111100010010010001100111 . -b11111111111100010010010001100111 6 -b11111111111100010010010001100111 @ -b11111111111100010010010001100111 F -b1111110001111001000110000100101 ) -#125440000 -0& -#125456000 -b11110101000 , -#125472000 -1& -#125488000 -b11010000010000000101000011000000 " -b11010000010000000101000011000000 4 -b1110111111111111111111101001000 1 -b1110111111111111111111101001000 C -b1001111011111110101100 0 -b1001111011111110101100 H -18 -b10101000100000001010010010110111 < -b11010000010000000101000011000000 2 -b11010000010000000101000011000000 = -b11010000010000000101000011000000 : -b100111101111111010110000001000 $ -b100111101111111010110000001000 - -b100111101111111010110000001000 5 -b100111101111111010110000001000 ? -b100111101111111010110000001000 D -b1010111011111110101101101001000 % -b1010111011111110101101101001000 . -b1010111011111110101101101001000 6 -b1010111011111110101101101001000 @ -b1010111011111110101101101001000 F -b11010000010000000101000011000000 ) -#125504000 -0& -#125520000 -b11110101001 , -#125536000 -1& -#125552000 -b11000000000000001100011011100111 " -b11000000000000001100011011100111 4 -b11111111111111111111111111111101 1 -b11111111111111111111111111111101 C -b1111111111111110101 0 -b1111111111111110101 H -b11000000000000100000100000110010 < -b11000000000000001100011011100111 2 -b11000000000000001100011011100111 = -b11000000000000001100011011100111 : -b11111111111111101011111010110100 $ -b11111111111111101011111010110100 - -b11111111111111101011111010110100 5 -b11111111111111101011111010110100 ? -b11111111111111101011111010110100 D -b111111111111011111011111001101 % -b111111111111011111011111001101 . -b111111111111011111011111001101 6 -b111111111111011111011111001101 @ -b111111111111011111011111001101 F -b11000000000000001100011011100111 ) -#125568000 -0& -#125584000 -b11110101010 , -#125600000 -1& -#125616000 -b10000011100001001010011101000011 " -b10000011100001001010011101000011 4 -b11101111111011111101111111010111 1 -b11101111111011111101111111010111 C -b11101010111011 0 -b11101010111011 H -b10011000100101001110000000101101 < -b10000011100001001010011101000011 2 -b10000011100001001010011101000011 = -b10000011100001001010011101000011 : -b11101010111011111100011100010101 $ -b11101010111011111100011100010101 - -b11101010111011111100011100010101 5 -b11101010111011111100011100010101 ? -b11101010111011111100011100010101 D -b1100111011010110001111111010010 % -b1100111011010110001111111010010 . -b1100111011010110001111111010010 6 -b1100111011010110001111111010010 @ -b1100111011010110001111111010010 F -b10000011100001001010011101000011 ) -#125632000 -0& -#125648000 -b11110101011 , -#125664000 -1& -#125680000 -b101001100011001101111001010011 " -b101001100011001101111001010011 4 -b1111111111111111111111111011111 1 -b1111111111111111111111111011111 C -b1111100111101110111110100 0 -b1111100111101110111110100 H -08 -b10101100100101010110000100111001 < -b101001100011001101111001010011 2 -b101001100011001101111001010011 = -b101001100011001101111001010011 : -b1111100111101110111110100011001 $ -b1111100111101110111110100011001 - -b1111100111101110111110100011001 5 -b1111100111101110111110100011001 ? -b1111100111101110111110100011001 D -b1010011011010101001111011000110 % -b1010011011010101001111011000110 . -b1010011011010101001111011000110 6 -b1010011011010101001111011000110 @ -b1010011011010101001111011000110 F -b101001100011001101111001010011 ) -#125696000 -0& -#125712000 -b11110101100 , -#125728000 -1& -#125744000 -b11111100000111111111000111111 " -b11111100000111111111000111111 4 -b11111111111111110111111011011111 1 -b11111111111111110111111011011111 C -b1111111110000011 0 -b1111111110000011 H -08 -b100000000000001000011110101111 < -b11111100000111111111000111111 2 -b11111100000111111111000111111 = -b11111100000111111111000111111 : -b11111111100000110111011010001111 $ -b11111111100000110111011010001111 - -b11111111100000110111011010001111 5 -b11111111100000110111011010001111 ? -b11111111100000110111011010001111 D -b11011111111111110111100001010000 % -b11011111111111110111100001010000 . -b11011111111111110111100001010000 6 -b11011111111111110111100001010000 @ -b11011111111111110111100001010000 F -b11111100000111111111000111111 ) -#125760000 -0& -#125776000 -b11110101101 , -#125792000 -1& -#125808000 -b11111111110011000001111111000100 " -b11111111110011000001111111000100 4 -b11111111011111101011010011101100 1 -b11111111011111101011010011101100 C -b1111111100111010101101001010 0 -b1111111100111010101101001010 H -18 -b100100010110101100011011 < -b11111111110011000001111111000100 2 -b11111111110011000001111111000100 = -b11111111110011000001111111000100 : -b11111111001110101011010010101000 $ -b11111111001110101011010010101000 - -b11111111001110101011010010101000 5 -b11111111001110101011010010101000 ? -b11111111001110101011010010101000 D -b11111111011011101001010011100100 % -b11111111011011101001010011100100 . -b11111111011011101001010011100100 6 -b11111111011011101001010011100100 @ -b11111111011011101001010011100100 F -b11111111110011000001111111000100 ) -#125824000 -0& -#125840000 -b11110101110 , -#125856000 -1& -#125872000 -b11100101001011100000001111101011 " -b11100101001011100000001111101011 4 -b1111101111110111001011011111111 1 -b1111101111110111001011011111111 C -b11110111111001100101 0 -b11110111111001100101 H -18 -b10100111001101000110110100110101 < -b11100101001011100000001111101011 2 -b11100101001011100000001111101011 = -b11100101001011100000001111101011 : -b111101111110011001011010110101 $ -b111101111110011001011010110101 - -b111101111110011001011010110101 5 -b111101111110011001011010110101 ? -b111101111110011001011010110101 D -b1011000110010111001001011001010 % -b1011000110010111001001011001010 . -b1011000110010111001001011001010 6 -b1011000110010111001001011001010 @ -b1011000110010111001001011001010 F -b11100101001011100000001111101011 ) -#125888000 -0& -#125904000 -b11110101111 , -#125920000 -1& -#125936000 -b1111101001010101011111101011010 " -b1111101001010101011111101011010 4 -b10111111111111111110111111101111 1 -b10111111111111111110111111101111 C -b1101111111111111101 0 -b1101111111111111101 H -08 -b1000101001010101101001110110100 < -b1111101001010101011111101011010 2 -b1111101001010101011111101011010 = -b1111101001010101011111101011010 : -b110111111111111110101110100101 $ -b110111111111111110101110100101 - -b110111111111111110101110100101 5 -b110111111111111110101110100101 ? -b110111111111111110101110100101 D -b10111010110101010010110001001011 % -b10111010110101010010110001001011 . -b10111010110101010010110001001011 6 -b10111010110101010010110001001011 @ -b10111010110101010010110001001011 F -b1111101001010101011111101011010 ) -#125952000 -0& -#125968000 -b11110110000 , -#125984000 -1& -#126000000 -b11010100100111011111001001010000 " -b11010100100111011111001001010000 4 -b1111110111111101111111101011010 1 -b1111110111111101111111101011010 C -b100111011111100111011 0 -b100111011111100111011 H -09 -18 -b10000101101000010000001011110101 < -b11010100100111011111001001010000 2 -b11010100100111011111001001010000 = -b11010100100111011111001001010000 : -b1001110111111001110111101011010 $ -b1001110111111001110111101011010 - -b1001110111111001110111101011010 5 -b1001110111111001110111101011010 ? -b1001110111111001110111101011010 D -b1111010010111101111110100001010 % -b1111010010111101111110100001010 . -b1111010010111101111110100001010 6 -b1111010010111101111110100001010 @ -b1111010010111101111110100001010 F -b11010100100111011111001001010000 ) -#126016000 -0& -#126032000 -b11110110001 , -#126048000 -1& -#126064000 -b10000001100011111111110100011101 " -b10000001100011111111110100011101 4 -b11011011111111111111111110110011 1 -b11011011111111111111111110110011 C -b1101101111111 0 -b1101101111111 H -18 -b10100101100100000111110101101100 < -b10000001100011111111110100011101 2 -b10000001100011111111110100011101 = -b10000001100011111111110100011101 : -b11011011111111110111111110110000 $ -b11011011111111110111111110110000 - -b11011011111111110111111110110000 5 -b11011011111111110111111110110000 ? -b11011011111111110111111110110000 D -b1011010011011111000001010010011 % -b1011010011011111000001010010011 . -b1011010011011111000001010010011 6 -b1011010011011111000001010010011 @ -b1011010011011111000001010010011 F -b10000001100011111111110100011101 ) -#126080000 -0& -#126096000 -b11110110010 , -#126112000 -1& -#126128000 -b11110010100010000011110011101101 " -b11110010100010000011110011101101 4 -b11111111101111110101010101111101 1 -b11111111101111110101010101111101 C -b11101111001101110101 0 -b11101111001101110101 H -b11010100001110101110110011 < -b11110010100010000011110011101101 2 -b11110010100010000011110011101101 = -b11110010100010000011110011101101 : -b11101111001101110101000100111001 $ -b11101111001101110101000100111001 - -b11101111001101110101000100111001 5 -b11101111001101110101000100111001 ? -b11101111001101110101000100111001 D -b11111100101011110001010001001100 % -b11111100101011110001010001001100 . -b11111100101011110001010001001100 6 -b11111100101011110001010001001100 @ -b11111100101011110001010001001100 F -b11110010100010000011110011101101 ) -#126144000 -0& -#126160000 -b11110110011 , -#126176000 -1& -#126192000 -b10011111101001001010110110011101 " -b10011111101001001010110110011101 4 -b11111111111011111111111111111111 1 -b11111111111011111111111111111111 C -b1111111011101111011010110111111 0 -b1111111011101111011010110111111 H -18 -b10100000101101010100001000011110 < -b10011111101001001010110110011101 2 -b10011111101001001010110110011101 = -b10011111101001001010110110011101 : -b11111110111011110110101101111110 $ -b11111110111011110110101101111110 - -b11111110111011110110101101111110 5 -b11111110111011110110101101111110 ? -b11111110111011110110101101111110 D -b1011111010010101011110111100001 % -b1011111010010101011110111100001 . -b1011111010010101011110111100001 6 -b1011111010010101011110111100001 @ -b1011111010010101011110111100001 F -b10011111101001001010110110011101 ) -#126208000 -0& -#126224000 -b11110110100 , -#126240000 -1& -#126256000 -b11001100011000001100000011001010 " -b11001100011000001100000011001010 4 -b1111111111111110111011110111110 1 -b1111111111111110111011110111110 C -b1111 0 -b1111 H -18 -09 -b10001100011000101000101001000101 < -b11001100011000001100000011001010 2 -b11001100011000001100000011001010 = -b11001100011000001100000011001010 : -b111111111111100011011010000100 $ -b111111111111100011011010000100 - -b111111111111100011011010000100 5 -b111111111111100011011010000100 ? -b111111111111100011011010000100 D -b1110011100111010111010110111010 % -b1110011100111010111010110111010 . -b1110011100111010111010110111010 6 -b1110011100111010111010110111010 @ -b1110011100111010111010110111010 F -b11001100011000001100000011001010 ) -#126272000 -0& -#126288000 -b11110110101 , -#126304000 -1& -#126320000 -b1001101110100001110010011111 " -b1001101110100001110010011111 4 -b1111111111011110111010101110101 1 -b1111111111011110111010101110101 C -b1100101011 0 -b1100101011 H -08 -b10100100010100001100101110001010 < -b1001101110100001110010011111 2 -b1001101110100001110010011111 = -b1001101110100001110010011111 : -b1100101011010010101000100010100 $ -b1100101011010010101000100010100 - -b1100101011010010101000100010100 5 -b1100101011010010101000100010100 ? -b1100101011010010101000100010100 D -b1011011101011110011010001110101 % -b1011011101011110011010001110101 . -b1011011101011110011010001110101 6 -b1011011101011110011010001110101 @ -b1011011101011110011010001110101 F -b1001101110100001110010011111 ) -#126336000 -0& -#126352000 -b11110110110 , -#126368000 -1& -#126384000 -b111111111010011101100111011110 " -b111111111010011101100111011110 4 -b11111011111111111111111101110110 1 -b11111011111111111111111101110110 C -b11101111 0 -b11101111 H -08 -b100000010011110000010101001 < -b111111111010011101100111011110 2 -b111111111010011101100111011110 = -b111111111010011101100111011110 : -b111011110111111111100100110100 $ -b111011110111111111100100110100 - -b111011110111111111100100110100 5 -b111011110111111111100100110100 ? -b111011110111111111100100110100 D -b11111011111101100001111101010110 % -b11111011111101100001111101010110 . -b11111011111101100001111101010110 6 -b11111011111101100001111101010110 @ -b11111011111101100001111101010110 F -b111111111010011101100111011110 ) -#126400000 -0& -#126416000 -b11110110111 , -#126432000 -1& -#126448000 -b1110111101001101001101111000000 " -b1110111101001101001101111000000 4 -b11111111111111111011111001000010 1 -b11111111111111111011111001000010 C -b11101110111011000110110000000 0 -b11101110111011000110110000000 H -b1100000110010110111101 < -b1110111101001101001101111000000 2 -b1110111101001101001101111000000 = -b1110111101001101001101111000000 : -b1110111011101100011011000000010 $ -b1110111011101100011011000000010 - -b1110111011101100011011000000010 5 -b1110111011101100011011000000010 ? -b1110111011101100011011000000010 D -b11111111110011111001101001000010 % -b11111111110011111001101001000010 . -b11111111110011111001101001000010 6 -b11111111110011111001101001000010 @ -b11111111110011111001101001000010 F -b1110111101001101001101111000000 ) -#126464000 -0& -#126480000 -b11110111000 , -#126496000 -1& -#126512000 -b11110111111101000100011101100010 " -b11110111111101000100011101100010 4 -b11111111011111101101111101111110 1 -b11111111011111101101111101111110 C -b111011 0 -b111011 H -18 -b1000100001010110100011100101 < -b11110111111101000100011101100010 2 -b11110111111101000100011101100010 = -b11110111111101000100011101100010 : -b11101111011011101101111001111100 $ -b11101111011011101101111001111100 - -b11101111011011101101111001111100 5 -b11101111011011101101111001111100 ? -b11101111011011101101111001111100 D -b11110111011110101001011100011010 % -b11110111011110101001011100011010 . -b11110111011110101001011100011010 6 -b11110111011110101001011100011010 @ -b11110111011110101001011100011010 F -b11110111111101000100011101100010 ) -#126528000 -0& -#126544000 -b11110111001 , -#126560000 -1& -#126576000 -b1110110000110010110111011011010 " -b1110110000110010110111011011010 4 -b11110111111110111111111111011110 1 -b11110111111110111111111111011110 C -b110011111110011111001111101 0 -b110011111110011111001111101 H -08 -b1110001001011000011011111011 < -b1110110000110010110111011011010 2 -b1110110000110010110111011011010 = -b1110110000110010110111011011010 : -b1100111111100111110011111011110 $ -b1100111111100111110011111011110 - -b1100111111100111110011111011110 5 -b1100111111100111110011111011110 ? -b1100111111100111110011111011110 D -b11110001110110100111100100000100 % -b11110001110110100111100100000100 . -b11110001110110100111100100000100 6 -b11110001110110100111100100000100 @ -b11110001110110100111100100000100 F -b1110110000110010110111011011010 ) -#126592000 -0& -#126608000 -b11110111010 , -#126624000 -1& -#126640000 -b10110101111011100010000011011101 " -b10110101111011100010000011011101 4 -b11111111111111111110000111111111 1 -b11111111111111111110000111111111 C -b10111110111110111100001011111 0 -b10111110111110111100001011111 H -19 -08 -b1010110011100000011111101011101 < -b10110101111011100010000011011101 2 -b10110101111011100010000011011101 = -b10110101111011100010000011011101 : -b1011111011111011110000101111111 $ -b1011111011111011110000101111111 - -b1011111011111011110000101111111 5 -b1011111011111011110000101111111 ? -b1011111011111011110000101111111 D -b10101001100011111100000010100010 % -b10101001100011111100000010100010 . -b10101001100011111100000010100010 6 -b10101001100011111100000010100010 @ -b10101001100011111100000010100010 F -b10110101111011100010000011011101 ) -#126656000 -0& -#126672000 -b11110111011 , -#126688000 -1& -#126704000 -b11001000111111111111011001111110 " -b11001000111111111111011001111110 4 -b11111111101111110001111111111110 1 -b11111111101111110001111111111110 C -b11111111 0 -b11111111 H -09 -18 -b11001001010000001110000100000111 < -b11001000111111111111011001111110 2 -b11001000111111111111011001111110 = -b11001000111111111111011001111110 : -b11111111101111110001010101110110 $ -b11111111101111110001010101110110 - -b11111111101111110001010101110110 5 -b11111111101111110001010101110110 ? -b11111111101111110001010101110110 D -b110110101111110001111011111000 % -b110110101111110001111011111000 . -b110110101111110001111011111000 6 -b110110101111110001111011111000 @ -b110110101111110001111011111000 F -b11001000111111111111011001111110 ) -#126720000 -0& -#126736000 -b11110111100 , -#126752000 -1& -#126768000 -b1111111110010001000001011000001 " -b1111111110010001000001011000001 4 -b11111111111111111111111111011011 1 -b11111111111111111111111111011011 C -b111111 0 -b111111 H -08 -b10001000001101100110 < -b1111111110010001000001011000001 2 -b1111111110010001000001011000001 = -b1111111110010001000001011000001 : -b1111111101111111111111101011010 $ -b1111111101111111111111101011010 - -b1111111101111111111111101011010 5 -b1111111101111111111111101011010 ? -b1111111101111111111111101011010 D -b11111111111101110111110010011001 % -b11111111111101110111110010011001 . -b11111111111101110111110010011001 6 -b11111111111101110111110010011001 @ -b11111111111101110111110010011001 F -b1111111110010001000001011000001 ) -#126784000 -0& -#126800000 -b11110111101 , -#126816000 -1& -#126832000 -b1110001101100011100000000011000 " -b1110001101100011100000000011000 4 -b11111111111101110100111011111000 1 -b11111111111101110100111011111000 C -b1011111011001110000111011111000 0 -b1011111011001110000111011111000 H -b10010010010101011000100011111 < -b1110001101100011100000000011000 2 -b1110001101100011100000000011000 = -b1110001101100011100000000011000 : -b1011111011001110000111011111000 $ -b1011111011001110000111011111000 - -b1011111011001110000111011111000 5 -b1011111011001110000111011111000 ? -b1011111011001110000111011111000 D -b11101101101101010100111011100000 % -b11101101101101010100111011100000 . -b11101101101101010100111011100000 6 -b11101101101101010100111011100000 @ -b11101101101101010100111011100000 F -b1110001101100011100000000011000 ) -#126848000 -0& -#126864000 -b11110111110 , -#126880000 -1& -#126896000 -b10010001000101100011100111101000 " -b10010001000101100011100111101000 4 -b11111111111111111110101010111100 1 -b11111111111111111110101010111100 C -b11111111110 0 -b11111111110 H -19 -08 -b10001001010100101011101001011 < -b10010001000101100011100111101000 2 -b10010001000101100011100111101000 = -b10010001000101100011100111101000 : -b1111111111010111110001010011100 $ -b1111111111010111110001010011100 - -b1111111111010111110001010011100 5 -b1111111111010111110001010011100 ? -b1111111111010111110001010011100 D -b11101110110101011010100010110100 % -b11101110110101011010100010110100 . -b11101110110101011010100010110100 6 -b11101110110101011010100010110100 @ -b11101110110101011010100010110100 F -b10010001000101100011100111101000 ) -#126912000 -0& -#126928000 -b11110111111 , -#126944000 -1& -#126960000 -b1111111001011100001110000101000 " -b1111111001011100001110000101000 4 -b11111111011111111111111011101010 1 -b11111111011111111111111011101010 C -b111111100110110111001110110010 0 -b111111100110110111001110110010 H -18 -b10000000110000000100110101011101 < -b1111111001011100001110000101000 2 -b1111111001011100001110000101000 = -b1111111001011100001110000101000 : -b11111110011011011100111011001010 $ -b11111110011011011100111011001010 - -b11111110011011011100111011001010 5 -b11111110011011011100111011001010 ? -b11111110011011011100111011001010 D -b1111111001111111011001010100010 % -b1111111001111111011001010100010 . -b1111111001111111011001010100010 6 -b1111111001111111011001010100010 @ -b1111111001111111011001010100010 F -b1111111001011100001110000101000 ) -#126976000 -0& -#126992000 -b11111000000 , -#127008000 -1& -#127024000 -b10111101101111000010101000100100 " -b10111101101111000010101000100100 4 -b11100111111111011101011011111100 1 -b11100111111111011101011011111100 C -b11100101 0 -b11100101 H -09 -18 -b11011000000000100110100100100111 < -b10111101101111000010101000100100 2 -b10111101101111000010101000100100 = -b10111101101111000010101000100100 : -b11100101101110011100000011111100 $ -b11100101101110011100000011111100 - -b11100101101110011100000011111100 5 -b11100101101110011100000011111100 ? -b11100101101110011100000011111100 D -b100111111111011001011011011000 % -b100111111111011001011011011000 . -b100111111111011001011011011000 6 -b100111111111011001011011011000 @ -b100111111111011001011011011000 F -b10111101101111000010101000100100 ) -#127040000 -0& -#127056000 -b11111000001 , -#127072000 -1& -#127088000 -b11010100010011110100010001111011 " -b11010100010011110100010001111011 4 -b1111111111111111111011111111111 1 -b1111111111111111111011111111111 C -b10100 0 -b10100 H -18 -b10000000100000000000111000000101 < -b11010100010011110100010001111011 2 -b11010100010011110100010001111011 = -b11010100010011110100010001111011 : -b1010011110011110011011001110101 $ -b1010011110011110011011001110101 - -b1010011110011110011011001110101 5 -b1010011110011110011011001110101 ? -b1010011110011110011011001110101 D -b1111111011111111111000111111010 % -b1111111011111111111000111111010 . -b1111111011111111111000111111010 6 -b1111111011111111111000111111010 @ -b1111111011111111111000111111010 F -b11010100010011110100010001111011 ) -#127104000 -0& -#127120000 -b11111000010 , -#127136000 -1& -#127152000 -b10000000000110011101110010010001 " -b10000000000110011101110010010001 4 -b11111111111111111111111011011001 1 -b11111111111111111111111011011001 C -b11111111111010111011010 0 -b11111111111010111011010 H -19 -08 -b1001000000000110110111 < -b10000000000110011101110010010001 2 -b10000000000110011101110010010001 = -b10000000000110011101110010010001 : -b1111111111101011101101011011001 $ -b1111111111101011101101011011001 - -b1111111111101011101101011011001 5 -b1111111111101011101101011011001 ? -b1111111111101011101101011011001 D -b11111111110110111111111001001000 % -b11111111110110111111111001001000 . -b11111111110110111111111001001000 6 -b11111111110110111111111001001000 @ -b11111111110110111111111001001000 F -b10000000000110011101110010010001 ) -#127168000 -0& -#127184000 -b11111000011 , -#127200000 -1& -#127216000 -b1111111101010001011011001110 " -b1111111101010001011011001110 4 -b111110111111110111111011101111 1 -b111110111111110111111011101111 C -b11111011110100010101001110111 0 -b11111011110100010101001110111 H -09 -08 -b11010001000000001100000111011110 < -b1111111101010001011011001110 2 -b1111111101010001011011001110 = -b1111111101010001011011001110 : -b111110111101000101010011101111 $ -b111110111101000101010011101111 - -b111110111101000101010011101111 5 -b111110111101000101010011101111 ? -b111110111101000101010011101111 D -b101110111111110011111000100001 % -b101110111111110011111000100001 . -b101110111111110011111000100001 6 -b101110111111110011111000100001 @ -b101110111111110011111000100001 F -b1111111101010001011011001110 ) -#127232000 -0& -#127248000 -b11111000100 , -#127264000 -1& -#127280000 -b1100000000011111100011001011111 " -b1100000000011111100011001011111 4 -b11111011110101111111101111101111 1 -b11111011110101111111101111101111 C -b11111011110101111011 0 -b11111011110101111011 H -08 -b1100100001110000001010100110011 < -b1100000000011111100011001011111 2 -b1100000000011111100011001011111 = -b1100000000011111100011001011111 : -b11111011110101111011000100101011 $ -b11111011110101111011000100101011 - -b11111011110101111011000100101011 5 -b11111011110101111011000100101011 ? -b11111011110101111011000100101011 D -b10011011110001111110101011001100 % -b10011011110001111110101011001100 . -b10011011110001111110101011001100 6 -b10011011110001111110101011001100 @ -b10011011110001111110101011001100 F -b1100000000011111100011001011111 ) -#127296000 -0& -#127312000 -b11111000101 , -#127328000 -1& -#127344000 -b100110000001111001010010110001 " -b100110000001111001010010110001 4 -b11111111111011110111111101010011 1 -b11111111111011110111111101010011 C -b11111111111011 0 -b11111111111011 H -b100110000110001000001010101101 < -b100110000001111001010010110001 2 -b100110000001111001010010110001 = -b100110000001111001010010110001 : -b11111111111011110001001000000011 $ -b11111111111011110001001000000011 - -b11111111111011110001001000000011 5 -b11111111111011110001001000000011 ? -b11111111111011110001001000000011 D -b11011001111001110111110101010010 % -b11011001111001110111110101010010 . -b11011001111001110111110101010010 6 -b11011001111001110111110101010010 @ -b11011001111001110111110101010010 F -b100110000001111001010010110001 ) -#127360000 -0& -#127376000 -b11111000110 , -#127392000 -1& -#127408000 -b10000011001001100011100100100000 " -b10000011001001100011100100100000 4 -b11111101111111110101111110101110 1 -b11111101111111110101111110101110 C -b111110010010010101 0 -b111110010010010101 H -18 -b10001010000000001110000001110001 < -b10000011001001100011100100100000 2 -b10000011001001100011100100100000 = -b10000011001001100011100100100000 : -b11111001001001010101100010101110 $ -b11111001001001010101100010101110 - -b11111001001001010101100010101110 5 -b11111001001001010101100010101110 ? -b11111001001001010101100010101110 D -b1110101111111110001111110001110 % -b1110101111111110001111110001110 . -b1110101111111110001111110001110 6 -b1110101111111110001111110001110 @ -b1110101111111110001111110001110 F -b10000011001001100011100100100000 ) -#127424000 -0& -#127440000 -b11111000111 , -#127456000 -1& -#127472000 -b10101100010011010011001101011010 " -b10101100010011010011001101011010 4 -b11111111110101110101111111111010 1 -b11111111110101110101111111111010 C -b1111111110010010 0 -b1111111110010010 H -b10101100101110101110010110001111 < -b10101100010011010011001101011010 2 -b10101100010011010011001101011010 = -b10101100010011010011001101011010 : -b11111111100100100100110111001010 $ -b11111111100100100100110111001010 - -b11111111100100100100110111001010 5 -b11111111100100100100110111001010 ? -b11111111100100100100110111001010 D -b1010011010001010001101001110000 % -b1010011010001010001101001110000 . -b1010011010001010001101001110000 6 -b1010011010001010001101001110000 @ -b1010011010001010001101001110000 F -b10101100010011010011001101011010 ) -#127488000 -0& -#127504000 -b11111001000 , -#127520000 -1& -#127536000 -b11101010001011100111110001001 " -b11101010001011100111110001001 4 -b11111111111111110111111110111111 1 -b11111111111111110111111110111111 C -b1101110100111 0 -b1101110100111 H -08 -b1000000000010101001000001001100 < -b11101010001011100111110001001 2 -b11101010001011100111110001001 = -b11101010001011100111110001001 : -b11011101001110110011111100111100 $ -b11011101001110110011111100111100 - -b11011101001110110011111100111100 5 -b11011101001110110011111100111100 ? -b11011101001110110011111100111100 D -b10111111111101010110111110110011 % -b10111111111101010110111110110011 . -b10111111111101010110111110110011 6 -b10111111111101010110111110110011 @ -b10111111111101010110111110110011 F -b11101010001011100111110001001 ) -#127552000 -0& -#127568000 -b11111001001 , -#127584000 -1& -#127600000 -b1001111110010110011011011101111 " -b1001111110010110011011011101111 4 -b1111111111111110111101111111001 1 -b1111111111111110111101111111001 C -b1111110111101110111000 0 -b1111110111101110111000 H -08 -b11010000110100111100010100110110 < -b1001111110010110011011011101111 2 -b1001111110010110011011011101111 = -b1001111110010110011011011101111 : -b1111110111101110111000110111000 $ -b1111110111101110111000110111000 - -b1111110111101110111000110111000 5 -b1111110111101110111000110111000 ? -b1111110111101110111000110111000 D -b101111001011000011101011001001 % -b101111001011000011101011001001 . -b101111001011000011101011001001 6 -b101111001011000011101011001001 @ -b101111001011000011101011001001 F -b1001111110010110011011011101111 ) -#127616000 -0& -#127632000 -b11111001010 , -#127648000 -1& -#127664000 -b1111000110010100011101111101000 " -b1111000110010100011101111101000 4 -b11111011111111111101110110011001 1 -b11111011111111111101110110011001 C -b110101 0 -b110101 H -b1100110010100110111001100110 < -b1111000110010100011101111101000 2 -b1111000110010100011101111101000 = -b1111000110010100011101111101000 : -b1101011111111111100110110000001 $ -b1101011111111111100110110000001 - -b1101011111111111100110110000001 5 -b1101011111111111100110110000001 ? -b1101011111111111100110110000001 D -b11110011001101011001000110011001 % -b11110011001101011001000110011001 . -b11110011001101011001000110011001 6 -b11110011001101011001000110011001 @ -b11110011001101011001000110011001 F -b1111000110010100011101111101000 ) -#127680000 -0& -#127696000 -b11111001011 , -#127712000 -1& -#127728000 -b11001111100000101000110101000 " -b11001111100000101000110101000 4 -b11111111111111111101001111111101 1 -b11111111111111111101001111111101 C -b111 0 -b111 H -08 -b101100111100001011110111000010 < -b11001111100000101000110101000 2 -b11001111100000101000110101000 = -b11001111100000101000110101000 : -b11101100111111111001001111100101 $ -b11101100111111111001001111100101 - -b11101100111111111001001111100101 5 -b11101100111111111001001111100101 ? -b11101100111111111001001111100101 D -b11010011000011110100001000111101 % -b11010011000011110100001000111101 . -b11010011000011110100001000111101 6 -b11010011000011110100001000111101 @ -b11010011000011110100001000111101 F -b11001111100000101000110101000 ) -#127744000 -0& -#127760000 -b11111001100 , -#127776000 -1& -#127792000 -b11111011111011100011100100111101 " -b11111011111011100011100100111101 4 -b11111111101111110110101101001101 1 -b11111111101111110110101101001101 C -b11110111101010110110 0 -b11110111101010110110 H -18 -b100010000101101011011110011 < -b11111011111011100011100100111101 2 -b11111011111011100011100100111101 = -b11111011111011100011100100111101 : -b11110111101010110110001001001001 $ -b11110111101010110110001001001001 - -b11110111101010110110001001001001 5 -b11110111101010110110001001001001 ? -b11110111101010110110001001001001 D -b11111011101111010010100100001100 % -b11111011101111010010100100001100 . -b11111011101111010010100100001100 6 -b11111011101111010010100100001100 @ -b11111011101111010010100100001100 F -b11111011111011100011100100111101 ) -#127808000 -0& -#127824000 -b11111001101 , -#127840000 -1& -#127856000 -b1111101000010000010101011000011 " -b1111101000010000010101011000011 4 -b11111111111111111111011111101111 1 -b11111111111111111111011111101111 C -b1111110011111000001000011 0 -b1111110011111000001000011 H -19 -18 -b10000000000100000000100100011000 < -b1111101000010000010101011000011 2 -b1111101000010000010101011000011 = -b1111101000010000010101011000011 : -b11111100111110000010000110101010 $ -b11111100111110000010000110101010 - -b11111100111110000010000110101010 5 -b11111100111110000010000110101010 ? -b11111100111110000010000110101010 D -b1111111111011111111011011100111 % -b1111111111011111111011011100111 . -b1111111111011111111011011100111 6 -b1111111111011111111011011100111 @ -b1111111111011111111011011100111 F -b1111101000010000010101011000011 ) -#127872000 -0& -#127888000 -b11111001110 , -#127904000 -1& -#127920000 -b10000011111111101001101011000001 " -b10000011111111101001101011000001 4 -b11111111110111111111111101100011 1 -b11111111110111111111111101100011 C -b111111111101011111010111001000 0 -b111111111101011111010111001000 H -09 -18 -b10000100001001101100001110011101 < -b10000011111111101001101011000001 2 -b10000011111111101001101011000001 = -b10000011111111101001101011000001 : -b11111111110101111101011100100011 $ -b11111111110101111101011100100011 - -b11111111110101111101011100100011 5 -b11111111110101111101011100100011 ? -b11111111110101111101011100100011 D -b1111011110110010011110001100010 % -b1111011110110010011110001100010 . -b1111011110110010011110001100010 6 -b1111011110110010011110001100010 @ -b1111011110110010011110001100010 F -b10000011111111101001101011000001 ) -#127936000 -0& -#127952000 -b11111001111 , -#127968000 -1& -#127984000 -b10101111011010111110111100111010 " -b10101111011010111110111100111010 4 -b11011111111111110111111111011011 1 -b11011111111111110111111111011011 C -b1000111 0 -b1000111 H -09 -18 -b100000000000001010111110100110 < -b10101111011010111110111100111010 2 -b10101111011010111110111100111010 = -b10101111011010111110111100111010 : -b10001111011010110011111110010011 $ -b10001111011010110011111110010011 - -b10001111011010110011111110010011 5 -b10001111011010110011111110010011 ? -b10001111011010110011111110010011 D -b11011111111111110101000001011001 % -b11011111111111110101000001011001 . -b11011111111111110101000001011001 6 -b11011111111111110101000001011001 @ -b11011111111111110101000001011001 F -b10101111011010111110111100111010 ) -#128000000 -0& -#128016000 -b11111010000 , -#128032000 -1& -#128048000 -b1100000011101011010110100000110 " -b1100000011101011010110100000110 4 -b1111111111111110110111110111110 1 -b1111111111111110110111110111110 C -b11111111111 0 -b11111111111 H -08 -b11100000100000001001111001001011 < -b1100000011101011010110100000110 2 -b1100000011101011010110100000110 = -b1100000011101011010110100000110 : -b1111111111101010000111010111010 $ -b1111111111101010000111010111010 - -b1111111111101010000111010111010 5 -b1111111111101010000111010111010 ? -b1111111111101010000111010111010 D -b11111011111110110000110110100 % -b11111011111110110000110110100 . -b11111011111110110000110110100 6 -b11111011111110110000110110100 @ -b11111011111110110000110110100 F -b1100000011101011010110100000110 ) -#128064000 -0& -#128080000 -b11111010001 , -#128096000 -1& -#128112000 -b11000001010000000000111001010101 " -b11000001010000000000111001010101 4 -b11001111111011110111011111111111 1 -b11001111111011110111011111111111 C -b1 0 -b1 H -18 -b110100100100001001100000000000 < -b11000001010000000000111001010101 2 -b11000001010000000000111001010101 = -b11000001010000000000111001010101 : -b10001100101011110111011001010100 $ -b10001100101011110111011001010100 - -b10001100101011110111011001010100 5 -b10001100101011110111011001010100 ? -b10001100101011110111011001010100 D -b11001011011011110110011111111111 % -b11001011011011110110011111111111 . -b11001011011011110110011111111111 6 -b11001011011011110110011111111111 @ -b11001011011011110110011111111111 F -b11000001010000000000111001010101 ) -#128128000 -0& -#128144000 -b11111010010 , -#128160000 -1& -#128176000 -b1000001001000001001101100001110 " -b1000001001000001001101100001110 4 -b11111111111011011011101111111111 1 -b11111111111011011011101111111111 C -b10111101000011011 0 -b10111101000011011 H -19 -18 -b10000100000100101110111100010000 < -b1000001001000001001101100001110 2 -b1000001001000001001101100001110 = -b1000001001000001001101100001110 : -b10111101000011011010101111111101 $ -b10111101000011011010101111111101 - -b10111101000011011010101111111101 5 -b10111101000011011010101111111101 ? -b10111101000011011010101111111101 D -b1111011111011010001000011101111 % -b1111011111011010001000011101111 . -b1111011111011010001000011101111 6 -b1111011111011010001000011101111 @ -b1111011111011010001000011101111 F -b1000001001000001001101100001110 ) -#128192000 -0& -#128208000 -b11111010011 , -#128224000 -1& -#128240000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b1 0 -b1 H -09 -08 -b0 < -b0 2 -b0 = -1# -b0 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b11111111111111111111111111111111 % -b11111111111111111111111111111111 . -b11111111111111111111111111111111 6 -b11111111111111111111111111111111 @ -b11111111111111111111111111111111 F -b0 ) -b1 ( -#128256000 -0& -#128272000 -b11111010100 , -#128288000 -1& -#128304000 -0! -b1 " -b1 4 -b11001101101011111101111111111111 1 -b11001101101011111101111111111111 C -b11111111111111111111111111110010 0 -b11111111111111111111111111110010 H -19 -18 -b10110010010100100010000100000101 < -b11 7 -b11 A -b11 E -b1 2 -b1 = -0# -b11 * -b11 / -b1111011011000001111011010101011 : -b11001001000011101101010110100101 $ -b11001001000011101101010110100101 - -b11001001000011101101010110100101 5 -b11001001000011101101010110100101 ? -b11001001000011101101010110100101 D -b1001101101011011101111011111010 % -b1001101101011011101111011111010 . -b1001101101011011101111011111010 6 -b1001101101011011101111011111010 @ -b1001101101011011101111011111010 F -b1 ) -b0 ( -#128320000 -0& -#128336000 -b11111010101 , -#128352000 -1& -#128368000 -1! -b0 " -b0 4 -b110110110111110001011 0 -b110110110111110001011 H -09 -b0 2 -b0 = -08 -b10001010000011011011111110110 < -1# -b1001000001000001100111000010111 : -b110110110111110001011000100000 $ -b110110110111110001011000100000 - -b110110110111110001011000100000 5 -b110110110111110001011000100000 ? -b110110110111110001011000100000 D -b11101110101111100100100000001001 % -b11101110101111100100100000001001 . -b11101110101111100100100000001001 6 -b11101110101111100100100000001001 @ -b11101110101111100100100000001001 F -b0 ) -b1 ( -#128384000 -0& -#128400000 -b11111010110 , -#128416000 -1& -#128432000 -1! -b0 " -b0 4 -b1101 0 -b1101 H -b0 2 -b0 = -19 -08 -b101000000001011110001001000100 < -b10010111111101000010100110010001 : -b1101111111011100100011101001100 $ -b1101111111011100100011101001100 - -b1101111111011100100011101001100 5 -b1101111111011100100011101001100 ? -b1101111111011100100011101001100 D -b11010111111110100001110110111011 % -b11010111111110100001110110111011 . -b11010111111110100001110110111011 6 -b11010111111110100001110110111011 @ -b11010111111110100001110110111011 F -#128448000 -0& -#128464000 -b11111010111 , -#128480000 -1& -#128496000 -1! -b0 " -b0 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -09 -b0 2 -b0 = -08 -b100010010000011111001000000110 < -b1110010000010011000011100110 : -b11101011111111110011111011011111 $ -b11101011111111110011111011011111 - -b11101011111111110011111011011111 5 -b11101011111111110011111011011111 ? -b11101011111111110011111011011111 D -b11011101101111100000110111111001 % -b11011101101111100000110111111001 . -b11011101101111100000110111111001 6 -b11011101101111100000110111111001 @ -b11011101101111100000110111111001 F -#128512000 -0& -#128528000 -b11111011000 , -#128544000 -1& -#128560000 -0! -b1 " -b1 4 -b11101011011010100011010100110 0 -b11101011011010100011010100110 H -b1 2 -b1 = -18 -b10000001000100001101100100111101 < -0# -b11110110110001011111001111010111 : -b1110101101101010001101010011001 $ -b1110101101101010001101010011001 - -b1110101101101010001101010011001 5 -b1110101101101010001101010011001 ? -b1110101101101010001101010011001 D -b1111110111011110010011011000010 % -b1111110111011110010011011000010 . -b1111110111011110010011011000010 6 -b1111110111011110010011011000010 @ -b1111110111011110010011011000010 F -b1 ) -b0 ( -#128576000 -0& -#128592000 -b11111011001 , -#128608000 -1& -#128624000 -0! -b1 " -b1 4 -b11111111101111101111101100011111 0 -b11111111101111101111101100011111 H -19 -b1 2 -b1 = -18 -b10000100000000100111100000110111 < -b1000010111111011001011110001101 : -b10111110111110110001111101010101 $ -b10111110111110110001111101010101 - -b10111110111110110001111101010101 5 -b10111110111110110001111101010101 ? -b10111110111110110001111101010101 D -b1111011111111011000011111001000 % -b1111011111111011000011111001000 . -b1111011111111011000011111001000 6 -b1111011111111011000011111001000 @ -b1111011111111011000011111001000 F -#128640000 -0& -#128656000 -b11111011010 , -#128672000 -1& -#128688000 -b11110111010111110101110010101100 0 -b11110111010111110101110010101100 H -b10001010100000000010001011111100 < -b1000101011110110000100001100001 : -b10111010111110101110010101100100 $ -b10111010111110101110010101100100 - -b10111010111110101110010101100100 5 -b10111010111110101110010101100100 ? -b10111010111110101110010101100100 D -b1110101011111111101110100000011 % -b1110101011111111101110100000011 . -b1110101011111111101110100000011 6 -b1110101011111111101110100000011 @ -b1110101011111111101110100000011 F -#128704000 -0& -#128720000 -b11111011011 , -#128736000 -1& -#128752000 -0! -b1 " -b1 4 -b10101 0 -b10101 H -09 -b1 2 -b1 = -18 -b10000000000000010111011001100110 < -b10101011111100010000101100011100 : -b101011111011111001010010110101 $ -b101011111011111001010010110101 - -b101011111011111001010010110101 5 -b101011111011111001010010110101 ? -b101011111011111001010010110101 D -b1111111111111101000100110011001 % -b1111111111111101000100110011001 . -b1111111111111101000100110011001 6 -b1111111111111101000100110011001 @ -b1111111111111101000100110011001 F -#128768000 -0& -#128784000 -b11111011100 , -#128800000 -1& -#128816000 -0! -b1 " -b1 4 -b11111111111111111111111111011110 0 -b11111111111111111111111111011110 H -19 -b1 2 -b1 = -18 -b10000000000001000111100010001011 < -b1111101111010000011110001011110 : -b11111101111000111100001111010010 $ -b11111101111000111100001111010010 - -b11111101111000111100001111010010 5 -b11111101111000111100001111010010 ? -b11111101111000111100001111010010 D -b1111111111110111000011101110100 % -b1111111111110111000011101110100 . -b1111111111110111000011101110100 6 -b1111111111110111000011101110100 @ -b1111111111110111000011101110100 F -#128832000 -0& -#128848000 -b11111011101 , -#128864000 -1& -#128880000 -1! -b0 " -b0 4 -b101001110001111111000 0 -b101001110001111111000 H -09 -b0 2 -b0 = -08 -b101001001100010001000110101 < -1# -b1011000101101100000001010111001 : -b1010011100011111110000010000011 $ -b1010011100011111110000010000011 - -b1010011100011111110000010000011 5 -b1010011100011111110000010000011 ? -b1010011100011111110000010000011 D -b11111010110110011101110111001010 % -b11111010110110011101110111001010 . -b11111010110110011101110111001010 6 -b11111010110110011101110111001010 @ -b11111010110110011101110111001010 F -b0 ) -b1 ( -#128896000 -0& -#128912000 -b11111011110 , -#128928000 -1& -#128944000 -b110110011000111010010 0 -b110110011000111010010 H -b1010001101010110 < -b110110011001000100100100100101 : -b110110011000111010010111001110 $ -b110110011000111010010111001110 - -b110110011000111010010111001110 5 -b110110011000111010010111001110 ? -b110110011000111010010111001110 D -b11111111111111110101110010101001 % -b11111111111111110101110010101001 . -b11111111111111110101110010101001 6 -b11111111111111110101110010101001 @ -b11111111111111110101110010101001 F -#128960000 -0& -#128976000 -b11111011111 , -#128992000 -1& -#129008000 -1! -b0 " -b0 4 -b11111111101111110111111100001011 0 -b11111111101111110111111100001011 H -b0 2 -b0 = -08 -b100010000000101000100100011110 < -b100001100000011000011100110110 : -b11111111011111101111111000010111 $ -b11111111011111101111111000010111 - -b11111111011111101111111000010111 5 -b11111111011111101111111000010111 ? -b11111111011111101111111000010111 D -b11011101111111010111011011100001 % -b11011101111111010111011011100001 . -b11011101111111010111011011100001 6 -b11011101111111010111011011100001 @ -b11011101111111010111011011100001 F -#129024000 -0& -#129040000 -b11111100000 , -#129056000 -1& -#129072000 -0! -b1 " -b1 4 -b11111111111111111111111111001111 0 -b11111111111111111111111111001111 H -b1 2 -b1 = -18 -19 -b10000100010111000100111001001010 < -0# -b1111110010111000100010110100001 : -b11111001111111111111011101010110 $ -b11111001111111111111011101010110 - -b11111001111111111111011101010110 5 -b11111001111111111111011101010110 ? -b11111001111111111111011101010110 D -b1111011101000111011000110110101 % -b1111011101000111011000110110101 . -b1111011101000111011000110110101 6 -b1111011101000111011000110110101 @ -b1111011101000111011000110110101 F -b1 ) -b0 ( -#129088000 -0& -#129104000 -b11111100001 , -#129120000 -1& -#129136000 -1! -b0 " -b0 4 -b1100110011101110100110011011 0 -b1100110011101110100110011011 H -09 -b0 2 -b0 = -08 -b1000100101000001011000011011101 < -1# -b1110111110111000101011101001100 : -b110011001110111010011001101110 $ -b110011001110111010011001101110 - -b110011001110111010011001101110 5 -b110011001110111010011001101110 ? -b110011001110111010011001101110 D -b10111011010111110100111100100010 % -b10111011010111110100111100100010 . -b10111011010111110100111100100010 6 -b10111011010111110100111100100010 @ -b10111011010111110100111100100010 F -b0 ) -b1 ( -#129152000 -0& -#129168000 -b11111100010 , -#129184000 -1& -#129200000 -1! -b0 " -b0 4 -b1011111011111 0 -b1011111011111 H -b0 2 -b0 = -09 -08 -b100000100000101011011111001101 < -b1111111111111110001010010000100 : -b1011111011111000101110010110110 $ -b1011111011111000101110010110110 - -b1011111011111000101110010110110 5 -b1011111011111000101110010110110 ? -b1011111011111000101110010110110 D -b11011111011111010100100000110010 % -b11011111011111010100100000110010 . -b11011111011111010100100000110010 6 -b11011111011111010100100000110010 @ -b11011111011111010100100000110010 F -#129216000 -0& -#129232000 -b11111100011 , -#129248000 -1& -#129264000 -0! -b1 " -b1 4 -b11111111111111111111110110010101 0 -b11111111111111111111110110010101 H -b1 2 -b1 = -18 -09 -b11000000000001100100010110110101 < -0# -b10111111111111001001110100111011 : -b11111111111101100101011110000101 $ -b11111111111101100101011110000101 - -b11111111111101100101011110000101 5 -b11111111111101100101011110000101 ? -b11111111111101100101011110000101 D -b111111111110011011101001001010 % -b111111111110011011101001001010 . -b111111111110011011101001001010 6 -b111111111110011011101001001010 @ -b111111111110011011101001001010 F -b1 ) -b0 ( -#129280000 -0& -#129296000 -b11111100100 , -#129312000 -1& -#129328000 -1! -b0 " -b0 4 -b11110101110110101110100110001 0 -b11110101110110101110100110001 H -b0 2 -b0 = -08 -b1100011010101110111101 < -1# -b1111011000111110010000010000101 : -b1111010111011010111010011000111 $ -b1111010111011010111010011000111 - -b1111010111011010111010011000111 5 -b1111010111011010111010011000111 ? -b1111010111011010111010011000111 D -b11111111110011100101010001000010 % -b11111111110011100101010001000010 . -b11111111110011100101010001000010 6 -b11111111110011100101010001000010 @ -b11111111110011100101010001000010 F -b0 ) -b1 ( -#129344000 -0& -#129360000 -b11111100101 , -#129376000 -1& -#129392000 -1! -b0 " -b0 4 -b11111111111111111111011111111110 0 -b11111111111111111111011111111110 H -b0 2 -b0 = -08 -b10000011110100001100111101110 < -b11101110100100111000010 : -b11101111111111010010111111010011 $ -b11101111111111010010111111010011 - -b11101111111111010010111111010011 5 -b11101111111111010010111111010011 ? -b11101111111111010010111111010011 D -b11101111100001011110011000010001 % -b11101111100001011110011000010001 . -b11101111100001011110011000010001 6 -b11101111100001011110011000010001 @ -b11101111100001011110011000010001 F -#129408000 -0& -#129424000 -b11111100110 , -#129440000 -1& -#129456000 -1! -b0 " -b0 4 -b1111101111111111101 0 -b1111101111111111101 H -b0 2 -b0 = -08 -b11010001000000110011101011110011 < -b1001111000000110001000111011000 : -b1111101111111111101011011100100 $ -b1111101111111111101011011100100 - -b1111101111111111101011011100100 5 -b1111101111111111101011011100100 ? -b1111101111111111101011011100100 D -b101110111111001100010100001100 % -b101110111111001100010100001100 . -b101110111111001100010100001100 6 -b101110111111001100010100001100 @ -b101110111111001100010100001100 F -#129472000 -0& -#129488000 -b11111100111 , -#129504000 -1& -#129520000 -1! -b0 " -b0 4 -b11111111111111010011000100010111 0 -b11111111111111010011000100010111 H -b0 2 -b0 = -08 -b100001000000010110110110011011 < -b100000110101000111111100010110 : -b11111111110100110001000101111010 $ -b11111111110100110001000101111010 - -b11111111110100110001000101111010 5 -b11111111110100110001000101111010 ? -b11111111110100110001000101111010 D -b11011110111111101001001001100100 % -b11011110111111101001001001100100 . -b11011110111111101001001001100100 6 -b11011110111111101001001001100100 @ -b11011110111111101001001001100100 F -#129536000 -0& -#129552000 -b11111101000 , -#129568000 -1& -#129584000 -0! -b1 " -b1 4 -b111101011111111 0 -b111101011111111 H -b1 2 -b1 = -18 -b10001000000000010111101001110000 < -0# -b11000101100000010000010101101000 : -b111101011111111000101011110111 $ -b111101011111111000101011110111 - -b111101011111111000101011110111 5 -b111101011111111000101011110111 ? -b111101011111111000101011110111 D -b1110111111111101000010110001111 % -b1110111111111101000010110001111 . -b1110111111111101000010110001111 6 -b1110111111111101000010110001111 @ -b1110111111111101000010110001111 F -b1 ) -b0 ( -#129600000 -0& -#129616000 -b11111101001 , -#129632000 -1& -#129648000 -0! -b1 " -b1 4 -b11111111111111111111110101101111 0 -b11111111111111111111110101101111 H -b1 2 -b1 = -18 -b110001100000001001011000101010 < -b11011111011111111111111100100100 : -b10101101111111110110100011111001 $ -b10101101111111110110100011111001 - -b10101101111111110110100011111001 5 -b10101101111111110110100011111001 ? -b10101101111111110110100011111001 D -b11001110011111110110100111010101 % -b11001110011111110110100111010101 . -b11001110011111110110100111010101 6 -b11001110011111110110100111010101 @ -b11001110011111110110100111010101 F -#129664000 -0& -#129680000 -b11111101010 , -#129696000 -1& -#129712000 -1! -b0 " -b0 4 -b11111110010101101000110111111 0 -b11111110010101101000110111111 H -b0 2 -b0 = -08 -b10010010000000001111010011011101 < -1# -b10001001011000011101111011101 : -b1111111001010110100011011111111 $ -b1111111001010110100011011111111 - -b1111111001010110100011011111111 5 -b1111111001010110100011011111111 ? -b1111111001010110100011011111111 D -b1101101111111110000101100100010 % -b1101101111111110000101100100010 . -b1101101111111110000101100100010 6 -b1101101111111110000101100100010 @ -b1101101111111110000101100100010 F -b0 ) -b1 ( -#129728000 -0& -#129744000 -b11111101011 , -#129760000 -1& -#129776000 -1! -b0 " -b0 4 -b11111111011111111111101110100000 0 -b11111111011111111111101110100000 H -b0 2 -b0 = -08 -b10000000110001100100011111110 < -b1111000110001100000001000000 : -b11111110111111111111011101000001 $ -b11111110111111111111011101000001 - -b11111110111111111111011101000001 5 -b11111110111111111111011101000001 ? -b11111110111111111111011101000001 D -b11101111111001110011011100000001 % -b11101111111001110011011100000001 . -b11101111111001110011011100000001 6 -b11101111111001110011011100000001 @ -b11101111111001110011011100000001 F -#129792000 -0& -#129808000 -b11111101100 , -#129824000 -1& -#129840000 -b110111110001000100111 0 -b110111110001000100111 H -b11000100001000000010100111010101 < -b110011101010001100100011010011 : -b1101111100010001001111011111101 $ -b1101111100010001001111011111101 - -b1101111100010001001111011111101 5 -b1101111100010001001111011111101 ? -b1101111100010001001111011111101 D -b111011110111111101011000101010 % -b111011110111111101011000101010 . -b111011110111111101011000101010 6 -b111011110111111101011000101010 @ -b111011110111111101011000101010 F -#129856000 -0& -#129872000 -b11111101101 , -#129888000 -1& -#129904000 -b111 0 -b111 H -b10010110100110000100111010100011 < -b10110100101111101001010111111 : -b1111111111111111000010000011011 $ -b1111111111111111000010000011011 - -b1111111111111111000010000011011 5 -b1111111111111111000010000011011 ? -b1111111111111111000010000011011 D -b1101001011001111011000101011100 % -b1101001011001111011000101011100 . -b1101001011001111011000101011100 6 -b1101001011001111011000101011100 @ -b1101001011001111011000101011100 F -#129920000 -0& -#129936000 -b11111101110 , -#129952000 -1& -#129968000 -1! -b0 " -b0 4 -b1100110110110000 0 -b1100110110110000 H -b0 2 -b0 = -08 -b11000101110110001101110001110000 < -b101100101100010000011111000011 : -b1100110110110000010101101010010 $ -b1100110110110000010101101010010 - -b1100110110110000010101101010010 5 -b1100110110110000010101101010010 ? -b1100110110110000010101101010010 D -b111010001001110010001110001111 % -b111010001001110010001110001111 . -b111010001001110010001110001111 6 -b111010001001110010001110001111 @ -b111010001001110010001110001111 F -#129984000 -0& -#130000000 -b11111101111 , -#130016000 -1& -#130032000 -0! -b1 " -b1 4 -b11111111101110101111111111001000 0 -b11111111101110101111111111001000 H -19 -b1 2 -b1 = -18 -b10100011011100100000000000110111 < -0# -b1011110011100011100100100011001 : -b10111010111111111100100011100001 $ -b10111010111111111100100011100001 - -b10111010111111111100100011100001 5 -b10111010111111111100100011100001 ? -b10111010111111111100100011100001 D -b1011100100011011111111111001000 % -b1011100100011011111111111001000 . -b1011100100011011111111111001000 6 -b1011100100011011111111111001000 @ -b1011100100011011111111111001000 F -b1 ) -b0 ( -#130048000 -0& -#130064000 -b11111110000 , -#130080000 -1& -#130096000 -0! -b1 " -b1 4 -b11111111111111111011011101111110 0 -b11111111111111111011011101111110 H -b1 2 -b1 = -09 -18 -b10100110011010100110011111010000 < -b10000010001010011010110001111111 : -b11011011101111110100010010101110 $ -b11011011101111110100010010101110 - -b11011011101111110100010010101110 5 -b11011011101111110100010010101110 ? -b11011011101111110100010010101110 D -b1011001100101011001100000101111 % -b1011001100101011001100000101111 . -b1011001100101011001100000101111 6 -b1011001100101011001100000101111 @ -b1011001100101011001100000101111 F -#130112000 -0& -#130128000 -b11111110001 , -#130144000 -1& -#130160000 -0! -b1 " -b1 4 -b110001111111 0 -b110001111111 H -b1 2 -b1 = -18 -b10000000001100010111010001101100 < -b11100100001100000111111001011100 : -b1100011111111110000100111101111 $ -b1100011111111110000100111101111 - -b1100011111111110000100111101111 5 -b1100011111111110000100111101111 ? -b1100011111111110000100111101111 D -b1111111110011101000101110010011 % -b1111111110011101000101110010011 . -b1111111110011101000101110010011 6 -b1111111110011101000101110010011 @ -b1111111110011101000101110010011 F -#130176000 -0& -#130192000 -b11111110010 , -#130208000 -1& -#130224000 -0! -b1 " -b1 4 -b11111111110011011011010110111001 0 -b11111111110011011011010110111001 H -b1 2 -b1 = -18 -b10110000000000000011101010011011 < -b10101100110110111001011000101111 : -b11111100110110110101101110010011 $ -b11111100110110110101101110010011 - -b11111100110110110101101110010011 5 -b11111100110110110101101110010011 ? -b11111100110110110101101110010011 D -b1001111111111111100010101100100 % -b1001111111111111100010101100100 . -b1001111111111111100010101100100 6 -b1001111111111111100010101100100 @ -b1001111111111111100010101100100 F -#130240000 -0& -#130256000 -b11111110011 , -#130272000 -1& -#130288000 -1! -b0 " -b0 4 -b1111111010100010111010000111 0 -b1111111010100010111010000111 H -b0 2 -b0 = -08 -b10101010000001001101001101111100 < -1# -b101001010101100100011110111100 : -b1111111010100010111010000111111 $ -b1111111010100010111010000111111 - -b1111111010100010111010000111111 5 -b1111111010100010111010000111111 ? -b1111111010100010111010000111111 D -b1010101111110110010110010000011 % -b1010101111110110010110010000011 . -b1010101111110110010110010000011 6 -b1010101111110110010110010000011 @ -b1010101111110110010110010000011 F -b0 ) -b1 ( -#130304000 -0& -#130320000 -b11111110100 , -#130336000 -1& -#130352000 -1! -b0 " -b0 4 -b11111110010111 0 -b11111110010111 H -b0 2 -b0 = -19 -08 -b1000001000010101110101110 < -b10000000001100110101000001110111 : -b1111111001011110010010011001000 $ -b1111111001011110010010011001000 - -b1111111001011110010010011001000 5 -b1111111001011110010010011001000 ? -b1111111001011110010010011001000 D -b11111110111110111101010001010001 % -b11111110111110111101010001010001 . -b11111110111110111101010001010001 6 -b11111110111110111101010001010001 @ -b11111110111110111101010001010001 F -#130368000 -0& -#130384000 -b11111110101 , -#130400000 -1& -#130416000 -0! -b1 " -b1 4 -b110110 0 -b110110 H -b1 2 -b1 = -09 -18 -b10001000000001110110010110100110 < -0# -b11110100101111010000011100010000 : -b1101100101101011010000101101001 $ -b1101100101101011010000101101001 - -b1101100101101011010000101101001 5 -b1101100101101011010000101101001 ? -b1101100101101011010000101101001 D -b1110111111110001001101001011001 % -b1110111111110001001101001011001 . -b1110111111110001001101001011001 6 -b1110111111110001001101001011001 @ -b1110111111110001001101001011001 F -b1 ) -b0 ( -#130432000 -0& -#130448000 -b11111110110 , -#130464000 -1& -#130480000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -19 -b1 2 -b1 = -18 -b10000110100001011000101011100001 < -b100100110111001111110100111000 : -b10011110010101110111001001010110 $ -b10011110010101110111001001010110 - -b10011110010101110111001001010110 5 -b10011110010101110111001001010110 ? -b10011110010101110111001001010110 D -b1111001011110100111010100011110 % -b1111001011110100111010100011110 . -b1111001011110100111010100011110 6 -b1111001011110100111010100011110 @ -b1111001011110100111010100011110 F -#130496000 -0& -#130512000 -b11111110111 , -#130528000 -1& -#130544000 -0! -b1 " -b1 4 -b110111101011010110011 0 -b110111101011010110011 H -09 -b1 2 -b1 = -18 -b11000000001000110101101000110110 < -b11110111110100001100000011110000 : -b110111101011010110011010111001 $ -b110111101011010110011010111001 - -b110111101011010110011010111001 5 -b110111101011010110011010111001 ? -b110111101011010110011010111001 D -b111111110111001010010111001001 % -b111111110111001010010111001001 . -b111111110111001010010111001001 6 -b111111110111001010010111001001 @ -b111111110111001010010111001001 F -#130560000 -0& -#130576000 -b11111111000 , -#130592000 -1& -#130608000 -1! -b0 " -b0 4 -b101111111111111100011001000 0 -b101111111111111100011001000 H -b0 2 -b0 = -08 -b10000011110011101111011 < -1# -b1100000010000010111010000001001 : -b1011111111111111000110010001101 $ -b1011111111111111000110010001101 - -b1011111111111111000110010001101 5 -b1011111111111111000110010001101 ? -b1011111111111111000110010001101 D -b11111111101111100001100010000100 % -b11111111101111100001100010000100 . -b11111111101111100001100010000100 6 -b11111111101111100001100010000100 @ -b11111111101111100001100010000100 F -b0 ) -b1 ( -#130624000 -0& -#130640000 -b11111111001 , -#130656000 -1& -#130672000 -0! -b1 " -b1 4 -b11111110010111001111101111110100 0 -b11111110010111001111101111110100 H -19 -b1 2 -b1 = -18 -b10000010000000101010001110011010 < -0# -b1001101101000100010001000101111 : -b11001011100111110111111010010100 $ -b11001011100111110111111010010100 - -b11001011100111110111111010010100 5 -b11001011100111110111111010010100 ? -b11001011100111110111111010010100 D -b1111101111111010101110001100101 % -b1111101111111010101110001100101 . -b1111101111111010101110001100101 6 -b1111101111111010101110001100101 @ -b1111101111111010101110001100101 F -b1 ) -b0 ( -#130688000 -0& -#130704000 -b11111111010 , -#130720000 -1& -#130736000 -1! -b0 " -b0 4 -b11101101111111 0 -b11101101111111 H -09 -b0 2 -b0 = -08 -b1100100000010101011001110 < -1# -b1111000100011100110011000011110 : -b1110110111111100011101101001111 $ -b1110110111111100011101101001111 - -b1110110111111100011101101001111 5 -b1110110111111100011101101001111 ? -b1110110111111100011101101001111 D -b11111110011011111101010100110001 % -b11111110011011111101010100110001 . -b11111110011011111101010100110001 6 -b11111110011011111101010100110001 @ -b11111110011011111101010100110001 F -b0 ) -b1 ( -#130752000 -0& -#130768000 -b11111111011 , -#130784000 -1& -#130800000 -0! -b1 " -b1 4 -b11111111111111111111101011110111 0 -b11111111111111111111101011110111 H -b1 2 -b1 = -18 -b11000000100000000001001011101101 < -0# -b10101100010111110111001100000111 : -b11101011110111110110000000011001 $ -b11101011110111110110000000011001 - -b11101011110111110110000000011001 5 -b11101011110111110110000000011001 ? -b11101011110111110110000000011001 D -b111111011111111110110100010010 % -b111111011111111110110100010010 . -b111111011111111110110100010010 6 -b111111011111111110110100010010 @ -b111111011111111110110100010010 F -b1 ) -b0 ( -#130816000 -0& -#130832000 -b11111111100 , -#130848000 -1& -#130864000 -0! -b1 " -b1 4 -b1111 0 -b1111 H -b1 2 -b1 = -18 -b10000010011000001100010111000100 < -b11111100001111101010010000011000 : -b1111001110111011101111001010011 $ -b1111001110111011101111001010011 - -b1111001110111011101111001010011 5 -b1111001110111011101111001010011 ? -b1111001110111011101111001010011 D -b1111101100111110011101000111011 % -b1111101100111110011101000111011 . -b1111101100111110011101000111011 6 -b1111101100111110011101000111011 @ -b1111101100111110011101000111011 F -#130880000 -0& -#130896000 -b11111111101 , -#130912000 -1& -#130928000 -1! -b0 " -b0 4 -b11111110011111011010100001 0 -b11111110011111011010100001 H -19 -b0 2 -b0 = -08 -b1101000000000001111011111111010 < -1# -b11100111001111111100110000101010 : -b1111111001111101101010000101111 $ -b1111111001111101101010000101111 - -b1111111001111101101010000101111 5 -b1111111001111101101010000101111 ? -b1111111001111101101010000101111 D -b10010111111111110000100000000101 % -b10010111111111110000100000000101 . -b10010111111111110000100000000101 6 -b10010111111111110000100000000101 @ -b10010111111111110000100000000101 F -b0 ) -b1 ( -#130944000 -0& -#130960000 -b11111111110 , -#130976000 -1& -#130992000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b110000000001000111000111 < -b100011110100100000111010 : -b11111111110011110011011001110010 $ -b11111111110011110011011001110010 - -b11111111110011110011011001110010 5 -b11111111110011110011011001110010 ? -b11111111110011110011011001110010 D -b11111111001111111110111000111000 % -b11111111001111111110111000111000 . -b11111111001111111110111000111000 6 -b11111111001111111110111000111000 @ -b11111111001111111110111000111000 F -#131008000 -0& -#131024000 -b11111111111 , -#131040000 -1& -#131056000 -0! -b1 " -b1 4 -19 -b1 2 -b1 = -18 -b10000100010001100100001110100000 < -0# -b1100100000001011100011110110010 : -b11011111101111111000010000010001 $ -b11011111101111111000010000010001 - -b11011111101111111000010000010001 5 -b11011111101111111000010000010001 ? -b11011111101111111000010000010001 D -b1111011101110011011110001011111 % -b1111011101110011011110001011111 . -b1111011101110011011110001011111 6 -b1111011101110011011110001011111 @ -b1111011101110011011110001011111 F -b1 ) -b0 ( -#131072000 -0& -#131088000 -b100000000000 , -#131104000 -1& -#131120000 -0! -b1 " -b1 4 -b1011011101 0 -b1011011101 H -09 -b1 2 -b1 = -18 -b10001001010001011101010010001010 < -b11100101000000000011111100000100 : -b1011011101110100110101001111001 $ -b1011011101110100110101001111001 - -b1011011101110100110101001111001 5 -b1011011101110100110101001111001 ? -b1011011101110100110101001111001 D -b1110110101110100010101101110101 % -b1110110101110100010101101110101 . -b1110110101110100010101101110101 6 -b1110110101110100010101101110101 @ -b1110110101110100010101101110101 F -#131136000 -0& -#131152000 -b100000000001 , -#131168000 -1& -#131184000 -1! -b0 " -b0 4 -b0 0 -b0 H -19 -b0 2 -b0 = -08 -b10001000000000010010001000000 < -1# -b10001111111011101001100110111110 : -b1111110111011100111010101111101 $ -b1111110111011100111010101111101 - -b1111110111011100111010101111101 5 -b1111110111011100111010101111101 ? -b1111110111011100111010101111101 D -b11101110111111111101101110111111 % -b11101110111111111101101110111111 . -b11101110111111111101101110111111 6 -b11101110111111111101101110111111 @ -b11101110111111111101101110111111 F -b0 ) -b1 ( -#131200000 -0& -#131216000 -b100000000010 , -#131232000 -1& -#131248000 -1! -b0 " -b0 4 -b1001011001 0 -b1001011001 H -b0 2 -b0 = -09 -08 -b1000000100000001111111100001011 < -b1100110000111100010000111101000 : -b100101100111010010001011011100 $ -b100101100111010010001011011100 - -b100101100111010010001011011100 5 -b100101100111010010001011011100 ? -b100101100111010010001011011100 D -b10111111011111110000000011110100 % -b10111111011111110000000011110100 . -b10111111011111110000000011110100 6 -b10111111011111110000000011110100 @ -b10111111011111110000000011110100 F -#131264000 -0& -#131280000 -b100000000011 , -#131296000 -1& -#131312000 -0! -b1 " -b1 4 -b11111111111111111111111100001110 0 -b11111111111111111111111100001110 H -b1 2 -b1 = -18 -09 -b10101001110000101011011100110001 < -0# -b10101001100001100011101111001010 : -b11111111110000111000010010011000 $ -b11111111110000111000010010011000 - -b11111111110000111000010010011000 5 -b11111111110000111000010010011000 ? -b11111111110000111000010010011000 D -b1010110001111010100100011001110 % -b1010110001111010100100011001110 . -b1010110001111010100100011001110 6 -b1010110001111010100100011001110 @ -b1010110001111010100100011001110 F -b1 ) -b0 ( -#131328000 -0& -#131344000 -b100000000100 , -#131360000 -1& -#131376000 -0! -b1 " -b1 4 -b11111010101111111010100010000110 0 -b11111010101111111010100010000110 H -b1 2 -b1 = -09 -18 -b101001110100101000111100 < -b11010110101001001000111001110100 : -b11010101111111010100010000110111 $ -b11010101111111010100010000110111 - -b11010101111111010100010000110111 5 -b11010101111111010100010000110111 ? -b11010101111111010100010000110111 D -b11111111010110001011010111000011 % -b11111111010110001011010111000011 . -b11111111010110001011010111000011 6 -b11111111010110001011010111000011 @ -b11111111010110001011010111000011 F -#131392000 -0& -#131408000 -b100000000101 , -#131424000 -1& -#131440000 -0! -b1 " -b1 4 -b1010111111 0 -b1010111111 H -b1 2 -b1 = -18 -b10100000000100010110001101101010 < -b11110111111110111101101001000110 : -b1010111111010100111011011011011 $ -b1010111111010100111011011011011 - -b1010111111010100111011011011011 5 -b1010111111010100111011011011011 ? -b1010111111010100111011011011011 D -b1011111111011101001110010010101 % -b1011111111011101001110010010101 . -b1011111111011101001110010010101 6 -b1011111111011101001110010010101 @ -b1011111111011101001110010010101 F -#131456000 -0& -#131472000 -b100000000110 , -#131488000 -1& -#131504000 -1! -b0 " -b0 4 -b1100110010010101 0 -b1100110010010101 H -19 -b0 2 -b0 = -08 -b100001101000101100001100010000 < -1# -b10000111111011010100101010010000 : -b1100110010010101000011101111111 $ -b1100110010010101000011101111111 - -b1100110010010101000011101111111 5 -b1100110010010101000011101111111 ? -b1100110010010101000011101111111 D -b11011110010111010011110011101111 % -b11011110010111010011110011101111 . -b11011110010111010011110011101111 6 -b11011110010111010011110011101111 @ -b11011110010111010011110011101111 F -b0 ) -b1 ( -#131520000 -0& -#131536000 -b100000000111 , -#131552000 -1& -#131568000 -1! -b0 " -b0 4 -b111101101101101111001 0 -b111101101101101111001 H -b0 2 -b0 = -09 -08 -b10101100000001001100001001010101 < -b100111011100101010011010101001 : -b1111011011011011110010001010011 $ -b1111011011011011110010001010011 - -b1111011011011011110010001010011 5 -b1111011011011011110010001010011 ? -b1111011011011011110010001010011 D -b1010011111110110011110110101010 % -b1010011111110110011110110101010 . -b1010011111110110011110110101010 6 -b1010011111110110011110110101010 @ -b1010011111110110011110110101010 F -#131584000 -0& -#131600000 -b100000001000 , -#131616000 -1& -#131632000 -0! -b1 " -b1 4 -b11111111111111111111111110101111 0 -b11111111111111111111111110101111 H -b1 2 -b1 = -18 -b11110111000000000101010010000111 < -0# -b10100110011010010110100000101010 : -b10101111011010010001001110100010 $ -b10101111011010010001001110100010 - -b10101111011010010001001110100010 5 -b10101111011010010001001110100010 ? -b10101111011010010001001110100010 D -b1000111111111010101101111000 % -b1000111111111010101101111000 . -b1000111111111010101101111000 6 -b1000111111111010101101111000 @ -b1000111111111010101101111000 F -b1 ) -b0 ( -#131648000 -0& -#131664000 -b100000001001 , -#131680000 -1& -#131696000 -1! -b0 " -b0 4 -b10111110111101 0 -b10111110111101 H -b0 2 -b0 = -08 -b11000001000000110010111100101110 < -1# -b100000011111101010101110111111 : -b1011111011110110111110010010000 $ -b1011111011110110111110010010000 - -b1011111011110110111110010010000 5 -b1011111011110110111110010010000 ? -b1011111011110110111110010010000 D -b111110111111001101000011010001 % -b111110111111001101000011010001 . -b111110111111001101000011010001 6 -b111110111111001101000011010001 @ -b111110111111001101000011010001 F -b0 ) -b1 ( -#131712000 -0& -#131728000 -b100000001010 , -#131744000 -1& -#131760000 -b111100010011 0 -b111100010011 H -b10011011000001111111001100 < -b1111011000010111011101101101010 : -b1111000100111111001101110011101 $ -b1111000100111111001101110011101 - -b1111000100111111001101110011101 5 -b1111000100111111001101110011101 ? -b1111000100111111001101110011101 D -b11111101100100111110000000110011 % -b11111101100100111110000000110011 . -b11111101100100111110000000110011 6 -b11111101100100111110000000110011 @ -b11111101100100111110000000110011 F -#131776000 -0& -#131792000 -b100000001011 , -#131808000 -1& -#131824000 -1! -b0 " -b0 4 -b1111 0 -b1111 H -b0 2 -b0 = -19 -08 -b1100001011001000111101001000100 < -b11011111010000100010100101010010 : -b1111101110111011010111100001101 $ -b1111101110111011010111100001101 - -b1111101110111011010111100001101 5 -b1111101110111011010111100001101 ? -b1111101110111011010111100001101 D -b10011110100110111000010110111011 % -b10011110100110111000010110111011 . -b10011110100110111000010110111011 6 -b10011110100110111000010110111011 @ -b10011110100110111000010110111011 F -#131840000 -0& -#131856000 -b100000001100 , -#131872000 -1& -#131888000 -1! -b0 " -b0 4 -b11111111111111111111111111111100 0 -b11111111111111111111111111111100 H -09 -b0 2 -b0 = -08 -b1011000000001000010000101000101 < -b1001001011111110110010000111101 : -b11110001011110110100001011110111 $ -b11110001011110110100001011110111 - -b11110001011110110100001011110111 5 -b11110001011110110100001011110111 ? -b11110001011110110100001011110111 D -b10100111111110111101111010111010 % -b10100111111110111101111010111010 . -b10100111111110111101111010111010 6 -b10100111111110111101111010111010 @ -b10100111111110111101111010111010 F -#131904000 -0& -#131920000 -b100000001101 , -#131936000 -1& -#131952000 -b11111110110111111111010000001110 0 -b11111110110111111111010000001110 H -b111010000100000001001001111110 < -b110111110011111111101010011011 : -b11111101101111111110100000011100 $ -b11111101101111111110100000011100 - -b11111101101111111110100000011100 5 -b11111101101111111110100000011100 ? -b11111101101111111110100000011100 D -b11000101111011111110110110000001 % -b11000101111011111110110110000001 . -b11000101111011111110110110000001 6 -b11000101111011111110110110000001 @ -b11000101111011111110110110000001 F -#131968000 -0& -#131984000 -b100000001110 , -#132000000 -1& -#132016000 -0! -b1 " -b1 4 -b11111111110111111110001100011111 0 -b11111111110111111110001100011111 H -b1 2 -b1 = -18 -19 -b10000100001111100100100111110111 < -0# -b1100100001000010110100110010100 : -b11011111111000110001111110011100 $ -b11011111111000110001111110011100 - -b11011111111000110001111110011100 5 -b11011111111000110001111110011100 ? -b11011111111000110001111110011100 D -b1111011110000011011011000001000 % -b1111011110000011011011000001000 . -b1111011110000011011011000001000 6 -b1111011110000011011011000001000 @ -b1111011110000011011011000001000 F -b1 ) -b0 ( -#132032000 -0& -#132048000 -b100000001111 , -#132064000 -1& -#132080000 -1! -b0 " -b0 4 -b1110 0 -b1110 H -b0 2 -b0 = -08 -b10110010100011011010100100100 < -1# -b10001100100000010010001010110011 : -b1110110001011110110110110001110 $ -b1110110001011110110110110001110 - -b1110110001011110110110110001110 5 -b1110110001011110110110110001110 ? -b1110110001011110110110110001110 D -b11101001101011100100101011011011 % -b11101001101011100100101011011011 . -b11101001101011100100101011011011 6 -b11101001101011100100101011011011 @ -b11101001101011100100101011011011 F -b0 ) -b1 ( -#132096000 -0& -#132112000 -b100000010000 , -#132128000 -1& -#132144000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10000010100001001100000000100010 < -0# -b1110001111001001011010110101101 : -b11101111010111111111010110001010 $ -b11101111010111111111010110001010 - -b11101111010111111111010110001010 5 -b11101111010111111111010110001010 ? -b11101111010111111111010110001010 D -b1111101011110110011111111011101 % -b1111101011110110011111111011101 . -b1111101011110110011111111011101 6 -b1111101011110110011111111011101 @ -b1111101011110110011111111011101 F -b1 ) -b0 ( -#132160000 -0& -#132176000 -b100000010001 , -#132192000 -1& -#132208000 -1! -b0 " -b0 4 -b1001111111110101110 0 -b1001111111110101110 H -09 -b0 2 -b0 = -08 -b11100000000000001110011000010100 < -1# -b111111111100101100000001000 : -b100111111111010111000111110011 $ -b100111111111010111000111110011 - -b100111111111010111000111110011 5 -b100111111111010111000111110011 ? -b100111111111010111000111110011 D -b11111111111110001100111101011 % -b11111111111110001100111101011 . -b11111111111110001100111101011 6 -b11111111111110001100111101011 @ -b11111111111110001100111101011 F -b0 ) -b1 ( -#132224000 -0& -#132240000 -b100000010010 , -#132256000 -1& -#132272000 -0! -b1 " -b1 4 -b11111100111111101111111001000101 0 -b11111100111111101111111001000101 H -b1 2 -b1 = -18 -b10000011000111110111011010 < -0# -b10100001111011000100011001111111 : -b10011111110111111100100010100100 $ -b10011111110111111100100010100100 - -b10011111110111111100100010100100 5 -b10011111110111111100100010100100 ? -b10011111110111111100100010100100 D -b11111101111100111000001000100101 % -b11111101111100111000001000100101 . -b11111101111100111000001000100101 6 -b11111101111100111000001000100101 @ -b11111101111100111000001000100101 F -b1 ) -b0 ( -#132288000 -0& -#132304000 -b100000010011 , -#132320000 -1& -#132336000 -b11111111111111111111110001111111 0 -b11111111111111111111110001111111 H -b1000001001011001111101011 < -b11001000111111000100000000011000 : -b11000111111101111000110000101100 $ -b11000111111101111000110000101100 - -b11000111111101111000110000101100 5 -b11000111111101111000110000101100 ? -b11000111111101111000110000101100 D -b11111110111110110100110000010100 % -b11111110111110110100110000010100 . -b11111110111110110100110000010100 6 -b11111110111110110100110000010100 @ -b11111110111110110100110000010100 F -#132352000 -0& -#132368000 -b100000010100 , -#132384000 -1& -#132400000 -b11111110111110011010110011001001 0 -b11111110111110011010110011001001 H -b11110010111000000000010100111011 < -b11100010011110101101000111001111 : -b11101111100110101100110010010011 $ -b11101111100110101100110010010011 - -b11101111100110101100110010010011 5 -b11101111100110101100110010010011 ? -b11101111100110101100110010010011 D -b1101000111111111101011000100 % -b1101000111111111101011000100 . -b1101000111111111101011000100 6 -b1101000111111111101011000100 @ -b1101000111111111101011000100 F -#132416000 -0& -#132432000 -b100000010101 , -#132448000 -1& -#132464000 -1! -b0 " -b0 4 -b11101001101011111 0 -b11101001101011111 H -b0 2 -b0 = -08 -b10010001000000101110100011010001 < -1# -b101110110101011000111010010 : -b1110100110101111100100100000000 $ -b1110100110101111100100100000000 - -b1110100110101111100100100000000 5 -b1110100110101111100100100000000 ? -b1110100110101111100100100000000 D -b1101110111111010001011100101110 % -b1101110111111010001011100101110 . -b1101110111111010001011100101110 6 -b1101110111111010001011100101110 @ -b1101110111111010001011100101110 F -b0 ) -b1 ( -#132480000 -0& -#132496000 -b100000010110 , -#132512000 -1& -#132528000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11011000001100001011100111000001 < -0# -b10111000000100000010010101100111 : -b11011111110111110110101110100101 $ -b11011111110111110110101110100101 - -b11011111110111110110101110100101 5 -b11011111110111110110101110100101 ? -b11011111110111110110101110100101 D -b100111110011110100011000111110 % -b100111110011110100011000111110 . -b100111110011110100011000111110 6 -b100111110011110100011000111110 @ -b100111110011110100011000111110 F -b1 ) -b0 ( -#132544000 -0& -#132560000 -b100000010111 , -#132576000 -1& -#132592000 -b11111111111111111101010111101111 0 -b11111111111111111101010111101111 H -b101000001100010101101001110 < -b10110000111001001000100001100111 : -b10101011110111100101110100011000 $ -b10101011110111100101110100011000 - -b10101011110111100101110100011000 5 -b10101011110111100101110100011000 ? -b10101011110111100101110100011000 D -b11111010111110011101010010110001 % -b11111010111110011101010010110001 . -b11111010111110011101010010110001 6 -b11111010111110011101010010110001 @ -b11111010111110011101010010110001 F -#132608000 -0& -#132624000 -b100000011000 , -#132640000 -1& -#132656000 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b11101100000110100011010110000010 < -b10110111100101010011111110101000 : -b11001011011110110000101000100101 $ -b11001011011110110000101000100101 - -b11001011011110110000101000100101 5 -b11001011011110110000101000100101 ? -b11001011011110110000101000100101 D -b10011111001011100101001111101 % -b10011111001011100101001111101 . -b10011111001011100101001111101 6 -b10011111001011100101001111101 @ -b10011111001011100101001111101 F -#132672000 -0& -#132688000 -b100000011001 , -#132704000 -1& -#132720000 -1! -b0 " -b0 4 -b11111111111111011101111000010011 0 -b11111111111111011101111000010011 H -b0 2 -b0 = -08 -b1000000000010011001001010010011 < -1# -b11101111010101101000101011111 : -b11011101111000010011111011001011 $ -b11011101111000010011111011001011 - -b11011101111000010011111011001011 5 -b11011101111000010011111011001011 ? -b11011101111000010011111011001011 D -b10111111111101100110110101101100 % -b10111111111101100110110101101100 . -b10111111111101100110110101101100 6 -b10111111111101100110110101101100 @ -b10111111111101100110110101101100 F -b0 ) -b1 ( -#132736000 -0& -#132752000 -b100000011010 , -#132768000 -1& -#132784000 -0! -b1 " -b1 4 -b11110111110101111101011101100010 0 -b11110111110101111101011101100010 H -b1 2 -b1 = -18 -b111100001010110111110 < -0# -b11101111110011011100010010000011 : -b11101111101011111010111011000100 $ -b11101111101011111010111011000100 - -b11101111101011111010111011000100 5 -b11101111101011111010111011000100 ? -b11101111101011111010111011000100 D -b11111111111000011110101001000001 % -b11111111111000011110101001000001 . -b11111111111000011110101001000001 6 -b11111111111000011110101001000001 @ -b11111111111000011110101001000001 F -b1 ) -b0 ( -#132800000 -0& -#132816000 -b100000011011 , -#132832000 -1& -#132848000 -0! -b1 " -b1 4 -b101101011 0 -b101101011 H -b1 2 -b1 = -18 -b10000000000000000100100110101001 < -b11011010111011000011010100010010 : -b1011010111010111110101101101000 $ -b1011010111010111110101101101000 - -b1011010111010111110101101101000 5 -b1011010111010111110101101101000 ? -b1011010111010111110101101101000 D -b1111111111111111011011001010110 % -b1111111111111111011011001010110 . -b1111111111111111011011001010110 6 -b1111111111111111011011001010110 @ -b1111111111111111011011001010110 F -#132864000 -0& -#132880000 -b100000011100 , -#132896000 -1& -#132912000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b100100010000000000001110001110 < -1# -b100100001111111000110111010011 : -b11111111111111111000101001000100 $ -b11111111111111111000101001000100 - -b11111111111111111000101001000100 5 -b11111111111111111000101001000100 ? -b11111111111111111000101001000100 D -b11011011101111111111110001110001 % -b11011011101111111111110001110001 . -b11011011101111111111110001110001 6 -b11011011101111111111110001110001 @ -b11011011101111111111110001110001 F -b0 ) -b1 ( -#132928000 -0& -#132944000 -b100000011101 , -#132960000 -1& -#132976000 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b110110100100001100110000100011 < -b10010011101000000001101000010 : -b11011011111000110011011100011110 $ -b11011011111000110011011100011110 - -b11011011111000110011011100011110 5 -b11011011111000110011011100011110 ? -b11011011111000110011011100011110 D -b11001001011011110011001111011100 % -b11001001011011110011001111011100 . -b11001001011011110011001111011100 6 -b11001001011011110011001111011100 @ -b11001001011011110011001111011100 F -#132992000 -0& -#133008000 -b100000011110 , -#133024000 -1& -#133040000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10001010001100101101001010000001 < -0# -b10001000000011001100100001010110 : -b11111101110110011111010111010100 $ -b11111101110110011111010111010100 - -b11111101110110011111010111010100 5 -b11111101110110011111010111010100 ? -b11111101110110011111010111010100 D -b1110101110011010010110101111110 % -b1110101110011010010110101111110 . -b1110101110011010010110101111110 6 -b1110101110011010010110101111110 @ -b1110101110011010010110101111110 F -b1 ) -b0 ( -#133056000 -0& -#133072000 -b100000011111 , -#133088000 -1& -#133104000 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b10000010001000000100000010001111 < -b10000010000110110100100011110101 : -b11111111111110110000100001100101 $ -b11111111111110110000100001100101 - -b11111111111110110000100001100101 5 -b11111111111110110000100001100101 ? -b11111111111110110000100001100101 D -b1111101110111111011111101110000 % -b1111101110111111011111101110000 . -b1111101110111111011111101110000 6 -b1111101110111111011111101110000 @ -b1111101110111111011111101110000 F -#133120000 -0& -#133136000 -b100000100000 , -#133152000 -1& -#133168000 -0! -b1 " -b1 4 -b11111111111110011100010110011011 0 -b11111111111110011100010110011011 H -b1 2 -b1 = -09 -18 -b100111001100000100011111110011 < -b11000011100010011111110001001010 : -b10011100010110011011010001010110 $ -b10011100010110011011010001010110 - -b10011100010110011011010001010110 5 -b10011100010110011011010001010110 ? -b10011100010110011011010001010110 D -b11011000110011111011100000001100 % -b11011000110011111011100000001100 . -b11011000110011111011100000001100 6 -b11011000110011111011100000001100 @ -b11011000110011111011100000001100 F -#133184000 -0& -#133200000 -b100000100001 , -#133216000 -1& -#133232000 -b11110110011111101100001010 0 -b11110110011111101100001010 H -b10000011000001101001101101111010 < -b11111110010001011111110011001101 : -b1111011001111110110000101010010 $ -b1111011001111110110000101010010 - -b1111011001111110110000101010010 5 -b1111011001111110110000101010010 ? -b1111011001111110110000101010010 D -b1111100111110010110010010000101 % -b1111100111110010110010010000101 . -b1111100111110010110010010000101 6 -b1111100111110010110010010000101 @ -b1111100111110010110010010000101 F -#133248000 -0& -#133264000 -b100000100010 , -#133280000 -1& -#133296000 -0! -b1 " -b1 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -19 -b1 2 -b1 = -18 -b11000001000000000000001100100010 < -b1111000111111101110001010001111 : -b10110111111111101101111101101100 $ -b10110111111111101101111101101100 - -b10110111111111101101111101101100 5 -b10110111111111101101111101101100 ? -b10110111111111101101111101101100 D -b111110111111111111110011011101 % -b111110111111111111110011011101 . -b111110111111111111110011011101 6 -b111110111111111111110011011101 @ -b111110111111111111110011011101 F -#133312000 -0& -#133328000 -b100000100011 , -#133344000 -1& -#133360000 -1! -b0 " -b0 4 -b111101111111000001000 0 -b111101111111000001000 H -09 -b0 2 -b0 = -08 -b100111000100100010000111110111 < -1# -b1000110000100000010101000011101 : -b11110111111100000100000100101 $ -b11110111111100000100000100101 - -b11110111111100000100000100101 5 -b11110111111100000100000100101 ? -b11110111111100000100000100101 D -b11011000111011011101111000001000 % -b11011000111011011101111000001000 . -b11011000111011011101111000001000 6 -b11011000111011011101111000001000 @ -b11011000111011011101111000001000 F -b0 ) -b1 ( -#133376000 -0& -#133392000 -b100000100100 , -#133408000 -1& -#133424000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -09 -b10100000100000000101011110001000 < -0# -b10011100011111101011000000101100 : -b11111011111111100101100010100011 $ -b11111011111111100101100010100011 - -b11111011111111100101100010100011 5 -b11111011111111100101100010100011 ? -b11111011111111100101100010100011 D -b1011111011111111010100001110111 % -b1011111011111111010100001110111 . -b1011111011111111010100001110111 6 -b1011111011111111010100001110111 @ -b1011111011111111010100001110111 F -b1 ) -b0 ( -#133440000 -0& -#133456000 -b100000100101 , -#133472000 -1& -#133488000 -1! -b0 " -b0 4 -b10011110111111 0 -b10011110111111 H -b0 2 -b0 = -08 -09 -b110000100000000010100100001110 < -1# -b1111111111111111110100111111111 : -b1001111011111111100000011110000 $ -b1001111011111111100000011110000 - -b1001111011111111100000011110000 5 -b1001111011111111100000011110000 ? -b1001111011111111100000011110000 D -b11001111011111111101011011110001 % -b11001111011111111101011011110001 . -b11001111011111111101011011110001 6 -b11001111011111111101011011110001 @ -b11001111011111111101011011110001 F -b0 ) -b1 ( -#133504000 -0& -#133520000 -b100000100110 , -#133536000 -1& -#133552000 -0! -b1 " -b1 4 -b11111111111111111111001111011111 0 -b11111111111111111111001111011111 H -b1 2 -b1 = -18 -b100001111110000010101100 < -0# -b10011111100001010100111111111001 : -b10011110111111010110111101001100 $ -b10011110111111010110111101001100 - -b10011110111111010110111101001100 5 -b10011110111111010110111101001100 ? -b10011110111111010110111101001100 D -b11111111011110000001111101010011 % -b11111111011110000001111101010011 . -b11111111011110000001111101010011 6 -b11111111011110000001111101010011 @ -b11111111011110000001111101010011 F -b1 ) -b0 ( -#133568000 -0& -#133584000 -b100000100111 , -#133600000 -1& -#133616000 -b11111111011100111101001000111011 0 -b11111111011100111101001000111011 H -b10010000101100000011111011011011 < -b10000111111011010110001010001101 : -b11110111001111010010001110110001 $ -b11110111001111010010001110110001 - -b11110111001111010010001110110001 5 -b11110111001111010010001110110001 ? -b11110111001111010010001110110001 D -b1101111010011111100000100100100 % -b1101111010011111100000100100100 . -b1101111010011111100000100100100 6 -b1101111010011111100000100100100 @ -b1101111010011111100000100100100 F -#133632000 -0& -#133648000 -b100000101000 , -#133664000 -1& -#133680000 -1! -b0 " -b0 4 -b101011110111 0 -b101011110111 H -b0 2 -b0 = -08 -09 -b1101010110001101010011101100 < -1# -b1100101000101000011011001101011 : -b1010111101110110110000101111110 $ -b1010111101110110110000101111110 - -b1010111101110110110000101111110 5 -b1010111101110110110000101111110 ? -b1010111101110110110000101111110 D -b11110010101001110010101100010011 % -b11110010101001110010101100010011 . -b11110010101001110010101100010011 6 -b11110010101001110010101100010011 @ -b11110010101001110010101100010011 F -b0 ) -b1 ( -#133696000 -0& -#133712000 -b100000101001 , -#133728000 -1& -#133744000 -0! -b1 " -b1 4 -b10111111 0 -b10111111 H -b1 2 -b1 = -18 -b10000000001011011010101011001001 < -0# -b10110000000111001111001001101010 : -b101111111011110100011110100000 $ -b101111111011110100011110100000 - -b101111111011110100011110100000 5 -b101111111011110100011110100000 ? -b101111111011110100011110100000 D -b1111111110100100101010100110110 % -b1111111110100100101010100110110 . -b1111111110100100101010100110110 6 -b1111111110100100101010100110110 @ -b1111111110100100101010100110110 F -b1 ) -b0 ( -#133760000 -0& -#133776000 -b100000101010 , -#133792000 -1& -#133808000 -b0 0 -b0 H -b10000001000100001011101101100000 < -b11111110110010000101111001100001 : -b1111101101101111010001100000000 $ -b1111101101101111010001100000000 - -b1111101101101111010001100000000 5 -b1111101101101111010001100000000 ? -b1111101101101111010001100000000 D -b1111110111011110100010010011111 % -b1111110111011110100010010011111 . -b1111110111011110100010010011111 6 -b1111110111011110100010010011111 @ -b1111110111011110100010010011111 F -#133824000 -0& -#133840000 -b100000101011 , -#133856000 -1& -#133872000 -b111101110110110011001110101 0 -b111101110110110011001110101 H -b10001000000111010101010010011100 < -b11000101111110001000100001000101 : -b111101110110110011001110101000 $ -b111101110110110011001110101000 - -b111101110110110011001110101000 5 -b111101110110110011001110101000 ? -b111101110110110011001110101000 D -b1110111111000101010101101100011 % -b1110111111000101010101101100011 . -b1110111111000101010101101100011 6 -b1110111111000101010101101100011 @ -b1110111111000101010101101100011 F -#133888000 -0& -#133904000 -b100000101100 , -#133920000 -1& -#133936000 -1! -b0 " -b0 4 -b10101101111111101 0 -b10101101111111101 H -b0 2 -b0 = -08 -b10010100011001001010010001 < -1# -b1011001010100010000010101101110 : -b1010110111111110111001011011100 $ -b1010110111111110111001011011100 - -b1010110111111110111001011011100 5 -b1010110111111110111001011011100 ? -b1010110111111110111001011011100 D -b11111101101011100110110101101110 % -b11111101101011100110110101101110 . -b11111101101011100110110101101110 6 -b11111101101011100110110101101110 @ -b11111101101011100110110101101110 F -b0 ) -b1 ( -#133952000 -0& -#133968000 -b100000101101 , -#133984000 -1& -#134000000 -0! -b1 " -b1 4 -b11111111111111111111110111111111 0 -b11111111111111111111110111111111 H -19 -b1 2 -b1 = -18 -b10000001000000000101111110101100 < -0# -b1110000111111101111111000110001 : -b11101111111111101001111010000100 $ -b11101111111111101001111010000100 - -b11101111111111101001111010000100 5 -b11101111111111101001111010000100 ? -b11101111111111101001111010000100 D -b1111110111111111010000001010011 % -b1111110111111111010000001010011 . -b1111110111111111010000001010011 6 -b1111110111111111010000001010011 @ -b1111110111111111010000001010011 F -b1 ) -b0 ( -#134016000 -0& -#134032000 -b100000101110 , -#134048000 -1& -#134064000 -0! -b1 " -b1 4 -b11111111111111111111111111111010 0 -b11111111111111111111111111111010 H -b1 2 -b1 = -09 -18 -b11100011010000100100001100000101 < -b11001110101100100011110110110101 : -b11101011011011111111101010101111 $ -b11101011011011111111101010101111 - -b11101011011011111111101010101111 5 -b11101011011011111111101010101111 ? -b11101011011011111111101010101111 D -b11100101111011011110011111010 % -b11100101111011011110011111010 . -b11100101111011011110011111010 6 -b11100101111011011110011111010 @ -b11100101111011011110011111010 F -#134080000 -0& -#134096000 -b100000101111 , -#134112000 -1& -#134128000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1000000010000000101111101000010 < -1# -b101111101111011001011000011111 : -b11101111011111010011011011011100 $ -b11101111011111010011011011011100 - -b11101111011111010011011011011100 5 -b11101111011111010011011011011100 ? -b11101111011111010011011011011100 D -b10111111101111111010000010111101 % -b10111111101111111010000010111101 . -b10111111101111111010000010111101 6 -b10111111101111111010000010111101 @ -b10111111101111111010000010111101 F -b0 ) -b1 ( -#134144000 -0& -#134160000 -b100000110000 , -#134176000 -1& -#134192000 -b11111111111111111111101100001001 0 -b11111111111111111111101100001001 H -b100000011000100100000110111 < -b100000001110101000101110110 : -b11111111111110110000100100111110 $ -b11111111111110110000100100111110 - -b11111111111110110000100100111110 5 -b11111111111110110000100100111110 ? -b11111111111110110000100100111110 D -b11111011111100111011011111001000 % -b11111011111100111011011111001000 . -b11111011111100111011011111001000 6 -b11111011111100111011011111001000 @ -b11111011111100111011011111001000 F -#134208000 -0& -#134224000 -b100000110001 , -#134240000 -1& -#134256000 -1! -b0 " -b0 4 -b11111111111111111111111111111100 0 -b11111111111111111111111111111100 H -b0 2 -b0 = -08 -b100001011010100101100100000100 < -b1001011000001101000001110011 : -b11100111111101100111011101101110 $ -b11100111111101100111011101101110 - -b11100111111101100111011101101110 5 -b11100111111101100111011101101110 ? -b11100111111101100111011101101110 D -b11011110100101011010011011111011 % -b11011110100101011010011011111011 . -b11011110100101011010011011111011 6 -b11011110100101011010011011111011 @ -b11011110100101011010011011111011 F -#134272000 -0& -#134288000 -b100000110010 , -#134304000 -1& -#134320000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11100010100000001011010110100000 < -0# -b10011001111111001011001000000101 : -b10110111011110111111110001100100 $ -b10110111011110111111110001100100 - -b10110111011110111111110001100100 5 -b10110111011110111111110001100100 ? -b10110111011110111111110001100100 D -b11101011111110100101001011111 % -b11101011111110100101001011111 . -b11101011111110100101001011111 6 -b11101011111110100101001011111 @ -b11101011111110100101001011111 F -b1 ) -b0 ( -#134336000 -0& -#134352000 -b100000110011 , -#134368000 -1& -#134384000 -0! -b1 " -b1 4 -b11111111110100101111101010011111 0 -b11111111110100101111101010011111 H -b1 2 -b1 = -19 -18 -b10000100000011101010100011011000 < -b1101101100010111111100011000001 : -b11101001011111010100111111101000 $ -b11101001011111010100111111101000 - -b11101001011111010100111111101000 5 -b11101001011111010100111111101000 ? -b11101001011111010100111111101000 D -b1111011111100010101011100100111 % -b1111011111100010101011100100111 . -b1111011111100010101011100100111 6 -b1111011111100010101011100100111 @ -b1111011111100010101011100100111 F -#134400000 -0& -#134416000 -b100000110100 , -#134432000 -1& -#134448000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -09 -b1100010100000010110101001100001 < -1# -b1011001111111010010110111000100 : -b11110111011110111100001101100010 $ -b11110111011110111100001101100010 - -b11110111011110111100001101100010 5 -b11110111011110111100001101100010 ? -b11110111011110111100001101100010 D -b10011101011111101001010110011110 % -b10011101011111101001010110011110 . -b10011101011111101001010110011110 6 -b10011101011111101001010110011110 @ -b10011101011111101001010110011110 F -b0 ) -b1 ( -#134464000 -0& -#134480000 -b100000110101 , -#134496000 -1& -#134512000 -0! -b1 " -b1 4 -b11111111111111111111111111101110 0 -b11111111111111111111111111101110 H -b1 2 -b1 = -18 -b10010000010000000110111010101011 < -0# -b10001111001100000010100110100101 : -b11111110111011111011101011111001 $ -b11111110111011111011101011111001 - -b11111110111011111011101011111001 5 -b11111110111011111011101011111001 ? -b11111110111011111011101011111001 D -b1101111101111111001000101010100 % -b1101111101111111001000101010100 . -b1101111101111111001000101010100 6 -b1101111101111111001000101010100 @ -b1101111101111111001000101010100 F -b1 ) -b0 ( -#134528000 -0& -#134544000 -b100000110110 , -#134560000 -1& -#134576000 -1! -b0 " -b0 4 -b111111001 0 -b111111001 H -b0 2 -b0 = -08 -b10110000000000001000100101001001 < -1# -b101110011101011100101010001011 : -b1111110011101010100000101000001 $ -b1111110011101010100000101000001 - -b1111110011101010100000101000001 5 -b1111110011101010100000101000001 ? -b1111110011101010100000101000001 D -b1001111111111110111011010110110 % -b1001111111111110111011010110110 . -b1001111111111110111011010110110 6 -b1001111111111110111011010110110 @ -b1001111111111110111011010110110 F -b0 ) -b1 ( -#134592000 -0& -#134608000 -b100000110111 , -#134624000 -1& -#134640000 -0! -b1 " -b1 4 -b11111111111111111011111110101010 0 -b11111111111111111011111110101010 H -b1 2 -b1 = -18 -b10100011000111100011000110110011 < -0# -b10011111000110001101001000110011 : -b11111011111110101010000001111111 $ -b11111011111110101010000001111111 - -b11111011111110101010000001111111 5 -b11111011111110101010000001111111 ? -b11111011111110101010000001111111 D -b1011100111000011100111001001100 % -b1011100111000011100111001001100 . -b1011100111000011100111001001100 6 -b1011100111000011100111001001100 @ -b1011100111000011100111001001100 F -b1 ) -b0 ( -#134656000 -0& -#134672000 -b100000111000 , -#134688000 -1& -#134704000 -0! -b1 " -b1 4 -b1111100011101100101100 0 -b1111100011101100101100 H -b1 2 -b1 = -18 -09 -b10001010110000001010110000011000 < -b10101001110111100100001001011101 : -b11111000111011001011001000100 $ -b11111000111011001011001000100 - -b11111000111011001011001000100 5 -b11111000111011001011001000100 ? -b11111000111011001011001000100 D -b1110101001111110101001111100111 % -b1110101001111110101001111100111 . -b1110101001111110101001111100111 6 -b1110101001111110101001111100111 @ -b1110101001111110101001111100111 F -#134720000 -0& -#134736000 -b100000111001 , -#134752000 -1& -#134768000 -1! -b0 " -b0 4 -b11111111110 0 -b11111111110 H -b0 2 -b0 = -08 -b10000110000100111011100100101011 < -1# -b110000000110101010101001001 : -b1111111111011111001110000011101 $ -b1111111111011111001110000011101 - -b1111111111011111001110000011101 5 -b1111111111011111001110000011101 ? -b1111111111011111001110000011101 D -b1111001111011000100011011010100 % -b1111001111011000100011011010100 . -b1111001111011000100011011010100 6 -b1111001111011000100011011010100 @ -b1111001111011000100011011010100 F -b0 ) -b1 ( -#134784000 -0& -#134800000 -b100000111010 , -#134816000 -1& -#134832000 -1! -b0 " -b0 4 -b11111101101111011111111100 0 -b11111101101111011111111100 H -b0 2 -b0 = -19 -08 -b1010000000100110110010010011010 < -b11001110111100100110010000100100 : -b1111110110111101111111110001001 $ -b1111110110111101111111110001001 - -b1111110110111101111111110001001 5 -b1111110110111101111111110001001 ? -b1111110110111101111111110001001 D -b10101111111011001001101101100101 % -b10101111111011001001101101100101 . -b10101111111011001001101101100101 6 -b10101111111011001001101101100101 @ -b10101111111011001001101101100101 F -#134848000 -0& -#134864000 -b100000111011 , -#134880000 -1& -#134896000 -b11111011111100101011001000001 0 -b11111011111100101011001000001 H -b100000100000101011010000111101 < -b10011110011111000000110101000100 : -b1111101111110010101100100000110 $ -b1111101111110010101100100000110 - -b1111101111110010101100100000110 5 -b1111101111110010101100100000110 ? -b1111101111110010101100100000110 D -b11011111011111010100101111000010 % -b11011111011111010100101111000010 . -b11011111011111010100101111000010 6 -b11011111011111010100101111000010 @ -b11011111011111010100101111000010 F -#134912000 -0& -#134928000 -b100000111100 , -#134944000 -1& -#134960000 -1! -b0 " -b0 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -09 -b0 2 -b0 = -08 -b110100100101000011110000100110 < -b110000011110101000000011101110 : -b11111011111001100100010011000111 $ -b11111011111001100100010011000111 - -b11111011111001100100010011000111 5 -b11111011111001100100010011000111 ? -b11111011111001100100010011000111 D -b11001011011010111100001111011001 % -b11001011011010111100001111011001 . -b11001011011010111100001111011001 6 -b11001011011010111100001111011001 @ -b11001011011010111100001111011001 F -#134976000 -0& -#134992000 -b100000111101 , -#135008000 -1& -#135024000 -1! -b0 " -b0 4 -b11 0 -b11 H -19 -b0 2 -b0 = -08 -b1000000010011100110111001100010 < -b10101001000011011010010110101011 : -b1101000101111110011011101001000 $ -b1101000101111110011011101001000 - -b1101000101111110011011101001000 5 -b1101000101111110011011101001000 ? -b1101000101111110011011101001000 D -b10111111101100011001000110011101 % -b10111111101100011001000110011101 . -b10111111101100011001000110011101 6 -b10111111101100011001000110011101 @ -b10111111101100011001000110011101 F -#135040000 -0& -#135056000 -b100000111110 , -#135072000 -1& -#135088000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b10000101000100000111110101000 < -b10000100111010100111011011110 : -b11111111111110110011111100110101 $ -b11111111111110110011111100110101 - -b11111111111110110011111100110101 5 -b11111111111110110011111100110101 ? -b11111111111110110011111100110101 D -b11101111010111011111000001010111 % -b11101111010111011111000001010111 . -b11101111010111011111000001010111 6 -b11101111010111011111000001010111 @ -b11101111010111011111000001010111 F -#135104000 -0& -#135120000 -b100000111111 , -#135136000 -1& -#135152000 -0! -b1 " -b1 4 -b101111011111 0 -b101111011111 H -b1 2 -b1 = -18 -b10010101000100110100000101101110 < -0# -b10101100110100011000101001001010 : -b10111101111100100100011011011 $ -b10111101111100100100011011011 - -b10111101111100100100011011011 5 -b10111101111100100100011011011 ? -b10111101111100100100011011011 D -b1101010111011001011111010010001 % -b1101010111011001011111010010001 . -b1101010111011001011111010010001 6 -b1101010111011001011111010010001 @ -b1101010111011001011111010010001 F -b1 ) -b0 ( -#135168000 -0& -#135184000 -b100001000000 , -#135200000 -1& -#135216000 -1! -b0 " -b0 4 -b11001111011111 0 -b11001111011111 H -b0 2 -b0 = -08 -19 -b110000101001001000101101101110 < -1# -b10011000011000110000100101110101 : -b1100111101111100111111000000110 $ -b1100111101111100111111000000110 - -b1100111101111100111111000000110 5 -b1100111101111100111111000000110 ? -b1100111101111100111111000000110 D -b11001111010110110111010010010001 % -b11001111010110110111010010010001 . -b11001111010110110111010010010001 6 -b11001111010110110111010010010001 @ -b11001111010110110111010010010001 F -b0 ) -b1 ( -#135232000 -0& -#135248000 -b100001000001 , -#135264000 -1& -#135280000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b1 2 -b1 = -18 -b10010000100001011001111010000100 < -0# -b10001111101100110111000111100111 : -b11111111001011011101001101100010 $ -b11111111001011011101001101100010 - -b11111111001011011101001101100010 5 -b11111111001011011101001101100010 ? -b11111111001011011101001101100010 D -b1101111011110100110000101111011 % -b1101111011110100110000101111011 . -b1101111011110100110000101111011 6 -b1101111011110100110000101111011 @ -b1101111011110100110000101111011 F -b1 ) -b0 ( -#135296000 -0& -#135312000 -b100001000010 , -#135328000 -1& -#135344000 -0! -b1 " -b1 4 -b101111001111011000 0 -b101111001111011000 H -b1 2 -b1 = -18 -09 -b10000011110000000100011011010010 < -b11100010001110110101011000001010 : -b1011110011110110000111100110111 $ -b1011110011110110000111100110111 - -b1011110011110110000111100110111 5 -b1011110011110110000111100110111 ? -b1011110011110110000111100110111 D -b1111100001111111011100100101101 % -b1111100001111111011100100101101 . -b1111100001111111011100100101101 6 -b1111100001111111011100100101101 @ -b1111100001111111011100100101101 F -#135360000 -0& -#135376000 -b100001000011 , -#135392000 -1& -#135408000 -1! -b0 " -b0 4 -b1110110111101100000011101 0 -b1110110111101100000011101 H -b0 2 -b0 = -08 -b11000001001000111011001010011001 < -1# -b111000000110011011101000000101 : -b1110110111101100000011101101011 $ -b1110110111101100000011101101011 - -b1110110111101100000011101101011 5 -b1110110111101100000011101101011 ? -b1110110111101100000011101101011 D -b111110110111000100110101100110 % -b111110110111000100110101100110 . -b111110110111000100110101100110 6 -b111110110111000100110101100110 @ -b111110110111000100110101100110 F -b0 ) -b1 ( -#135424000 -0& -#135440000 -b100001000100 , -#135456000 -1& -#135472000 -b1111 0 -b1111 H -b100011010011100000101000100 < -b1111111000010010001100111011111 : -b1111010100111110101100010011010 $ -b1111010100111110101100010011010 - -b1111010100111110101100010011010 5 -b1111010100111110101100010011010 ? -b1111010100111110101100010011010 D -b11111011100101100011111010111011 % -b11111011100101100011111010111011 . -b11111011100101100011111010111011 6 -b11111011100101100011111010111011 @ -b11111011100101100011111010111011 F -#135488000 -0& -#135504000 -b100001000101 , -#135520000 -1& -#135536000 -1! -b0 " -b0 4 -b11111011110111111110101010000101 0 -b11111011110111111110101010000101 H -b0 2 -b0 = -08 -b1010010000010000110111100111011 < -b10000000001110001011110010101 : -b10111101111111101010100001011001 $ -b10111101111111101010100001011001 - -b10111101111111101010100001011001 5 -b10111101111111101010100001011001 ? -b10111101111111101010100001011001 D -b10101101111101111001000011000100 % -b10101101111101111001000011000100 . -b10101101111101111001000011000100 6 -b10101101111101111001000011000100 @ -b10101101111101111001000011000100 F -#135552000 -0& -#135568000 -b100001000110 , -#135584000 -1& -#135600000 -1! -b0 " -b0 4 -b1111111111111100101010100 0 -b1111111111111100101010100 H -b0 2 -b0 = -08 -b11000001100000001010100111011001 < -b1000001011111101111111100010011 : -b1111111111111100101010100111001 $ -b1111111111111100101010100111001 - -b1111111111111100101010100111001 5 -b1111111111111100101010100111001 ? -b1111111111111100101010100111001 D -b111110011111110101011000100110 % -b111110011111110101011000100110 . -b111110011111110101011000100110 6 -b111110011111110101011000100110 @ -b111110011111110101011000100110 F -#135616000 -0& -#135632000 -b100001000111 , -#135648000 -1& -#135664000 -1! -b0 " -b0 4 -b11111111111111111111001010001101 0 -b11111111111111111111001010001101 H -b0 2 -b0 = -08 -b1000001100010000110111000101111 < -b110100000101100101010000111110 : -b11110010100011011110011000001110 $ -b11110010100011011110011000001110 - -b11110010100011011110011000001110 5 -b11110010100011011110011000001110 ? -b11110010100011011110011000001110 D -b10111110011101111001000111010000 % -b10111110011101111001000111010000 . -b10111110011101111001000111010000 6 -b10111110011101111001000111010000 @ -b10111110011101111001000111010000 F -#135680000 -0& -#135696000 -b100001001000 , -#135712000 -1& -#135728000 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b1001001100110001000111101000111 < -b1000110110101000101010111000110 : -b11111101001110111100011001111110 $ -b11111101001110111100011001111110 - -b11111101001110111100011001111110 5 -b11111101001110111100011001111110 ? -b11111101001110111100011001111110 D -b10110110011001110111000010111000 % -b10110110011001110111000010111000 . -b10110110011001110111000010111000 6 -b10110110011001110111000010111000 @ -b10110110011001110111000010111000 F -#135744000 -0& -#135760000 -b100001001001 , -#135776000 -1& -#135792000 -1! -b0 " -b0 4 -b110011 0 -b110011 H -19 -b0 2 -b0 = -08 -b1000010100011010111011011000110 < -b10101010010011010010110110111000 : -b1100111101111111011011011110001 $ -b1100111101111111011011011110001 - -b1100111101111111011011011110001 5 -b1100111101111111011011011110001 ? -b1100111101111111011011011110001 D -b10111101011100101000100100111001 % -b10111101011100101000100100111001 . -b10111101011100101000100100111001 6 -b10111101011100101000100100111001 @ -b10111101011100101000100100111001 F -#135808000 -0& -#135824000 -b100001001010 , -#135840000 -1& -#135856000 -1! -b0 " -b0 4 -b1100001011111 0 -b1100001011111 H -b0 2 -b0 = -09 -08 -b1000001010001110010110010001111 < -b1011001101001110000001011010110 : -b11000010111111101011001000110 $ -b11000010111111101011001000110 - -b11000010111111101011001000110 5 -b11000010111111101011001000110 ? -b11000010111111101011001000110 D -b10111110101110001101001101110000 % -b10111110101110001101001101110000 . -b10111110101110001101001101110000 6 -b10111110101110001101001101110000 @ -b10111110101110001101001101110000 F -#135872000 -0& -#135888000 -b100001001011 , -#135904000 -1& -#135920000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -09 -08 -b10000000100010101001100010000000 < -b100010100001100101000010 : -b1111111111111111000000011000001 $ -b1111111111111111000000011000001 - -b1111111111111111000000011000001 5 -b1111111111111111000000011000001 ? -b1111111111111111000000011000001 D -b1111111011101010110011101111111 % -b1111111011101010110011101111111 . -b1111111011101010110011101111111 6 -b1111111011101010110011101111111 @ -b1111111011101010110011101111111 F -#135936000 -0& -#135952000 -b100001001100 , -#135968000 -1& -#135984000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b10110010010101101110101000000 < -b10110001110010011011011100000 : -b11111111111011100101100110011111 $ -b11111111111011100101100110011111 - -b11111111111011100101100110011111 5 -b11111111111011100101100110011111 ? -b11111111111011100101100110011111 D -b11101001101101010010001010111111 % -b11101001101101010010001010111111 . -b11101001101101010010001010111111 6 -b11101001101101010010001010111111 @ -b11101001101101010010001010111111 F -#136000000 -0& -#136016000 -b100001001101 , -#136032000 -1& -#136048000 -1! -b0 " -b0 4 -b111111101101 0 -b111111101101 H -19 -b0 2 -b0 = -08 -b100000000001000101111000101100 < -b10011111011100011110101001011001 : -b1111111011011011000110000101100 $ -b1111111011011011000110000101100 - -b1111111011011011000110000101100 5 -b1111111011011011000110000101100 ? -b1111111011011011000110000101100 D -b11011111111110111010000111010011 % -b11011111111110111010000111010011 . -b11011111111110111010000111010011 6 -b11011111111110111010000111010011 @ -b11011111111110111010000111010011 F -#136064000 -0& -#136080000 -b100001001110 , -#136096000 -1& -#136112000 -1! -b0 " -b0 4 -b1111011111 0 -b1111011111 H -b0 2 -b0 = -09 -08 -b10100000000000001111111000101010 < -b11100000000001001010101010111 : -b1111011111111111001011100101100 $ -b1111011111111111001011100101100 - -b1111011111111111001011100101100 5 -b1111011111111111001011100101100 ? -b1111011111111111001011100101100 D -b1011111111111110000000111010101 % -b1011111111111110000000111010101 . -b1011111111111110000000111010101 6 -b1011111111111110000000111010101 @ -b1011111111111110000000111010101 F -#136128000 -0& -#136144000 -b100001001111 , -#136160000 -1& -#136176000 -b111111011110001 0 -b111111011110001 H -b10100000000001100110100100001111 < -b11110111101110111111101000010 : -b1111110111100010001011000110010 $ -b1111110111100010001011000110010 - -b1111110111100010001011000110010 5 -b1111110111100010001011000110010 ? -b1111110111100010001011000110010 D -b1011111111110011001011011110000 % -b1011111111110011001011011110000 . -b1011111111110011001011011110000 6 -b1011111111110011001011011110000 @ -b1011111111110011001011011110000 F -#136192000 -0& -#136208000 -b100001010000 , -#136224000 -1& -#136240000 -1! -b0 " -b0 4 -b1111111011111110011111 0 -b1111111011111110011111 H -b0 2 -b0 = -19 -08 -b1100101000000011001101110110 < -b10001100000111110111000110110111 : -b1111111011111110011111001000000 $ -b1111111011111110011111001000000 - -b1111111011111110011111001000000 5 -b1111111011111110011111001000000 ? -b1111111011111110011111001000000 D -b11110011010111111100110010001001 % -b11110011010111111100110010001001 . -b11110011010111111100110010001001 6 -b11110011010111111100110010001001 @ -b11110011010111111100110010001001 F -#136256000 -0& -#136272000 -b100001010001 , -#136288000 -1& -#136304000 -0! -b1 " -b1 4 -b100111110101101110001 0 -b100111110101101110001 H -b1 2 -b1 = -09 -18 -b10000110001001001011001101110101 < -0# -b11010101110100100111101101100010 : -b1001111101011011100011111101100 $ -b1001111101011011100011111101100 - -b1001111101011011100011111101100 5 -b1001111101011011100011111101100 ? -b1001111101011011100011111101100 D -b1111001110110110100110010001010 % -b1111001110110110100110010001010 . -b1111001110110110100110010001010 6 -b1111001110110110100110010001010 @ -b1111001110110110100110010001010 F -b1 ) -b0 ( -#136320000 -0& -#136336000 -b100001010010 , -#136352000 -1& -#136368000 -1! -b0 " -b0 4 -b1000100101101 0 -b1000100101101 H -b0 2 -b0 = -08 -b10100010111011101101 < -1# -b1000100110000011000110000110001 : -b1000100101101110101110101000011 $ -b1000100101101110101110101000011 - -b1000100101101110101110101000011 5 -b1000100101101110101110101000011 ? -b1000100101101110101110101000011 D -b11111111111101011101000100010010 % -b11111111111101011101000100010010 . -b11111111111101011101000100010010 6 -b11111111111101011101000100010010 @ -b11111111111101011101000100010010 F -b0 ) -b1 ( -#136384000 -0& -#136400000 -b100001010011 , -#136416000 -1& -#136432000 -b11111101111101110110 0 -b11111101111101110110 H -b11001001100011100010101000110100 < -b1001000100010011101101010100111 : -b1111110111110111011000001110010 $ -b1111110111110111011000001110010 - -b1111110111110111011000001110010 5 -b1111110111110111011000001110010 ? -b1111110111110111011000001110010 D -b110110011100011101010111001011 % -b110110011100011101010111001011 . -b110110011100011101010111001011 6 -b110110011100011101010111001011 @ -b110110011100011101010111001011 F -#136448000 -0& -#136464000 -b100001010100 , -#136480000 -1& -#136496000 -b0 0 -b0 H -b101100000000100111011100000 < -b1000100110000000010100011000000 : -b111111001111111101100111011111 $ -b111111001111111101100111011111 - -b111111001111111101100111011111 5 -b111111001111111101100111011111 ? -b111111001111111101100111011111 D -b11111010011111111011000100011111 % -b11111010011111111011000100011111 . -b11111010011111111011000100011111 6 -b11111010011111111011000100011111 @ -b11111010011111111011000100011111 F -#136512000 -0& -#136528000 -b100001010101 , -#136544000 -1& -#136560000 -0! -b1 " -b1 4 -b11111110111111101111001111000100 0 -b11111110111111101111001111000100 H -19 -b1 2 -b1 = -18 -b10001110001101001010111110011010 < -0# -b1101110000100110010100000101010 : -b11011111110111100111100010001111 $ -b11011111110111100111100010001111 - -b11011111110111100111100010001111 5 -b11011111110111100111100010001111 ? -b11011111110111100111100010001111 D -b1110001110010110101000001100101 % -b1110001110010110101000001100101 . -b1110001110010110101000001100101 6 -b1110001110010110101000001100101 @ -b1110001110010110101000001100101 F -b1 ) -b0 ( -#136576000 -0& -#136592000 -b100001010110 , -#136608000 -1& -#136624000 -0! -b1 " -b1 4 -b10010111111111001 0 -b10010111111111001 H -09 -b1 2 -b1 = -18 -b10001000010001000100011110010001 < -b11010100010000101010111111000111 : -b1001011111111100110100000110101 $ -b1001011111111100110100000110101 - -b1001011111111100110100000110101 5 -b1001011111111100110100000110101 ? -b1001011111111100110100000110101 D -b1110111101110111011100001101110 % -b1110111101110111011100001101110 . -b1110111101110111011100001101110 6 -b1110111101110111011100001101110 @ -b1110111101110111011100001101110 F -#136640000 -0& -#136656000 -b100001010111 , -#136672000 -1& -#136688000 -1! -b0 " -b0 4 -b11100110110001111100111111 0 -b11100110110001111100111111 H -b0 2 -b0 = -08 -19 -b1110010001000101001100101111010 < -1# -b11100101100001101000000101110100 : -b1110011011000111110011111111001 $ -b1110011011000111110011111111001 - -b1110011011000111110011111111001 5 -b1110011011000111110011111111001 ? -b1110011011000111110011111111001 D -b10001101110111010110011010000101 % -b10001101110111010110011010000101 . -b10001101110111010110011010000101 6 -b10001101110111010110011010000101 @ -b10001101110111010110011010000101 F -b0 ) -b1 ( -#136704000 -0& -#136720000 -b100001011000 , -#136736000 -1& -#136752000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b1 2 -b1 = -18 -b10000011000000101100111101100001 < -0# -b10000000111110100001100101111101 : -b11111101111101110100101000011011 $ -b11111101111101110100101000011011 - -b11111101111101110100101000011011 5 -b11111101111101110100101000011011 ? -b11111101111101110100101000011011 D -b1111100111111010011000010011110 % -b1111100111111010011000010011110 . -b1111100111111010011000010011110 6 -b1111100111111010011000010011110 @ -b1111100111111010011000010011110 F -b1 ) -b0 ( -#136768000 -0& -#136784000 -b100001011001 , -#136800000 -1& -#136816000 -0! -b1 " -b1 4 -b10111 0 -b10111 H -b1 2 -b1 = -18 -09 -b10000011000000001001010101100101 < -b11100000001010010110001011100101 : -b1011101001010001100110101111111 $ -b1011101001010001100110101111111 - -b1011101001010001100110101111111 5 -b1011101001010001100110101111111 ? -b1011101001010001100110101111111 D -b1111100111111110110101010011010 % -b1111100111111110110101010011010 . -b1111100111111110110101010011010 6 -b1111100111111110110101010011010 @ -b1111100111111110110101010011010 F -#136832000 -0& -#136848000 -b100001011010 , -#136864000 -1& -#136880000 -0! -b1 " -b1 4 -b11111111111101111111111111001111 0 -b11111111111101111111111111001111 H -b1 2 -b1 = -18 -b1000000001000000000001110110 < -b11111000000000111010000000100001 : -b11101111111111111001111110101010 $ -b11101111111111111001111110101010 - -b11101111111111111001111110101010 5 -b11101111111111111001111110101010 ? -b11101111111111111001111110101010 D -b11110111111110111111111110001001 % -b11110111111110111111111110001001 . -b11110111111110111111111110001001 6 -b11110111111110111111111110001001 @ -b11110111111110111111111110001001 F -#136896000 -0& -#136912000 -b100001011011 , -#136928000 -1& -#136944000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1010011011100001110000100100001 < -1# -b1010010001011010100100011101010 : -b11111110101111000110011111001000 $ -b11111110101111000110011111001000 - -b11111110101111000110011111001000 5 -b11111110101111000110011111001000 ? -b11111110101111000110011111001000 D -b10101100100011110001111011011110 % -b10101100100011110001111011011110 . -b10101100100011110001111011011110 6 -b10101100100011110001111011011110 @ -b10101100100011110001111011011110 F -b0 ) -b1 ( -#136960000 -0& -#136976000 -b100001011100 , -#136992000 -1& -#137008000 -0! -b1 " -b1 4 -b11111111111111111111110111111101 0 -b11111111111111111111110111111101 H -b1 2 -b1 = -18 -b100001000000000101001001001010 < -0# -b11100000101010100001011110001101 : -b10111111101010011100010101000010 $ -b10111111101010011100010101000010 - -b10111111101010011100010101000010 5 -b10111111101010011100010101000010 ? -b10111111101010011100010101000010 D -b11011110111111111010110110110101 % -b11011110111111111010110110110101 . -b11011110111111111010110110110101 6 -b11011110111111111010110110110101 @ -b11011110111111111010110110110101 F -b1 ) -b0 ( -#137024000 -0& -#137040000 -b100001011101 , -#137056000 -1& -#137072000 -b1110110 0 -b1110110 H -b10000000000000010001101111000111 < -b11110111000000000011011001101001 : -b1110110111111110001101010100001 $ -b1110110111111110001101010100001 - -b1110110111111110001101010100001 5 -b1110110111111110001101010100001 ? -b1110110111111110001101010100001 D -b1111111111111101110010000111000 % -b1111111111111101110010000111000 . -b1111111111111101110010000111000 6 -b1111111111111101110010000111000 @ -b1111111111111101110010000111000 F -#137088000 -0& -#137104000 -b100001011110 , -#137120000 -1& -#137136000 -1! -b0 " -b0 4 -b110111111111100110111000010 0 -b110111111111100110111000010 H -b0 2 -b0 = -08 -b1000100000100001101010001111100 < -1# -b1111100000011110100001010010100 : -b110111111111100110111000010111 $ -b110111111111100110111000010111 - -b110111111111100110111000010111 5 -b110111111111100110111000010111 ? -b110111111111100110111000010111 D -b10111011111011110010101110000011 % -b10111011111011110010101110000011 . -b10111011111011110010101110000011 6 -b10111011111011110010101110000011 @ -b10111011111011110010101110000011 F -b0 ) -b1 ( -#137152000 -0& -#137168000 -b100001011111 , -#137184000 -1& -#137200000 -1! -b0 " -b0 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b0 2 -b0 = -08 -09 -b100000000010001010000010100011 < -b1000000000111110001000010110 : -b11100111111110110100000101110010 $ -b11100111111110110100000101110010 - -b11100111111110110100000101110010 5 -b11100111111110110100000101110010 ? -b11100111111110110100000101110010 D -b11011111111101110101111101011100 % -b11011111111101110101111101011100 . -b11011111111101110101111101011100 6 -b11011111111101110101111101011100 @ -b11011111111101110101111101011100 F -#137216000 -0& -#137232000 -b100001100000 , -#137248000 -1& -#137264000 -0! -b1 " -b1 4 -b11111111111111101101111011111100 0 -b11111111111111101101111011111100 H -19 -b1 2 -b1 = -18 -b11001000000001001001111111010001 < -0# -b1111111110000111100101100110111 : -b10110111101111110010101101100101 $ -b10110111101111110010101101100101 - -b10110111101111110010101101100101 5 -b10110111101111110010101101100101 ? -b10110111101111110010101101100101 D -b110111111110110110000000101110 % -b110111111110110110000000101110 . -b110111111110110110000000101110 6 -b110111111110110110000000101110 @ -b110111111110110110000000101110 F -b1 ) -b0 ( -#137280000 -0& -#137296000 -b100001100001 , -#137312000 -1& -#137328000 -0! -b1 " -b1 4 -b11111111111111111111111111101101 0 -b11111111111111111111111111101101 H -b1 2 -b1 = -19 -18 -b10000010000000111011001101000101 < -b111010000000011010010011100010 : -b10110111111111011111000110011100 $ -b10110111111111011111000110011100 - -b10110111111111011111000110011100 5 -b10110111111111011111000110011100 ? -b10110111111111011111000110011100 D -b1111101111111000100110010111010 % -b1111101111111000100110010111010 . -b1111101111111000100110010111010 6 -b1111101111111000100110010111010 @ -b1111101111111000100110010111010 F -#137344000 -0& -#137360000 -b100001100010 , -#137376000 -1& -#137392000 -0! -b1 " -b1 4 -b11111111111111111111111100111111 0 -b11111111111111111111111100111111 H -b1 2 -b1 = -09 -18 -b10101000100000011111001010001010 < -b10010000011100010111011101010001 : -b11100111111011111000010011000110 $ -b11100111111011111000010011000110 - -b11100111111011111000010011000110 5 -b11100111111011111000010011000110 ? -b11100111111011111000010011000110 D -b1010111011111100000110101110101 % -b1010111011111100000110101110101 . -b1010111011111100000110101110101 6 -b1010111011111100000110101110101 @ -b1010111011111100000110101110101 F -#137408000 -0& -#137424000 -b100001100011 , -#137440000 -1& -#137456000 -0! -b1 " -b1 4 -b11111100111111101111011110010110 0 -b11111100111111101111011110010110 H -b1 2 -b1 = -19 -18 -b10011001010000011110101000111010 < -b111001001000001101110100010010 : -b10011111110111101111001011010111 $ -b10011111110111101111001011010111 - -b10011111110111101111001011010111 5 -b10011111110111101111001011010111 ? -b10011111110111101111001011010111 D -b1100110101111100001010111000101 % -b1100110101111100001010111000101 . -b1100110101111100001010111000101 6 -b1100110101111100001010111000101 @ -b1100110101111100001010111000101 F -#137472000 -0& -#137488000 -b100001100100 , -#137504000 -1& -#137520000 -1! -b0 " -b0 4 -b110 0 -b110 H -b0 2 -b0 = -08 -19 -b11000000000000011110011000011 < -1# -b10000100011011101010111010111110 : -b1101100011011100111000111111010 $ -b1101100011011100111000111111010 - -b1101100011011100111000111111010 5 -b1101100011011100111000111111010 ? -b1101100011011100111000111111010 D -b11100111111111111100001100111100 % -b11100111111111111100001100111100 . -b11100111111111111100001100111100 6 -b11100111111111111100001100111100 @ -b11100111111111111100001100111100 F -b0 ) -b1 ( -#137536000 -0& -#137552000 -b100001100101 , -#137568000 -1& -#137584000 -1! -b0 " -b0 4 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -09 -b0 2 -b0 = -08 -b1000000010000001110110101001001 < -b111100001110001110101010100001 : -b11111011111101111111110101010111 $ -b11111011111101111111110101010111 - -b11111011111101111111110101010111 5 -b11111011111101111111110101010111 ? -b11111011111101111111110101010111 D -b10111111101111110001001010110110 % -b10111111101111110001001010110110 . -b10111111101111110001001010110110 6 -b10111111101111110001001010110110 @ -b10111111101111110001001010110110 F -#137600000 -0& -#137616000 -b100001100110 , -#137632000 -1& -#137648000 -0! -b1 " -b1 4 -b10001111011111011111010100101101 0 -b10001111011111011111010100101101 H -19 -b1 2 -b1 = -18 -b10011000000101001111011001111111 < -0# -b100111100100101110101110101101 : -b10001111011111011111010100101101 $ -b10001111011111011111010100101101 - -b10001111011111011111010100101101 5 -b10001111011111011111010100101101 ? -b10001111011111011111010100101101 D -b1100111111010110000100110000000 % -b1100111111010110000100110000000 . -b1100111111010110000100110000000 6 -b1100111111010110000100110000000 @ -b1100111111010110000100110000000 F -b1 ) -b0 ( -#137664000 -0& -#137680000 -b100001100111 , -#137696000 -1& -#137712000 -1! -b0 " -b0 4 -b11111111101111111011100010110001 0 -b11111111101111111011100010110001 H -b0 2 -b0 = -09 -08 -b1001000010001101110011011010 < -1# -b111111111111001100010110 : -b11110111111101110001011000111011 $ -b11110111111101110001011000111011 - -b11110111111101110001011000111011 5 -b11110111111101110001011000111011 ? -b11110111111101110001011000111011 D -b11110110111101110010001100100101 % -b11110110111101110010001100100101 . -b11110110111101110010001100100101 6 -b11110110111101110010001100100101 @ -b11110110111101110010001100100101 F -b0 ) -b1 ( -#137728000 -0& -#137744000 -b100001101000 , -#137760000 -1& -#137776000 -1! -b0 " -b0 4 -b1111011010110 0 -b1111011010110 H -b0 2 -b0 = -08 -b11000010000000100101100101001101 < -b111101010111010100101000001111 : -b1111011010110101111000011000001 $ -b1111011010110101111000011000001 - -b1111011010110101111000011000001 5 -b1111011010110101111000011000001 ? -b1111011010110101111000011000001 D -b111101111111011010011010110010 % -b111101111111011010011010110010 . -b111101111111011010011010110010 6 -b111101111111011010011010110010 @ -b111101111111011010011010110010 F -#137792000 -0& -#137808000 -b100001101001 , -#137824000 -1& -#137840000 -0! -b1 " -b1 4 -b11 0 -b11 H -b1 2 -b1 = -18 -b10100010000100110000010001100011 < -0# -b11100000000011001111110000101111 : -b111101111110011111011111001011 $ -b111101111110011111011111001011 - -b111101111110011111011111001011 5 -b111101111110011111011111001011 ? -b111101111110011111011111001011 D -b1011101111011001111101110011100 % -b1011101111011001111101110011100 . -b1011101111011001111101110011100 6 -b1011101111011001111101110011100 @ -b1011101111011001111101110011100 F -b1 ) -b0 ( -#137856000 -0& -#137872000 -b100001101010 , -#137888000 -1& -#137904000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -19 -18 -b10000000100100001001011101100001 < -b1101101010011110011110101111101 : -b11101100101111101010011000011011 $ -b11101100101111101010011000011011 - -b11101100101111101010011000011011 5 -b11101100101111101010011000011011 ? -b11101100101111101010011000011011 D -b1111111011011110110100010011110 % -b1111111011011110110100010011110 . -b1111111011011110110100010011110 6 -b1111111011011110110100010011110 @ -b1111111011011110110100010011110 F -#137920000 -0& -#137936000 -b100001101011 , -#137952000 -1& -#137968000 -1! -b0 " -b0 4 -b111101 0 -b111101 H -09 -b0 2 -b0 = -08 -b10100000001110100110 < -1# -b1111100000000001001010011011011 : -b1111011111101101001000100110100 $ -b1111011111101101001000100110100 - -b1111011111101101001000100110100 5 -b1111011111101101001000100110100 ? -b1111011111101101001000100110100 D -b11111111111101011111110001011001 % -b11111111111101011111110001011001 . -b11111111111101011111110001011001 6 -b11111111111101011111110001011001 @ -b11111111111101011111110001011001 F -b0 ) -b1 ( -#137984000 -0& -#138000000 -b100001101100 , -#138016000 -1& -#138032000 -b11011011101 0 -b11011011101 H -b11000000110000010000010011101011 < -b101110100101010110110000011010 : -b1101101110101000110011100101110 $ -b1101101110101000110011100101110 - -b1101101110101000110011100101110 5 -b1101101110101000110011100101110 ? -b1101101110101000110011100101110 D -b111111001111101111101100010100 % -b111111001111101111101100010100 . -b111111001111101111101100010100 6 -b111111001111101111101100010100 @ -b111111001111101111101100010100 F -#138048000 -0& -#138064000 -b100001101101 , -#138080000 -1& -#138096000 -1! -b0 " -b0 4 -b11111111111111110011110011010111 0 -b11111111111111110011110011010111 H -b0 2 -b0 = -08 -b1100000010000001100001101110011 < -b1010100000011100100000001011100 : -b11110011110011010111110011101000 $ -b11110011110011010111110011101000 - -b11110011110011010111110011101000 5 -b11110011110011010111110011101000 ? -b11110011110011010111110011101000 D -b10011111101111110011110010001100 % -b10011111101111110011110010001100 . -b10011111101111110011110010001100 6 -b10011111101111110011110010001100 @ -b10011111101111110011110010001100 F -#138112000 -0& -#138128000 -b100001101110 , -#138144000 -1& -#138160000 -1! -b0 " -b0 4 -b101101111 0 -b101101111 H -19 -b0 2 -b0 = -08 -b1001000000000101001101010001001 < -b10100011111100011010101001100111 : -b1011011111011110000111111011101 $ -b1011011111011110000111111011101 - -b1011011111011110000111111011101 5 -b1011011111011110000111111011101 ? -b1011011111011110000111111011101 D -b10110111111111010110010101110110 % -b10110111111111010110010101110110 . -b10110111111111010110010101110110 6 -b10110111111111010110010101110110 @ -b10110111111111010110010101110110 F -#138176000 -0& -#138192000 -b100001101111 , -#138208000 -1& -#138224000 -b1111010011111111101011100000 0 -b1111010011111111101011100000 H -b10010000010101101111000011100 < -b10001100100010101011010100011111 : -b1111010011111111101011100000010 $ -b1111010011111111101011100000010 - -b1111010011111111101011100000010 5 -b1111010011111111101011100000010 ? -b1111010011111111101011100000010 D -b11101101111101010010000111100011 % -b11101101111101010010000111100011 . -b11101101111101010010000111100011 6 -b11101101111101010010000111100011 @ -b11101101111101010010000111100011 F -#138240000 -0& -#138256000 -b100001110000 , -#138272000 -1& -#138288000 -0! -b1 " -b1 4 -b11111111111110011011001111110111 0 -b11111111111110011011001111110111 H -b1 2 -b1 = -18 -09 -b11010001000101101000101111110100 < -0# -b10011110101101100100101001001111 : -b11001101100111111011111001011010 $ -b11001101100111111011111001011010 - -b11001101100111111011111001011010 5 -b11001101100111111011111001011010 ? -b11001101100111111011111001011010 D -b101110111010010111010000001011 % -b101110111010010111010000001011 . -b101110111010010111010000001011 6 -b101110111010010111010000001011 @ -b101110111010010111010000001011 F -b1 ) -b0 ( -#138304000 -0& -#138320000 -b100001110001 , -#138336000 -1& -#138352000 -1! -b0 " -b0 4 -b111111110101111110010101 0 -b111111110101111110010101 H -b0 2 -b0 = -08 -b10001001001000001000101100111000 < -1# -b1000110100000101010110111010 : -b1111111101011111100101010000001 $ -b1111111101011111100101010000001 - -b1111111101011111100101010000001 5 -b1111111101011111100101010000001 ? -b1111111101011111100101010000001 D -b1110110110111110111010011000111 % -b1110110110111110111010011000111 . -b1110110110111110111010011000111 6 -b1110110110111110111010011000111 @ -b1110110110111110111010011000111 F -b0 ) -b1 ( -#138368000 -0& -#138384000 -b100001110010 , -#138400000 -1& -#138416000 -0! -b1 " -b1 4 -b11111111100011101111100111111111 0 -b11111111100011101111100111111111 H -b1 2 -b1 = -18 -b11100000011010010110101000111010 < -0# -b11010010010010001010101000100001 : -b11110001110111110011111111100110 $ -b11110001110111110011111111100110 - -b11110001110111110011111111100110 5 -b11110001110111110011111111100110 ? -b11110001110111110011111111100110 D -b11111100101101001010111000101 % -b11111100101101001010111000101 . -b11111100101101001010111000101 6 -b11111100101101001010111000101 @ -b11111100101101001010111000101 F -b1 ) -b0 ( -#138432000 -0& -#138448000 -b100001110011 , -#138464000 -1& -#138480000 -b11111111111011011101110110000110 0 -b11111111111011011101110110000110 H -b10000100011100101011000011111011 < -b10000011010100001000100101100010 : -b11111110110111011101100001100110 $ -b11111110110111011101100001100110 - -b11111110110111011101100001100110 5 -b11111110110111011101100001100110 ? -b11111110110111011101100001100110 D -b1111011100011010100111100000100 % -b1111011100011010100111100000100 . -b1111011100011010100111100000100 6 -b1111011100011010100111100000100 @ -b1111011100011010100111100000100 F -#138496000 -0& -#138512000 -b100001110100 , -#138528000 -1& -#138544000 -0! -b1 " -b1 4 -b11111111111111111110111100111111 0 -b11111111111111111110111100111111 H -b1 2 -b1 = -09 -18 -b10100001111000001001011000001111 < -b10010001001000000011011001100000 : -b11101111001111111010000001010000 $ -b11101111001111111010000001010000 - -b11101111001111111010000001010000 5 -b11101111001111111010000001010000 ? -b11101111001111111010000001010000 D -b1011110000111110110100111110000 % -b1011110000111110110100111110000 . -b1011110000111110110100111110000 6 -b1011110000111110110100111110000 @ -b1011110000111110110100111110000 F -#138560000 -0& -#138576000 -b100001110101 , -#138592000 -1& -#138608000 -1! -b0 " -b0 4 -b11111111111011001111001101 0 -b11111111111011001111001101 H -b0 2 -b0 = -08 -09 -b11000010000000001111010101111011 < -1# -b1111111000011001001001110 : -b111111111110110011110011010010 $ -b111111111110110011110011010010 - -b111111111110110011110011010010 5 -b111111111110110011110011010010 ? -b111111111110110011110011010010 D -b111101111111110000101010000100 % -b111101111111110000101010000100 . -b111101111111110000101010000100 6 -b111101111111110000101010000100 @ -b111101111111110000101010000100 F -b0 ) -b1 ( -#138624000 -0& -#138640000 -b100001110110 , -#138656000 -1& -#138672000 -1! -b0 " -b0 4 -b11111111111011010000010 0 -b11111111111011010000010 H -b0 2 -b0 = -19 -08 -b1010000100000010100111110111 < -b10001010000001101010110010101111 : -b1111111111101101000001010110111 $ -b1111111111101101000001010110111 - -b1111111111101101000001010110111 5 -b1111111111101101000001010110111 ? -b1111111111101101000001010110111 D -b11110101111011111101011000001000 % -b11110101111011111101011000001000 . -b11110101111011111101011000001000 6 -b11110101111011111101011000001000 @ -b11110101111011111101011000001000 F -#138688000 -0& -#138704000 -b100001110111 , -#138720000 -1& -#138736000 -b11011101111111110011110110000111 0 -b11011101111111110011110110000111 H -09 -b100110000001011100100001111111 < -b100000001010000011000000111 : -b11011101111111110011110110000111 $ -b11011101111111110011110110000111 - -b11011101111111110011110110000111 5 -b11011101111111110011110110000111 ? -b11011101111111110011110110000111 D -b11011001111110100011011110000000 % -b11011001111110100011011110000000 . -b11011001111110100011011110000000 6 -b11011001111110100011011110000000 @ -b11011001111110100011011110000000 F -#138752000 -0& -#138768000 -b100001111000 , -#138784000 -1& -#138800000 -0! -b1 " -b1 4 -b11101111111010111100111111011110 0 -b11101111111010111100111111011110 H -b1 2 -b1 = -18 -b10110001011001101110011011011110 < -0# -b10010001001111101000011010011100 : -b11011111110101111001111110111101 $ -b11011111110101111001111110111101 - -b11011111110101111001111110111101 5 -b11011111110101111001111110111101 ? -b11011111110101111001111110111101 D -b1001110100110010001100100100001 % -b1001110100110010001100100100001 . -b1001110100110010001100100100001 6 -b1001110100110010001100100100001 @ -b1001110100110010001100100100001 F -b1 ) -b0 ( -#138816000 -0& -#138832000 -b100001111001 , -#138848000 -1& -#138864000 -b11111111111111111111111111110110 0 -b11111111111111111111111111110110 H -b10100000100001010111111001001001 < -b10011110010000110111100101101011 : -b11111101101111011111101100100001 $ -b11111101101111011111101100100001 - -b11111101101111011111101100100001 5 -b11111101101111011111101100100001 ? -b11111101101111011111101100100001 D -b1011111011110101000000110110110 % -b1011111011110101000000110110110 . -b1011111011110101000000110110110 6 -b1011111011110101000000110110110 @ -b1011111011110101000000110110110 F -#138880000 -0& -#138896000 -b100001111010 , -#138912000 -1& -#138928000 -0! -b1 " -b1 4 -b100110111011110110011111110001 0 -b100110111011110110011111110001 H -b1 2 -b1 = -18 -09 -b11001000100001010100110001011111 < -b11101111011101001011010001010001 : -b100110111011110110011111110001 $ -b100110111011110110011111110001 - -b100110111011110110011111110001 5 -b100110111011110110011111110001 ? -b100110111011110110011111110001 D -b110111011110101011001110100000 % -b110111011110101011001110100000 . -b110111011110101011001110100000 6 -b110111011110101011001110100000 @ -b110111011110101011001110100000 F -#138944000 -0& -#138960000 -b100001111011 , -#138976000 -1& -#138992000 -1! -b0 " -b0 4 -b11111111111111101100010101011110 0 -b11111111111111101100010101011110 H -b0 2 -b0 = -08 -b10001000110100010110111110101 < -1# -b1100001011111010100100001000 : -b11111011000101010111101100010010 $ -b11111011000101010111101100010010 - -b11111011000101010111101100010010 5 -b11111011000101010111101100010010 ? -b11111011000101010111101100010010 D -b11101110111001011101001000001010 % -b11101110111001011101001000001010 . -b11101110111001011101001000001010 6 -b11101110111001011101001000001010 @ -b11101110111001011101001000001010 F -b0 ) -b1 ( -#139008000 -0& -#139024000 -b100001111100 , -#139040000 -1& -#139056000 -0! -b1 " -b1 4 -b11111111111111111111111111101010 0 -b11111111111111111111111111101010 H -b1 2 -b1 = -18 -b100110100001001011111000101 < -0# -b10110000010000000011011000000111 : -b10101011011011111001111001000001 $ -b10101011011011111001111001000001 - -b10101011011011111001111001000001 5 -b10101011011011111001111001000001 ? -b10101011011011111001111001000001 D -b11111011001011110110100000111010 % -b11111011001011110110100000111010 . -b11111011001011110110100000111010 6 -b11111011001011110110100000111010 @ -b11111011001011110110100000111010 F -b1 ) -b0 ( -#139072000 -0& -#139088000 -b100001111101 , -#139104000 -1& -#139120000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b10000100001111011000000100 < -1# -b11001110101101010010 : -b11111101111110111111010101001101 $ -b11111101111110111111010101001101 - -b11111101111110111111010101001101 5 -b11111101111110111111010101001101 ? -b11111101111110111111010101001101 D -b11111101111011110000100111111011 % -b11111101111011110000100111111011 . -b11111101111011110000100111111011 6 -b11111101111011110000100111111011 @ -b11111101111011110000100111111011 F -b0 ) -b1 ( -#139136000 -0& -#139152000 -b100001111110 , -#139168000 -1& -#139184000 -b1111001111111111110110 0 -b1111001111111111110110 H -b11000110000010110100100001110110 < -b1000000000010110011010110000001 : -b1111001111111111110110100001010 $ -b1111001111111111110110100001010 - -b1111001111111111110110100001010 5 -b1111001111111111110110100001010 ? -b1111001111111111110110100001010 D -b111001111101001011011110001001 % -b111001111101001011011110001001 . -b111001111101001011011110001001 6 -b111001111101001011011110001001 @ -b111001111101001011011110001001 F -#139200000 -0& -#139216000 -b100001111111 , -#139232000 -1& -#139248000 -0! -b1 " -b1 4 -b11111111101111101110000100100010 0 -b11111111101111101110000100100010 H -b1 2 -b1 = -18 -b10000011101001110001110110111 < -0# -b11001111010101100000011010100000 : -b10111110111000010010001011101000 $ -b10111110111000010010001011101000 - -b10111110111000010010001011101000 5 -b10111110111000010010001011101000 ? -b10111110111000010010001011101000 D -b11101111100010110001110001001000 % -b11101111100010110001110001001000 . -b11101111100010110001110001001000 6 -b11101111100010110001110001001000 @ -b11101111100010110001110001001000 F -b1 ) -b0 ( -#139264000 -0& -#139280000 -b100010000000 , -#139296000 -1& -#139312000 -0! -b1 " -b1 4 -b11111111111111111111100111110111 0 -b11111111111111111111100111110111 H -b1 2 -b1 = -19 -18 -b10000000000010101100001111101100 < -b1001111110001101010100111000010 : -b11001111101110111110010111010101 $ -b11001111101110111110010111010101 - -b11001111101110111110010111010101 5 -b11001111101110111110010111010101 ? -b11001111101110111110010111010101 D -b1111111111101010011110000010011 % -b1111111111101010011110000010011 . -b1111111111101010011110000010011 6 -b1111111111101010011110000010011 @ -b1111111111101010011110000010011 F -#139328000 -0& -#139344000 -b100010000001 , -#139360000 -1& -#139376000 -1! -b0 " -b0 4 -b1111111111101101101 0 -b1111111111101101101 H -09 -b0 2 -b0 = -08 -b1000001111101010100 < -1# -b111111111111111000100001011010 : -b111111111110110110100100000101 $ -b111111111110110110100100000101 - -b111111111110110110100100000101 5 -b111111111110110110100100000101 ? -b111111111110110110100100000101 D -b11111111111110111110000010101011 % -b11111111111110111110000010101011 . -b11111111111110111110000010101011 6 -b11111111111110111110000010101011 @ -b11111111111110111110000010101011 F -b0 ) -b1 ( -#139392000 -0& -#139408000 -b100010000010 , -#139424000 -1& -#139440000 -b10111111100100001100101110001 0 -b10111111100100001100101110001 H -b10000000110001001101011111110 < -b111111111111001100110111100001 : -b101111111001000011001011100010 $ -b101111111001000011001011100010 - -b101111111001000011001011100010 5 -b101111111001000011001011100010 ? -b101111111001000011001011100010 D -b11101111111001110110010100000001 % -b11101111111001110110010100000001 . -b11101111111001110110010100000001 6 -b11101111111001110110010100000001 @ -b11101111111001110110010100000001 F -#139456000 -0& -#139472000 -b100010000011 , -#139488000 -1& -#139504000 -0! -b1 " -b1 4 -b1111101111110 0 -b1111101111110 H -b1 2 -b1 = -09 -18 -b10000000000000111011110101101101 < -0# -b11111101111111101000001000001010 : -b1111101111110101100010010011100 $ -b1111101111110101100010010011100 - -b1111101111110101100010010011100 5 -b1111101111110101100010010011100 ? -b1111101111110101100010010011100 D -b1111111111111000100001010010010 % -b1111111111111000100001010010010 . -b1111111111111000100001010010010 6 -b1111111111111000100001010010010 @ -b1111111111111000100001010010010 F -b1 ) -b0 ( -#139520000 -0& -#139536000 -b100010000100 , -#139552000 -1& -#139568000 -0! -b1 " -b1 4 -b10111101111100110010000111001100 0 -b10111101111100110010000111001100 H -b1 2 -b1 = -18 -b1101101110010011111111 < -b10111110001010100000011011001100 : -b10111101111100110010000111001100 $ -b10111101111100110010000111001100 - -b10111101111100110010000111001100 5 -b10111101111100110010000111001100 ? -b10111101111100110010000111001100 D -b11111111110010010001101100000000 % -b11111111110010010001101100000000 . -b11111111110010010001101100000000 6 -b11111111110010010001101100000000 @ -b11111111110010010001101100000000 F -#139584000 -0& -#139600000 -b100010000101 , -#139616000 -1& -#139632000 -b11111111111111111100111100110011 0 -b11111111111111111100111100110011 H -b10101010111111010011 < -b11111100111111011110111011111001 : -b11111100111100110011111100100101 $ -b11111100111100110011111100100101 - -b11111100111100110011111100100101 5 -b11111100111100110011111100100101 ? -b11111100111100110011111100100101 D -b11111111111101010101000000101100 % -b11111111111101010101000000101100 . -b11111111111101010101000000101100 6 -b11111111111101010101000000101100 @ -b11111111111101010101000000101100 F -#139648000 -0& -#139664000 -b100010000110 , -#139680000 -1& -#139696000 -b11111111111111011000111111111000 0 -b11111111111111011000111111111000 H -b10010000000001001111101110010 < -b11000011111111111011000101110111 : -b10110001111111110001001000000100 $ -b10110001111111110001001000000100 - -b10110001111111110001001000000100 5 -b10110001111111110001001000000100 ? -b10110001111111110001001000000100 D -b11101101111111110110000010001101 % -b11101101111111110110000010001101 . -b11101101111111110110000010001101 6 -b11101101111111110110000010001101 @ -b11101101111111110110000010001101 F -#139712000 -0& -#139728000 -b100010000111 , -#139744000 -1& -#139760000 -0! -b1 " -b1 4 -b11110101011111111011111101011000 0 -b11110101011111111011111101011000 H -b1 2 -b1 = -18 -b10011001000101110011001101011111 < -b10001110100101101111001010111000 : -b11110101011111111011111101011000 $ -b11110101011111111011111101011000 - -b11110101011111111011111101011000 5 -b11110101011111111011111101011000 ? -b11110101011111111011111101011000 D -b1100110111010001100110010100000 % -b1100110111010001100110010100000 . -b1100110111010001100110010100000 6 -b1100110111010001100110010100000 @ -b1100110111010001100110010100000 F -#139776000 -0& -#139792000 -b100010001000 , -#139808000 -1& -#139824000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -09 -b100010000010011111000000 < -1# -b1000000011111111010100110010110 : -b111111111101111000000111010101 $ -b111111111101111000000111010101 - -b111111111101111000000111010101 5 -b111111111101111000000111010101 ? -b111111111101111000000111010101 D -b11111111011101111101100000111111 % -b11111111011101111101100000111111 . -b11111111011101111101100000111111 6 -b11111111011101111101100000111111 @ -b11111111011101111101100000111111 F -b0 ) -b1 ( -#139840000 -0& -#139856000 -b100010001001 , -#139872000 -1& -#139888000 -0! -b1 " -b1 4 -b10100000111001100010 0 -b10100000111001100010 H -b1 2 -b1 = -18 -b10000100000001000100111100110100 < -0# -b11010100011101110110010101101111 : -b1010000011100110001011000111010 $ -b1010000011100110001011000111010 - -b1010000011100110001011000111010 5 -b1010000011100110001011000111010 ? -b1010000011100110001011000111010 D -b1111011111110111011000011001011 % -b1111011111110111011000011001011 . -b1111011111110111011000011001011 6 -b1111011111110111011000011001011 @ -b1111011111110111011000011001011 F -b1 ) -b0 ( -#139904000 -0& -#139920000 -b100010001010 , -#139936000 -1& -#139952000 -1! -b0 " -b0 4 -b111000110 0 -b111000110 H -b0 2 -b0 = -08 -b100000001010001101101001 < -1# -b1110010001101100100100000010100 : -b1110001101101011010010010101010 $ -b1110001101101011010010010101010 - -b1110001101101011010010010101010 5 -b1110001101101011010010010101010 ? -b1110001101101011010010010101010 D -b11111111011111110101110010010110 % -b11111111011111110101110010010110 . -b11111111011111110101110010010110 6 -b11111111011111110101110010010110 @ -b11111111011111110101110010010110 F -b0 ) -b1 ( -#139968000 -0& -#139984000 -b100010001011 , -#140000000 -1& -#140016000 -0! -b1 " -b1 4 -b11111111111111111111111111011010 0 -b11111111111111111111111111011010 H -b1 2 -b1 = -18 -b11111000110111011000111101000111 < -0# -b11010011000110010010000011101001 : -b11011010001110111001000110100001 $ -b11011010001110111001000110100001 - -b11011010001110111001000110100001 5 -b11011010001110111001000110100001 ? -b11011010001110111001000110100001 D -b111001000100111000010111000 % -b111001000100111000010111000 . -b111001000100111000010111000 6 -b111001000100111000010111000 @ -b111001000100111000010111000 F -b1 ) -b0 ( -#140032000 -0& -#140048000 -b100010001100 , -#140064000 -1& -#140080000 -1! -b0 " -b0 4 -b1011000111111 0 -b1011000111111 H -19 -b0 2 -b0 = -08 -b1010000001100001010101111101101 < -1# -b10101001001011001010110101010111 : -b1011000111111000000000101101001 $ -b1011000111111000000000101101001 - -b1011000111111000000000101101001 5 -b1011000111111000000000101101001 ? -b1011000111111000000000101101001 D -b10101111110011110101010000010010 % -b10101111110011110101010000010010 . -b10101111110011110101010000010010 6 -b10101111110011110101010000010010 @ -b10101111110011110101010000010010 F -b0 ) -b1 ( -#140096000 -0& -#140112000 -b100010001101 , -#140128000 -1& -#140144000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b1 2 -b1 = -18 -b100000001000011000000000 < -0# -b11111011111101011101110111110110 : -b11111011011101010101011111110101 $ -b11111011011101010101011111110101 - -b11111011011101010101011111110101 5 -b11111011011101010101011111110101 ? -b11111011011101010101011111110101 D -b11111111011111110111100111111111 % -b11111111011111110111100111111111 . -b11111111011111110111100111111111 6 -b11111111011111110111100111111111 @ -b11111111011111110111100111111111 F -b1 ) -b0 ( -#140160000 -0& -#140176000 -b100010001110 , -#140192000 -1& -#140208000 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b10000101001011011100011 < -b10110100000000001101011100110001 : -b10110011101111100100000001001101 $ -b10110011101111100100000001001101 - -b10110011101111100100000001001101 5 -b10110011101111100100000001001101 ? -b10110011101111100100000001001101 D -b11111111101111010110100100011100 % -b11111111101111010110100100011100 . -b11111111101111010110100100011100 6 -b11111111101111010110100100011100 @ -b11111111101111010110100100011100 F -#140224000 -0& -#140240000 -b100010001111 , -#140256000 -1& -#140272000 -1! -b0 " -b0 4 -b11111111111111111011010111111011 0 -b11111111111111111011010111111011 H -b0 2 -b0 = -08 -b1001000010000010101110001010001 < -1# -b110101110000000101000101001001 : -b11101101011111101111010011110111 $ -b11101101011111101111010011110111 - -b11101101011111101111010011110111 5 -b11101101011111101111010011110111 ? -b11101101011111101111010011110111 D -b10110111101111101010001110101110 % -b10110111101111101010001110101110 . -b10110111101111101010001110101110 6 -b10110111101111101010001110101110 @ -b10110111101111101010001110101110 F -b0 ) -b1 ( -#140288000 -0& -#140304000 -b100010010000 , -#140320000 -1& -#140336000 -0! -b1 " -b1 4 -b1000111010110100111000010 0 -b1000111010110100111000010 H -b1 2 -b1 = -18 -b10001000010000010010000110111001 < -0# -b11001111100110111001001001010011 : -b1000111010110100111000010011001 $ -b1000111010110100111000010011001 - -b1000111010110100111000010011001 5 -b1000111010110100111000010011001 ? -b1000111010110100111000010011001 D -b1110111101111101101111001000110 % -b1110111101111101101111001000110 . -b1110111101111101101111001000110 6 -b1110111101111101101111001000110 @ -b1110111101111101101111001000110 F -b1 ) -b0 ( -#140352000 -0& -#140368000 -b100010010001 , -#140384000 -1& -#140400000 -1! -b0 " -b0 4 -b101111111 0 -b101111111 H -b0 2 -b0 = -08 -b1100100000001010011111101100 < -1# -b11000100000001000001110010000 : -b1011111111111101101110100011 $ -b1011111111111101101110100011 - -b1011111111111101101110100011 5 -b1011111111111101101110100011 ? -b1011111111111101101110100011 D -b11110011011111110101100000010011 % -b11110011011111110101100000010011 . -b11110011011111110101100000010011 6 -b11110011011111110101100000010011 @ -b11110011011111110101100000010011 F -b0 ) -b1 ( -#140416000 -0& -#140432000 -b100010010010 , -#140448000 -1& -#140464000 -1! -b0 " -b0 4 -b11110110 0 -b11110110 H -b0 2 -b0 = -19 -08 -b1110100000000010000000110001000 < -b11101111011100000011110000110111 : -b1111011011011110011101010101110 $ -b1111011011011110011101010101110 - -b1111011011011110011101010101110 5 -b1111011011011110011101010101110 ? -b1111011011011110011101010101110 D -b10001011111111101111111001110111 % -b10001011111111101111111001110111 . -b10001011111111101111111001110111 6 -b10001011111111101111111001110111 @ -b10001011111111101111111001110111 F -#140480000 -0& -#140496000 -b100010010011 , -#140512000 -1& -#140528000 -b111101111111111 0 -b111101111111111 H -b1010001001100001111011110010000 < -b10001111001100001000110000000110 : -b111101111111111001010001110101 $ -b111101111111111001010001110101 - -b111101111111111001010001110101 5 -b111101111111111001010001110101 ? -b111101111111111001010001110101 D -b10101110110011110000100001101111 % -b10101110110011110000100001101111 . -b10101110110011110000100001101111 6 -b10101110110011110000100001101111 @ -b10101110110011110000100001101111 F -#140544000 -0& -#140560000 -b100010010100 , -#140576000 -1& -#140592000 -0! -b1 " -b1 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -09 -b1 2 -b1 = -18 -b11001010100011111010110000101100 < -0# -b11001000100011110010000111110010 : -b11111101111111110111010111000101 $ -b11111101111111110111010111000101 - -b11111101111111110111010111000101 5 -b11111101111111110111010111000101 ? -b11111101111111110111010111000101 D -b110101011100000101001111010011 % -b110101011100000101001111010011 . -b110101011100000101001111010011 6 -b110101011100000101001111010011 @ -b110101011100000101001111010011 F -b1 ) -b0 ( -#140608000 -0& -#140624000 -b100010010101 , -#140640000 -1& -#140656000 -1! -b0 " -b0 4 -b101111100101111001100101000 0 -b101111100101111001100101000 H -19 -b0 2 -b0 = -08 -b1000000100000001101111101111011 < -1# -b10011111101100000001001000000001 : -b1011111001011110011001010000101 $ -b1011111001011110011001010000101 - -b1011111001011110011001010000101 5 -b1011111001011110011001010000101 ? -b1011111001011110011001010000101 D -b10111111011111110010000010000100 % -b10111111011111110010000010000100 . -b10111111011111110010000010000100 6 -b10111111011111110010000010000100 @ -b10111111011111110010000010000100 F -b0 ) -b1 ( -#140672000 -0& -#140688000 -b100010010110 , -#140704000 -1& -#140720000 -0! -b1 " -b1 4 -b11111111111111111110111001011100 0 -b11111111111111111110111001011100 H -09 -b1 2 -b1 = -18 -b10000100000001000101001000110010 < -0# -b10000001110011111110101111111011 : -b11111101110010111001100111001000 $ -b11111101110010111001100111001000 - -b11111101110010111001100111001000 5 -b11111101110010111001100111001000 ? -b11111101110010111001100111001000 D -b1111011111110111010110111001101 % -b1111011111110111010110111001101 . -b1111011111110111010110111001101 6 -b1111011111110111010110111001101 @ -b1111011111110111010110111001101 F -b1 ) -b0 ( -#140736000 -0& -#140752000 -b100010010111 , -#140768000 -1& -#140784000 -0! -b1 " -b1 4 -b11111111111101110101101110110101 0 -b11111111111101110101101110110101 H -b1 2 -b1 = -19 -18 -b10000010000110001110110110110110 < -b1110000110100000101011111010011 : -b11101110101101110110101000011100 $ -b11101110101101110110101000011100 - -b11101110101101110110101000011100 5 -b11101110101101110110101000011100 ? -b11101110101101110110101000011100 D -b1111101111001110001001001001001 % -b1111101111001110001001001001001 . -b1111101111001110001001001001001 6 -b1111101111001110001001001001001 @ -b1111101111001110001001001001001 F -#140800000 -0& -#140816000 -b100010011000 , -#140832000 -1& -#140848000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b1111001010100000 < -b11101111101111110110100100011000 : -b11101111101111100111011001110111 $ -b11101111101111100111011001110111 - -b11101111101111100111011001110111 5 -b11101111101111100111011001110111 ? -b11101111101111100111011001110111 D -b11111111111111110000110101011111 % -b11111111111111110000110101011111 . -b11111111111111110000110101011111 6 -b11111111111111110000110101011111 @ -b11111111111111110000110101011111 F -#140864000 -0& -#140880000 -b100010011001 , -#140896000 -1& -#140912000 -1! -b0 " -b0 4 -b1111111011 0 -b1111111011 H -b0 2 -b0 = -08 -b1000000000000001110011101001100 < -1# -b1011111111000000101101110011111 : -b11111110111110111010001010010 $ -b11111110111110111010001010010 - -b11111110111110111010001010010 5 -b11111110111110111010001010010 ? -b11111110111110111010001010010 D -b10111111111111110001100010110011 % -b10111111111111110001100010110011 . -b10111111111111110001100010110011 6 -b10111111111111110001100010110011 @ -b10111111111111110001100010110011 F -b0 ) -b1 ( -#140928000 -0& -#140944000 -b100010011010 , -#140960000 -1& -#140976000 -0! -b1 " -b1 4 -b11111111011111101110111010010001 0 -b11111111011111101110111010010001 H -b1 2 -b1 = -18 -b10100000000100001111011011000 < -0# -b11010011011110010110011101101000 : -b10111111011101110100100010001111 $ -b10111111011101110100100010001111 - -b10111111011101110100100010001111 5 -b10111111011101110100100010001111 ? -b10111111011101110100100010001111 D -b11101011111111011110000100100111 % -b11101011111111011110000100100111 . -b11101011111111011110000100100111 6 -b11101011111111011110000100100111 @ -b11101011111111011110000100100111 F -b1 ) -b0 ( -#140992000 -0& -#141008000 -b100010011011 , -#141024000 -1& -#141040000 -0! -b1 " -b1 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -b1 2 -b1 = -19 -18 -b10000000001100001011001101001000 < -b1011111110011111000110100011111 : -b11011111100111101101100111010110 $ -b11011111100111101101100111010110 - -b11011111100111101101100111010110 5 -b11011111100111101101100111010110 ? -b11011111100111101101100111010110 D -b1111111110011110100110010110111 % -b1111111110011110100110010110111 . -b1111111110011110100110010110111 6 -b1111111110011110100110010110111 @ -b1111111110011110100110010110111 F -#141056000 -0& -#141072000 -b100010011100 , -#141088000 -1& -#141104000 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b10011100001001101011011101000011 < -b1011011001001011110110101011110 : -b10111110111111110011011000011010 $ -b10111110111111110011011000011010 - -b10111110111111110011011000011010 5 -b10111110111111110011011000011010 ? -b10111110111111110011011000011010 D -b1100011110110010100100010111100 % -b1100011110110010100100010111100 . -b1100011110110010100100010111100 6 -b1100011110110010100100010111100 @ -b1100011110110010100100010111100 F -#141120000 -0& -#141136000 -b100010011101 , -#141152000 -1& -#141168000 -1! -b0 " -b0 4 -b1111 0 -b1111 H -b0 2 -b0 = -08 -09 -b11000011000000000110000101100100 < -1# -b1000000101110100010101111101110 : -b1111101101110011100101010001001 $ -b1111101101110011100101010001001 - -b1111101101110011100101010001001 5 -b1111101101110011100101010001001 ? -b1111101101110011100101010001001 D -b111100111111111001111010011011 % -b111100111111111001111010011011 . -b111100111111111001111010011011 6 -b111100111111111001111010011011 @ -b111100111111111001111010011011 F -b0 ) -b1 ( -#141184000 -0& -#141200000 -b100010011110 , -#141216000 -1& -#141232000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11000000100100001011001001100000 < -0# -b10111111110100000100001010001000 : -b11111111001111111001000000100111 $ -b11111111001111111001000000100111 - -b11111111001111111001000000100111 5 -b11111111001111111001000000100111 ? -b11111111001111111001000000100111 D -b111111011011110100110110011111 % -b111111011011110100110110011111 . -b111111011011110100110110011111 6 -b111111011011110100110110011111 @ -b111111011011110100110110011111 F -b1 ) -b0 ( -#141248000 -0& -#141264000 -b100010011111 , -#141280000 -1& -#141296000 -1! -b0 " -b0 4 -b1111111111101000000010101 0 -b1111111111101000000010101 H -19 -b0 2 -b0 = -08 -b1000110100000001001100110011010 < -1# -b10000110011110101001110000111100 : -b111111111110100000001010100001 $ -b111111111110100000001010100001 - -b111111111110100000001010100001 5 -b111111111110100000001010100001 ? -b111111111110100000001010100001 D -b10111001011111110110011001100101 % -b10111001011111110110011001100101 . -b10111001011111110110011001100101 6 -b10111001011111110110011001100101 @ -b10111001011111110110011001100101 F -b0 ) -b1 ( -#141312000 -0& -#141328000 -b100010100000 , -#141344000 -1& -#141360000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -09 -b1 2 -b1 = -18 -b1110100000000110011100010 < -0# -b11011001010011111000110010110100 : -b11010111011111110111111111010001 $ -b11010111011111110111111111010001 - -b11010111011111110111111111010001 5 -b11010111011111110111111111010001 ? -b11010111011111110111111111010001 D -b11111110001011111111001100011101 % -b11111110001011111111001100011101 . -b11111110001011111111001100011101 6 -b11111110001011111111001100011101 @ -b11111110001011111111001100011101 F -b1 ) -b0 ( -#141376000 -0& -#141392000 -b100010100001 , -#141408000 -1& -#141424000 -1! -b0 " -b0 4 -b11101 0 -b11101 H -b0 2 -b0 = -08 -b10100110000110000100101111000101 < -1# -b11100000000010010001010110001 : -b1110101111010001101011011101011 $ -b1110101111010001101011011101011 - -b1110101111010001101011011101011 5 -b1110101111010001101011011101011 ? -b1110101111010001101011011101011 D -b1011001111001111011010000111010 % -b1011001111001111011010000111010 . -b1011001111001111011010000111010 6 -b1011001111001111011010000111010 @ -b1011001111001111011010000111010 F -b0 ) -b1 ( -#141440000 -0& -#141456000 -b100010100010 , -#141472000 -1& -#141488000 -1! -b0 " -b0 4 -b111110111011011100011110111 0 -b111110111011011100011110111 H -b0 2 -b0 = -19 -08 -b1000100001100011101001111111011 < -b11000010000011010110001101101110 : -b1111101110110111000111101110010 $ -b1111101110110111000111101110010 - -b1111101110110111000111101110010 5 -b1111101110110111000111101110010 ? -b1111101110110111000111101110010 D -b10111011110011100010110000000100 % -b10111011110011100010110000000100 . -b10111011110011100010110000000100 6 -b10111011110011100010110000000100 @ -b10111011110011100010110000000100 F -#141504000 -0& -#141520000 -b100010100011 , -#141536000 -1& -#141552000 -0! -b1 " -b1 4 -b11111111111111111111111110111011 0 -b11111111111111111111111110111011 H -09 -b1 2 -b1 = -18 -b1000000000010110010111101000 < -0# -b11100101111011001010001110100100 : -b11011101111010110011110110111011 $ -b11011101111010110011110110111011 - -b11011101111010110011110110111011 5 -b11011101111010110011110110111011 ? -b11011101111010110011110110111011 D -b11110111111111101001101000010111 % -b11110111111111101001101000010111 . -b11110111111111101001101000010111 6 -b11110111111111101001101000010111 @ -b11110111111111101001101000010111 F -b1 ) -b0 ( -#141568000 -0& -#141584000 -b100010100100 , -#141600000 -1& -#141616000 -1! -b0 " -b0 4 -b1111111 0 -b1111111 H -b0 2 -b0 = -08 -19 -b1000011000101010001110100000111 < -1# -b11000011000100000010011101011010 : -b1111111111110110000101001010010 $ -b1111111111110110000101001010010 - -b1111111111110110000101001010010 5 -b1111111111110110000101001010010 ? -b1111111111110110000101001010010 D -b10111100111010101110001011111000 % -b10111100111010101110001011111000 . -b10111100111010101110001011111000 6 -b10111100111010101110001011111000 @ -b10111100111010101110001011111000 F -b0 ) -b1 ( -#141632000 -0& -#141648000 -b100010100101 , -#141664000 -1& -#141680000 -1! -b0 " -b0 4 -b1011101010110100010010010 0 -b1011101010110100010010010 H -b0 2 -b0 = -09 -08 -b1001000000001011101111001 < -b1011110011110100011110000011111 : -b1011101010110100010010010100101 $ -b1011101010110100010010010100101 - -b1011101010110100010010010100101 5 -b1011101010110100010010010100101 ? -b1011101010110100010010010100101 D -b11111110110111111110100010000110 % -b11111110110111111110100010000110 . -b11111110110111111110100010000110 6 -b11111110110111111110100010000110 @ -b11111110110111111110100010000110 F -#141696000 -0& -#141712000 -b100010100110 , -#141728000 -1& -#141744000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -19 -b1 2 -b1 = -18 -b10000000000100100101000010000101 < -0# -b1111001110100011010111000101100 : -b11111001101111110101110110100110 $ -b11111001101111110101110110100110 - -b11111001101111110101110110100110 5 -b11111001101111110101110110100110 ? -b11111001101111110101110110100110 D -b1111111111011011010111101111010 % -b1111111111011011010111101111010 . -b1111111111011011010111101111010 6 -b1111111111011011010111101111010 @ -b1111111111011011010111101111010 F -b1 ) -b0 ( -#141760000 -0& -#141776000 -b100010100111 , -#141792000 -1& -#141808000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b11000000011001011111011100000 < -b11001010000011001010111110111011 : -b10110001111111111111000011011010 $ -b10110001111111111111000011011010 - -b10110001111111111111000011011010 5 -b10110001111111111111000011011010 ? -b10110001111111111111000011011010 D -b11100111111100110100000100011111 % -b11100111111100110100000100011111 . -b11100111111100110100000100011111 6 -b11100111111100110100000100011111 @ -b11100111111100110100000100011111 F -#141824000 -0& -#141840000 -b100010101000 , -#141856000 -1& -#141872000 -0! -b1 " -b1 4 -b1 2 -b1 = -18 -b11100000100000001000011001100000 < -b11100000011111011111100111101001 : -b11111111111111010111001110001000 $ -b11111111111111010111001110001000 - -b11111111111111010111001110001000 5 -b11111111111111010111001110001000 ? -b11111111111111010111001110001000 D -b11111011111110111100110011111 % -b11111011111110111100110011111 . -b11111011111110111100110011111 6 -b11111011111110111100110011111 @ -b11111011111110111100110011111 F -#141888000 -0& -#141904000 -b100010101001 , -#141920000 -1& -#141936000 -b11000011011011000000 < -b11101000000010111101010000110000 : -b11100111111111111001110101101111 $ -b11100111111111111001110101101111 - -b11100111111111111001110101101111 5 -b11100111111111111001110101101111 ? -b11100111111111111001110101101111 D -b11111111111100111100100100111111 % -b11111111111100111100100100111111 . -b11111111111100111100100100111111 6 -b11111111111100111100100100111111 @ -b11111111111100111100100100111111 F -#141952000 -0& -#141968000 -b100010101010 , -#141984000 -1& -#142000000 -1! -b0 " -b0 4 -b10011101101 0 -b10011101101 H -b0 2 -b0 = -08 -b110000000000001101010001101011 < -1# -b1111110110110100110000111100100 : -b1001110110110011000110101111000 $ -b1001110110110011000110101111000 - -b1001110110110011000110101111000 5 -b1001110110110011000110101111000 ? -b1001110110110011000110101111000 D -b11001111111111110010101110010100 % -b11001111111111110010101110010100 . -b11001111111111110010101110010100 6 -b11001111111111110010101110010100 @ -b11001111111111110010101110010100 F -b0 ) -b1 ( -#142016000 -0& -#142032000 -b100010101011 , -#142048000 -1& -#142064000 -b111111011 0 -b111111011 H -b11000000100010101101000001010 < -b1010111100100010100110010001111 : -b111111011111111111001010000100 $ -b111111011111111111001010000100 - -b111111011111111111001010000100 5 -b111111011111111111001010000100 ? -b111111011111111111001010000100 D -b11100111111011101010010111110101 % -b11100111111011101010010111110101 . -b11100111111011101010010111110101 6 -b11100111111011101010010111110101 @ -b11100111111011101010010111110101 F -#142080000 -0& -#142096000 -b100010101100 , -#142112000 -1& -#142128000 -1! -b0 " -b0 4 -b11111111111111110010111111111100 0 -b11111111111111110010111111111100 H -b0 2 -b0 = -08 -b1010001000000000010101110010001 < -b11100111111110011010101101111 : -b11001011111111110000100111011101 $ -b11001011111111110000100111011101 - -b11001011111111110000100111011101 5 -b11001011111111110000100111011101 ? -b11001011111111110000100111011101 D -b10101110111111111101010001101110 % -b10101110111111111101010001101110 . -b10101110111111111101010001101110 6 -b10101110111111111101010001101110 @ -b10101110111111111101010001101110 F -#142144000 -0& -#142160000 -b100010101101 , -#142176000 -1& -#142192000 -0! -b1 " -b1 4 -b11111111000101011100100000101010 0 -b11111111000101011100100000101010 H -b1 2 -b1 = -18 -b10010001010110010111001 < -0# -b11000101101110101011011101001011 : -b11000101011100100000101010010001 $ -b11000101011100100000101010010001 - -b11000101011100100000101010010001 5 -b11000101011100100000101010010001 ? -b11000101011100100000101010010001 D -b11111111101101110101001101000110 % -b11111111101101110101001101000110 . -b11111111101101110101001101000110 6 -b11111111101101110101001101000110 @ -b11111111101101110101001101000110 F -b1 ) -b0 ( -#142208000 -0& -#142224000 -b100010101110 , -#142240000 -1& -#142256000 -1! -b0 " -b0 4 -b11011110110101 0 -b11011110110101 H -b0 2 -b0 = -08 -b1001000011000100000101001110 < -1# -b1111000011101110001110010001001 : -b1101111011010101101101100111010 $ -b1101111011010101101101100111010 - -b1101111011010101101101100111010 5 -b1101111011010101101101100111010 ? -b1101111011010101101101100111010 D -b11110110111100111011111010110001 % -b11110110111100111011111010110001 . -b11110110111100111011111010110001 6 -b11110110111100111011111010110001 @ -b11110110111100111011111010110001 F -b0 ) -b1 ( -#142272000 -0& -#142288000 -b100010101111 , -#142304000 -1& -#142320000 -b111001110111111 0 -b111001110111111 H -b101001000101011001111 < -b1110011110101000111111001010110 : -b1110011101111111111001110000110 $ -b1110011101111111111001110000110 - -b1110011101111111111001110000110 5 -b1110011101111111111001110000110 ? -b1110011101111111111001110000110 D -b11111111111010110111010100110000 % -b11111111111010110111010100110000 . -b11111111111010110111010100110000 6 -b11111111111010110111010100110000 @ -b11111111111010110111010100110000 F -#142336000 -0& -#142352000 -b100010110000 , -#142368000 -1& -#142384000 -0! -b1 " -b1 4 -b11111111110111111110111011000110 0 -b11111111110111111110111011000110 H -19 -b1 2 -b1 = -18 -b10100000000100000111100110110111 < -0# -b1111111111111110100000010110010 : -b11011111111011101100011011111010 $ -b11011111111011101100011011111010 - -b11011111111011101100011011111010 5 -b11011111111011101100011011111010 ? -b11011111111011101100011011111010 D -b1011111111011111000011001001000 % -b1011111111011111000011001001000 . -b1011111111011111000011001001000 6 -b1011111111011111000011001001000 @ -b1011111111011111000011001001000 F -b1 ) -b0 ( -#142400000 -0& -#142416000 -b100010110001 , -#142432000 -1& -#142448000 -0! -b1 " -b1 4 -b100111 0 -b100111 H -09 -b1 2 -b1 = -18 -b10000100101000011000010110000110 < -b11010011100000000010100111111001 : -b1001110110111101010010001110010 $ -b1001110110111101010010001110010 - -b1001110110111101010010001110010 5 -b1001110110111101010010001110010 ? -b1001110110111101010010001110010 D -b1111011010111100111101001111001 % -b1111011010111100111101001111001 . -b1111011010111100111101001111001 6 -b1111011010111100111101001111001 @ -b1111011010111100111101001111001 F -#142464000 -0& -#142480000 -b100010110010 , -#142496000 -1& -#142512000 -1! -b0 " -b0 4 -b11111111111011111010111100011110 0 -b11111111111011111010111100011110 H -b0 2 -b0 = -08 -b1000010010100000001100100011000 < -1# -b111010001001111010100000011110 : -b11110111110101111000111100000101 $ -b11110111110101111000111100000101 - -b11110111110101111000111100000101 5 -b11110111110101111000111100000101 ? -b11110111110101111000111100000101 D -b10111101101011111110011011100111 % -b10111101101011111110011011100111 . -b10111101101011111110011011100111 6 -b10111101101011111110011011100111 @ -b10111101101011111110011011100111 F -b0 ) -b1 ( -#142528000 -0& -#142544000 -b100010110011 , -#142560000 -1& -#142576000 -1! -b0 " -b0 4 -b111111 0 -b111111 H -b0 2 -b0 = -08 -b1111101101000110 < -b1111111100000001010000100000011 : -b1111111011111111010010110111100 $ -b1111111011111111010010110111100 - -b1111111011111111010010110111100 5 -b1111111011111111010010110111100 ? -b1111111011111111010010110111100 D -b11111111111111110000010010111001 % -b11111111111111110000010010111001 . -b11111111111111110000010010111001 6 -b11111111111111110000010010111001 @ -b11111111111111110000010010111001 F -#142592000 -0& -#142608000 -b100010110100 , -#142624000 -1& -#142640000 -1! -b0 " -b0 4 -b111111101011101001111000 0 -b111111101011101001111000 H -b0 2 -b0 = -19 -08 -b100001010100100110100101011000 < -b10100000101011111010010111001000 : -b1111111010111010011110001101111 $ -b1111111010111010011110001101111 - -b1111111010111010011110001101111 5 -b1111111010111010011110001101111 ? -b1111111010111010011110001101111 D -b11011110101011011001011010100111 % -b11011110101011011001011010100111 . -b11011110101011011001011010100111 6 -b11011110101011011001011010100111 @ -b11011110101011011001011010100111 F -#142656000 -0& -#142672000 -b100010110101 , -#142688000 -1& -#142704000 -0! -b1 " -b1 4 -b11111110110011001101110101101000 0 -b11111110110011001101110101101000 H -b1 2 -b1 = -18 -19 -b11000000001000011110001101111001 < -0# -b1110011010110010011110110101010 : -b10110011001101110101101000110000 $ -b10110011001101110101101000110000 - -b10110011001101110101101000110000 5 -b10110011001101110101101000110000 ? -b10110011001101110101101000110000 D -b111111110111100001110010000110 % -b111111110111100001110010000110 . -b111111110111100001110010000110 6 -b111111110111100001110010000110 @ -b111111110111100001110010000110 F -b1 ) -b0 ( -#142720000 -0& -#142736000 -b100010110110 , -#142752000 -1& -#142768000 -0! -b1 " -b1 4 -b11111111111111111101111111111000 0 -b11111111111111111101111111111000 H -b1 2 -b1 = -09 -18 -b11100000000101101000110000110010 < -b11011100000101011010011011100110 : -b11111011111111110001101010110011 $ -b11111011111111110001101010110011 - -b11111011111111110001101010110011 5 -b11111011111111110001101010110011 ? -b11111011111111110001101010110011 D -b11111111010010111001111001101 % -b11111111010010111001111001101 . -b11111111010010111001111001101 6 -b11111111010010111001111001101 @ -b11111111010010111001111001101 F -#142784000 -0& -#142800000 -b100010110111 , -#142816000 -1& -#142832000 -b11110111111111101001010100011010 0 -b11110111111111101001010100011010 H -b100100010110100100011010111100 < -b11100100010011101110111110010100 : -b10111111111101001010100011010111 $ -b10111111111101001010100011010111 - -b10111111111101001010100011010111 5 -b10111111111101001010100011010111 ? -b10111111111101001010100011010111 D -b11011011101001011011100101000011 % -b11011011101001011011100101000011 . -b11011011101001011011100101000011 6 -b11011011101001011011100101000011 @ -b11011011101001011011100101000011 F -#142848000 -0& -#142864000 -b100010111000 , -#142880000 -1& -#142896000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b100000000000101100011100000010 < -1# -b11011111110111001110111001010 : -b11111011111110001101011011000111 $ -b11111011111110001101011011000111 - -b11111011111110001101011011000111 5 -b11111011111110001101011011000111 ? -b11111011111110001101011011000111 D -b11011111111111010011100011111101 % -b11011111111111010011100011111101 . -b11011111111111010011100011111101 6 -b11011111111111010011100011111101 @ -b11011111111111010011100011111101 F -b0 ) -b1 ( -#142912000 -0& -#142928000 -b100010111001 , -#142944000 -1& -#142960000 -0! -b1 " -b1 4 -b11111111111111101101101011101110 0 -b11111111111111101101101011101110 H -b1 2 -b1 = -18 -b11000000000010111001111010001 < -0# -b11001110101111010001011111001001 : -b10110110101110111010001111110111 $ -b10110110101110111010001111110111 - -b10110110101110111010001111110111 5 -b10110110101110111010001111110111 ? -b10110110101110111010001111110111 D -b11100111111111101000110000101110 % -b11100111111111101000110000101110 . -b11100111111111101000110000101110 6 -b11100111111111101000110000101110 @ -b11100111111111101000110000101110 F -b1 ) -b0 ( -#142976000 -0& -#142992000 -b100010111010 , -#143008000 -1& -#143024000 -0! -b1 " -b1 4 -b1111101110111110011110 0 -b1111101110111110011110 H -b1 2 -b1 = -18 -b10100100000001000011001010010111 < -b11100010111100111101000100011101 : -b111110111011111001111010000101 $ -b111110111011111001111010000101 - -b111110111011111001111010000101 5 -b111110111011111001111010000101 ? -b111110111011111001111010000101 D -b1011011111110111100110101101000 % -b1011011111110111100110101101000 . -b1011011111110111100110101101000 6 -b1011011111110111100110101101000 @ -b1011011111110111100110101101000 F -#143040000 -0& -#143056000 -b100010111011 , -#143072000 -1& -#143088000 -0! -b1 " -b1 4 -b11101110111110 0 -b11101110111110 H -b1 2 -b1 = -18 -b10000001110111010011100011001110 < -b11111001010110101000100101110011 : -b1110111011111010101000010100100 $ -b1110111011111010101000010100100 - -b1110111011111010101000010100100 5 -b1110111011111010101000010100100 ? -b1110111011111010101000010100100 D -b1111110001000101100011100110001 % -b1111110001000101100011100110001 . -b1111110001000101100011100110001 6 -b1111110001000101100011100110001 @ -b1111110001000101100011100110001 F -#143104000 -0& -#143120000 -b100010111100 , -#143136000 -1& -#143152000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -b1110000000100110101111000100 < -b11001011111100111111000110011000 : -b10111101111100011000010111010011 $ -b10111101111100011000010111010011 - -b10111101111100011000010111010011 5 -b10111101111100011000010111010011 ? -b10111101111100011000010111010011 D -b11110001111111011001010000111011 % -b11110001111111011001010000111011 . -b11110001111111011001010000111011 6 -b11110001111111011001010000111011 @ -b11110001111111011001010000111011 F -#143168000 -0& -#143184000 -b100010111101 , -#143200000 -1& -#143216000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b11000000000000000010000001000001 < -b10011111011101111011111100000001 : -b11011111011101111001111010111111 $ -b11011111011101111001111010111111 - -b11011111011101111001111010111111 5 -b11011111011101111001111010111111 ? -b11011111011101111001111010111111 D -b111111111111111101111110111110 % -b111111111111111101111110111110 . -b111111111111111101111110111110 6 -b111111111111111101111110111110 @ -b111111111111111101111110111110 F -#143232000 -0& -#143248000 -b100010111110 , -#143264000 -1& -#143280000 -1! -b0 " -b0 4 -b1111100 0 -b1111100 H -b0 2 -b0 = -08 -09 -b10110000110000101001110001000 < -1# -b1010100100100111100111101001010 : -b111110011110110111101111000001 $ -b111110011110110111101111000001 - -b111110011110110111101111000001 5 -b111110011110110111101111000001 ? -b111110011110110111101111000001 D -b11101001111001111010110001110111 % -b11101001111001111010110001110111 . -b11101001111001111010110001110111 6 -b11101001111001111010110001110111 @ -b11101001111001111010110001110111 F -b0 ) -b1 ( -#143296000 -0& -#143312000 -b100010111111 , -#143328000 -1& -#143344000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -09 -b10100000000001010000101110101010 < -0# -b10011111111111001100101010100101 : -b11111111111101111011111011111010 $ -b11111111111101111011111011111010 - -b11111111111101111011111011111010 5 -b11111111111101111011111011111010 ? -b11111111111101111011111011111010 D -b1011111111110101111010001010101 % -b1011111111110101111010001010101 . -b1011111111110101111010001010101 6 -b1011111111110101111010001010101 @ -b1011111111110101111010001010101 F -b1 ) -b0 ( -#143360000 -0& -#143376000 -b100011000000 , -#143392000 -1& -#143408000 -1! -b0 " -b0 4 -b111111111111 0 -b111111111111 H -b0 2 -b0 = -08 -b10000000000001001110010001001100 < -1# -b100011101100001001 : -b1111111111111010101011010111100 $ -b1111111111111010101011010111100 - -b1111111111111010101011010111100 5 -b1111111111111010101011010111100 ? -b1111111111111010101011010111100 D -b1111111111110110001101110110011 % -b1111111111110110001101110110011 . -b1111111111110110001101110110011 6 -b1111111111110110001101110110011 @ -b1111111111110110001101110110011 F -b0 ) -b1 ( -#143424000 -0& -#143440000 -b100011000001 , -#143456000 -1& -#143472000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -b11100000000001110101111100011 < -0# -b11011001100000001011000001001101 : -b10111101011111111100010001101001 $ -b10111101011111111100010001101001 - -b10111101011111111100010001101001 5 -b10111101011111111100010001101001 ? -b10111101011111111100010001101001 D -b11100011111111110001010000011100 % -b11100011111111110001010000011100 . -b11100011111111110001010000011100 6 -b11100011111111110001010000011100 @ -b11100011111111110001010000011100 F -b1 ) -b0 ( -#143488000 -0& -#143504000 -b100011000010 , -#143520000 -1& -#143536000 -1! -b0 " -b0 4 -b11110100111011011101 0 -b11110100111011011101 H -b0 2 -b0 = -08 -b11000001111010100110101 < -1# -b111101100111000110100111000011 : -b111101001110110111010010001101 $ -b111101001110110111010010001101 - -b111101001110110111010010001101 5 -b111101001110110111010010001101 ? -b111101001110110111010010001101 D -b11111111100111110000101011001010 % -b11111111100111110000101011001010 . -b11111111100111110000101011001010 6 -b11111111100111110000101011001010 @ -b11111111100111110000101011001010 F -b0 ) -b1 ( -#143552000 -0& -#143568000 -b100011000011 , -#143584000 -1& -#143600000 -1! -b0 " -b0 4 -b11101111 0 -b11101111 H -b0 2 -b0 = -19 -08 -b1000000000010000101110101101000 < -b10110111111001101111010001000110 : -b1110111110111101001011011011101 $ -b1110111110111101001011011011101 - -b1110111110111101001011011011101 5 -b1110111110111101001011011011101 ? -b1110111110111101001011011011101 D -b10111111111101111010001010010111 % -b10111111111101111010001010010111 . -b10111111111101111010001010010111 6 -b10111111111101111010001010010111 @ -b10111111111101111010001010010111 F -#143616000 -0& -#143632000 -b100011000100 , -#143648000 -1& -#143664000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -18 -b10000100000001101010011100100011 < -0# -b1110011110000100001010010101100 : -b11101111101110110110110110001000 $ -b11101111101110110110110110001000 - -b11101111101110110110110110001000 5 -b11101111101110110110110110001000 ? -b11101111101110110110110110001000 D -b1111011111110010101100011011100 % -b1111011111110010101100011011100 . -b1111011111110010101100011011100 6 -b1111011111110010101100011011100 @ -b1111011111110010101100011011100 F -b1 ) -b0 ( -#143680000 -0& -#143696000 -b100011000101 , -#143712000 -1& -#143728000 -1! -b0 " -b0 4 -b11110100011001110 0 -b11110100011001110 H -09 -b0 2 -b0 = -08 -b100000000011001100110001 < -1# -b1111010101100111101101111000010 : -b1111010001100111010100010010000 $ -b1111010001100111010100010010000 - -b1111010001100111010100010010000 5 -b1111010001100111010100010010000 ? -b1111010001100111010100010010000 D -b11111111011111111100110011001110 % -b11111111011111111100110011001110 . -b11111111011111111100110011001110 6 -b11111111011111111100110011001110 @ -b11111111011111111100110011001110 F -b0 ) -b1 ( -#143744000 -0& -#143760000 -b100011000110 , -#143776000 -1& -#143792000 -1! -b0 " -b0 4 -b11011111111101100000001010001 0 -b11011111111101100000001010001 H -b0 2 -b0 = -19 -08 -b100000000010011100010111011101 < -b10010000000001001100011100100100 : -b1101111111110110000000101000110 $ -b1101111111110110000000101000110 - -b1101111111110110000000101000110 5 -b1101111111110110000000101000110 ? -b1101111111110110000000101000110 D -b11011111111101100011101000100010 % -b11011111111101100011101000100010 . -b11011111111101100011101000100010 6 -b11011111111101100011101000100010 @ -b11011111111101100011101000100010 F -#143808000 -0& -#143824000 -b100011000111 , -#143840000 -1& -#143856000 -0! -b1 " -b1 4 -b11111111111111111110101110111000 0 -b11111111111111111110101110111000 H -b1 2 -b1 = -18 -b10000001001101001010010001110011 < -0# -b1111111111100000010010101001001 : -b11111110101110111000000011010101 $ -b11111110101110111000000011010101 - -b11111110101110111000000011010101 5 -b11111110101110111000000011010101 ? -b11111110101110111000000011010101 D -b1111110110010110101101110001100 % -b1111110110010110101101110001100 . -b1111110110010110101101110001100 6 -b1111110110010110101101110001100 @ -b1111110110010110101101110001100 F -b1 ) -b0 ( -#143872000 -0& -#143888000 -b100011001000 , -#143904000 -1& -#143920000 -1! -b0 " -b0 4 -b110001001111011111 0 -b110001001111011111 H -09 -b0 2 -b0 = -08 -b1010000101011101000101110010 < -1# -b1101100100100011011010010000101 : -b1100010011110111110001100010010 $ -b1100010011110111110001100010010 - -b1100010011110111110001100010010 5 -b1100010011110111110001100010010 ? -b1100010011110111110001100010010 D -b11110101111010100010111010001101 % -b11110101111010100010111010001101 . -b11110101111010100010111010001101 6 -b11110101111010100010111010001101 @ -b11110101111010100010111010001101 F -b0 ) -b1 ( -#143936000 -0& -#143952000 -b100011001001 , -#143968000 -1& -#143984000 -b111110111001100101100 0 -b111110111001100101100 H -b1001000101000100111000110110 < -b1000111111110101010011011001001 : -b111110111001100101100010010010 $ -b111110111001100101100010010010 - -b111110111001100101100010010010 5 -b111110111001100101100010010010 ? -b111110111001100101100010010010 D -b11110110111010111011000111001001 % -b11110110111010111011000111001001 . -b11110110111010111011000111001001 6 -b11110110111010111011000111001001 @ -b11110110111010111011000111001001 F -#144000000 -0& -#144016000 -b100011001010 , -#144032000 -1& -#144048000 -1! -b0 " -b0 4 -b1011111111110101111 0 -b1011111111110101111 H -b0 2 -b0 = -19 -08 -b1100001011000000101101011010100 < -b10010001010111011101010110010000 : -b101111111111010111101010111011 $ -b101111111111010111101010111011 - -b101111111111010111101010111011 5 -b101111111111010111101010111011 ? -b101111111111010111101010111011 D -b10011110100111111010010100101011 % -b10011110100111111010010100101011 . -b10011110100111111010010100101011 6 -b10011110100111111010010100101011 @ -b10011110100111111010010100101011 F -#144064000 -0& -#144080000 -b100011001011 , -#144096000 -1& -#144112000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -09 -b1 2 -b1 = -18 -b10000010100011010000001100011 < -0# -b11010000010011010010010010010001 : -b10111111111110111000010000101101 $ -b10111111111110111000010000101101 - -b10111111111110111000010000101101 5 -b10111111111110111000010000101101 ? -b10111111111110111000010000101101 D -b11101111101011100101111110011100 % -b11101111101011100101111110011100 . -b11101111101011100101111110011100 6 -b11101111101011100101111110011100 @ -b11101111101011100101111110011100 F -b1 ) -b0 ( -#144128000 -0& -#144144000 -b100011001100 , -#144160000 -1& -#144176000 -1! -b0 " -b0 4 -b11111111110111100000100 0 -b11111111110111100000100 H -b0 2 -b0 = -08 -b100110100100001000011101011000 < -1# -b1100110100010000000100110100011 : -b111111111101111000001001001010 $ -b111111111101111000001001001010 - -b111111111101111000001001001010 5 -b111111111101111000001001001010 ? -b111111111101111000001001001010 D -b11011001011011110111100010100111 % -b11011001011011110111100010100111 . -b11011001011011110111100010100111 6 -b11011001011011110111100010100111 @ -b11011001011011110111100010100111 F -b0 ) -b1 ( -#144192000 -0& -#144208000 -b100011001101 , -#144224000 -1& -#144240000 -1! -b0 " -b0 4 -b1111011110111101000110 0 -b1111011110111101000110 H -b0 2 -b0 = -19 -08 -b10010000100001111010110110110 < -b10001101111011111000001001101111 : -b1111011110111101000110010111000 $ -b1111011110111101000110010111000 - -b1111011110111101000110010111000 5 -b1111011110111101000110010111000 ? -b1111011110111101000110010111000 D -b11101101111011110000101001001001 % -b11101101111011110000101001001001 . -b11101101111011110000101001001001 6 -b11101101111011110000101001001001 @ -b11101101111011110000101001001001 F -#144256000 -0& -#144272000 -b100011001110 , -#144288000 -1& -#144304000 -0! -b1 " -b1 4 -b11101111110111111110000 0 -b11101111110111111110000 H -b1 2 -b1 = -18 -09 -b10000000100100001111001001010111 < -0# -b11111000100000001110001101000000 : -b1110111111011111111000011101000 $ -b1110111111011111111000011101000 - -b1110111111011111111000011101000 5 -b1110111111011111111000011101000 ? -b1110111111011111111000011101000 D -b1111111011011110000110110101000 % -b1111111011011110000110110101000 . -b1111111011011110000110110101000 6 -b1111111011011110000110110101000 @ -b1111111011011110000110110101000 F -b1 ) -b0 ( -#144320000 -0& -#144336000 -b100011001111 , -#144352000 -1& -#144368000 -0! -b1 " -b1 4 -b11111110111011101111101111011000 0 -b11111110111011101111101111011000 H -19 -b1 2 -b1 = -18 -b10001000000001001100110001011010 < -b1100101111001000100011101100011 : -b11011101110111110111101100001000 $ -b11011101110111110111101100001000 - -b11011101110111110111101100001000 5 -b11011101110111110111101100001000 ? -b11011101110111110111101100001000 D -b1110111111110110011001110100101 % -b1110111111110110011001110100101 . -b1110111111110110011001110100101 6 -b1110111111110110011001110100101 @ -b1110111111110110011001110100101 F -#144384000 -0& -#144400000 -b100011010000 , -#144416000 -1& -#144432000 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b10000100001010100111011000100001 < -b101111100110010101001101111011 : -b10101011011011101101110101011001 $ -b10101011011011101101110101011001 - -b10101011011011101101110101011001 5 -b10101011011011101101110101011001 ? -b10101011011011101101110101011001 D -b1111011110101011000100111011110 % -b1111011110101011000100111011110 . -b1111011110101011000100111011110 6 -b1111011110101011000100111011110 @ -b1111011110101011000100111011110 F -#144448000 -0& -#144464000 -b100011010001 , -#144480000 -1& -#144496000 -0! -b1 " -b1 4 -b1 2 -b1 = -09 -18 -b100000101001100000111101100001 < -b11001110000111010001100010100100 : -b10101101011101110000100101000010 $ -b10101101011101110000100101000010 - -b10101101011101110000100101000010 5 -b10101101011101110000100101000010 ? -b10101101011101110000100101000010 D -b11011111010110011111000010011110 % -b11011111010110011111000010011110 . -b11011111010110011111000010011110 6 -b11011111010110011111000010011110 @ -b11011111010110011111000010011110 F -#144512000 -0& -#144528000 -b100011010010 , -#144544000 -1& -#144560000 -1! -b0 " -b0 4 -b11111 0 -b11111 H -b0 2 -b0 = -08 -b100100101000000101100101100110 < -1# -b1100100011011100111001010101011 : -b111111110011100001100101000100 $ -b111111110011100001100101000100 - -b111111110011100001100101000100 5 -b111111110011100001100101000100 ? -b111111110011100001100101000100 D -b11011011010111111010011010011001 % -b11011011010111111010011010011001 . -b11011011010111111010011010011001 6 -b11011011010111111010011010011001 @ -b11011011010111111010011010011001 F -b0 ) -b1 ( -#144576000 -0& -#144592000 -b100011010011 , -#144608000 -1& -#144624000 -0! -b1 " -b1 4 -b11111111100111011101100100100011 0 -b11111111100111011101100100100011 H -19 -b1 2 -b1 = -18 -b10010001000001001110010011110111 < -0# -b101110110111100000100010101101 : -b10011101110110010010001110110101 $ -b10011101110110010010001110110101 - -b10011101110110010010001110110101 5 -b10011101110110010010001110110101 ? -b10011101110110010010001110110101 D -b1101110111110110001101100001000 % -b1101110111110110001101100001000 . -b1101110111110110001101100001000 6 -b1101110111110110001101100001000 @ -b1101110111110110001101100001000 F -b1 ) -b0 ( -#144640000 -0& -#144656000 -b100011010100 , -#144672000 -1& -#144688000 -b11111111111111111111111100111111 0 -b11111111111111111111111100111111 H -b10000000110010011100000111001010 < -b1101000101101100101111011111100 : -b11100111111011001001110100110001 $ -b11100111111011001001110100110001 - -b11100111111011001001110100110001 5 -b11100111111011001001110100110001 ? -b11100111111011001001110100110001 D -b1111111001101100011111000110101 % -b1111111001101100011111000110101 . -b1111111001101100011111000110101 6 -b1111111001101100011111000110101 @ -b1111111001101100011111000110101 F -#144704000 -0& -#144720000 -b100011010101 , -#144736000 -1& -#144752000 -1! -b0 " -b0 4 -b11010001110111110010 0 -b11010001110111110010 H -09 -b0 2 -b0 = -08 -b1000001100110111010010110100 < -1# -b1110001001000110000010111001101 : -b1101000111011111001000100011000 $ -b1101000111011111001000100011000 - -b1101000111011111001000100011000 5 -b1101000111011111001000100011000 ? -b1101000111011111001000100011000 D -b11110111110011001000101101001011 % -b11110111110011001000101101001011 . -b11110111110011001000101101001011 6 -b11110111110011001000101101001011 @ -b11110111110011001000101101001011 F -b0 ) -b1 ( -#144768000 -0& -#144784000 -b100011010110 , -#144800000 -1& -#144816000 -1! -b0 " -b0 4 -b11011111101111100110 0 -b11011111101111100110 H -b0 2 -b0 = -19 -08 -b10000101100000111111111110100 < -b10000000100011111011001000011100 : -b1101111110111110011001000100111 $ -b1101111110111110011001000100111 - -b1101111110111110011001000100111 5 -b1101111110111110011001000100111 ? -b1101111110111110011001000100111 D -b11101111010011111000000000001011 % -b11101111010011111000000000001011 . -b11101111010011111000000000001011 6 -b11101111010011111000000000001011 @ -b11101111010011111000000000001011 F -#144832000 -0& -#144848000 -b100011010111 , -#144864000 -1& -#144880000 -0! -b1 " -b1 4 -b11111111111111011011011001111101 0 -b11111111111111011011011001111101 H -b1 2 -b1 = -18 -09 -b10100100000010101110110110011 < -0# -b11101111111010010011011100011110 : -b11011011011001111101100101101010 $ -b11011011011001111101100101101010 - -b11011011011001111101100101101010 5 -b11011011011001111101100101101010 ? -b11011011011001111101100101101010 D -b11101011011111101010001001001100 % -b11101011011111101010001001001100 . -b11101011011111101010001001001100 6 -b11101011011111101010001001001100 @ -b11101011011111101010001001001100 F -b1 ) -b0 ( -#144896000 -0& -#144912000 -b100011011000 , -#144928000 -1& -#144944000 -1! -b0 " -b0 4 -b1000011 0 -b1000011 H -b0 2 -b0 = -08 -b10000001000100110110110100111 < -1# -b1010100000111110010101011010000 : -b1000011111111001011110100101000 $ -b1000011111111001011110100101000 - -b1000011111111001011110100101000 5 -b1000011111111001011110100101000 ? -b1000011111111001011110100101000 D -b11101111110111011001001001011000 % -b11101111110111011001001001011000 . -b11101111110111011001001001011000 6 -b11101111110111011001001001011000 @ -b11101111110111011001001001011000 F -b0 ) -b1 ( -#144960000 -0& -#144976000 -b100011011001 , -#144992000 -1& -#145008000 -0! -b1 " -b1 4 -b11111111111111001111010111011001 0 -b11111111111111001111010111011001 H -b1 2 -b1 = -18 -09 -b11100000010000000001111011110101 < -0# -b11010100000101111000011011100111 : -b11110011110101110110011111110001 $ -b11110011110101110110011111110001 - -b11110011110101110110011111110001 5 -b11110011110101110110011111110001 ? -b11110011110101110110011111110001 D -b11111101111111110000100001010 % -b11111101111111110000100001010 . -b11111101111111110000100001010 6 -b11111101111111110000100001010 @ -b11111101111111110000100001010 F -b1 ) -b0 ( -#145024000 -0& -#145040000 -b100011011010 , -#145056000 -1& -#145072000 -1! -b0 " -b0 4 -b110 0 -b110 H -b0 2 -b0 = -08 -b11001100010001111010000100011 < -1# -b1111101100001000101011010110111 : -b1100011111110110110001010010011 $ -b1100011111110110110001010010011 - -b1100011111110110110001010010011 5 -b1100011111110110110001010010011 ? -b1100011111110110110001010010011 D -b11100110011101110000101111011100 % -b11100110011101110000101111011100 . -b11100110011101110000101111011100 6 -b11100110011101110000101111011100 @ -b11100110011101110000101111011100 F -b0 ) -b1 ( -#145088000 -0& -#145104000 -b100011011011 , -#145120000 -1& -#145136000 -0! -b1 " -b1 4 -b11111111111111111111111011110100 0 -b11111111111111111111111011110100 H -b1 2 -b1 = -18 -09 -b10000001011000000110110010010001 < -0# -b10000001000111011001011101000101 : -b11111111101111010010101010110011 $ -b11111111101111010010101010110011 - -b11111111101111010010101010110011 5 -b11111111101111010010101010110011 ? -b11111111101111010010101010110011 D -b1111110100111111001001101101110 % -b1111110100111111001001101101110 . -b1111110100111111001001101101110 6 -b1111110100111111001001101101110 @ -b1111110100111111001001101101110 F -b1 ) -b0 ( -#145152000 -0& -#145168000 -b100011011100 , -#145184000 -1& -#145200000 -0! -b1 " -b1 4 -b111101111011110101010 0 -b111101111011110101010 H -b1 2 -b1 = -18 -09 -b10000100100011101101001000110110 < -b11000010011111100010011001110000 : -b111101111011110101010000111001 $ -b111101111011110101010000111001 - -b111101111011110101010000111001 5 -b111101111011110101010000111001 ? -b111101111011110101010000111001 D -b1111011011100010010110111001001 % -b1111011011100010010110111001001 . -b1111011011100010010110111001001 6 -b1111011011100010010110111001001 @ -b1111011011100010010110111001001 F -#145216000 -0& -#145232000 -b100011011101 , -#145248000 -1& -#145264000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -b100000000000101001000100110 < -b11111011010101011001111011010111 : -b11110111010101010100110010110000 $ -b11110111010101010100110010110000 - -b11110111010101010100110010110000 5 -b11110111010101010100110010110000 ? -b11110111010101010100110010110000 D -b11111011111111111010110111011001 % -b11111011111111111010110111011001 . -b11111011111111111010110111011001 6 -b11111011111111111010110111011001 @ -b11111011111111111010110111011001 F -#145280000 -0& -#145296000 -b100011011110 , -#145312000 -1& -#145328000 -b11111111111110111111001101110010 0 -b11111111111110111111001101110010 H -b100001010101001100111010110011 < -b11100000100010111111010010001000 : -b10111111001101110010010111010100 $ -b10111111001101110010010111010100 - -b10111111001101110010010111010100 5 -b10111111001101110010010111010100 ? -b10111111001101110010010111010100 D -b11011110101010110011000101001100 % -b11011110101010110011000101001100 . -b11011110101010110011000101001100 6 -b11011110101010110011000101001100 @ -b11011110101010110011000101001100 F -#145344000 -0& -#145360000 -b100011011111 , -#145376000 -1& -#145392000 -0! -b1 " -b1 4 -b11111111111111110111111111010101 0 -b11111111111111110111111111010101 H -b1 2 -b1 = -18 -b11000000010000001111000000110001 < -b10100000001101100110101000100100 : -b11011111111101010111100111110010 $ -b11011111111101010111100111110010 - -b11011111111101010111100111110010 5 -b11011111111101010111100111110010 ? -b11011111111101010111100111110010 D -b111111101111110000111111001110 % -b111111101111110000111111001110 . -b111111101111110000111111001110 6 -b111111101111110000111111001110 @ -b111111101111110000111111001110 F -#145408000 -0& -#145424000 -b100011100000 , -#145440000 -1& -#145456000 -0! -b1 " -b1 4 -b11111111111111111111111101010111 0 -b11111111111111111111111101010111 H -b1 2 -b1 = -09 -18 -b11100011111001000 < -b10101011110100111100111111011110 : -b10101011110100100000100000010101 $ -b10101011110100100000100000010101 - -b10101011110100100000100000010101 5 -b10101011110100100000100000010101 ? -b10101011110100100000100000010101 D -b11111111111111100011100000110111 % -b11111111111111100011100000110111 . -b11111111111111100011100000110111 6 -b11111111111111100011100000110111 @ -b11111111111111100011100000110111 F -#145472000 -0& -#145488000 -b100011100001 , -#145504000 -1& -#145520000 -0! -b1 " -b1 4 -b11111111111111111111101111111111 0 -b11111111111111111111101111111111 H -b1 2 -b1 = -19 -18 -b10001110010001100101110000001100 < -b1101110010001100000011000001010 : -b11011111111111111010100111111101 $ -b11011111111111111010100111111101 - -b11011111111111111010100111111101 5 -b11011111111111111010100111111101 ? -b11011111111111111010100111111101 D -b1110001101110011010001111110011 % -b1110001101110011010001111110011 . -b1110001101110011010001111110011 6 -b1110001101110011010001111110011 @ -b1110001101110011010001111110011 F -#145536000 -0& -#145552000 -b100011100010 , -#145568000 -1& -#145584000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -09 -08 -b110000000000000000100011000000 < -1# -b100111111111011001010110000001 : -b11110111111111011000110011000000 $ -b11110111111111011000110011000000 - -b11110111111111011000110011000000 5 -b11110111111111011000110011000000 ? -b11110111111111011000110011000000 D -b11001111111111111111011100111111 % -b11001111111111111111011100111111 . -b11001111111111111111011100111111 6 -b11001111111111111111011100111111 @ -b11001111111111111111011100111111 F -b0 ) -b1 ( -#145600000 -0& -#145616000 -b100011100011 , -#145632000 -1& -#145648000 -1! -b0 " -b0 4 -b111101111100101111100110000 0 -b111101111100101111100110000 H -19 -b0 2 -b0 = -08 -b1000000010111000110100011111011 < -b10111100010000100101101111111100 : -b1111011111001011111001100000000 $ -b1111011111001011111001100000000 - -b1111011111001011111001100000000 5 -b1111011111001011111001100000000 ? -b1111011111001011111001100000000 D -b10111111101000111001011100000100 % -b10111111101000111001011100000100 . -b10111111101000111001011100000100 6 -b10111111101000111001011100000100 @ -b10111111101000111001011100000100 F -#145664000 -0& -#145680000 -b100011100100 , -#145696000 -1& -#145712000 -0! -b1 " -b1 4 -b11011101001111111101000100111010 0 -b11011101001111111101000100111010 H -b1 2 -b1 = -18 -09 -b10000110000001100001101011110 < -0# -b11001011010000000110010111010100 : -b10111010011111111010001001110101 $ -b10111010011111111010001001110101 - -b10111010011111111010001001110101 5 -b10111010011111111010001001110101 ? -b10111010011111111010001001110101 D -b11101111001111110011110010100001 % -b11101111001111110011110010100001 . -b11101111001111110011110010100001 6 -b11101111001111110011110010100001 @ -b11101111001111110011110010100001 F -b1 ) -b0 ( -#145728000 -0& -#145744000 -b100011100101 , -#145760000 -1& -#145776000 -0! -b1 " -b1 4 -b11111111111111111111101101111111 0 -b11111111111111111111101101111111 H -b1 2 -b1 = -19 -18 -b10011001100000000100011001001011 < -b1010001011111110110011100001001 : -b10110111111111110010000010111101 $ -b10110111111111110010000010111101 - -b10110111111111110010000010111101 5 -b10110111111111110010000010111101 ? -b10110111111111110010000010111101 D -b1100110011111111011100110110100 % -b1100110011111111011100110110100 . -b1100110011111111011100110110100 6 -b1100110011111111011100110110100 @ -b1100110011111111011100110110100 F -#145792000 -0& -#145808000 -b100011100110 , -#145824000 -1& -#145840000 -1! -b0 " -b0 4 -b10011101110111110011100011011 0 -b10011101110111110011100011011 H -09 -b0 2 -b0 = -08 -b101010000100011101 < -1# -b1001110111100100011110110001011 : -b1001110111011111001110001101101 $ -b1001110111011111001110001101101 - -b1001110111011111001110001101101 5 -b1001110111011111001110001101101 ? -b1001110111011111001110001101101 D -b11111111111111010101111011100010 % -b11111111111111010101111011100010 . -b11111111111111010101111011100010 6 -b11111111111111010101111011100010 @ -b11111111111111010101111011100010 F -b0 ) -b1 ( -#145856000 -0& -#145872000 -b100011100111 , -#145888000 -1& -#145904000 -b1110101011011111110 0 -b1110101011011111110 H -b1000000000111101011001010011 < -b1111101011100111011111001010101 : -b1110101011011111110100000000001 $ -b1110101011011111110100000000001 - -b1110101011011111110100000000001 5 -b1110101011011111110100000000001 ? -b1110101011011111110100000000001 D -b11110111111111000010100110101100 % -b11110111111111000010100110101100 . -b11110111111111000010100110101100 6 -b11110111111111000010100110101100 @ -b11110111111111000010100110101100 F -#145920000 -0& -#145936000 -b100011101000 , -#145952000 -1& -#145968000 -1! -b0 " -b0 4 -b1111110011011110000000011 0 -b1111110011011110000000011 H -b0 2 -b0 = -09 -08 -b110000111111001011001 < -b1111110100001110111111100111110 : -b1111110011011110000000011100100 $ -b1111110011011110000000011100100 - -b1111110011011110000000011100100 5 -b1111110011011110000000011100100 ? -b1111110011011110000000011100100 D -b11111111111001111000000110100110 % -b11111111111001111000000110100110 . -b11111111111001111000000110100110 6 -b11111111111001111000000110100110 @ -b11111111111001111000000110100110 F -#145984000 -0& -#146000000 -b100011101001 , -#146016000 -1& -#146032000 -0! -b1 " -b1 4 -b11111111111111111111111101111001 0 -b11111111111111111111111101111001 H -b1 2 -b1 = -18 -b10010000000100011000101000110001 < -0# -b10001111111011111110100011100101 : -b11111111110111100101111010110011 $ -b11111111110111100101111010110011 - -b11111111110111100101111010110011 5 -b11111111110111100101111010110011 ? -b11111111110111100101111010110011 D -b1101111111011100111010111001110 % -b1101111111011100111010111001110 . -b1101111111011100111010111001110 6 -b1101111111011100111010111001110 @ -b1101111111011100111010111001110 F -b1 ) -b0 ( -#146048000 -0& -#146064000 -b100011101010 , -#146080000 -1& -#146096000 -1! -b0 " -b0 4 -b111111101111111 0 -b111111101111111 H -19 -b0 2 -b0 = -08 -b10101000001011110110101111 < -1# -b10000010001000000110000000100001 : -b1111111011111111010001001110001 $ -b1111111011111111010001001110001 - -b1111111011111111010001001110001 5 -b1111111011111111010001001110001 ? -b1111111011111111010001001110001 D -b11111101010111110100001001010000 % -b11111101010111110100001001010000 . -b11111101010111110100001001010000 6 -b11111101010111110100001001010000 @ -b11111101010111110100001001010000 F -b0 ) -b1 ( -#146112000 -0& -#146128000 -b100011101011 , -#146144000 -1& -#146160000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b1 2 -b1 = -18 -b10100000000100000101100011000001 < -0# -b10011111111001010101111100001100 : -b11111111110101010000011001001010 $ -b11111111110101010000011001001010 - -b11111111110101010000011001001010 5 -b11111111110101010000011001001010 ? -b11111111110101010000011001001010 D -b1011111111011111010011100111110 % -b1011111111011111010011100111110 . -b1011111111011111010011100111110 6 -b1011111111011111010011100111110 @ -b1011111111011111010011100111110 F -b1 ) -b0 ( -#146176000 -0& -#146192000 -b100011101100 , -#146208000 -1& -#146224000 -0! -b1 " -b1 4 -b1110101010111 0 -b1110101010111 H -b1 2 -b1 = -18 -09 -b10100000000000000010010100001110 < -b11011010101011110010110010100001 : -b111010101011110000011110010010 $ -b111010101011110000011110010010 - -b111010101011110000011110010010 5 -b111010101011110000011110010010 ? -b111010101011110000011110010010 D -b1011111111111111101101011110001 % -b1011111111111111101101011110001 . -b1011111111111111101101011110001 6 -b1011111111111111101101011110001 @ -b1011111111111111101101011110001 F -#146240000 -0& -#146256000 -b100011101101 , -#146272000 -1& -#146288000 -1! -b0 " -b0 4 -b101111011011111 0 -b101111011011111 H -b0 2 -b0 = -08 -b1000010100100011100000110000 < -1# -b110111110000011011110011010111 : -b101111011011111000010010100110 $ -b101111011011111000010010100110 - -b101111011011111000010010100110 5 -b101111011011111000010010100110 ? -b101111011011111000010010100110 D -b11110111101011011100011111001111 % -b11110111101011011100011111001111 . -b11110111101011011100011111001111 6 -b11110111101011011100011111001111 @ -b11110111101011011100011111001111 F -b0 ) -b1 ( -#146304000 -0& -#146320000 -b100011101110 , -#146336000 -1& -#146352000 -b11011111 0 -b11011111 H -b1001100010100001110100101000 < -b1111001100000011011110000001110 : -b1101111111101111001111011100101 $ -b1101111111101111001111011100101 - -b1101111111101111001111011100101 5 -b1101111111101111001111011100101 ? -b1101111111101111001111011100101 D -b11110110011101011110001011010111 % -b11110110011101011110001011010111 . -b11110110011101011110001011010111 6 -b11110110011101011110001011010111 @ -b11110110011101011110001011010111 F -#146368000 -0& -#146384000 -b100011101111 , -#146400000 -1& -#146416000 -0! -b1 " -b1 4 -b11111111111111110101111111111101 0 -b11111111111111110101111111111101 H -b1 2 -b1 = -18 -b1000100010001000110010110010 < -0# -b11110100100010000100100101001100 : -b11101011111111111011110010011001 $ -b11101011111111111011110010011001 - -b11101011111111111011110010011001 5 -b11101011111111111011110010011001 ? -b11101011111111111011110010011001 D -b11110111011101110111001101001101 % -b11110111011101110111001101001101 . -b11110111011101110111001101001101 6 -b11110111011101110111001101001101 @ -b11110111011101110111001101001101 F -b1 ) -b0 ( -#146432000 -0& -#146448000 -b100011110000 , -#146464000 -1& -#146480000 -1! -b0 " -b0 4 -b1110000011110111111100011101 0 -b1110000011110111111100011101 H -b0 2 -b0 = -08 -b1010000101100000001011111100 < -1# -b1111010100100011111101111100101 : -b1110000011110111111100011101000 $ -b1110000011110111111100011101000 - -b1110000011110111111100011101000 5 -b1110000011110111111100011101000 ? -b1110000011110111111100011101000 D -b11110101111010011111110100000011 % -b11110101111010011111110100000011 . -b11110101111010011111110100000011 6 -b11110101111010011111110100000011 @ -b11110101111010011111110100000011 F -b0 ) -b1 ( -#146496000 -0& -#146512000 -b100011110001 , -#146528000 -1& -#146544000 -1! -b0 " -b0 4 -b101110001111111 0 -b101110001111111 H -b0 2 -b0 = -19 -08 -b1011000001100001001001010010000 < -b10000110011100000110100011010111 : -b101110001111111101011001000110 $ -b101110001111111101011001000110 - -b101110001111111101011001000110 5 -b101110001111111101011001000110 ? -b101110001111111101011001000110 D -b10100111110011110110110101101111 % -b10100111110011110110110101101111 . -b10100111110011110110110101101111 6 -b10100111110011110110110101101111 @ -b10100111110011110110110101101111 F -#146560000 -0& -#146576000 -b100011110010 , -#146592000 -1& -#146608000 -0! -b1 " -b1 4 -b11111011011101010101101100110000 0 -b11111011011101010101101100110000 H -09 -b1 2 -b1 = -18 -b1000001000001001101001111111011 < -0# -b11111000010110101000011100001011 : -b10110111010101011011001100001111 $ -b10110111010101011011001100001111 - -b10110111010101011011001100001111 5 -b10110111010101011011001100001111 ? -b10110111010101011011001100001111 D -b10111110111110110010110000000100 % -b10111110111110110010110000000100 . -b10111110111110110010110000000100 6 -b10111110111110110010110000000100 @ -b10111110111110110010110000000100 F -b1 ) -b0 ( -#146624000 -0& -#146640000 -b100011110011 , -#146656000 -1& -#146672000 -0! -b1 " -b1 4 -b11111111111010110011111000001011 0 -b11111111111010110011111000001011 H -b1 2 -b1 = -18 -b1000000010000111001110111 < -b11101100001111110001101001010111 : -b11101011001111100000101111011111 $ -b11101011001111100000101111011111 - -b11101011001111100000101111011111 5 -b11101011001111100000101111011111 ? -b11101011001111100000101111011111 D -b11111110111111101111000110001000 % -b11111110111111101111000110001000 . -b11111110111111101111000110001000 6 -b11111110111111101111000110001000 @ -b11111110111111101111000110001000 F -#146688000 -0& -#146704000 -b100011110100 , -#146720000 -1& -#146736000 -0! -b1 " -b1 4 -b1011100111101110011001111 0 -b1011100111101110011001111 H -b1 2 -b1 = -18 -b10010000101100001000001011111001 < -b11101101101001111011011011011111 : -b1011100111101110011001111100101 $ -b1011100111101110011001111100101 - -b1011100111101110011001111100101 5 -b1011100111101110011001111100101 ? -b1011100111101110011001111100101 D -b1101111010011110111110100000110 % -b1101111010011110111110100000110 . -b1101111010011110111110100000110 6 -b1101111010011110111110100000110 @ -b1101111010011110111110100000110 F -#146752000 -0& -#146768000 -b100011110101 , -#146784000 -1& -#146800000 -b110011111 0 -b110011111 H -b10000100000001011101011111001001 < -b11101011110110010000101101100110 : -b1100111110100110011001110011100 $ -b1100111110100110011001110011100 - -b1100111110100110011001110011100 5 -b1100111110100110011001110011100 ? -b1100111110100110011001110011100 D -b1111011111110100010100000110110 % -b1111011111110100010100000110110 . -b1111011111110100010100000110110 6 -b1111011111110100010100000110110 @ -b1111011111110100010100000110110 F -#146816000 -0& -#146832000 -b100011110110 , -#146848000 -1& -#146864000 -1! -b0 " -b0 4 -b11111101111110 0 -b11111101111110 H -b0 2 -b0 = -08 -b110101000000001001101100101111 < -1# -b1110100011111101110010100000010 : -b111111011111100100100111010010 $ -b111111011111100100100111010010 - -b111111011111100100100111010010 5 -b111111011111100100100111010010 ? -b111111011111100100100111010010 D -b11001010111111110110010011010000 % -b11001010111111110110010011010000 . -b11001010111111110110010011010000 6 -b11001010111111110110010011010000 @ -b11001010111111110110010011010000 F -b0 ) -b1 ( -#146880000 -0& -#146896000 -b100011110111 , -#146912000 -1& -#146928000 -0! -b1 " -b1 4 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -19 -b1 2 -b1 = -18 -b10000011100000000011111010000101 < -0# -b1000011011010111101110001000101 : -b10111111111010111001110110111111 $ -b10111111111010111001110110111111 - -b10111111111010111001110110111111 5 -b10111111111010111001110110111111 ? -b10111111111010111001110110111111 D -b1111100011111111100000101111010 % -b1111100011111111100000101111010 . -b1111100011111111100000101111010 6 -b1111100011111111100000101111010 @ -b1111100011111111100000101111010 F -b1 ) -b0 ( -#146944000 -0& -#146960000 -b100011111000 , -#146976000 -1& -#146992000 -1! -b0 " -b0 4 -b11111111001101110010 0 -b11111111001101110010 H -b0 2 -b0 = -08 -09 -b1000000001000010010100 < -1# -b1111111101110111010001111000011 : -b1111111100110111001001100101110 $ -b1111111100110111001001100101110 - -b1111111100110111001001100101110 5 -b1111111100110111001001100101110 ? -b1111111100110111001001100101110 D -b11111111110111111110111101101011 % -b11111111110111111110111101101011 . -b11111111110111111110111101101011 6 -b11111111110111111110111101101011 @ -b11111111110111111110111101101011 F -b0 ) -b1 ( -#147008000 -0& -#147024000 -b100011111001 , -#147040000 -1& -#147056000 -0! -b1 " -b1 4 -b11111111111101011111111100011111 0 -b11111111111101011111111100011111 H -19 -b1 2 -b1 = -18 -b10000000000100010111010111011000 < -0# -b1111011000100010000010101011001 : -b11111010111111111000111110000000 $ -b11111010111111111000111110000000 - -b11111010111111111000111110000000 5 -b11111010111111111000111110000000 ? -b11111010111111111000111110000000 D -b1111111111011101000101000100111 % -b1111111111011101000101000100111 . -b1111111111011101000101000100111 6 -b1111111111011101000101000100111 @ -b1111111111011101000101000100111 F -b1 ) -b0 ( -#147072000 -0& -#147088000 -b100011111010 , -#147104000 -1& -#147120000 -1! -b0 " -b0 4 -b11 0 -b11 H -09 -b0 2 -b0 = -08 -b1010100000010110010100000011 < -1# -b1000001101110001100110100100011 : -b110111001101110110100000011111 $ -b110111001101110110100000011111 - -b110111001101110110100000011111 5 -b110111001101110110100000011111 ? -b110111001101110110100000011111 D -b11110101011111101001101011111100 % -b11110101011111101001101011111100 . -b11110101011111101001101011111100 6 -b11110101011111101001101011111100 @ -b11110101011111101001101011111100 F -b0 ) -b1 ( -#147136000 -0& -#147152000 -b100011111011 , -#147168000 -1& -#147184000 -b11110 0 -b11110 H -b100000001111001111101111000110 < -b1011110000010001111100010001111 : -b111101110010111111110011001000 $ -b111101110010111111110011001000 - -b111101110010111111110011001000 5 -b111101110010111111110011001000 ? -b111101110010111111110011001000 D -b11011111110000110000010000111001 % -b11011111110000110000010000111001 . -b11011111110000110000010000111001 6 -b11011111110000110000010000111001 @ -b11011111110000110000010000111001 F -#147200000 -0& -#147216000 -b100011111100 , -#147232000 -1& -#147248000 -1! -b0 " -b0 4 -b11111100111111001001011001011 0 -b11111100111111001001011001011 H -b0 2 -b0 = -19 -08 -b1000000110101100110101011101 < -b10000110100110010001100010001100 : -b1111110011111100100101100101110 $ -b1111110011111100100101100101110 - -b1111110011111100100101100101110 5 -b1111110011111100100101100101110 ? -b1111110011111100100101100101110 D -b11110111111001010011001010100010 % -b11110111111001010011001010100010 . -b11110111111001010011001010100010 6 -b11110111111001010011001010100010 @ -b11110111111001010011001010100010 F -#147264000 -0& -#147280000 -b100011111101 , -#147296000 -1& -#147312000 -1! -b0 " -b0 4 -b11010 0 -b11010 H -b0 2 -b0 = -09 -08 -b1000100000001010000000000101 < -b1110100011111101001101011011010 : -b1101011111111011111101011010100 $ -b1101011111111011111101011010100 - -b1101011111111011111101011010100 5 -b1101011111111011111101011010100 ? -b1101011111111011111101011010100 D -b11110111011111110101111111111010 % -b11110111011111110101111111111010 . -b11110111011111110101111111111010 6 -b11110111011111110101111111111010 @ -b11110111011111110101111111111010 F -#147328000 -0& -#147344000 -b100011111110 , -#147360000 -1& -#147376000 -0! -b1 " -b1 4 -b1100110110111110011101010 0 -b1100110110111110011101010 H -b1 2 -b1 = -18 -b10000101010101000101111111111001 < -0# -b11101100001100111001101010110010 : -b1100110110111110011101010111000 $ -b1100110110111110011101010111000 - -b1100110110111110011101010111000 5 -b1100110110111110011101010111000 ? -b1100110110111110011101010111000 D -b1111010101010111010000000000110 % -b1111010101010111010000000000110 . -b1111010101010111010000000000110 6 -b1111010101010111010000000000110 @ -b1111010101010111010000000000110 F -b1 ) -b0 ( -#147392000 -0& -#147408000 -b100011111111 , -#147424000 -1& -#147440000 -1! -b0 " -b0 4 -b11010000 0 -b11010000 H -b0 2 -b0 = -08 -b100000010000010001110010001001 < -1# -b1010100011111101010110000111111 : -b110100001111011000111110110101 $ -b110100001111011000111110110101 - -b110100001111011000111110110101 5 -b110100001111011000111110110101 ? -b110100001111011000111110110101 D -b11011111101111101110001101110110 % -b11011111101111101110001101110110 . -b11011111101111101110001101110110 6 -b11011111101111101110001101110110 @ -b11011111101111101110001101110110 F -b0 ) -b1 ( -#147456000 -0& -#147472000 -b100100000000 , -#147488000 -1& -#147504000 -0! -b1 " -b1 4 -b11111100001111111111101010110111 0 -b11111100001111111111101010110111 H -19 -b1 2 -b1 = -18 -b10000110001001001110111010011010 < -0# -b1110001001000100010110000001 : -b10000111111111110101011011100110 $ -b10000111111111110101011011100110 - -b10000111111111110101011011100110 5 -b10000111111111110101011011100110 ? -b10000111111111110101011011100110 D -b1111001110110110001000101100101 % -b1111001110110110001000101100101 . -b1111001110110110001000101100101 6 -b1111001110110110001000101100101 @ -b1111001110110110001000101100101 F -b1 ) -b0 ( -#147520000 -0& -#147536000 -b100100000001 , -#147552000 -1& -#147568000 -1! -b0 " -b0 4 -b11111110110111101010011 0 -b11111110110111101010011 H -b0 2 -b0 = -08 -09 -b1101000000100010111 < -1# -b1111111011101011101010100010110 : -b1111111011011110101001111111110 $ -b1111111011011110101001111111110 - -b1111111011011110101001111111110 5 -b1111111011011110101001111111110 ? -b1111111011011110101001111111110 D -b11111111111110010111111011101000 % -b11111111111110010111111011101000 . -b11111111111110010111111011101000 6 -b11111111111110010111111011101000 @ -b11111111111110010111111011101000 F -b0 ) -b1 ( -#147584000 -0& -#147600000 -b100100000010 , -#147616000 -1& -#147632000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11010010000110000010010000100001 < -0# -b11000001100101101101010100111100 : -b11101111011111101011000100011010 $ -b11101111011111101011000100011010 - -b11101111011111101011000100011010 5 -b11101111011111101011000100011010 ? -b11101111011111101011000100011010 D -b101101111001111101101111011110 % -b101101111001111101101111011110 . -b101101111001111101101111011110 6 -b101101111001111101101111011110 @ -b101101111001111101101111011110 F -b1 ) -b0 ( -#147648000 -0& -#147664000 -b100100000011 , -#147680000 -1& -#147696000 -1! -b0 " -b0 4 -b11111111110111011011100001101111 0 -b11111111110111011011100001101111 H -b0 2 -b0 = -08 -b1001001000001011101010010111100 < -1# -b1000111111100111001100000110111 : -b11111110111011011100001101111010 $ -b11111110111011011100001101111010 - -b11111110111011011100001101111010 5 -b11111110111011011100001101111010 ? -b11111110111011011100001101111010 D -b10110110111110100010101101000011 % -b10110110111110100010101101000011 . -b10110110111110100010101101000011 6 -b10110110111110100010101101000011 @ -b10110110111110100010101101000011 F -b0 ) -b1 ( -#147712000 -0& -#147728000 -b100100000100 , -#147744000 -1& -#147760000 -1! -b0 " -b0 4 -b101111011111111 0 -b101111011111111 H -b0 2 -b0 = -08 -b100000110110011001111 < -b1011111000100000011000001001111 : -b1011110111111111100001101111111 $ -b1011110111111111100001101111111 - -b1011110111111111100001101111111 5 -b1011110111111111100001101111111 ? -b1011110111111111100001101111111 D -b11111111111011111001001100110000 % -b11111111111011111001001100110000 . -b11111111111011111001001100110000 6 -b11111111111011111001001100110000 @ -b11111111111011111001001100110000 F -#147776000 -0& -#147792000 -b100100000101 , -#147808000 -1& -#147824000 -1! -b0 " -b0 4 -b110101 0 -b110101 H -b0 2 -b0 = -19 -08 -b1000000101010010110100101100110 < -b10101100100100001001100010000001 : -b1101011111001110010111100011010 $ -b1101011111001110010111100011010 - -b1101011111001110010111100011010 5 -b1101011111001110010111100011010 ? -b1101011111001110010111100011010 D -b10111111010101101001011010011001 % -b10111111010101101001011010011001 . -b10111111010101101001011010011001 6 -b10111111010101101001011010011001 @ -b10111111010101101001011010011001 F -#147840000 -0& -#147856000 -b100100000110 , -#147872000 -1& -#147888000 -1! -b0 " -b0 4 -b11111110101100110111110000 0 -b11111110101100110111110000 H -b0 2 -b0 = -09 -08 -b10111100000001000010000111011010 < -b111011010111011101111111110011 : -b1111111010110011011111000011000 $ -b1111111010110011011111000011000 - -b1111111010110011011111000011000 5 -b1111111010110011011111000011000 ? -b1111111010110011011111000011000 D -b1000011111110111101111000100101 % -b1000011111110111101111000100101 . -b1000011111110111101111000100101 6 -b1000011111110111101111000100101 @ -b1000011111110111101111000100101 F -#147904000 -0& -#147920000 -b100100000111 , -#147936000 -1& -#147952000 -1! -b0 " -b0 4 -b101111100111111 0 -b101111100111111 H -b0 2 -b0 = -19 -08 -b1110000111000000110011101001111 < -b11010000000111111100110111100100 : -b1011111001111110110011010010100 $ -b1011111001111110110011010010100 - -b1011111001111110110011010010100 5 -b1011111001111110110011010010100 ? -b1011111001111110110011010010100 D -b10001111000111111001100010110000 % -b10001111000111111001100010110000 . -b10001111000111111001100010110000 6 -b10001111000111111001100010110000 @ -b10001111000111111001100010110000 F -#147968000 -0& -#147984000 -b100100001000 , -#148000000 -1& -#148016000 -0! -b1 " -b1 4 -b11011100110010110 0 -b11011100110010110 H -b1 2 -b1 = -18 -09 -b10010001010000000001011110110001 < -0# -b11111111101001011011001110101011 : -b1101110011001011001101111111001 $ -b1101110011001011001101111111001 - -b1101110011001011001101111111001 5 -b1101110011001011001101111111001 ? -b1101110011001011001101111111001 D -b1101110101111111110100001001110 % -b1101110101111111110100001001110 . -b1101110101111111110100001001110 6 -b1101110101111111110100001001110 @ -b1101110101111111110100001001110 F -b1 ) -b0 ( -#148032000 -0& -#148048000 -b100100001001 , -#148064000 -1& -#148080000 -1! -b0 " -b0 4 -b11111110111111011010001010011110 0 -b11111110111111011010001010011110 H -b0 2 -b0 = -08 -b1001000000000011001110000011110 < -1# -b1000101111111001110000101011011 : -b11111101111110110100010100111100 $ -b11111101111110110100010100111100 - -b11111101111110110100010100111100 5 -b11111101111110110100010100111100 ? -b11111101111110110100010100111100 D -b10110111111111100110001111100001 % -b10110111111111100110001111100001 . -b10110111111111100110001111100001 6 -b10110111111111100110001111100001 @ -b10110111111111100110001111100001 F -b0 ) -b1 ( -#148096000 -0& -#148112000 -b100100001010 , -#148128000 -1& -#148144000 -1! -b0 " -b0 4 -b1111011111100011010001111110 0 -b1111011111100011010001111110 H -b0 2 -b0 = -08 -b10000100010110110110011101111100 < -b10011010000101101101110 : -b1111011111100011010001111110001 $ -b1111011111100011010001111110001 - -b1111011111100011010001111110001 5 -b1111011111100011010001111110001 ? -b1111011111100011010001111110001 D -b1111011101001001001100010000011 % -b1111011101001001001100010000011 . -b1111011101001001001100010000011 6 -b1111011101001001001100010000011 @ -b1111011101001001001100010000011 F -#148160000 -0& -#148176000 -b100100001011 , -#148192000 -1& -#148208000 -1! -b0 " -b0 4 -b11111111011110111110110000100001 0 -b11111111011110111110110000100001 H -b0 2 -b0 = -08 -b110000000100010000111110111101 < -b101110000000001100000001000010 : -b11111101111011111011000010000100 $ -b11111101111011111011000010000100 - -b11111101111011111011000010000100 5 -b11111101111011111011000010000100 ? -b11111101111011111011000010000100 D -b11001111111011101111000001000010 % -b11001111111011101111000001000010 . -b11001111111011101111000001000010 6 -b11001111111011101111000001000010 @ -b11001111111011101111000001000010 F -#148224000 -0& -#148240000 -b100100001100 , -#148256000 -1& -#148272000 -0! -b1 " -b1 4 -b11011111110001010111010011011111 0 -b11011111110001010111010011011111 H -b1 2 -b1 = -18 -b1100001101110111011110 < -0# -b10111111101110111100011110011101 : -b10111111100010101110100110111110 $ -b10111111100010101110100110111110 - -b10111111100010101110100110111110 5 -b10111111100010101110100110111110 ? -b10111111100010101110100110111110 D -b11111111110011110010001000100001 % -b11111111110011110010001000100001 . -b11111111110011110010001000100001 6 -b11111111110011110010001000100001 @ -b11111111110011110010001000100001 F -b1 ) -b0 ( -#148288000 -0& -#148304000 -b100100001101 , -#148320000 -1& -#148336000 -b11111111111111111111111111101110 0 -b11111111111111111111111111101110 H -b10001111101101100000101 < -b10111010001101111000010001111100 : -b10111001111011111010100101110110 $ -b10111001111011111010100101110110 - -b10111001111011111010100101110110 5 -b10111001111011111010100101110110 ? -b10111001111011111010100101110110 D -b11111111101110000010010011111010 % -b11111111101110000010010011111010 . -b11111111101110000010010011111010 6 -b11111111101110000010010011111010 @ -b11111111101110000010010011111010 F -#148352000 -0& -#148368000 -b100100001110 , -#148384000 -1& -#148400000 -0! -b1 " -b1 4 -b11111111111101011101111111110101 0 -b11111111111101011101111111110101 H -b1 2 -b1 = -19 -18 -b10001000011000100111000001110101 < -b1011111111000100100011101101110 : -b11010111011111111101011011111000 $ -b11010111011111111101011011111000 - -b11010111011111111101011011111000 5 -b11010111011111111101011011111000 ? -b11010111011111111101011011111000 D -b1110111100111011000111110001010 % -b1110111100111011000111110001010 . -b1110111100111011000111110001010 6 -b1110111100111011000111110001010 @ -b1110111100111011000111110001010 F -#148416000 -0& -#148432000 -b100100001111 , -#148448000 -1& -#148464000 -1! -b0 " -b0 4 -b11111111111111111110111111111100 0 -b11111111111111111110111111111100 H -b0 2 -b0 = -09 -08 -b1001100000000001001010001010000 < -1# -b1000011111111110000110011111010 : -b11110111111111100111100010101001 $ -b11110111111111100111100010101001 - -b11110111111111100111100010101001 5 -b11110111111111100111100010101001 ? -b11110111111111100111100010101001 D -b10110011111111110110101110101111 % -b10110011111111110110101110101111 . -b10110011111111110110101110101111 6 -b10110011111111110110101110101111 @ -b10110011111111110110101110101111 F -b0 ) -b1 ( -#148480000 -0& -#148496000 -b100100010000 , -#148512000 -1& -#148528000 -0! -b1 " -b1 4 -b11111111110010001010110100001100 0 -b11111111110010001010110100001100 H -b1 2 -b1 = -18 -b11111011000010001111101100011010 < -0# -b11110100000111101001110010100011 : -b11111001000101011010000110001000 $ -b11111001000101011010000110001000 - -b11111001000101011010000110001000 5 -b11111001000101011010000110001000 ? -b11111001000101011010000110001000 D -b100111101110000010011100101 % -b100111101110000010011100101 . -b100111101110000010011100101 6 -b100111101110000010011100101 @ -b100111101110000010011100101 F -b1 ) -b0 ( -#148544000 -0& -#148560000 -b100100010001 , -#148576000 -1& -#148592000 -1! -b0 " -b0 4 -b111110111111111 0 -b111110111111111 H -b0 2 -b0 = -08 -b10010000000101111011010010101111 < -1# -b1110000101101100100110010011 : -b1111101111111110001010011100011 $ -b1111101111111110001010011100011 - -b1111101111111110001010011100011 5 -b1111101111111110001010011100011 ? -b1111101111111110001010011100011 D -b1101111111010000100101101010000 % -b1101111111010000100101101010000 . -b1101111111010000100101101010000 6 -b1101111111010000100101101010000 @ -b1101111111010000100101101010000 F -b0 ) -b1 ( -#148608000 -0& -#148624000 -b100100010010 , -#148640000 -1& -#148656000 -0! -b1 " -b1 4 -b111101110 0 -b111101110 H -b1 2 -b1 = -18 -b10000010000000011111110011001001 < -0# -b11111101101011111101101011001000 : -b1111011101011011101110111111110 $ -b1111011101011011101110111111110 - -b1111011101011011101110111111110 5 -b1111011101011011101110111111110 ? -b1111011101011011101110111111110 D -b1111101111111100000001100110110 % -b1111101111111100000001100110110 . -b1111101111111100000001100110110 6 -b1111101111111100000001100110110 @ -b1111101111111100000001100110110 F -b1 ) -b0 ( -#148672000 -0& -#148688000 -b100100010011 , -#148704000 -1& -#148720000 -0! -b1 " -b1 4 -b11111111111111111110110110111000 0 -b11111111111111111110110110111000 H -19 -b1 2 -b1 = -18 -b10010000000000000110001000101111 < -b1111101101110010010001111110111 : -b11101101101110001100000111000111 $ -b11101101101110001100000111000111 - -b11101101101110001100000111000111 5 -b11101101101110001100000111000111 ? -b11101101101110001100000111000111 D -b1101111111111111001110111010000 % -b1101111111111111001110111010000 . -b1101111111111111001110111010000 6 -b1101111111111111001110111010000 @ -b1101111111111111001110111010000 F -#148736000 -0& -#148752000 -b100100010100 , -#148768000 -1& -#148784000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -09 -08 -b10010011000101110111100101 < -1# -b10001011001100000011010000 : -b11111111111000000110001011101010 $ -b11111111111000000110001011101010 - -b11111111111000000110001011101010 5 -b11111111111000000110001011101010 ? -b11111111111000000110001011101010 D -b11111101101100111010001000011010 % -b11111101101100111010001000011010 . -b11111101101100111010001000011010 6 -b11111101101100111010001000011010 @ -b11111101101100111010001000011010 F -b0 ) -b1 ( -#148800000 -0& -#148816000 -b100100010101 , -#148832000 -1& -#148848000 -0! -b1 " -b1 4 -b111111010 0 -b111111010 H -b1 2 -b1 = -18 -b10000000000001010010110011001001 < -0# -b11111110101100111011001001101100 : -b1111110101011101000010110100010 $ -b1111110101011101000010110100010 - -b1111110101011101000010110100010 5 -b1111110101011101000010110100010 ? -b1111110101011101000010110100010 D -b1111111111110101101001100110110 % -b1111111111110101101001100110110 . -b1111111111110101101001100110110 6 -b1111111111110101101001100110110 @ -b1111111111110101101001100110110 F -b1 ) -b0 ( -#148864000 -0& -#148880000 -b100100010110 , -#148896000 -1& -#148912000 -0! -b1 " -b1 4 -b11111111111111111111111011111111 0 -b11111111111111111111111011111111 H -19 -b1 2 -b1 = -18 -b10000000000001000100111101101001 < -b111111111000110111101000111011 : -b10111111110111110010101011010001 $ -b10111111110111110010101011010001 - -b10111111110111110010101011010001 5 -b10111111110111110010101011010001 ? -b10111111110111110010101011010001 D -b1111111111110111011000010010110 % -b1111111111110111011000010010110 . -b1111111111110111011000010010110 6 -b1111111111110111011000010010110 @ -b1111111111110111011000010010110 F -#148928000 -0& -#148944000 -b100100010111 , -#148960000 -1& -#148976000 -1! -b0 " -b0 4 -b11111111111111111001110001011110 0 -b11111111111111111001110001011110 H -b0 2 -b0 = -08 -09 -b1000100110010000111010010010000 < -1# -b10010111101111000111100101111 : -b11001110001011110001101010011110 $ -b11001110001011110001101010011110 - -b11001110001011110001101010011110 5 -b11001110001011110001101010011110 ? -b11001110001011110001101010011110 D -b10111011001101111000101101101111 % -b10111011001101111000101101101111 . -b10111011001101111000101101101111 6 -b10111011001101111000101101101111 @ -b10111011001101111000101101101111 F -b0 ) -b1 ( -#148992000 -0& -#149008000 -b100100011000 , -#149024000 -1& -#149040000 -1! -b0 " -b0 4 -b1111001011111100000 0 -b1111001011111100000 H -19 -b0 2 -b0 = -08 -b101000100101001110101101010011 < -b10100010000100101111010000001000 : -b1111001011111100000100010110100 $ -b1111001011111100000100010110100 - -b1111001011111100000100010110100 5 -b1111001011111100000100010110100 ? -b1111001011111100000100010110100 D -b11010111011010110001010010101100 % -b11010111011010110001010010101100 . -b11010111011010110001010010101100 6 -b11010111011010110001010010101100 @ -b11010111011010110001010010101100 F -#149056000 -0& -#149072000 -b100100011001 , -#149088000 -1& -#149104000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -09 -08 -b1100010100000011100000000 < -b1010100111101110001101110110111 : -b1010011011011010001010010110110 $ -b1010011011011010001010010110110 - -b1010011011011010001010010110110 5 -b1010011011011010001010010110110 ? -b1010011011011010001010010110110 D -b11111110011101011111100011111111 % -b11111110011101011111100011111111 . -b11111110011101011111100011111111 6 -b11111110011101011111100011111111 @ -b11111110011101011111100011111111 F -#149120000 -0& -#149136000 -b100100011010 , -#149152000 -1& -#149168000 -1! -b0 " -b0 4 -b110011111111100 0 -b110011111111100 H -b0 2 -b0 = -19 -08 -b11000010100001010011001101111 < -b10000000010011011000100101010111 : -b1100111111111001110001011100111 $ -b1100111111111001110001011100111 - -b1100111111111001110001011100111 5 -b1100111111111001110001011100111 ? -b1100111111111001110001011100111 D -b11100111101011110101100110010000 % -b11100111101011110101100110010000 . -b11100111101011110101100110010000 6 -b11100111101011110101100110010000 @ -b11100111101011110101100110010000 F -#149184000 -0& -#149200000 -b100100011011 , -#149216000 -1& -#149232000 -1! -b0 " -b0 4 -b11101110111 0 -b11101110111 H -b0 2 -b0 = -09 -08 -b10101100000000000001100011001011 < -b100011011111100011000011101101 : -b1110111011111100001100000100001 $ -b1110111011111100001100000100001 - -b1110111011111100001100000100001 5 -b1110111011111100001100000100001 ? -b1110111011111100001100000100001 D -b1010011111111111110011100110100 % -b1010011111111111110011100110100 . -b1010011111111111110011100110100 6 -b1010011111111111110011100110100 @ -b1010011111111111110011100110100 F -#149248000 -0& -#149264000 -b100100011100 , -#149280000 -1& -#149296000 -1! -b0 " -b0 4 -b11010101111011000110111010100 0 -b11010101111011000110111010100 H -b0 2 -b0 = -08 -b1000000100101110000111110 < -b110110011111010111011111101000 : -b110101011110110001101110101001 $ -b110101011110110001101110101001 - -b110101011110110001101110101001 5 -b110101011110110001101110101001 ? -b110101011110110001101110101001 D -b11111110111111011010001111000001 % -b11111110111111011010001111000001 . -b11111110111111011010001111000001 6 -b11111110111111011010001111000001 @ -b11111110111111011010001111000001 F -#149312000 -0& -#149328000 -b100100011101 , -#149344000 -1& -#149360000 -0! -b1 " -b1 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -19 -b1 2 -b1 = -18 -b10000000010010100100000100101010 < -0# -b1111100010010001110011100110110 : -b11111011111111101010011000001011 $ -b11111011111111101010011000001011 - -b11111011111111101010011000001011 5 -b11111011111111101010011000001011 ? -b11111011111111101010011000001011 D -b1111111101101011011111011010101 % -b1111111101101011011111011010101 . -b1111111101101011011111011010101 6 -b1111111101101011011111011010101 @ -b1111111101101011011111011010101 F -b1 ) -b0 ( -#149376000 -0& -#149392000 -b100100011110 , -#149408000 -1& -#149424000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -09 -b1000000010011101001010010100011 < -1# -b111001111011011100000100001000 : -b11111001100111110010110001100100 $ -b11111001100111110010110001100100 - -b11111001100111110010110001100100 5 -b11111001100111110010110001100100 ? -b11111001100111110010110001100100 D -b10111111101100010110101101011100 % -b10111111101100010110101101011100 . -b10111111101100010110101101011100 6 -b10111111101100010110101101011100 @ -b10111111101100010110101101011100 F -b0 ) -b1 ( -#149440000 -0& -#149456000 -b100100011111 , -#149472000 -1& -#149488000 -0! -b1 " -b1 4 -b11111111111111111111111111110001 0 -b11111111111111111111111111110001 H -b1 2 -b1 = -18 -b1010100010010100001001111100100 < -0# -b11011111001010011011101000011101 : -b10001010110111111010011000111000 $ -b10001010110111111010011000111000 - -b10001010110111111010011000111000 5 -b10001010110111111010011000111000 ? -b10001010110111111010011000111000 D -b10101011101101011110110000011011 % -b10101011101101011110110000011011 . -b10101011101101011110110000011011 6 -b10101011101101011110110000011011 @ -b10101011101101011110110000011011 F -b1 ) -b0 ( -#149504000 -0& -#149520000 -b100100100000 , -#149536000 -1& -#149552000 -0! -b1 " -b1 4 -b11111111111111111111111111010111 0 -b11111111111111111111111111010111 H -19 -b1 2 -b1 = -18 -b10000000000000101100111010101010 < -b1111010111101001110110011110100 : -b11111010111100100001111001001001 $ -b11111010111100100001111001001001 - -b11111010111100100001111001001001 5 -b11111010111100100001111001001001 ? -b11111010111100100001111001001001 D -b1111111111111010011000101010101 % -b1111111111111010011000101010101 . -b1111111111111010011000101010101 6 -b1111111111111010011000101010101 @ -b1111111111111010011000101010101 F -#149568000 -0& -#149584000 -b100100100001 , -#149600000 -1& -#149616000 -b11111111111111111111111111110110 0 -b11111111111111111111111111110110 H -b10000100000000000101000000000110 < -b1110001111101111110100111000100 : -b11101101111101111001100110111101 $ -b11101101111101111001100110111101 - -b11101101111101111001100110111101 5 -b11101101111101111001100110111101 ? -b11101101111101111001100110111101 D -b1111011111111111010111111111001 % -b1111011111111111010111111111001 . -b1111011111111111010111111111001 6 -b1111011111111111010111111111001 @ -b1111011111111111010111111111001 F -#149632000 -0& -#149648000 -b100100100010 , -#149664000 -1& -#149680000 -1! -b0 " -b0 4 -b1111110011111110001011101 0 -b1111110011111110001011101 H -b0 2 -b0 = -08 -19 -b100100010000100001100000111001 < -1# -b10100010110000010010111110011010 : -b1111110011111110001011101100000 $ -b1111110011111110001011101100000 - -b1111110011111110001011101100000 5 -b1111110011111110001011101100000 ? -b1111110011111110001011101100000 D -b11011011101111011110011111000110 % -b11011011101111011110011111000110 . -b11011011101111011110011111000110 6 -b11011011101111011110011111000110 @ -b11011011101111011110011111000110 F -b0 ) -b1 ( -#149696000 -0& -#149712000 -b100100100011 , -#149728000 -1& -#149744000 -0! -b1 " -b1 4 -b11111100111011101110100101111101 0 -b11111100111011101110100101111101 H -b1 2 -b1 = -18 -09 -b1001010000000101000100111010 < -0# -b10100111000111011000000011110110 : -b10011101110111010010111110111011 $ -b10011101110111010010111110111011 - -b10011101110111010010111110111011 5 -b10011101110111010010111110111011 ? -b10011101110111010010111110111011 D -b11110110101111111010111011000101 % -b11110110101111111010111011000101 . -b11110110101111111010111011000101 6 -b11110110101111111010111011000101 @ -b11110110101111111010111011000101 F -b1 ) -b0 ( -#149760000 -0& -#149776000 -b100100100100 , -#149792000 -1& -#149808000 -1! -b0 " -b0 4 -b1011100111011111101000 0 -b1011100111011111101000 H -19 -b0 2 -b0 = -08 -b1100001000000101111000101110110 < -1# -b10111101111100101100001010000111 : -b1011100111011111101000100010000 $ -b1011100111011111101000100010000 - -b1011100111011111101000100010000 5 -b1011100111011111101000100010000 ? -b1011100111011111101000100010000 D -b10011110111111010000111010001001 % -b10011110111111010000111010001001 . -b10011110111111010000111010001001 6 -b10011110111111010000111010001001 @ -b10011110111111010000111010001001 F -b0 ) -b1 ( -#149824000 -0& -#149840000 -b100100100101 , -#149856000 -1& -#149872000 -b1101100111101111101010110 0 -b1101100111101111101010110 H -b1101011110010001101010000111001 < -b11011000110000001010100111100011 : -b1101100111101111101010110101001 $ -b1101100111101111101010110101001 - -b1101100111101111101010110101001 5 -b1101100111101111101010110101001 ? -b1101100111101111101010110101001 D -b10010100001101110010101111000110 % -b10010100001101110010101111000110 . -b10010100001101110010101111000110 6 -b10010100001101110010101111000110 @ -b10010100001101110010101111000110 F -#149888000 -0& -#149904000 -b100100100110 , -#149920000 -1& -#149936000 -0! -b1 " -b1 4 -b11111111111111101111010100111100 0 -b11111111111111101111010100111100 H -09 -b1 2 -b1 = -18 -b11011000000001101101110010010010 < -0# -b10110110101011100110010110100101 : -b11011110101001111000100100010010 $ -b11011110101001111000100100010010 - -b11011110101001111000100100010010 5 -b11011110101001111000100100010010 ? -b11011110101001111000100100010010 D -b100111111110010010001101101101 % -b100111111110010010001101101101 . -b100111111110010010001101101101 6 -b100111111110010010001101101101 @ -b100111111110010010001101101101 F -b1 ) -b0 ( -#149952000 -0& -#149968000 -b100100100111 , -#149984000 -1& -#150000000 -b11111111111111111110111111110000 0 -b11111111111111111110111111110000 H -b11011010010000001011100101101110 < -b10111010001000100011111010111010 : -b11011111111000011000010101001011 $ -b11011111111000011000010101001011 - -b11011111111000011000010101001011 5 -b11011111111000011000010101001011 ? -b11011111111000011000010101001011 D -b100101101111110100011010010001 % -b100101101111110100011010010001 . -b100101101111110100011010010001 6 -b100101101111110100011010010001 @ -b100101101111110100011010010001 F -#150016000 -0& -#150032000 -b100100101000 , -#150048000 -1& -#150064000 -0! -b1 " -b1 4 -b11111111111111111111111111111100 0 -b11111111111111111111111111111100 H -b1 2 -b1 = -19 -18 -b10000000001000110000011110100111 < -b1111101000011011001110110110110 : -b11111100111010101001011000001110 $ -b11111100111010101001011000001110 - -b11111100111010101001011000001110 5 -b11111100111010101001011000001110 ? -b11111100111010101001011000001110 D -b1111111110111001111100001011000 % -b1111111110111001111100001011000 . -b1111111110111001111100001011000 6 -b1111111110111001111100001011000 @ -b1111111110111001111100001011000 F -#150080000 -0& -#150096000 -b100100101001 , -#150112000 -1& -#150128000 -0! -b1 " -b1 4 -b11111111111111111111111110111100 0 -b11111111111111111111111110111100 H -b1 2 -b1 = -09 -18 -b10001010100101000001011101001010 < -b10000010001100111111011100001000 : -b11110111100111111101111110111101 $ -b11110111100111111101111110111101 - -b11110111100111111101111110111101 5 -b11110111100111111101111110111101 ? -b11110111100111111101111110111101 D -b1110101011010111110100010110101 % -b1110101011010111110100010110101 . -b1110101011010111110100010110101 6 -b1110101011010111110100010110101 @ -b1110101011010111110100010110101 F -#150144000 -0& -#150160000 -b100100101010 , -#150176000 -1& -#150192000 -b11111111111110111111000000010001 0 -b11111111111110111111000000010001 H -b10110010111000000110001110111011 < -b10110010100111110110010011010101 : -b11111111101111110000000100011001 $ -b11111111101111110000000100011001 - -b11111111101111110000000100011001 5 -b11111111101111110000000100011001 ? -b11111111101111110000000100011001 D -b1001101000111111001110001000100 % -b1001101000111111001110001000100 . -b1001101000111111001110001000100 6 -b1001101000111111001110001000100 @ -b1001101000111111001110001000100 F -#150208000 -0& -#150224000 -b100100101011 , -#150240000 -1& -#150256000 -1! -b0 " -b0 4 -b10111 0 -b10111 H -b0 2 -b0 = -08 -b100101000101010010000101 < -1# -b1100000000011110100100110011000 : -b1011111011110101111010100010010 $ -b1011111011110101111010100010010 - -b1011111011110101111010100010010 5 -b1011111011110101111010100010010 ? -b1011111011110101111010100010010 D -b11111111011010111010101101111010 % -b11111111011010111010101101111010 . -b11111111011010111010101101111010 6 -b11111111011010111010101101111010 @ -b11111111011010111010101101111010 F -b0 ) -b1 ( -#150272000 -0& -#150288000 -b100100101100 , -#150304000 -1& -#150320000 -1! -b0 " -b0 4 -b1110101111001111010 0 -b1110101111001111010 H -b0 2 -b0 = -19 -08 -b100100000001000010010011010011 < -b10011001111010111101000111010111 : -b1110101111001111010110100000011 $ -b1110101111001111010110100000011 - -b1110101111001111010110100000011 5 -b1110101111001111010110100000011 ? -b1110101111001111010110100000011 D -b11011011111110111101101100101100 % -b11011011111110111101101100101100 . -b11011011111110111101101100101100 6 -b11011011111110111101101100101100 @ -b11011011111110111101101100101100 F -#150336000 -0& -#150352000 -b100100101101 , -#150368000 -1& -#150384000 -b1110101111110100000000101100101 0 -b1110101111110100000000101100101 H -b1101110001001101010111111111 < -b10000011101111101101011101100101 : -b1110101111110100000000101100101 $ -b1110101111110100000000101100101 - -b1110101111110100000000101100101 5 -b1110101111110100000000101100101 ? -b1110101111110100000000101100101 D -b11110010001110110010101000000000 % -b11110010001110110010101000000000 . -b11110010001110110010101000000000 6 -b11110010001110110010101000000000 @ -b11110010001110110010101000000000 F -#150400000 -0& -#150416000 -b100100101110 , -#150432000 -1& -#150448000 -0! -b1 " -b1 4 -b11111111110111110100111101110100 0 -b11111111110111110100111101110100 H -b1 2 -b1 = -18 -09 -b1001000100010110011110110110 < -0# -b11000111101100000101000011101100 : -b10111110100111101110100100110101 $ -b10111110100111101110100100110101 - -b10111110100111101110100100110101 5 -b10111110100111101110100100110101 ? -b10111110100111101110100100110101 D -b11110110111011101001100001001001 % -b11110110111011101001100001001001 . -b11110110111011101001100001001001 6 -b11110110111011101001100001001001 @ -b11110110111011101001100001001001 F -b1 ) -b0 ( -#150464000 -0& -#150480000 -b100100101111 , -#150496000 -1& -#150512000 -1! -b0 " -b0 4 -b10111 0 -b10111 H -19 -b0 2 -b0 = -08 -b1010101000000011110011001000101 < -1# -b10110100111111111100001111000100 : -b1011111111111011101110101111110 $ -b1011111111111011101110101111110 - -b1011111111111011101110101111110 5 -b1011111111111011101110101111110 ? -b1011111111111011101110101111110 D -b10101010111111100001100110111010 % -b10101010111111100001100110111010 . -b10101010111111100001100110111010 6 -b10101010111111100001100110111010 @ -b10101010111111100001100110111010 F -b0 ) -b1 ( -#150528000 -0& -#150544000 -b100100110000 , -#150560000 -1& -#150576000 -0! -b1 " -b1 4 -b1011111111111000110011011000 0 -b1011111111111000110011011000 H -b1 2 -b1 = -09 -18 -b10000001000110000100110000111110 < -0# -b10011001000101110110010111101111 : -b10111111111110001100110110000 $ -b10111111111110001100110110000 - -b10111111111110001100110110000 5 -b10111111111110001100110110000 ? -b10111111111110001100110110000 D -b1111110111001111011001111000001 % -b1111110111001111011001111000001 . -b1111110111001111011001111000001 6 -b1111110111001111011001111000001 @ -b1111110111001111011001111000001 F -b1 ) -b0 ( -#150592000 -0& -#150608000 -b100100110001 , -#150624000 -1& -#150640000 -1! -b0 " -b0 4 -b111111111011111111110000011 0 -b111111111011111111110000011 H -b0 2 -b0 = -08 -b10100100000000000011000100111011 < -1# -b100011111000000010100101111011 : -b1111111110111111111100000111111 $ -b1111111110111111111100000111111 - -b1111111110111111111100000111111 5 -b1111111110111111111100000111111 ? -b1111111110111111111100000111111 D -b1011011111111111100111011000100 % -b1011011111111111100111011000100 . -b1011011111111111100111011000100 6 -b1011011111111111100111011000100 @ -b1011011111111111100111011000100 F -b0 ) -b1 ( -#150656000 -0& -#150672000 -b100100110010 , -#150688000 -1& -#150704000 -0! -b1 " -b1 4 -b11101011001011101101010010111101 0 -b11101011001011101101010010111101 H -b1 2 -b1 = -18 -b1000000000101010110111011110 < -0# -b11011110011000000101011101011010 : -b11010110010111011010100101111011 $ -b11010110010111011010100101111011 - -b11010110010111011010100101111011 5 -b11010110010111011010100101111011 ? -b11010110010111011010100101111011 D -b11110111111111010101001000100001 % -b11110111111111010101001000100001 . -b11110111111111010101001000100001 6 -b11110111111111010101001000100001 @ -b11110111111111010101001000100001 F -b1 ) -b0 ( -#150720000 -0& -#150736000 -b100100110011 , -#150752000 -1& -#150768000 -b11111111111111111111110110011111 0 -b11111111111111111111110110011111 H -b10101000000000010000101111101101 < -b10011110011111100100010000011011 : -b11110110011111010011100000101101 $ -b11110110011111010011100000101101 - -b11110110011111010011100000101101 5 -b11110110011111010011100000101101 ? -b11110110011111010011100000101101 D -b1010111111111101111010000010010 % -b1010111111111101111010000010010 . -b1010111111111101111010000010010 6 -b1010111111111101111010000010010 @ -b1010111111111101111010000010010 F -#150784000 -0& -#150800000 -b100100110100 , -#150816000 -1& -#150832000 -1! -b0 " -b0 4 -b11111111111111111011111011111011 0 -b11111111111111111011111011111011 H -b0 2 -b0 = -08 -b1011001000100010100000010010 < -1# -b11000000011000111000001000 : -b11110111110111110110010111110101 $ -b11110111110111110110010111110101 - -b11110111110111110110010111110101 5 -b11110111110111110110010111110101 ? -b11110111110111110110010111110101 D -b11110100110111011101011111101101 % -b11110100110111011101011111101101 . -b11110100110111011101011111101101 6 -b11110100110111011101011111101101 @ -b11110100110111011101011111101101 F -b0 ) -b1 ( -#150848000 -0& -#150864000 -b100100110101 , -#150880000 -1& -#150896000 -b1101111101001110111101111 0 -b1101111101001110111101111 H -b110000000010101101011011010 < -b111101110101010001100011001010 : -b110111110100111011110111101111 $ -b110111110100111011110111101111 - -b110111110100111011110111101111 5 -b110111110100111011110111101111 ? -b110111110100111011110111101111 D -b11111001111111101010010100100101 % -b11111001111111101010010100100101 . -b11111001111111101010010100100101 6 -b11111001111111101010010100100101 @ -b11111001111111101010010100100101 F -#150912000 -0& -#150928000 -b100100110110 , -#150944000 -1& -#150960000 -1! -b0 " -b0 4 -b11111111011 0 -b11111111011 H -b0 2 -b0 = -19 -08 -b1100010110000011101101101011 < -b10001100000101100001011111001110 : -b1111111101111011101110001100010 $ -b1111111101111011101110001100010 - -b1111111101111011101110001100010 5 -b1111111101111011101110001100010 ? -b1111111101111011101110001100010 D -b11110011101001111100010010010100 % -b11110011101001111100010010010100 . -b11110011101001111100010010010100 6 -b11110011101001111100010010010100 @ -b11110011101001111100010010010100 F -#150976000 -0& -#150992000 -b100100110111 , -#151008000 -1& -#151024000 -0! -b1 " -b1 4 -b11111111110111100111110000110111 0 -b11111111110111100111110000110111 H -09 -b1 2 -b1 = -18 -b10000110100100110111011000111011 < -0# -b10000100011110110011100110111000 : -b11111101111001111100001101111100 $ -b11111101111001111100001101111100 - -b11111101111001111100001101111100 5 -b11111101111001111100001101111100 ? -b11111101111001111100001101111100 D -b1111001011011001000100111000100 % -b1111001011011001000100111000100 . -b1111001011011001000100111000100 6 -b1111001011011001000100111000100 @ -b1111001011011001000100111000100 F -b1 ) -b0 ( -#151040000 -0& -#151056000 -b100100111000 , -#151072000 -1& -#151088000 -0! -b1 " -b1 4 -b11111101111111110101000000000111 0 -b11111101111111110101000000000111 H -b1 2 -b1 = -19 -18 -b10000000000100001100100101011111 < -b1111110000100000001100101100111 : -b11111101111111110101000000000111 $ -b11111101111111110101000000000111 - -b11111101111111110101000000000111 5 -b11111101111111110101000000000111 ? -b11111101111111110101000000000111 D -b1111111111011110011011010100000 % -b1111111111011110011011010100000 . -b1111111111011110011011010100000 6 -b1111111111011110011011010100000 @ -b1111111111011110011011010100000 F -#151104000 -0& -#151120000 -b100100111001 , -#151136000 -1& -#151152000 -1! -b0 " -b0 4 -b1111111 0 -b1111111 H -b0 2 -b0 = -08 -19 -b110101000010101111011000111 < -1# -b10000110100110011000011000000111 : -b1111111111110000010011100111111 $ -b1111111111110000010011100111111 - -b1111111111110000010011100111111 5 -b1111111111110000010011100111111 ? -b1111111111110000010011100111111 D -b11111001010111101010000100111000 % -b11111001010111101010000100111000 . -b11111001010111101010000100111000 6 -b11111001010111101010000100111000 @ -b11111001010111101010000100111000 F -b0 ) -b1 ( -#151168000 -0& -#151184000 -b100100111010 , -#151200000 -1& -#151216000 -0! -b1 " -b1 4 -b11111111111111111111111111010011 0 -b11111111111111111111111111010011 H -b1 2 -b1 = -18 -09 -b10000100010001011110000100111 < -0# -b11100100011111001000001111110101 : -b11010011111100111100011111001101 $ -b11010011111100111100011111001101 - -b11010011111100111100011111001101 5 -b11010011111100111100011111001101 ? -b11010011111100111100011111001101 D -b11101111011101110100001111011000 % -b11101111011101110100001111011000 . -b11101111011101110100001111011000 6 -b11101111011101110100001111011000 @ -b11101111011101110100001111011000 F -b1 ) -b0 ( -#151232000 -0& -#151248000 -b100100111011 , -#151264000 -1& -#151280000 -1! -b0 " -b0 4 -b1111111111101111000100101001011 0 -b1111111111101111000100101001011 H -b0 2 -b0 = -08 -19 -b101010001000011000110101111111 < -1# -b10101010000110010001011011001011 : -b1111111111101111000100101001011 $ -b1111111111101111000100101001011 - -b1111111111101111000100101001011 5 -b1111111111101111000100101001011 ? -b1111111111101111000100101001011 D -b11010101110111100111001010000000 % -b11010101110111100111001010000000 . -b11010101110111100111001010000000 6 -b11010101110111100111001010000000 @ -b11010101110111100111001010000000 F -b0 ) -b1 ( -#151296000 -0& -#151312000 -b100100111100 , -#151328000 -1& -#151344000 -b111010101110111000 0 -b111010101110111000 H -b10000000101001111001001110010 < -b10000101100011000001000000111001 : -b1110101011101110001110111000110 $ -b1110101011101110001110111000110 - -b1110101011101110001110111000110 5 -b1110101011101110001110111000110 ? -b1110101011101110001110111000110 D -b11101111111010110000110110001101 % -b11101111111010110000110110001101 . -b11101111111010110000110110001101 6 -b11101111111010110000110110001101 @ -b11101111111010110000110110001101 F -#151360000 -0& -#151376000 -b100100111101 , -#151392000 -1& -#151408000 -0! -b1 " -b1 4 -b11111111111111111111111101111111 0 -b11111111111111111111111101111111 H -b1 2 -b1 = -18 -09 -b10110000000000001101110100101001 < -0# -b10001111110011001011010010100010 : -b11011111110010111101011101111000 $ -b11011111110010111101011101111000 - -b11011111110010111101011101111000 5 -b11011111110010111101011101111000 ? -b11011111110010111101011101111000 D -b1001111111111110010001011010110 % -b1001111111111110010001011010110 . -b1001111111111110010001011010110 6 -b1001111111111110010001011010110 @ -b1001111111111110010001011010110 F -b1 ) -b0 ( -#151424000 -0& -#151440000 -b100100111110 , -#151456000 -1& -#151472000 -1! -b0 " -b0 4 -b11010 0 -b11010 H -b0 2 -b0 = -08 -09 -b1011000001011011000100110 < -1# -b110111010101011010101001110100 : -b110101111101001111010001001101 $ -b110101111101001111010001001101 - -b110101111101001111010001001101 5 -b110101111101001111010001001101 ? -b110101111101001111010001001101 D -b11111110100111110100100111011001 % -b11111110100111110100100111011001 . -b11111110100111110100100111011001 6 -b11111110100111110100100111011001 @ -b11111110100111110100100111011001 F -b0 ) -b1 ( -#151488000 -0& -#151504000 -b100100111111 , -#151520000 -1& -#151536000 -1! -b0 " -b0 4 -b1110110001111001011110111011 0 -b1110110001111001011110111011 H -b0 2 -b0 = -19 -08 -b10001000001000011000010111100 < -b10000111010000001110111010011001 : -b1110110001111001011110111011100 $ -b1110110001111001011110111011100 - -b1110110001111001011110111011100 5 -b1110110001111001011110111011100 ? -b1110110001111001011110111011100 D -b11101110111110111100111101000011 % -b11101110111110111100111101000011 . -b11101110111110111100111101000011 6 -b11101110111110111100111101000011 @ -b11101110111110111100111101000011 F -#151552000 -0& -#151568000 -b100101000000 , -#151584000 -1& -#151600000 -1! -b0 " -b0 4 -b10111111110010 0 -b10111111110010 H -b0 2 -b0 = -09 -08 -b10000000000001010001100101110 < -b1101111111001011111000100000100 : -b1011111111001010100110111010101 $ -b1011111111001010100110111010101 - -b1011111111001010100110111010101 5 -b1011111111001010100110111010101 ? -b1011111111001010100110111010101 D -b11101111111111110101110011010001 % -b11101111111111110101110011010001 . -b11101111111111110101110011010001 6 -b11101111111111110101110011010001 @ -b11101111111111110101110011010001 F -#151616000 -0& -#151632000 -b100101000001 , -#151648000 -1& -#151664000 -0! -b1 " -b1 4 -b11111101101001011101100010010111 0 -b11111101101001011101100010010111 H -19 -b1 2 -b1 = -18 -b10011110001001011001101110111010 < -0# -b1010010111000001010111010101010 : -b10110100101110110001001011101111 $ -b10110100101110110001001011101111 - -b10110100101110110001001011101111 5 -b10110100101110110001001011101111 ? -b10110100101110110001001011101111 D -b1100001110110100110010001000101 % -b1100001110110100110010001000101 . -b1100001110110100110010001000101 6 -b1100001110110100110010001000101 @ -b1100001110110100110010001000101 F -b1 ) -b0 ( -#151680000 -0& -#151696000 -b100101000010 , -#151712000 -1& -#151728000 -1! -b0 " -b0 4 -b1111111111110011011 0 -b1111111111110011011 H -b0 2 -b0 = -08 -09 -b10000000010010000101100101010011 < -1# -b10000100001011011100000 : -b1111111111110011011110110001100 $ -b1111111111110011011110110001100 - -b1111111111110011011110110001100 5 -b1111111111110011011110110001100 ? -b1111111111110011011110110001100 D -b1111111101101111010011010101100 % -b1111111101101111010011010101100 . -b1111111101101111010011010101100 6 -b1111111101101111010011010101100 @ -b1111111101101111010011010101100 F -b0 ) -b1 ( -#151744000 -0& -#151760000 -b100101000011 , -#151776000 -1& -#151792000 -1! -b0 " -b0 4 -b0 0 -b0 H -19 -b0 2 -b0 = -08 -b101000000000000111011100000000 < -b10011100011111110000011100110010 : -b1110100011111101001000000110001 $ -b1110100011111101001000000110001 - -b1110100011111101001000000110001 5 -b1110100011111101001000000110001 ? -b1110100011111101001000000110001 D -b11010111111111111000100011111111 % -b11010111111111111000100011111111 . -b11010111111111111000100011111111 6 -b11010111111111111000100011111111 @ -b11010111111111111000100011111111 F -#151808000 -0& -#151824000 -b100101000100 , -#151840000 -1& -#151856000 -1! -b0 " -b0 4 -b10000111111110100111 0 -b10000111111110100111 H -b0 2 -b0 = -19 -08 -b1000010001010001111110110010100 < -b10000110001001100011110000100010 : -b1000011111111010011111010001101 $ -b1000011111111010011111010001101 - -b1000011111111010011111010001101 5 -b1000011111111010011111010001101 ? -b1000011111111010011111010001101 D -b10111101110101110000001001101011 % -b10111101110101110000001001101011 . -b10111101110101110000001001101011 6 -b10111101110101110000001001101011 @ -b10111101110101110000001001101011 F -#151872000 -0& -#151888000 -b100101000101 , -#151904000 -1& -#151920000 -0! -b1 " -b1 4 -b11111111111111111011011010100110 0 -b11111111111111111011011010100110 H -b1 2 -b1 = -18 -b10000000010010000101100011010011 < -0# -b1111011101100101011111101010111 : -b11111011011010100110011010000011 $ -b11111011011010100110011010000011 - -b11111011011010100110011010000011 5 -b11111011011010100110011010000011 ? -b11111011011010100110011010000011 D -b1111111101101111010011100101100 % -b1111111101101111010011100101100 . -b1111111101101111010011100101100 6 -b1111111101101111010011100101100 @ -b1111111101101111010011100101100 F -b1 ) -b0 ( -#151936000 -0& -#151952000 -b100101000110 , -#151968000 -1& -#151984000 -1! -b0 " -b0 4 -b101101111110111011010110 0 -b101101111110111011010110 H -09 -b0 2 -b0 = -08 -b11100001000100001110011011111000 < -1# -b111101000010000101001001010111 : -b1011011111101110110101101011110 $ -b1011011111101110110101101011110 - -b1011011111101110110101101011110 5 -b1011011111101110110101101011110 ? -b1011011111101110110101101011110 D -b11110111011110001100100000111 % -b11110111011110001100100000111 . -b11110111011110001100100000111 6 -b11110111011110001100100000111 @ -b11110111011110001100100000111 F -b0 ) -b1 ( -#152000000 -0& -#152016000 -b100101000111 , -#152032000 -1& -#152048000 -b1101100111111110101001 0 -b1101100111111110101001 H -b10000110000100111100010110 < -b1101111000101111010001001001111 : -b1101100111111110101001100111000 $ -b1101100111111110101001100111000 - -b1101100111111110101001100111000 5 -b1101100111111110101001100111000 ? -b1101100111111110101001100111000 D -b11111101111001111011000011101001 % -b11111101111001111011000011101001 . -b11111101111001111011000011101001 6 -b11111101111001111011000011101001 @ -b11111101111001111011000011101001 F -#152064000 -0& -#152080000 -b100101001000 , -#152096000 -1& -#152112000 -0! -b1 " -b1 4 -b11111110101111100111100111111101 0 -b11111110101111100111100111111101 H -19 -b1 2 -b1 = -18 -b10000001000011011111010000011011 < -0# -b1101100111101011001001111110000 : -b11101011111001111001111111010100 $ -b11101011111001111001111111010100 - -b11101011111001111001111111010100 5 -b11101011111001111001111111010100 ? -b11101011111001111001111111010100 D -b1111110111100100000101111100100 % -b1111110111100100000101111100100 . -b1111110111100100000101111100100 6 -b1111110111100100000101111100100 @ -b1111110111100100000101111100100 F -b1 ) -b0 ( -#152128000 -0& -#152144000 -b100101001001 , -#152160000 -1& -#152176000 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b10000000000100000111101000100111 < -b1111100000010111100100101100101 : -b11111011111110110100111100111101 $ -b11111011111110110100111100111101 - -b11111011111110110100111100111101 5 -b11111011111110110100111100111101 ? -b11111011111110110100111100111101 D -b1111111111011111000010111011000 % -b1111111111011111000010111011000 . -b1111111111011111000010111011000 6 -b1111111111011111000010111011000 @ -b1111111111011111000010111011000 F -#152192000 -0& -#152208000 -b100101001010 , -#152224000 -1& -#152240000 -1! -b0 " -b0 4 -b110110101011111 0 -b110110101011111 H -09 -b0 2 -b0 = -08 -b101010100000101000011011110000 < -1# -b1100001001100100111011101111101 : -b110110101011111111000010001100 $ -b110110101011111111000010001100 - -b110110101011111111000010001100 5 -b110110101011111111000010001100 ? -b110110101011111111000010001100 D -b11010101011111010111100100001111 % -b11010101011111010111100100001111 . -b11010101011111010111100100001111 6 -b11010101011111010111100100001111 @ -b11010101011111010111100100001111 F -b0 ) -b1 ( -#152256000 -0& -#152272000 -b100101001011 , -#152288000 -1& -#152304000 -0! -b1 " -b1 4 -b11111101111101100001011100010101 0 -b11111101111101100001011100010101 H -b1 2 -b1 = -18 -09 -b11111000001010001101100101011110 < -0# -b11110100000101010000011110001001 : -b11111011111011000010111000101010 $ -b11111011111011000010111000101010 - -b11111011111011000010111000101010 5 -b11111011111011000010111000101010 ? -b11111011111011000010111000101010 D -b111110101110010011010100001 % -b111110101110010011010100001 . -b111110101110010011010100001 6 -b111110101110010011010100001 @ -b111110101110010011010100001 F -b1 ) -b0 ( -#152320000 -0& -#152336000 -b100101001100 , -#152352000 -1& -#152368000 -1! -b0 " -b0 4 -b11111111100011111 0 -b11111111100011111 H -19 -b0 2 -b0 = -08 -b1011000000010110011001011110010 < -1# -b10010111111011110010111100001011 : -b111111111000111111110000011000 $ -b111111111000111111110000011000 - -b111111111000111111110000011000 5 -b111111111000111111110000011000 ? -b111111111000111111110000011000 D -b10100111111101001100110100001101 % -b10100111111101001100110100001101 . -b10100111111101001100110100001101 6 -b10100111111101001100110100001101 @ -b10100111111101001100110100001101 F -b0 ) -b1 ( -#152384000 -0& -#152400000 -b100101001101 , -#152416000 -1& -#152432000 -1! -b0 " -b0 4 -b1100100111101111100100 0 -b1100100111101111100100 H -b0 2 -b0 = -09 -08 -b10100000000010001011100100010110 < -b101000000001000000100101100 : -b1100100111101111100100000010101 $ -b1100100111101111100100000010101 - -b1100100111101111100100000010101 5 -b1100100111101111100100000010101 ? -b1100100111101111100100000010101 D -b1011111111101110100011011101001 % -b1011111111101110100011011101001 . -b1011111111101110100011011101001 6 -b1011111111101110100011011101001 @ -b1011111111101110100011011101001 F -#152448000 -0& -#152464000 -b100101001110 , -#152480000 -1& -#152496000 -0! -b1 " -b1 4 -b11111111111110111010101110111110 0 -b11111111111110111010101110111110 H -b1 2 -b1 = -18 -19 -b10011000010000101110100101010011 < -0# -b1010010111111101101000001100110 : -b10111010101110111110011100010010 $ -b10111010101110111110011100010010 - -b10111010101110111110011100010010 5 -b10111010101110111110011100010010 ? -b10111010101110111110011100010010 D -b1100111101111010001011010101100 % -b1100111101111010001011010101100 . -b1100111101111010001011010101100 6 -b1100111101111010001011010101100 @ -b1100111101111010001011010101100 F -b1 ) -b0 ( -#152512000 -0& -#152528000 -b100101001111 , -#152544000 -1& -#152560000 -0! -b1 " -b1 4 -b11111111111111110011110110110110 0 -b11111111111111110011110110110110 H -b1 2 -b1 = -09 -18 -b11110000000000001101010101110000 < -b10001110110111000011011111110010 : -b10011110110110110110001010000001 $ -b10011110110110110110001010000001 - -b10011110110110110110001010000001 5 -b10011110110110110110001010000001 ? -b10011110110110110110001010000001 D -b1111111111110010101010001111 % -b1111111111110010101010001111 . -b1111111111110010101010001111 6 -b1111111111110010101010001111 @ -b1111111111110010101010001111 F -#152576000 -0& -#152592000 -b100101010000 , -#152608000 -1& -#152624000 -0! -b1 " -b1 4 -b1111001111 0 -b1111001111 H -b1 2 -b1 = -18 -b10100010000000001011111000101100 < -b11000000100000001010101010001000 : -b11110011111111110110001011011 $ -b11110011111111110110001011011 - -b11110011111111110110001011011 5 -b11110011111111110110001011011 ? -b11110011111111110110001011011 D -b1011101111111110100000111010011 % -b1011101111111110100000111010011 . -b1011101111111110100000111010011 6 -b1011101111111110100000111010011 @ -b1011101111111110100000111010011 F -#152640000 -0& -#152656000 -b100101010001 , -#152672000 -1& -#152688000 -0! -b1 " -b1 4 -b11111111111111111111111111001111 0 -b11111111111111111111111111001111 H -19 -b1 2 -b1 = -18 -b10000000011000110110100000000110 < -b11111110011110001100010100101 : -b10011111011010111011000010011110 $ -b10011111011010111011000010011110 - -b10011111011010111011000010011110 5 -b10011111011010111011000010011110 ? -b10011111011010111011000010011110 D -b1111111100111001001011111111001 % -b1111111100111001001011111111001 . -b1111111100111001001011111111001 6 -b1111111100111001001011111111001 @ -b1111111100111001001011111111001 F -#152704000 -0& -#152720000 -b100101010010 , -#152736000 -1& -#152752000 -0! -b1 " -b1 4 -b111111 0 -b111111 H -09 -b1 2 -b1 = -18 -b10000001000000101110000011100110 < -b11111111110000101011100111110010 : -b1111110101111111101100100001011 $ -b1111110101111111101100100001011 - -b1111110101111111101100100001011 5 -b1111110101111111101100100001011 ? -b1111110101111111101100100001011 D -b1111110111111010001111100011001 % -b1111110111111010001111100011001 . -b1111110111111010001111100011001 6 -b1111110111111010001111100011001 @ -b1111110111111010001111100011001 F -#152768000 -0& -#152784000 -b100101010011 , -#152800000 -1& -#152816000 -0! -b1 " -b1 4 -b11111111111111111111101111011110 0 -b11111111111111111111101111011110 H -19 -b1 2 -b1 = -18 -b10011000000010100011011011001011 < -b1010101111101101001011011101110 : -b10111101111011000110000000100010 $ -b10111101111011000110000000100010 - -b10111101111011000110000000100010 5 -b10111101111011000110000000100010 ? -b10111101111011000110000000100010 D -b1100111111101011100100100110100 % -b1100111111101011100100100110100 . -b1100111111101011100100100110100 6 -b1100111111101011100100100110100 @ -b1100111111101011100100100110100 F -#152832000 -0& -#152848000 -b100101010100 , -#152864000 -1& -#152880000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b10000000010000001010101011000000 < -b111111111100000001010010101101 : -b10111111101011110110100111101100 $ -b10111111101011110110100111101100 - -b10111111101011110110100111101100 5 -b10111111101011110110100111101100 ? -b10111111101011110110100111101100 D -b1111111101111110101010100111111 % -b1111111101111110101010100111111 . -b1111111101111110101010100111111 6 -b1111111101111110101010100111111 @ -b1111111101111110101010100111111 F -#152896000 -0& -#152912000 -b100101010101 , -#152928000 -1& -#152944000 -0! -b1 " -b1 4 -b1 2 -b1 = -09 -18 -b10011110000100000000000110001110 < -b10011110000011110101001011001111 : -b11111111111111110101000101000000 $ -b11111111111111110101000101000000 - -b11111111111111110101000101000000 5 -b11111111111111110101000101000000 ? -b11111111111111110101000101000000 D -b1100001111011111111111001110001 % -b1100001111011111111111001110001 . -b1100001111011111111111001110001 6 -b1100001111011111111111001110001 @ -b1100001111011111111111001110001 F -#152960000 -0& -#152976000 -b100101010110 , -#152992000 -1& -#153008000 -0! -b1 " -b1 4 -b11111111111010110110011011101101 0 -b11111111111010110110011011101101 H -b1 2 -b1 = -09 -18 -b110100000010101011111000110101 < -b11100001101001100111001011011110 : -b10101101100110111011010010101000 $ -b10101101100110111011010010101000 - -b10101101100110111011010010101000 5 -b10101101100110111011010010101000 ? -b10101101100110111011010010101000 D -b11001011111101010100000111001010 % -b11001011111101010100000111001010 . -b11001011111101010100000111001010 6 -b11001011111101010100000111001010 @ -b11001011111101010100000111001010 F -#153024000 -0& -#153040000 -b100101010111 , -#153056000 -1& -#153072000 -b1111011110101001110101010111 0 -b1111011110101001110101010111 H -b10000011100100110011111001111100 < -b11111111011010000010100100110101 : -b1111011110101001110101010111000 $ -b1111011110101001110101010111000 - -b1111011110101001110101010111000 5 -b1111011110101001110101010111000 ? -b1111011110101001110101010111000 D -b1111100011011001100000110000011 % -b1111100011011001100000110000011 . -b1111100011011001100000110000011 6 -b1111100011011001100000110000011 @ -b1111100011011001100000110000011 F -#153088000 -0& -#153104000 -b100101011000 , -#153120000 -1& -#153136000 -b11111111101010110101001010010101 0 -b11111111101010110101001010010101 H -b11110000100010001101101000011100 < -b11101101111000110110111011001010 : -b11111101010110101001010010101101 $ -b11111101010110101001010010101101 - -b11111101010110101001010010101101 5 -b11111101010110101001010010101101 ? -b11111101010110101001010010101101 D -b1111011101110010010111100011 % -b1111011101110010010111100011 . -b1111011101110010010111100011 6 -b1111011101110010010111100011 @ -b1111011101110010010111100011 F -#153152000 -0& -#153168000 -b100101011001 , -#153184000 -1& -#153200000 -1! -b0 " -b0 4 -b1111111111000 0 -b1111111111000 H -b0 2 -b0 = -08 -b10000010000000000100100110001101 < -1# -b1111000110011111110111011 : -b1111111111000101111011000101101 $ -b1111111111000101111011000101101 - -b1111111111000101111011000101101 5 -b1111111111000101111011000101101 ? -b1111111111000101111011000101101 D -b1111101111111111011011001110010 % -b1111101111111111011011001110010 . -b1111101111111111011011001110010 6 -b1111101111111111011011001110010 @ -b1111101111111111011011001110010 F -b0 ) -b1 ( -#153216000 -0& -#153232000 -b100101011010 , -#153248000 -1& -#153264000 -1! -b0 " -b0 4 -b11010110111111110110011 0 -b11010110111111110110011 H -b0 2 -b0 = -08 -b11011001001010000101001110111000 < -b1110111010000010110101101010 : -b110101101111111101100110110001 $ -b110101101111111101100110110001 - -b110101101111111101100110110001 5 -b110101101111111101100110110001 ? -b110101101111111101100110110001 D -b100110110101111010110001000111 % -b100110110101111010110001000111 . -b100110110101111010110001000111 6 -b100110110101111010110001000111 @ -b100110110101111010110001000111 F -#153280000 -0& -#153296000 -b100101011011 , -#153312000 -1& -#153328000 -1! -b0 " -b0 4 -b111111111111 0 -b111111111111 H -b0 2 -b0 = -19 -08 -b1001011101000001011011001100 < -b10001001011011111001011110110011 : -b1111111111110111000000011100110 $ -b1111111111110111000000011100110 - -b1111111111110111000000011100110 5 -b1111111111110111000000011100110 ? -b1111111111110111000000011100110 D -b11110110100010111110100100110011 % -b11110110100010111110100100110011 . -b11110110100010111110100100110011 6 -b11110110100010111110100100110011 @ -b11110110100010111110100100110011 F -#153344000 -0& -#153360000 -b100101011100 , -#153376000 -1& -#153392000 -0! -b1 " -b1 4 -b101110111111 0 -b101110111111 H -b1 2 -b1 = -09 -18 -b10000000010010111100001101101100 < -0# -b11011110010010111011010101010011 : -b1011101111111111111000111100110 $ -b1011101111111111111000111100110 - -b1011101111111111111000111100110 5 -b1011101111111111111000111100110 ? -b1011101111111111111000111100110 D -b1111111101101000011110010010011 % -b1111111101101000011110010010011 . -b1111111101101000011110010010011 6 -b1111111101101000011110010010011 @ -b1111111101101000011110010010011 F -b1 ) -b0 ( -#153408000 -0& -#153424000 -b100101011101 , -#153440000 -1& -#153456000 -b11111111110111011001111111100100 0 -b11111111110111011001111111100100 H -b10000000111100010111000010011110 < -b10000000101011001011000001100111 : -b11111111101110110011111111001000 $ -b11111111101110110011111111001000 - -b11111111101110110011111111001000 5 -b11111111101110110011111111001000 ? -b11111111101110110011111111001000 D -b1111111000011101000111101100001 % -b1111111000011101000111101100001 . -b1111111000011101000111101100001 6 -b1111111000011101000111101100001 @ -b1111111000011101000111101100001 F -#153472000 -0& -#153488000 -b100101011110 , -#153504000 -1& -#153520000 -0! -b1 " -b1 4 -b111101101110100011101000110 0 -b111101101110100011101000110 H -b1 2 -b1 = -18 -09 -b10000000001110100011110100111100 < -b10111101111101000111011101101101 : -b111101101110100011101000110000 $ -b111101101110100011101000110000 - -b111101101110100011101000110000 5 -b111101101110100011101000110000 ? -b111101101110100011101000110000 D -b1111111110001011100001011000011 % -b1111111110001011100001011000011 . -b1111111110001011100001011000011 6 -b1111111110001011100001011000011 @ -b1111111110001011100001011000011 F -#153536000 -0& -#153552000 -b100101011111 , -#153568000 -1& -#153584000 -1! -b0 " -b0 4 -b11111111110111111011100101101011 0 -b11111111110111111011100101101011 H -b0 2 -b0 = -08 -b1100000001000011011000100011011 < -1# -b1011110000111010100011111001110 : -b11111101111110111001011010110010 $ -b11111101111110111001011010110010 - -b11111101111110111001011010110010 5 -b11111101111110111001011010110010 ? -b11111101111110111001011010110010 D -b10011111110111100100111011100100 % -b10011111110111100100111011100100 . -b10011111110111100100111011100100 6 -b10011111110111100100111011100100 @ -b10011111110111100100111011100100 F -b0 ) -b1 ( -#153600000 -0& -#153616000 -b100101100000 , -#153632000 -1& -#153648000 -0! -b1 " -b1 4 -b0 0 -b0 H -b1 2 -b1 = -18 -b10000000100000000001011000000000 < -0# -b11110000001110100101001000001101 : -b1101111101110100011110000001100 $ -b1101111101110100011110000001100 - -b1101111101110100011110000001100 5 -b1101111101110100011110000001100 ? -b1101111101110100011110000001100 D -b1111111011111111110100111111111 % -b1111111011111111110100111111111 . -b1111111011111111110100111111111 6 -b1111111011111111110100111111111 @ -b1111111011111111110100111111111 F -b1 ) -b0 ( -#153664000 -0& -#153680000 -b100101100001 , -#153696000 -1& -#153712000 -0! -b1 " -b1 4 -b11111111110111111110101001001010 0 -b11111111110111111110101001001010 H -b1 2 -b1 = -18 -b10010001001000100001100110111010 < -b10001101000111110110001100010011 : -b11111011111111010100100101011000 $ -b11111011111111010100100101011000 - -b11111011111111010100100101011000 5 -b11111011111111010100100101011000 ? -b11111011111111010100100101011000 D -b1101110110111011110011001000101 % -b1101110110111011110011001000101 . -b1101110110111011110011001000101 6 -b1101110110111011110011001000101 @ -b1101110110111011110011001000101 F -#153728000 -0& -#153744000 -b100101100010 , -#153760000 -1& -#153776000 -1! -b0 " -b0 4 -b11111111111101111111111010101001 0 -b11111111111101111111111010101001 H -b0 2 -b0 = -08 -b100001100001000010000010110110 < -1# -b10001100000010111001110111001 : -b11101111111111010101001100000010 $ -b11101111111111010101001100000010 - -b11101111111111010101001100000010 5 -b11101111111111010101001100000010 ? -b11101111111111010101001100000010 D -b11011110011110111101111101001001 % -b11011110011110111101111101001001 . -b11011110011110111101111101001001 6 -b11011110011110111101111101001001 @ -b11011110011110111101111101001001 F -b0 ) -b1 ( -#153792000 -0& -#153808000 -b100101100011 , -#153824000 -1& -#153840000 -0! -b1 " -b1 4 -b10101101001111101001011011110 0 -b10101101001111101001011011110 H -b1 2 -b1 = -18 -b10000011010100011100001100011101 < -0# -b11011001111100010000111010011000 : -b1010110100111110100101101111010 $ -b1010110100111110100101101111010 - -b1010110100111110100101101111010 5 -b1010110100111110100101101111010 ? -b1010110100111110100101101111010 D -b1111100101011100011110011100010 % -b1111100101011100011110011100010 . -b1111100101011100011110011100010 6 -b1111100101011100011110011100010 @ -b1111100101011100011110011100010 F -b1 ) -b0 ( -#153856000 -0& -#153872000 -b100101100100 , -#153888000 -1& -#153904000 -1! -b0 " -b0 4 -b11111 0 -b11111 H -19 -b0 2 -b0 = -08 -b1000011000111100011111111100101 < -1# -b11000010111010110110001100100111 : -b1111111110011010010001101000001 $ -b1111111110011010010001101000001 - -b1111111110011010010001101000001 5 -b1111111110011010010001101000001 ? -b1111111110011010010001101000001 D -b10111100111000011100000000011010 % -b10111100111000011100000000011010 . -b10111100111000011100000000011010 6 -b10111100111000011100000000011010 @ -b10111100111000011100000000011010 F -b0 ) -b1 ( -#153920000 -0& -#153936000 -b100101100101 , -#153952000 -1& -#153968000 -1! -b0 " -b0 4 -b10111010111101 0 -b10111010111101 H -b0 2 -b0 = -19 -08 -b1101111000100000010110001101111 < -b10011101110011011010001010110100 : -b101110101111010111011001000100 $ -b101110101111010111011001000100 - -b101110101111010111011001000100 5 -b101110101111010111011001000100 ? -b101110101111010111011001000100 D -b10010000111011111101001110010000 % -b10010000111011111101001110010000 . -b10010000111011111101001110010000 6 -b10010000111011111101001110010000 @ -b10010000111011111101001110010000 F -#153984000 -0& -#154000000 -b100101100110 , -#154016000 -1& -#154032000 -0! -b1 " -b1 4 -b11111011011111100110111101001101 0 -b11111011011111100110111101001101 H -b1 2 -b1 = -18 -b10000001000110000010111100011111 < -0# -b1111100100101101001111001101101 : -b11111011011111100110111101001101 $ -b11111011011111100110111101001101 - -b11111011011111100110111101001101 5 -b11111011011111100110111101001101 ? -b11111011011111100110111101001101 D -b1111110111001111101000011100000 % -b1111110111001111101000011100000 . -b1111110111001111101000011100000 6 -b1111110111001111101000011100000 @ -b1111110111001111101000011100000 F -b1 ) -b0 ( -#154048000 -0& -#154064000 -b100101100111 , -#154080000 -1& -#154096000 -1! -b0 " -b0 4 -b11101111111110111 0 -b11101111111110111 H -09 -b0 2 -b0 = -08 -b10101011001001010110010010110001 < -1# -b100011001000110011100000100001 : -b1110111111111011101001101101111 $ -b1110111111111011101001101101111 - -b1110111111111011101001101101111 5 -b1110111111111011101001101101111 ? -b1110111111111011101001101101111 D -b1010100110110101001101101001110 % -b1010100110110101001101101001110 . -b1010100110110101001101101001110 6 -b1010100110110101001101101001110 @ -b1010100110110101001101101001110 F -b0 ) -b1 ( -#154112000 -0& -#154128000 -b100101101000 , -#154144000 -1& -#154160000 -1! -b0 " -b0 4 -b100101111111101110111000 0 -b100101111111101110111000 H -b0 2 -b0 = -08 -b100010000000010111111011000 < -b1010000001111100000110000011111 : -b1001011111111011101110001000110 $ -b1001011111111011101110001000110 - -b1001011111111011101110001000110 5 -b1001011111111011101110001000110 ? -b1001011111111011101110001000110 D -b11111011101111111101000000100111 % -b11111011101111111101000000100111 . -b11111011101111111101000000100111 6 -b11111011101111111101000000100111 @ -b11111011101111111101000000100111 F -#154176000 -0& -#154192000 -b100101101001 , -#154208000 -1& -#154224000 -0! -b1 " -b1 4 -b11111111101110111011011001001100 0 -b11111111101110111011011001001100 H -19 -b1 2 -b1 = -18 -b10000100001100001010100010111001 < -0# -b1110011000111100011101111000111 : -b11101110111011011001001100001101 $ -b11101110111011011001001100001101 - -b11101110111011011001001100001101 5 -b11101110111011011001001100001101 ? -b11101110111011011001001100001101 D -b1111011110011110101011101000110 % -b1111011110011110101011101000110 . -b1111011110011110101011101000110 6 -b1111011110011110101011101000110 @ -b1111011110011110101011101000110 F -b1 ) -b0 ( -#154240000 -0& -#154256000 -b100101101010 , -#154272000 -1& -#154288000 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b10010000001000000001110100100010 < -b1010011000011111001100001100110 : -b11000010111011110111101101000011 $ -b11000010111011110111101101000011 - -b11000010111011110111101101000011 5 -b11000010111011110111101101000011 ? -b11000010111011110111101101000011 D -b1101111110111111110001011011101 % -b1101111110111111110001011011101 . -b1101111110111111110001011011101 6 -b1101111110111111110001011011101 @ -b1101111110111111110001011011101 F -#154304000 -0& -#154320000 -b100101101011 , -#154336000 -1& -#154352000 -0! -b1 " -b1 4 -b1001111001 0 -b1001111001 H -09 -b1 2 -b1 = -18 -b10010001101101101110000011001010 < -b11100000111001101000001111010011 : -b1001111001011111010001100001000 $ -b1001111001011111010001100001000 - -b1001111001011111010001100001000 5 -b1001111001011111010001100001000 ? -b1001111001011111010001100001000 D -b1101110010010010001111100110101 % -b1101110010010010001111100110101 . -b1101110010010010001111100110101 6 -b1101110010010010001111100110101 @ -b1101110010010010001111100110101 F -#154368000 -0& -#154384000 -b100101101100 , -#154400000 -1& -#154416000 -1! -b0 " -b0 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b0 2 -b0 = -08 -b1000010000110001011011011001001 < -1# -b111001111100100111010010010010 : -b11110111110110011011110111001000 $ -b11110111110110011011110111001000 - -b11110111110110011011110111001000 5 -b11110111110110011011110111001000 ? -b11110111110110011011110111001000 D -b10111101111001110100100100110110 % -b10111101111001110100100100110110 . -b10111101111001110100100100110110 6 -b10111101111001110100100100110110 @ -b10111101111001110100100100110110 F -b0 ) -b1 ( -#154432000 -0& -#154448000 -b100101101101 , -#154464000 -1& -#154480000 -b11111111111111101011100111011000 0 -b11111111111111101011100111011000 H -b1100001011100011010001111010010 < -b111000101011001011001100010000 : -b11010111001110110000111100111101 $ -b11010111001110110000111100111101 - -b11010111001110110000111100111101 5 -b11010111001110110000111100111101 ? -b11010111001110110000111100111101 D -b10011110100011100101110000101101 % -b10011110100011100101110000101101 . -b10011110100011100101110000101101 6 -b10011110100011100101110000101101 @ -b10011110100011100101110000101101 F -#154496000 -0& -#154512000 -b100101101110 , -#154528000 -1& -#154544000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b100001010000001000011110100000 < -b11000101111001110011110011101 : -b11110111011111000101111111111100 $ -b11110111011111000101111111111100 - -b11110111011111000101111111111100 5 -b11110111011111000101111111111100 ? -b11110111011111000101111111111100 D -b11011110101111110111100001011111 % -b11011110101111110111100001011111 . -b11011110101111110111100001011111 6 -b11011110101111110111100001011111 @ -b11011110101111110111100001011111 F -#154560000 -0& -#154576000 -b100101101111 , -#154592000 -1& -#154608000 -0! -b1 " -b1 4 -b11111111111111111111111110011111 0 -b11111111111111111111111110011111 H -b1 2 -b1 = -18 -19 -b10000100000000000011000101101010 < -0# -b1110111111101110001110110001100 : -b11110011111101101110110000100001 $ -b11110011111101101110110000100001 - -b11110011111101101110110000100001 5 -b11110011111101101110110000100001 ? -b11110011111101101110110000100001 D -b1111011111111111100111010010101 % -b1111011111111111100111010010101 . -b1111011111111111100111010010101 6 -b1111011111111111100111010010101 @ -b1111011111111111100111010010101 F -b1 ) -b0 ( -#154624000 -0& -#154640000 -b100101110000 , -#154656000 -1& -#154672000 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b10000100000000011010010010100101 < -b1111110101000010111000101011010 : -b11111010100111111100110010110100 $ -b11111010100111111100110010110100 - -b11111010100111111100110010110100 5 -b11111010100111111100110010110100 ? -b11111010100111111100110010110100 D -b1111011111111100101101101011010 % -b1111011111111100101101101011010 . -b1111011111111100101101101011010 6 -b1111011111111100101101101011010 @ -b1111011111111100101101101011010 F -#154688000 -0& -#154704000 -b100101110001 , -#154720000 -1& -#154736000 -b11111111111111110010110111100101 0 -b11111111111111110010110111100101 H -b10011100000001101010100100010001 < -b1100111011111111111110111001011 : -b11001011011110010101010010111001 $ -b11001011011110010101010010111001 - -b11001011011110010101010010111001 5 -b11001011011110010101010010111001 ? -b11001011011110010101010010111001 D -b1100011111110010101011011101110 % -b1100011111110010101011011101110 . -b1100011111110010101011011101110 6 -b1100011111110010101011011101110 @ -b1100011111110010101011011101110 F -#154752000 -0& -#154768000 -b100101110010 , -#154784000 -1& -#154800000 -1! -b0 " -b0 4 -b11001 0 -b11001 H -b0 2 -b0 = -08 -09 -b1100101000111110000101 < -1# -b1101000001100100000001011111010 : -b1100111111111110111001101110100 $ -b1100111111111110111001101110100 - -b1100111111111110111001101110100 5 -b1100111111111110111001101110100 ? -b1100111111111110111001101110100 D -b11111111110011010111000001111010 % -b11111111110011010111000001111010 . -b11111111110011010111000001111010 6 -b11111111110011010111000001111010 @ -b11111111110011010111000001111010 F -b0 ) -b1 ( -#154816000 -0& -#154832000 -b100101110011 , -#154848000 -1& -#154864000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -b10101000111010001111100111101100 < -0# -b10101000101001101010011101011111 : -b11111111101111011010110101110010 $ -b11111111101111011010110101110010 - -b11111111101111011010110101110010 5 -b11111111101111011010110101110010 ? -b11111111101111011010110101110010 D -b1010111000101110000011000010011 % -b1010111000101110000011000010011 . -b1010111000101110000011000010011 6 -b1010111000101110000011000010011 @ -b1010111000101110000011000010011 F -b1 ) -b0 ( -#154880000 -0& -#154896000 -b100101110100 , -#154912000 -1& -#154928000 -b11111111111111111111111110110111 0 -b11111111111111111111111110110111 H -b10000010001001010010011110101101 < -b10000001000001010001111100010100 : -b11111110110111111111011101100110 $ -b11111110110111111111011101100110 - -b11111110110111111111011101100110 5 -b11111110110111111111011101100110 ? -b11111110110111111111011101100110 D -b1111101110110101101100001010010 % -b1111101110110101101100001010010 . -b1111101110110101101100001010010 6 -b1111101110110101101100001010010 @ -b1111101110110101101100001010010 F -#154944000 -0& -#154960000 -b100101110101 , -#154976000 -1& -#154992000 -1! -b0 " -b0 4 -b110 0 -b110 H -b0 2 -b0 = -08 -09 -b10100110000010100010100001100011 < -1# -b10101111001101001000110101001 : -b1101111110111000110100101000101 $ -b1101111110111000110100101000101 - -b1101111110111000110100101000101 5 -b1101111110111000110100101000101 ? -b1101111110111000110100101000101 D -b1011001111101011101011110011100 % -b1011001111101011101011110011100 . -b1011001111101011101011110011100 6 -b1011001111101011101011110011100 @ -b1011001111101011101011110011100 F -b0 ) -b1 ( -#155008000 -0& -#155024000 -b100101110110 , -#155040000 -1& -#155056000 -0! -b1 " -b1 4 -b11010001111101111111111 0 -b11010001111101111111111 H -b1 2 -b1 = -18 -b10000001000000000000001100010111 < -0# -b11101001111111000000001010111111 : -b1101000111110111111111110100111 $ -b1101000111110111111111110100111 - -b1101000111110111111111110100111 5 -b1101000111110111111111110100111 ? -b1101000111110111111111110100111 D -b1111110111111111111110011101000 % -b1111110111111111111110011101000 . -b1111110111111111111110011101000 6 -b1111110111111111111110011101000 @ -b1111110111111111111110011101000 F -b1 ) -b0 ( -#155072000 -0& -#155088000 -b100101110111 , -#155104000 -1& -#155120000 -b11011110111 0 -b11011110111 H -b10000000000001001111011111001011 < -b11101111011111011111011111101011 : -b1101111011110010000000000011111 $ -b1101111011110010000000000011111 - -b1101111011110010000000000011111 5 -b1101111011110010000000000011111 ? -b1101111011110010000000000011111 D -b1111111111110110000100000110100 % -b1111111111110110000100000110100 . -b1111111111110110000100000110100 6 -b1111111111110110000100000110100 @ -b1111111111110110000100000110100 F -#155136000 -0& -#155152000 -b100101111000 , -#155168000 -1& -#155184000 -0! -b1 " -b1 4 -b11101111111101001111110011101001 0 -b11101111111101001111110011101001 H -b1 2 -b1 = -18 -b111000000001100100100111110 < -b11100110111010101100001100010010 : -b11011111111010011111100111010011 $ -b11011111111010011111100111010011 - -b11011111111010011111100111010011 5 -b11011111111010011111100111010011 ? -b11011111111010011111100111010011 D -b11111000111111110011011011000001 % -b11111000111111110011011011000001 . -b11111000111111110011011011000001 6 -b11111000111111110011011011000001 @ -b11111000111111110011011011000001 F -#155200000 -0& -#155216000 -b100101111001 , -#155232000 -1& -#155248000 -b11111111111111111111111100111111 0 -b11111111111111111111111100111111 H -b10101000000010000011101001101011 < -b10011011111111100010111010000000 : -b11110011111101011111010000010100 $ -b11110011111101011111010000010100 - -b11110011111101011111010000010100 5 -b11110011111101011111010000010100 ? -b11110011111101011111010000010100 D -b1010111111101111100010110010100 % -b1010111111101111100010110010100 . -b1010111111101111100010110010100 6 -b1010111111101111100010110010100 @ -b1010111111101111100010110010100 F -#155264000 -0& -#155280000 -b100101111010 , -#155296000 -1& -#155312000 -0! -b1 " -b1 4 -b11111111111111111111111111101010 0 -b11111111111111111111111111101010 H -b1 2 -b1 = -19 -18 -b10000001000000001011111010000101 < -b101011101111110111000100111010 : -b10101010101111101011001010110100 $ -b10101010101111101011001010110100 - -b10101010101111101011001010110100 5 -b10101010101111101011001010110100 ? -b10101010101111101011001010110100 D -b1111110111111110100000101111010 % -b1111110111111110100000101111010 . -b1111110111111110100000101111010 6 -b1111110111111110100000101111010 @ -b1111110111111110100000101111010 F -#155328000 -0& -#155344000 -b100101111011 , -#155360000 -1& -#155376000 -0! -b1 " -b1 4 -b11001111110111011101110000110110 0 -b11001111110111011101110000110110 H -b1 2 -b1 = -09 -18 -b10101101000010111000110011111 < -b11100101011111110100110111010110 : -b11001111110111011101110000110110 $ -b11001111110111011101110000110110 - -b11001111110111011101110000110110 5 -b11001111110111011101110000110110 ? -b11001111110111011101110000110110 D -b11101010010111101000111001100000 % -b11101010010111101000111001100000 . -b11101010010111101000111001100000 6 -b11101010010111101000111001100000 @ -b11101010010111101000111001100000 F -#155392000 -0& -#155408000 -b100101111100 , -#155424000 -1& -#155440000 -1! -b0 " -b0 4 -b1111111 0 -b1111111 H -b0 2 -b0 = -08 -b11001000001000001001100001100111 < -1# -b1001000001000000110111110011010 : -b1111111111111111101011100110010 $ -b1111111111111111101011100110010 - -b1111111111111111101011100110010 5 -b1111111111111111101011100110010 ? -b1111111111111111101011100110010 D -b110111110111110110011110011000 % -b110111110111110110011110011000 . -b110111110111110110011110011000 6 -b110111110111110110011110011000 @ -b110111110111110110011110011000 F -b0 ) -b1 ( -#155456000 -0& -#155472000 -b100101111101 , -#155488000 -1& -#155504000 -b11011111111011000001100000 0 -b11011111111011000001100000 H -b11011010000000001001001001011010 < -b1001001111101101001111001101011 : -b1101111111101100000110000010000 $ -b1101111111101100000110000010000 - -b1101111111101100000110000010000 5 -b1101111111101100000110000010000 ? -b1101111111101100000110000010000 D -b100101111111110110110110100101 % -b100101111111110110110110100101 . -b100101111111110110110110100101 6 -b100101111111110110110110100101 @ -b100101111111110110110110100101 F -#155520000 -0& -#155536000 -b100101111110 , -#155552000 -1& -#155568000 -b111111111101 0 -b111111111101 H -b10000000011111010101100011001100 < -b11010010100010100010100 : -b1111111111010111110110001000111 $ -b1111111111010111110110001000111 - -b1111111111010111110110001000111 5 -b1111111111010111110110001000111 ? -b1111111111010111110110001000111 D -b1111111100000101010011100110011 % -b1111111100000101010011100110011 . -b1111111100000101010011100110011 6 -b1111111100000101010011100110011 @ -b1111111100000101010011100110011 F -#155584000 -0& -#155600000 -b100101111111 , -#155616000 -1& -#155632000 -1! -b0 " -b0 4 -b111111000101111000001101 0 -b111111000101111000001101 H -19 -b0 2 -b0 = -08 -b11010101001000000100100011000 < -b10011000110100110000111110110011 : -b1111110001011110000011010011010 $ -b1111110001011110000011010011010 - -b1111110001011110000011010011010 5 -b1111110001011110000011010011010 ? -b1111110001011110000011010011010 D -b11100101010110111111011011100111 % -b11100101010110111111011011100111 . -b11100101010110111111011011100111 6 -b11100101010110111111011011100111 @ -b11100101010110111111011011100111 F -#155648000 -0& -#155664000 -b100110000000 , -#155680000 -1& -#155696000 -0! -b1 " -b1 4 -b11111111111111111111101101011101 0 -b11111111111111111111101101011101 H -09 -b1 2 -b1 = -18 -b110110000010100001101111 < -0# -b11111100001101011001000100110111 : -b11111011010111010110100011000111 $ -b11111011010111010110100011000111 - -b11111011010111010110100011000111 5 -b11111011010111010110100011000111 ? -b11111011010111010110100011000111 D -b11111111001001111101011110010000 % -b11111111001001111101011110010000 . -b11111111001001111101011110010000 6 -b11111111001001111101011110010000 @ -b11111111001001111101011110010000 F -b1 ) -b0 ( -#155712000 -0& -#155728000 -b100110000001 , -#155744000 -1& -#155760000 -1! -b0 " -b0 4 -b101111 0 -b101111 H -b0 2 -b0 = -08 -b11000000001000101111110100110 < -1# -b1111000000001000000100100010110 : -b1011111111111111010100101101111 $ -b1011111111111111010100101101111 - -b1011111111111111010100101101111 5 -b1011111111111111010100101101111 ? -b1011111111111111010100101101111 D -b11100111111110111010000001011001 % -b11100111111110111010000001011001 . -b11100111111110111010000001011001 6 -b11100111111110111010000001011001 @ -b11100111111110111010000001011001 F -b0 ) -b1 ( -#155776000 -0& -#155792000 -b100110000010 , -#155808000 -1& -#155824000 -1! -b0 " -b0 4 -b1111111110111111110 0 -b1111111110111111110 H -b0 2 -b0 = -19 -08 -b1000001110100000111010001110011 < -b11000001101100000101110101000011 : -b1111111110111111110100011001111 $ -b1111111110111111110100011001111 - -b1111111110111111110100011001111 5 -b1111111110111111110100011001111 ? -b1111111110111111110100011001111 D -b10111110001011111000101110001100 % -b10111110001011111000101110001100 . -b10111110001011111000101110001100 6 -b10111110001011111000101110001100 @ -b10111110001011111000101110001100 F -#155840000 -0& -#155856000 -b100110000011 , -#155872000 -1& -#155888000 -0! -b1 " -b1 4 -b11111111111111111111111111101010 0 -b11111111111111111111111111101010 H -b1 2 -b1 = -18 -09 -b1010100000000001100110001100101 < -0# -b11111110111000000010101101010001 : -b10101010110111110101111011101011 $ -b10101010110111110101111011101011 - -b10101010110111110101111011101011 5 -b10101010110111110101111011101011 ? -b10101010110111110101111011101011 D -b10101011111111110011001110011010 % -b10101011111111110011001110011010 . -b10101011111111110011001110011010 6 -b10101011111111110011001110011010 @ -b10101011111111110011001110011010 F -b1 ) -b0 ( -#155904000 -0& -#155920000 -b100110000100 , -#155936000 -1& -#155952000 -0! -b1 " -b1 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -b1 2 -b1 = -18 -b10111110000001000101000110101000 < -b10011101111000010100001000010001 : -b11011111110111001111000001101000 $ -b11011111110111001111000001101000 - -b11011111110111001111000001101000 5 -b11011111110111001111000001101000 ? -b11011111110111001111000001101000 D -b1000001111110111010111001010111 % -b1000001111110111010111001010111 . -b1000001111110111010111001010111 6 -b1000001111110111010111001010111 @ -b1000001111110111010111001010111 F -#155968000 -0& -#155984000 -b100110000101 , -#156000000 -1& -#156016000 -b11111110011000001111100101101110 0 -b11111110011000001111100101101110 H -b11011001010100001011010100111100 < -b11001100010110001000000010110000 : -b11110011000001111100101101110011 $ -b11110011000001111100101101110011 - -b11110011000001111100101101110011 5 -b11110011000001111100101101110011 ? -b11110011000001111100101101110011 D -b100110101011110100101011000011 % -b100110101011110100101011000011 . -b100110101011110100101011000011 6 -b100110101011110100101011000011 @ -b100110101011110100101011000011 F -#156032000 -0& -#156048000 -b100110000110 , -#156064000 -1& -#156080000 -1! -b0 " -b0 4 -b10110 0 -b10110 H -b0 2 -b0 = -08 -b11100011000000101011110111000101 < -1# -b111110110110011100111010011011 : -b1011011110101110001000011010101 $ -b1011011110101110001000011010101 - -b1011011110101110001000011010101 5 -b1011011110101110001000011010101 ? -b1011011110101110001000011010101 D -b11100111111010100001000111010 % -b11100111111010100001000111010 . -b11100111111010100001000111010 6 -b11100111111010100001000111010 @ -b11100111111010100001000111010 F -b0 ) -b1 ( -#156096000 -0& -#156112000 -b100110000111 , -#156128000 -1& -#156144000 -1! -b0 " -b0 4 -b11111111111111110111100101010011 0 -b11111111111111110111100101010011 H -b0 2 -b0 = -08 -b1011001000000001010011110011000 < -b1011000101111010101000100111110 : -b11111111101111001010100110100101 $ -b11111111101111001010100110100101 - -b11111111101111001010100110100101 5 -b11111111101111001010100110100101 ? -b11111111101111001010100110100101 D -b10100110111111110101100001100111 % -b10100110111111110101100001100111 . -b10100110111111110101100001100111 6 -b10100110111111110101100001100111 @ -b10100110111111110101100001100111 F -#156160000 -0& -#156176000 -b100110001000 , -#156192000 -1& -#156208000 -0! -b1 " -b1 4 -b11111111111111111101110001010100 0 -b11111111111111111101110001010100 H -b1 2 -b1 = -18 -b10000001100101000011100010011001 < -0# -b10000001100010110100110111010010 : -b11111111111101110001010100111000 $ -b11111111111101110001010100111000 - -b11111111111101110001010100111000 5 -b11111111111101110001010100111000 ? -b11111111111101110001010100111000 D -b1111110011010111100011101100110 % -b1111110011010111100011101100110 . -b1111110011010111100011101100110 6 -b1111110011010111100011101100110 @ -b1111110011010111100011101100110 F -b1 ) -b0 ( -#156224000 -0& -#156240000 -b100110001001 , -#156256000 -1& -#156272000 -1! -b0 " -b0 4 -b11111101111111011011 0 -b11111101111111011011 H -b0 2 -b0 = -08 -09 -b101100000111001011000110010101 < -1# -b1101011100111000001111000010000 : -b111111011111110110110001111010 $ -b111111011111110110110001111010 - -b111111011111110110110001111010 5 -b111111011111110110110001111010 ? -b111111011111110110110001111010 D -b11010011111000110100111001101010 % -b11010011111000110100111001101010 . -b11010011111000110100111001101010 6 -b11010011111000110100111001101010 @ -b11010011111000110100111001101010 F -b0 ) -b1 ( -#156288000 -0& -#156304000 -b100110001010 , -#156320000 -1& -#156336000 -0! -b1 " -b1 4 -b1101011110101101001101100001 0 -b1101011110101101001101100001 H -b1 2 -b1 = -18 -b11000000100000100010000100011110 < -0# -b11011011011101111100011111100001 : -b11010111101011010011011000010 $ -b11010111101011010011011000010 - -b11010111101011010011011000010 5 -b11010111101011010011011000010 ? -b11010111101011010011011000010 D -b111111011111011101111011100001 % -b111111011111011101111011100001 . -b111111011111011101111011100001 6 -b111111011111011101111011100001 @ -b111111011111011101111011100001 F -b1 ) -b0 ( -#156352000 -0& -#156368000 -b100110001011 , -#156384000 -1& -#156400000 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b10010010000011010110101000011 < -b11111110001110001110111001110100 : -b11101011111101110100000100110000 $ -b11101011111101110100000100110000 - -b11101011111101110100000100110000 5 -b11101011111101110100000100110000 ? -b11101011111101110100000100110000 D -b11101101101111100101001010111100 % -b11101101101111100101001010111100 . -b11101101101111100101001010111100 6 -b11101101101111100101001010111100 @ -b11101101101111100101001010111100 F -#156416000 -0& -#156432000 -b100110001100 , -#156448000 -1& -#156464000 -1! -b0 " -b0 4 -b11111111111111011111011010011101 0 -b11111111111111011111011010011101 H -b0 2 -b0 = -08 -b100101000010110011010001010011 < -1# -b100011101010000111111111010 : -b11011111011010011101101110100110 $ -b11011111011010011101101110100110 - -b11011111011010011101101110100110 5 -b11011111011010011101101110100110 ? -b11011111011010011101101110100110 D -b11011010111101001100101110101100 % -b11011010111101001100101110101100 . -b11011010111101001100101110101100 6 -b11011010111101001100101110101100 @ -b11011010111101001100101110101100 F -b0 ) -b1 ( -#156480000 -0& -#156496000 -b100110001101 , -#156512000 -1& -#156528000 -0! -b1 " -b1 4 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -b1 2 -b1 = -18 -19 -b10000000001010000010101010101000 < -0# -b1111000000010000010000110110101 : -b11110111110111111111011100001100 $ -b11110111110111111111011100001100 - -b11110111110111111111011100001100 5 -b11110111110111111111011100001100 ? -b11110111110111111111011100001100 D -b1111111110101111101010101010111 % -b1111111110101111101010101010111 . -b1111111110101111101010101010111 6 -b1111111110101111101010101010111 @ -b1111111110101111101010101010111 F -b1 ) -b0 ( -#156544000 -0& -#156560000 -b100110001110 , -#156576000 -1& -#156592000 -1! -b0 " -b0 4 -b110011 0 -b110011 H -09 -b0 2 -b0 = -08 -b1111101011100110 < -1# -b1100111111111110001001111111101 : -b1100111111111100001100100010110 $ -b1100111111111100001100100010110 - -b1100111111111100001100100010110 5 -b1100111111111100001100100010110 ? -b1100111111111100001100100010110 D -b11111111111111110000010100011001 % -b11111111111111110000010100011001 . -b11111111111111110000010100011001 6 -b11111111111111110000010100011001 @ -b11111111111111110000010100011001 F -b0 ) -b1 ( -#156608000 -0& -#156624000 -b100110001111 , -#156640000 -1& -#156656000 -0! -b1 " -b1 4 -b11111111111111111111111111110001 0 -b11111111111111111111111111110001 H -b1 2 -b1 = -18 -b100001011111000000111 < -0# -b11110001101010001000100010101100 : -b11110001100101111100101010100100 $ -b11110001100101111100101010100100 - -b11110001100101111100101010100100 5 -b11110001100101111100101010100100 ? -b11110001100101111100101010100100 D -b11111111111011110100000111111000 % -b11111111111011110100000111111000 . -b11111111111011110100000111111000 6 -b11111111111011110100000111111000 @ -b11111111111011110100000111111000 F -b1 ) -b0 ( -#156672000 -0& -#156688000 -b100110010000 , -#156704000 -1& -#156720000 -1! -b0 " -b0 4 -b11111111 0 -b11111111 H -19 -b0 2 -b0 = -08 -b11010000000111100001001000 < -1# -b10000010111111111011111111001111 : -b1111111101111110100011110000110 $ -b1111111101111110100011110000110 - -b1111111101111110100011110000110 5 -b1111111101111110100011110000110 ? -b1111111101111110100011110000110 D -b11111100101111111000011110110111 % -b11111100101111111000011110110111 . -b11111100101111111000011110110111 6 -b11111100101111111000011110110111 @ -b11111100101111111000011110110111 F -b0 ) -b1 ( -#156736000 -0& -#156752000 -b100110010001 , -#156768000 -1& -#156784000 -1! -b0 " -b0 4 -b1101101111110001011 0 -b1101101111110001011 H -b0 2 -b0 = -09 -08 -b100001111001111010110101110101 < -b111101011001011101110011011100 : -b11011011111100010111101100110 $ -b11011011111100010111101100110 - -b11011011111100010111101100110 5 -b11011011111100010111101100110 ? -b11011011111100010111101100110 D -b11011110000110000101001010001010 % -b11011110000110000101001010001010 . -b11011110000110000101001010001010 6 -b11011110000110000101001010001010 @ -b11011110000110000101001010001010 F -#156800000 -0& -#156816000 -b100110010010 , -#156832000 -1& -#156848000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -09 -b11001100101010000011001100001011 < -0# -b11001100001000110101100010111011 : -b11111111011110110010010110101111 $ -b11111111011110110010010110101111 - -b11111111011110110010010110101111 5 -b11111111011110110010010110101111 ? -b11111111011110110010010110101111 D -b110011010101111100110011110100 % -b110011010101111100110011110100 . -b110011010101111100110011110100 6 -b110011010101111100110011110100 @ -b110011010101111100110011110100 F -b1 ) -b0 ( -#156864000 -0& -#156880000 -b100110010011 , -#156896000 -1& -#156912000 -0! -b1 " -b1 4 -b11111111111111111111101011101111 0 -b11111111111111111111101011101111 H -b1 2 -b1 = -19 -18 -b10000001001100000010000111001011 < -b110000001011011001100010011111 : -b10101110111111010111011011010011 $ -b10101110111111010111011011010011 - -b10101110111111010111011011010011 5 -b10101110111111010111011011010011 ? -b10101110111111010111011011010011 D -b1111110110011111101111000110100 % -b1111110110011111101111000110100 . -b1111110110011111101111000110100 6 -b1111110110011111101111000110100 @ -b1111110110011111101111000110100 F -#156928000 -0& -#156944000 -b100110010100 , -#156960000 -1& -#156976000 -1! -b0 " -b0 4 -b11 0 -b11 H -09 -b0 2 -b0 = -08 -b10111000100000000100011111100010 < -1# -b101100011100100110101100110010 : -b1110011111100100010001101001111 $ -b1110011111100100010001101001111 - -b1110011111100100010001101001111 5 -b1110011111100100010001101001111 ? -b1110011111100100010001101001111 D -b1000111011111111011100000011101 % -b1000111011111111011100000011101 . -b1000111011111111011100000011101 6 -b1000111011111111011100000011101 @ -b1000111011111111011100000011101 F -b0 ) -b1 ( -#156992000 -0& -#157008000 -b100110010101 , -#157024000 -1& -#157040000 -b1111011100111110110101000011001 0 -b1111011100111110110101000011001 H -b11000000101100111111111111 < -b1111110101000100011101000011001 : -b1111011100111110110101000011001 $ -b1111011100111110110101000011001 - -b1111011100111110110101000011001 5 -b1111011100111110110101000011001 ? -b1111011100111110110101000011001 D -b11111100111111010011000000000000 % -b11111100111111010011000000000000 . -b11111100111111010011000000000000 6 -b11111100111111010011000000000000 @ -b11111100111111010011000000000000 F -#157056000 -0& -#157072000 -b100110010110 , -#157088000 -1& -#157104000 -1! -b0 " -b0 4 -b111111111111011 0 -b111111111111011 H -b0 2 -b0 = -09 -08 -b10010100010000011010101101101111 < -b10100001111001110011100011010 : -b1111111111110110011101110101010 $ -b1111111111110110011101110101010 - -b1111111111110110011101110101010 5 -b1111111111110110011101110101010 ? -b1111111111110110011101110101010 D -b1101011101111100101010010010000 % -b1101011101111100101010010010000 . -b1101011101111100101010010010000 6 -b1101011101111100101010010010000 @ -b1101011101111100101010010010000 F -#157120000 -0& -#157136000 -b100110010111 , -#157152000 -1& -#157168000 -1! -b0 " -b0 4 -b11111111111111111111101101111100 0 -b11111111111111111111101101111100 H -b0 2 -b0 = -08 -b1000000110000110110100111110000 < -b111110100000011000111001010110 : -b11111101101111100010010001100101 $ -b11111101101111100010010001100101 - -b11111101101111100010010001100101 5 -b11111101101111100010010001100101 ? -b11111101101111100010010001100101 D -b10111111001111001001011000001111 % -b10111111001111001001011000001111 . -b10111111001111001001011000001111 6 -b10111111001111001001011000001111 @ -b10111111001111001001011000001111 F -#157184000 -0& -#157200000 -b100110011000 , -#157216000 -1& -#157232000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10001010000000000001011010100100 < -0# -b10000100111101110010110100000010 : -b11111010111101110001011001011101 $ -b11111010111101110001011001011101 - -b11111010111101110001011001011101 5 -b11111010111101110001011001011101 ? -b11111010111101110001011001011101 D -b1110101111111111110100101011011 % -b1110101111111111110100101011011 . -b1110101111111111110100101011011 6 -b1110101111111111110100101011011 @ -b1110101111111111110100101011011 F -b1 ) -b0 ( -#157248000 -0& -#157264000 -b100110011001 , -#157280000 -1& -#157296000 -1! -b0 " -b0 4 -b1111000110110101100100001 0 -b1111000110110101100100001 H -b0 2 -b0 = -08 -b10001010100101100001010001111001 < -1# -b11011100001101110011100010 : -b1111000110110101100100001101000 $ -b1111000110110101100100001101000 - -b1111000110110101100100001101000 5 -b1111000110110101100100001101000 ? -b1111000110110101100100001101000 D -b1110101011010011110101110000110 % -b1110101011010011110101110000110 . -b1110101011010011110101110000110 6 -b1110101011010011110101110000110 @ -b1110101011010011110101110000110 F -b0 ) -b1 ( -#157312000 -0& -#157328000 -b100110011010 , -#157344000 -1& -#157360000 -0! -b1 " -b1 4 -b11111101111111110101000000111010 0 -b11111101111111110101000000111010 H -19 -b1 2 -b1 = -18 -b10000000100100100011000110111111 < -0# -b1111110100100011000000111111010 : -b11111101111111110101000000111010 $ -b11111101111111110101000000111010 - -b11111101111111110101000000111010 5 -b11111101111111110101000000111010 ? -b11111101111111110101000000111010 D -b1111111011011011100111001000000 % -b1111111011011011100111001000000 . -b1111111011011011100111001000000 6 -b1111111011011011100111001000000 @ -b1111111011011011100111001000000 F -b1 ) -b0 ( -#157376000 -0& -#157392000 -b100110011011 , -#157408000 -1& -#157424000 -0! -b1 " -b1 4 -b11111100 0 -b11111100 H -09 -b1 2 -b1 = -18 -b10000000000011001010101000101010 < -b10011111100111011110111000100110 : -b11111100100010100001111111011 $ -b11111100100010100001111111011 - -b11111100100010100001111111011 5 -b11111100100010100001111111011 ? -b11111100100010100001111111011 D -b1111111111100110101010111010101 % -b1111111111100110101010111010101 . -b1111111111100110101010111010101 6 -b1111111111100110101010111010101 @ -b1111111111100110101010111010101 F -#157440000 -0& -#157456000 -b100110011100 , -#157472000 -1& -#157488000 -1! -b0 " -b0 4 -b1011110111100101001111000111001 0 -b1011110111100101001111000111001 H -b0 2 -b0 = -08 -b10010000101001111111111 < -1# -b1011111001110101111001000111001 : -b1011110111100101001111000111001 $ -b1011110111100101001111000111001 - -b1011110111100101001111000111001 5 -b1011110111100101001111000111001 ? -b1011110111100101001111000111001 D -b11111111101101111010110000000000 % -b11111111101101111010110000000000 . -b11111111101101111010110000000000 6 -b11111111101101111010110000000000 @ -b11111111101101111010110000000000 F -b0 ) -b1 ( -#157504000 -0& -#157520000 -b100110011101 , -#157536000 -1& -#157552000 -1! -b0 " -b0 4 -b11111111110 0 -b11111111110 H -b0 2 -b0 = -19 -08 -b1001000000010010101000010101011 < -b11000111111110001010111001010011 : -b1111111111011110101110110100111 $ -b1111111111011110101110110100111 - -b1111111111011110101110110100111 5 -b1111111111011110101110110100111 ? -b1111111111011110101110110100111 D -b10110111111101101010111101010100 % -b10110111111101101010111101010100 . -b10110111111101101010111101010100 6 -b10110111111101101010111101010100 @ -b10110111111101101010111101010100 F -#157568000 -0& -#157584000 -b100110011110 , -#157600000 -1& -#157616000 -0! -b1 " -b1 4 -b11111111111010111111101111010100 0 -b11111111111010111111101111010100 H -b1 2 -b1 = -18 -b10000000000001001011011100010110 < -0# -b1010111111111000101111101011000 : -b11010111111101111010100001000001 $ -b11010111111101111010100001000001 - -b11010111111101111010100001000001 5 -b11010111111101111010100001000001 ? -b11010111111101111010100001000001 D -b1111111111110110100100011101001 % -b1111111111110110100100011101001 . -b1111111111110110100100011101001 6 -b1111111111110110100100011101001 @ -b1111111111110110100100011101001 F -b1 ) -b0 ( -#157632000 -0& -#157648000 -b100110011111 , -#157664000 -1& -#157680000 -1! -b0 " -b0 4 -b11111111111111101110011101000010 0 -b11111111111111101110011101000010 H -b0 2 -b0 = -08 -09 -b1100000010000000101000101010100 < -1# -b1010111011110100110001111000001 : -b11110111001110100001001001101100 $ -b11110111001110100001001001101100 - -b11110111001110100001001001101100 5 -b11110111001110100001001001101100 ? -b11110111001110100001001001101100 D -b10011111101111111010111010101011 % -b10011111101111111010111010101011 . -b10011111101111111010111010101011 6 -b10011111101111111010111010101011 @ -b10011111101111111010111010101011 F -b0 ) -b1 ( -#157696000 -0& -#157712000 -b100110100000 , -#157728000 -1& -#157744000 -1! -b0 " -b0 4 -b11011111111111000010111 0 -b11011111111111000010111 H -b0 2 -b0 = -08 -b1000000010011000011110110111 < -b1111000000001111001111011011110 : -b1101111111111100001011100100110 $ -b1101111111111100001011100100110 - -b1101111111111100001011100100110 5 -b1101111111111100001011100100110 ? -b1101111111111100001011100100110 D -b11110111111101100111100001001000 % -b11110111111101100111100001001000 . -b11110111111101100111100001001000 6 -b11110111111101100111100001001000 @ -b11110111111101100111100001001000 F -#157760000 -0& -#157776000 -b100110100001 , -#157792000 -1& -#157808000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1000100000010000101101111000010 < -b110011001101111001110010000001 : -b11101111001011110100000010111110 $ -b11101111001011110100000010111110 - -b11101111001011110100000010111110 5 -b11101111001011110100000010111110 ? -b11101111001011110100000010111110 D -b10111011111101111010010000111101 % -b10111011111101111010010000111101 . -b10111011111101111010010000111101 6 -b10111011111101111010010000111101 @ -b10111011111101111010010000111101 F -#157824000 -0& -#157840000 -b100110100010 , -#157856000 -1& -#157872000 -0! -b1 " -b1 4 -b11111111111111110100111101111110 0 -b11111111111111110100111101111110 H -19 -b1 2 -b1 = -18 -b10000000000101110111000010010000 < -0# -b100111110101101101000001000101 : -b10100111101111110101111110110100 $ -b10100111101111110101111110110100 - -b10100111101111110101111110110100 5 -b10100111101111110101111110110100 ? -b10100111101111110101111110110100 D -b1111111111010001000111101101111 % -b1111111111010001000111101101111 . -b1111111111010001000111101101111 6 -b1111111111010001000111101101111 @ -b1111111111010001000111101101111 F -b1 ) -b0 ( -#157888000 -0& -#157904000 -b100110100011 , -#157920000 -1& -#157936000 -0! -b1 " -b1 4 -b11111111111111111111100111110000 0 -b11111111111111111111100111110000 H -b1 2 -b1 = -09 -18 -b10111000000000001011100001110010 < -b10110111001111101100000110000111 : -b11111111001111100000100100010100 $ -b11111111001111100000100100010100 - -b11111111001111100000100100010100 5 -b11111111001111100000100100010100 ? -b11111111001111100000100100010100 D -b1000111111111110100011110001101 % -b1000111111111110100011110001101 . -b1000111111111110100011110001101 6 -b1000111111111110100011110001101 @ -b1000111111111110100011110001101 F -#157952000 -0& -#157968000 -b100110100100 , -#157984000 -1& -#158000000 -b11111111111111111111110111111011 0 -b11111111111111111111110111111011 H -b10100010010100101111100011001111 < -b10100000010011100101011010000101 : -b11111101111110110101110110110101 $ -b11111101111110110101110110110101 - -b11111101111110110101110110110101 5 -b11111101111110110101110110110101 ? -b11111101111110110101110110110101 D -b1011101101011010000011100110000 % -b1011101101011010000011100110000 . -b1011101101011010000011100110000 6 -b1011101101011010000011100110000 @ -b1011101101011010000011100110000 F -#158016000 -0& -#158032000 -b100110100101 , -#158048000 -1& -#158064000 -b11111111111101111111110001111011 0 -b11111111111101111111110001111011 H -b100001010100100011011010 < -b11111111100001001101100001000101 : -b11111110111111111000111101101010 $ -b11111110111111111000111101101010 - -b11111110111111111000111101101010 5 -b11111110111111111000111101101010 ? -b11111110111111111000111101101010 D -b11111111011110101011011100100101 % -b11111111011110101011011100100101 . -b11111111011110101011011100100101 6 -b11111111011110101011011100100101 @ -b11111111011110101011011100100101 F -#158080000 -0& -#158096000 -b100110100110 , -#158112000 -1& -#158128000 -0! -b1 " -b1 4 -b11110111110111101111110101110 0 -b11110111110111101111110101110 H -b1 2 -b1 = -18 -b10000110100100001010000011111110 < -b11000100100010000110000001011011 : -b111101111101111011111101011100 $ -b111101111101111011111101011100 - -b111101111101111011111101011100 5 -b111101111101111011111101011100 ? -b111101111101111011111101011100 D -b1111001011011110101111100000001 % -b1111001011011110101111100000001 . -b1111001011011110101111100000001 6 -b1111001011011110101111100000001 @ -b1111001011011110101111100000001 F -#158144000 -0& -#158160000 -b100110100111 , -#158176000 -1& -#158192000 -1! -b0 " -b0 4 -b10101111111101101001011010110 0 -b10101111111101101001011010110 H -b0 2 -b0 = -08 -b100101110100110000010001011101 < -1# -b1111101110011100100111110110111 : -b1010111111110110100101101011001 $ -b1010111111110110100101101011001 - -b1010111111110110100101101011001 5 -b1010111111110110100101101011001 ? -b1010111111110110100101101011001 D -b11011010001011001111101110100010 % -b11011010001011001111101110100010 . -b11011010001011001111101110100010 6 -b11011010001011001111101110100010 @ -b11011010001011001111101110100010 F -b0 ) -b1 ( -#158208000 -0& -#158224000 -b100110101000 , -#158240000 -1& -#158256000 -1! -b0 " -b0 4 -b1110110011111000111111 0 -b1110110011111000111111 H -b0 2 -b0 = -09 -08 -b10010101000000011000110011110110 < -b1011011111100000110001111101 : -b1110110011111000111111110000110 $ -b1110110011111000111111110000110 - -b1110110011111000111111110000110 5 -b1110110011111000111111110000110 ? -b1110110011111000111111110000110 D -b1101010111111100111001100001001 % -b1101010111111100111001100001001 . -b1101010111111100111001100001001 6 -b1101010111111100111001100001001 @ -b1101010111111100111001100001001 F -#158272000 -0& -#158288000 -b100110101001 , -#158304000 -1& -#158320000 -0! -b1 " -b1 4 -b11111111111111101111100111110100 0 -b11111111111111101111100111110100 H -b1 2 -b1 = -18 -b10000100100100000100101011010110 < -0# -b10000010100001000011001100010100 : -b11111101111100111110100000111101 $ -b11111101111100111110100000111101 - -b11111101111100111110100000111101 5 -b11111101111100111110100000111101 ? -b11111101111100111110100000111101 D -b1111011011011111011010100101001 % -b1111011011011111011010100101001 . -b1111011011011111011010100101001 6 -b1111011011011111011010100101001 @ -b1111011011011111011010100101001 F -b1 ) -b0 ( -#158336000 -0& -#158352000 -b100110101010 , -#158368000 -1& -#158384000 -0! -b1 " -b1 4 -b11111111111111111110111011111110 0 -b11111111111111111110111011111110 H -b1 2 -b1 = -09 -18 -b1010000001111010101101110 < -b11011111001111101100110001111101 : -b11011101111111011101011100001110 $ -b11011101111111011101011100001110 - -b11011101111111011101011100001110 5 -b11011101111111011101011100001110 ? -b11011101111111011101011100001110 D -b11111110101111110000101010010001 % -b11111110101111110000101010010001 . -b11111110101111110000101010010001 6 -b11111110101111110000101010010001 @ -b11111110101111110000101010010001 F -#158400000 -0& -#158416000 -b100110101011 , -#158432000 -1& -#158448000 -b11111111111111111111111111101011 0 -b11111111111111111111111111101011 H -b11011001100111010000001101101011 < -b11011000010110000100010111010001 : -b11111110101110110100001001100101 $ -b11111110101110110100001001100101 - -b11111110101110110100001001100101 5 -b11111110101110110100001001100101 ? -b11111110101110110100001001100101 D -b100110011000101111110010010100 % -b100110011000101111110010010100 . -b100110011000101111110010010100 6 -b100110011000101111110010010100 @ -b100110011000101111110010010100 F -#158464000 -0& -#158480000 -b100110101100 , -#158496000 -1& -#158512000 -1! -b0 " -b0 4 -b1011010110 0 -b1011010110 H -b0 2 -b0 = -08 -b10101001000001001100010101010 < -1# -b1101111111110101111111001101000 : -b1011010110110100110010110111101 $ -b1011010110110100110010110111101 - -b1011010110110100110010110111101 5 -b1011010110110100110010110111101 ? -b1011010110110100110010110111101 D -b11101010110111110110011101010101 % -b11101010110111110110011101010101 . -b11101010110111110110011101010101 6 -b11101010110111110110011101010101 @ -b11101010110111110110011101010101 F -b0 ) -b1 ( -#158528000 -0& -#158544000 -b100110101101 , -#158560000 -1& -#158576000 -1! -b0 " -b0 4 -b111110111111 0 -b111110111111 H -b0 2 -b0 = -19 -08 -b111010000000010000000111001100 < -b10111000000000000011101110101100 : -b1111101111111110011100111011111 $ -b1111101111111110011100111011111 - -b1111101111111110011100111011111 5 -b1111101111111110011100111011111 ? -b1111101111111110011100111011111 D -b11000101111111101111111000110011 % -b11000101111111101111111000110011 . -b11000101111111101111111000110011 6 -b11000101111111101111111000110011 @ -b11000101111111101111111000110011 F -#158592000 -0& -#158608000 -b100110101110 , -#158624000 -1& -#158640000 -1! -b0 " -b0 4 -b11111111111111111111111100011001 0 -b11111111111111111111111100011001 H -09 -b0 2 -b0 = -08 -b1000110000000100000001111010110 < -b1000110000000000011011100100010 : -b11111111111111100011001101001011 $ -b11111111111111100011001101001011 - -b11111111111111100011001101001011 5 -b11111111111111100011001101001011 ? -b11111111111111100011001101001011 D -b10111001111111011111110000101001 % -b10111001111111011111110000101001 . -b10111001111111011111110000101001 6 -b10111001111111011111110000101001 @ -b10111001111111011111110000101001 F -#158656000 -0& -#158672000 -b100110101111 , -#158688000 -1& -#158704000 -0! -b1 " -b1 4 -b11111111111111101101100010111111 0 -b11111111111111101101100010111111 H -b1 2 -b1 = -18 -b10101100010001000010100000111010 < -0# -b10101100000111110100000000110000 : -b11111111110110110001011111110101 $ -b11111111110110110001011111110101 - -b11111111110110110001011111110101 5 -b11111111110110110001011111110101 ? -b11111111110110110001011111110101 D -b1010011101110111101011111000101 % -b1010011101110111101011111000101 . -b1010011101110111101011111000101 6 -b1010011101110111101011111000101 @ -b1010011101110111101011111000101 F -b1 ) -b0 ( -#158720000 -0& -#158736000 -b100110110000 , -#158752000 -1& -#158768000 -0! -b1 " -b1 4 -b11111111111111111111111101000001 0 -b11111111111111111111111101000001 H -b1 2 -b1 = -19 -18 -b10001000100000000100011000001010 < -b1110000101111101000011111001010 : -b11101000001111100100000110111111 $ -b11101000001111100100000110111111 - -b11101000001111100100000110111111 5 -b11101000001111100100000110111111 ? -b11101000001111100100000110111111 D -b1110111011111111011100111110101 % -b1110111011111111011100111110101 . -b1110111011111111011100111110101 6 -b1110111011111111011100111110101 @ -b1110111011111111011100111110101 F -#158784000 -0& -#158800000 -b100110110001 , -#158816000 -1& -#158832000 -1! -b0 " -b0 4 -b11111111110100001111000001000011 0 -b11111111110100001111000001000011 H -b0 2 -b0 = -09 -08 -b10001100000010100101011111 < -1# -b10000000010001100110100011 : -b11111111110100001111000001000011 $ -b11111111110100001111000001000011 - -b11111111110100001111000001000011 5 -b11111111110100001111000001000011 ? -b11111111110100001111000001000011 D -b11111101110011111101011010100000 % -b11111101110011111101011010100000 . -b11111101110011111101011010100000 6 -b11111101110011111101011010100000 @ -b11111101110011111101011010100000 F -b0 ) -b1 ( -#158848000 -0& -#158864000 -b100110110010 , -#158880000 -1& -#158896000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b100110010010001000011101100000 < -0# -b11100110001101000101111100011101 : -b10111111111010111101011110111100 $ -b10111111111010111101011110111100 - -b10111111111010111101011110111100 5 -b10111111111010111101011110111100 ? -b10111111111010111101011110111100 D -b11011001101101110111100010011111 % -b11011001101101110111100010011111 . -b11011001101101110111100010011111 6 -b11011001101101110111100010011111 @ -b11011001101101110111100010011111 F -b1 ) -b0 ( -#158912000 -0& -#158928000 -b100110110011 , -#158944000 -1& -#158960000 -1! -b0 " -b0 4 -b1110110111011111 0 -b1110110111011111 H -b0 2 -b0 = -08 -19 -b1001000011000000001111100110000 < -1# -b10111111010011111110100001000010 : -b1110110111011111100100100010001 $ -b1110110111011111100100100010001 - -b1110110111011111100100100010001 5 -b1110110111011111100100100010001 ? -b1110110111011111100100100010001 D -b10110111100111111110000011001111 % -b10110111100111111110000011001111 . -b10110111100111111110000011001111 6 -b10110111100111111110000011001111 @ -b10110111100111111110000011001111 F -b0 ) -b1 ( -#158976000 -0& -#158992000 -b100110110100 , -#159008000 -1& -#159024000 -0! -b1 " -b1 4 -b11111111110111111100 0 -b11111111110111111100 H -b1 2 -b1 = -18 -09 -b10000000000010100011011011010100 < -0# -b11111111111110100001101100100100 : -b1111111111011111110010001001111 $ -b1111111111011111110010001001111 - -b1111111111011111110010001001111 5 -b1111111111011111110010001001111 ? -b1111111111011111110010001001111 D -b1111111111101011100100100101011 % -b1111111111101011100100100101011 . -b1111111111101011100100100101011 6 -b1111111111101011100100100101011 @ -b1111111111101011100100100101011 F -b1 ) -b0 ( -#159040000 -0& -#159056000 -b100110110101 , -#159072000 -1& -#159088000 -1! -b0 " -b0 4 -b1110111111101110 0 -b1110111111101110 H -b0 2 -b0 = -08 -19 -b101110100010011001010011110000 < -1# -b10100110100000001110011101000011 : -b1110111111101110101001001010010 $ -b1110111111101110101001001010010 - -b1110111111101110101001001010010 5 -b1110111111101110101001001010010 ? -b1110111111101110101001001010010 D -b11010001011101100110101100001111 % -b11010001011101100110101100001111 . -b11010001011101100110101100001111 6 -b11010001011101100110101100001111 @ -b11010001011101100110101100001111 F -b0 ) -b1 ( -#159104000 -0& -#159120000 -b100110110110 , -#159136000 -1& -#159152000 -0! -b1 " -b1 4 -b11111111111111111111111100111110 0 -b11111111111111111111111100111110 H -b1 2 -b1 = -18 -09 -b11110000100010001100010010101001 < -0# -b11000000001000111101010101010000 : -b11001111100110110001000010100110 $ -b11001111100110110001000010100110 - -b11001111100110110001000010100110 5 -b11001111100110110001000010100110 ? -b11001111100110110001000010100110 D -b1111011101110011101101010110 % -b1111011101110011101101010110 . -b1111011101110011101101010110 6 -b1111011101110011101101010110 @ -b1111011101110011101101010110 F -b1 ) -b0 ( -#159168000 -0& -#159184000 -b100110110111 , -#159200000 -1& -#159216000 -1! -b0 " -b0 4 -b11111111111111111111111111011011 0 -b11111111111111111111111111011011 H -b0 2 -b0 = -08 -b10000000000000100011110001100 < -1# -b1110110111101000010101011110 : -b11111110110111100011110111010001 $ -b11111110110111100011110111010001 - -b11111110110111100011110111010001 5 -b11111110110111100011110111010001 ? -b11111110110111100011110111010001 D -b11101111111111111011100001110011 % -b11101111111111111011100001110011 . -b11101111111111111011100001110011 6 -b11101111111111111011100001110011 @ -b11101111111111111011100001110011 F -b0 ) -b1 ( -#159232000 -0& -#159248000 -b100110111000 , -#159264000 -1& -#159280000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -19 -b1 2 -b1 = -18 -b10000100101011001101100110100100 < -0# -b1000011101010111110010010010110 : -b10111110111111110000101011110001 $ -b10111110111111110000101011110001 - -b10111110111111110000101011110001 5 -b10111110111111110000101011110001 ? -b10111110111111110000101011110001 D -b1111011010100110010011001011011 % -b1111011010100110010011001011011 . -b1111011010100110010011001011011 6 -b1111011010100110010011001011011 @ -b1111011010100110010011001011011 F -b1 ) -b0 ( -#159296000 -0& -#159312000 -b100110111001 , -#159328000 -1& -#159344000 -1! -b0 " -b0 4 -b111110111000 0 -b111110111000 H -b0 2 -b0 = -08 -09 -b10000010100001011000111000101100 < -1# -b10001101100111111101010 : -b1111101110000010100000110111101 $ -b1111101110000010100000110111101 - -b1111101110000010100000110111101 5 -b1111101110000010100000110111101 ? -b1111101110000010100000110111101 D -b1111101011110100111000111010011 % -b1111101011110100111000111010011 . -b1111101011110100111000111010011 6 -b1111101011110100111000111010011 @ -b1111101011110100111000111010011 F -b0 ) -b1 ( -#159360000 -0& -#159376000 -b100110111010 , -#159392000 -1& -#159408000 -0! -b1 " -b1 4 -b101101111001111011 0 -b101101111001111011 H -b1 2 -b1 = -18 -b10010001000000001100010110010010 < -0# -b11101100110100000011011100101100 : -b1011011110011110111000110011001 $ -b1011011110011110111000110011001 - -b1011011110011110111000110011001 5 -b1011011110011110111000110011001 ? -b1011011110011110111000110011001 D -b1101110111111110011101001101101 % -b1101110111111110011101001101101 . -b1101110111111110011101001101101 6 -b1101110111111110011101001101101 @ -b1101110111111110011101001101101 F -b1 ) -b0 ( -#159424000 -0& -#159440000 -b100110111011 , -#159456000 -1& -#159472000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b11000100000001001110100111100000 < -b11000001100001000110101000001110 : -b11111101011111111000000000101101 $ -b11111101011111111000000000101101 - -b11111101011111111000000000101101 5 -b11111101011111111000000000101101 ? -b11111101011111111000000000101101 D -b111011111110110001011000011111 % -b111011111110110001011000011111 . -b111011111110110001011000011111 6 -b111011111110110001011000011111 @ -b111011111110110001011000011111 F -#159488000 -0& -#159504000 -b100110111100 , -#159520000 -1& -#159536000 -1! -b0 " -b0 4 -b10110111110 0 -b10110111110 H -b0 2 -b0 = -08 -b10001011000001111001011 < -1# -b1011100001100011011000001100101 : -b1011011111011000010110010011001 $ -b1011011111011000010110010011001 - -b1011011111011000010110010011001 5 -b1011011111011000010110010011001 ? -b1011011111011000010110010011001 D -b11111111101110100111110000110100 % -b11111111101110100111110000110100 . -b11111111101110100111110000110100 6 -b11111111101110100111110000110100 @ -b11111111101110100111110000110100 F -b0 ) -b1 ( -#159552000 -0& -#159568000 -b100110111101 , -#159584000 -1& -#159600000 -b11110111011110110100101 0 -b11110111011110110100101 H -b11000100000001011011100101010111 < -b111111110000110101111010111111 : -b1111011101111011010010101100111 $ -b1111011101111011010010101100111 - -b1111011101111011010010101100111 5 -b1111011101111011010010101100111 ? -b1111011101111011010010101100111 D -b111011111110100100011010101000 % -b111011111110100100011010101000 . -b111011111110100100011010101000 6 -b111011111110100100011010101000 @ -b111011111110100100011010101000 F -#159616000 -0& -#159632000 -b100110111110 , -#159648000 -1& -#159664000 -0! -b1 " -b1 4 -b11111001001100101111010010111101 0 -b11111001001100101111010010111101 H -b1 2 -b1 = -18 -b10110001101000001010101011111101 < -0# -b10010110011011000111110111110011 : -b11100100110010111101001011110101 $ -b11100100110010111101001011110101 - -b11100100110010111101001011110101 5 -b11100100110010111101001011110101 ? -b11100100110010111101001011110101 D -b1001110010111110101010100000010 % -b1001110010111110101010100000010 . -b1001110010111110101010100000010 6 -b1001110010111110101010100000010 @ -b1001110010111110101010100000010 F -b1 ) -b0 ( -#159680000 -0& -#159696000 -b100110111111 , -#159712000 -1& -#159728000 -1! -b0 " -b0 4 -b1111110111111101001101101 0 -b1111110111111101001101101 H -b0 2 -b0 = -08 -09 -b10010010001101001110111010 < -1# -b1000001110010000010000101011101 : -b111111011111110100110110100010 $ -b111111011111110100110110100010 - -b111111011111110100110110100010 5 -b111111011111110100110110100010 ? -b111111011111110100110110100010 D -b11111101101101110010110001000101 % -b11111101101101110010110001000101 . -b11111101101101110010110001000101 6 -b11111101101101110010110001000101 @ -b11111101101101110010110001000101 F -b0 ) -b1 ( -#159744000 -0& -#159760000 -b100111000000 , -#159776000 -1& -#159792000 -1! -b0 " -b0 4 -b11111111111111111111111101100001 0 -b11111111111111111111111101100001 H -b0 2 -b0 = -08 -09 -b11001010101010011111001110 < -b1111011010110001001001000 : -b11111110110000101011101001111001 $ -b11111110110000101011101001111001 - -b11111110110000101011101001111001 5 -b11111110110000101011101001111001 ? -b11111110110000101011101001111001 D -b11111100110101010101100000110001 % -b11111100110101010101100000110001 . -b11111100110101010101100000110001 6 -b11111100110101010101100000110001 @ -b11111100110101010101100000110001 F -#159808000 -0& -#159824000 -b100111000001 , -#159840000 -1& -#159856000 -0! -b1 " -b1 4 -b11011 0 -b11011 H -b1 2 -b1 = -18 -b11000000010010100001111100100110 < -0# -b11111000001110011110100000000000 : -b110111111011111100100011011001 $ -b110111111011111100100011011001 - -b110111111011111100100011011001 5 -b110111111011111100100011011001 ? -b110111111011111100100011011001 D -b111111101101011110000011011001 % -b111111101101011110000011011001 . -b111111101101011110000011011001 6 -b111111101101011110000011011001 @ -b111111101101011110000011011001 F -b1 ) -b0 ( -#159872000 -0& -#159888000 -b100111000010 , -#159904000 -1& -#159920000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1100100101000001000000010100000 < -1# -b1010100010010111101001001101010 : -b11101111101010110101000111001001 $ -b11101111101010110101000111001001 - -b11101111101010110101000111001001 5 -b11101111101010110101000111001001 ? -b11101111101010110101000111001001 D -b10011011010111110111111101011111 % -b10011011010111110111111101011111 . -b10011011010111110111111101011111 6 -b10011011010111110111111101011111 @ -b10011011010111110111111101011111 F -b0 ) -b1 ( -#159936000 -0& -#159952000 -b100111000011 , -#159968000 -1& -#159984000 -1! -b0 " -b0 4 -b11111111111111100101101 0 -b11111111111111100101101 H -b0 2 -b0 = -08 -b101100100010011001011110011000 < -b1101100100010010010111001010000 : -b111111111111111001011010110111 $ -b111111111111111001011010110111 - -b111111111111111001011010110111 5 -b111111111111111001011010110111 ? -b111111111111111001011010110111 D -b11010011011101100110100001100111 % -b11010011011101100110100001100111 . -b11010011011101100110100001100111 6 -b11010011011101100110100001100111 @ -b11010011011101100110100001100111 F -#160000000 -0& -#160016000 -b100111000100 , -#160032000 -1& -#160048000 -b10011111001101110 0 -b10011111001101110 H -b11010101000010111010101010001 < -b1101010001111010000001111000011 : -b1001111100110111000111001110001 $ -b1001111100110111000111001110001 - -b1001111100110111000111001110001 5 -b1001111100110111000111001110001 ? -b1001111100110111000111001110001 D -b11100101010111101000101010101110 % -b11100101010111101000101010101110 . -b11100101010111101000101010101110 6 -b11100101010111101000101010101110 @ -b11100101010111101000101010101110 F -#160064000 -0& -#160080000 -b100111000101 , -#160096000 -1& -#160112000 -0! -b1 " -b1 4 -b11111111111111111111111001111101 0 -b11111111111111111111111001111101 H -b1 2 -b1 = -18 -19 -b10000101001100000011101000001101 < -0# -b1111111001001110100010110011111 : -b11111001111101110000101110010001 $ -b11111001111101110000101110010001 - -b11111001111101110000101110010001 5 -b11111001111101110000101110010001 ? -b11111001111101110000101110010001 D -b1111010110011111100010111110010 % -b1111010110011111100010111110010 . -b1111010110011111100010111110010 6 -b1111010110011111100010111110010 @ -b1111010110011111100010111110010 F -b1 ) -b0 ( -#160128000 -0& -#160144000 -b100111000110 , -#160160000 -1& -#160176000 -0! -b1 " -b1 4 -b11001111101100010010111100100110 0 -b11001111101100010010111100100110 H -b1 2 -b1 = -09 -18 -b100000001000100110011110011110 < -b10111111100001001100010111101011 : -b10011111011000100101111001001100 $ -b10011111011000100101111001001100 - -b10011111011000100101111001001100 5 -b10011111011000100101111001001100 ? -b10011111011000100101111001001100 D -b11011111110111011001100001100001 % -b11011111110111011001100001100001 . -b11011111110111011001100001100001 6 -b11011111110111011001100001100001 @ -b11011111110111011001100001100001 F -#160192000 -0& -#160208000 -b100111000111 , -#160224000 -1& -#160240000 -0! -b1 " -b1 4 -b1011 0 -b1011 H -b1 2 -b1 = -18 -b10010000010000000111000110100101 < -b11000000001111000011011010011001 : -b101111111110111100010011110011 $ -b101111111110111100010011110011 - -b101111111110111100010011110011 5 -b101111111110111100010011110011 ? -b101111111110111100010011110011 D -b1101111101111111000111001011010 % -b1101111101111111000111001011010 . -b1101111101111111000111001011010 6 -b1101111101111111000111001011010 @ -b1101111101111111000111001011010 F -#160256000 -0& -#160272000 -b100111001000 , -#160288000 -1& -#160304000 -1! -b0 " -b0 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b0 2 -b0 = -08 -b1000000000001111001100001000 < -1# -b11111011111111110000111011 : -b11111011111011110000100100110010 $ -b11111011111011110000100100110010 - -b11111011111011110000100100110010 5 -b11111011111011110000100100110010 ? -b11111011111011110000100100110010 D -b11110111111111110000110011110111 % -b11110111111111110000110011110111 . -b11110111111111110000110011110111 6 -b11110111111111110000110011110111 @ -b11110111111111110000110011110111 F -b0 ) -b1 ( -#160320000 -0& -#160336000 -b100111001001 , -#160352000 -1& -#160368000 -b11010011011100010011110110111 0 -b11010011011100010011110110111 H -b11001000100000100101100000011101 < -b110010001110101111011011111100 : -b1101001101110001001111011011110 $ -b1101001101110001001111011011110 - -b1101001101110001001111011011110 5 -b1101001101110001001111011011110 ? -b1101001101110001001111011011110 D -b110111011111011010011111100010 % -b110111011111011010011111100010 . -b110111011111011010011111100010 6 -b110111011111011010011111100010 @ -b110111011111011010011111100010 F -#160384000 -0& -#160400000 -b100111001010 , -#160416000 -1& -#160432000 -0! -b1 " -b1 4 -b11111101011100111110110010111000 0 -b11111101011100111110110010111000 H -b1 2 -b1 = -18 -b10000100110110001000011100011111 < -0# -b10000010010011000111001111011000 : -b11111101011100111110110010111000 $ -b11111101011100111110110010111000 - -b11111101011100111110110010111000 5 -b11111101011100111110110010111000 ? -b11111101011100111110110010111000 D -b1111011001001110111100011100000 % -b1111011001001110111100011100000 . -b1111011001001110111100011100000 6 -b1111011001001110111100011100000 @ -b1111011001001110111100011100000 F -b1 ) -b0 ( -#160448000 -0& -#160464000 -b100111001011 , -#160480000 -1& -#160496000 -1! -b0 " -b0 4 -b11111111111111111110011111111101 0 -b11111111111111111110011111111101 H -b0 2 -b0 = -08 -b1010100010011001101001111100 < -1# -b1010100010001101101001100101 : -b11111111111111110011111111101000 $ -b11111111111111110011111111101000 - -b11111111111111110011111111101000 5 -b11111111111111110011111111101000 ? -b11111111111111110011111111101000 D -b11110101011101100110010110000011 % -b11110101011101100110010110000011 . -b11110101011101100110010110000011 6 -b11110101011101100110010110000011 @ -b11110101011101100110010110000011 F -b0 ) -b1 ( -#160512000 -0& -#160528000 -b100111001100 , -#160544000 -1& -#160560000 -0! -b1 " -b1 4 -b11111111111111111111111011111101 0 -b11111111111111111111111011111101 H -b1 2 -b1 = -18 -b1000010000001010001110001001 < -0# -b11000111101100000000011001010000 : -b10111111011011110110001011000110 $ -b10111111011011110110001011000110 - -b10111111011011110110001011000110 5 -b10111111011011110110001011000110 ? -b10111111011011110110001011000110 D -b11110111101111110101110001110110 % -b11110111101111110101110001110110 . -b11110111101111110101110001110110 6 -b11110111101111110101110001110110 @ -b11110111101111110101110001110110 F -b1 ) -b0 ( -#160576000 -0& -#160592000 -b100111001101 , -#160608000 -1& -#160624000 -1! -b0 " -b0 4 -b1110011101 0 -b1110011101 H -19 -b0 2 -b0 = -08 -b1000000000100001010011111101010 < -1# -b10110011101110110110011110011110 : -b1110011101010101011111110110011 $ -b1110011101010101011111110110011 - -b1110011101010101011111110110011 5 -b1110011101010101011111110110011 ? -b1110011101010101011111110110011 D -b10111111111011110101100000010101 % -b10111111111011110101100000010101 . -b10111111111011110101100000010101 6 -b10111111111011110101100000010101 @ -b10111111111011110101100000010101 F -b0 ) -b1 ( -#160640000 -0& -#160656000 -b100111001110 , -#160672000 -1& -#160688000 -0! -b1 " -b1 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -09 -b1 2 -b1 = -18 -b11000000000010011011100110100011 < -0# -b10010111110001001001101111110101 : -b11010111101110101110001001010001 $ -b11010111101110101110001001010001 - -b11010111101110101110001001010001 5 -b11010111101110101110001001010001 ? -b11010111101110101110001001010001 D -b111111111101100100011001011100 % -b111111111101100100011001011100 . -b111111111101100100011001011100 6 -b111111111101100100011001011100 @ -b111111111101100100011001011100 F -b1 ) -b0 ( -#160704000 -0& -#160720000 -b100111001111 , -#160736000 -1& -#160752000 -1! -b0 " -b0 4 -b10010111011110101111111000 0 -b10010111011110101111111000 H -b0 2 -b0 = -08 -b10111000000000000001000110011010 < -1# -b11101111011001000010110100 : -b1001011101111010111111100011001 $ -b1001011101111010111111100011001 - -b1001011101111010111111100011001 5 -b1001011101111010111111100011001 ? -b1001011101111010111111100011001 D -b1000111111111111110111001100101 % -b1000111111111111110111001100101 . -b1000111111111111110111001100101 6 -b1000111111111111110111001100101 @ -b1000111111111111110111001100101 F -b0 ) -b1 ( -#160768000 -0& -#160784000 -b100111010000 , -#160800000 -1& -#160816000 -1! -b0 " -b0 4 -b11111101011100101100100101110 0 -b11111101011100101100100101110 H -b0 2 -b0 = -08 -b11000000111011000110101111111110 < -b10010010001111001011100 : -b111111010111001011001001011101 $ -b111111010111001011001001011101 - -b111111010111001011001001011101 5 -b111111010111001011001001011101 ? -b111111010111001011001001011101 D -b111111000100111001010000000001 % -b111111000100111001010000000001 . -b111111000100111001010000000001 6 -b111111000100111001010000000001 @ -b111111000100111001010000000001 F -#160832000 -0& -#160848000 -b100111010001 , -#160864000 -1& -#160880000 -1! -b0 " -b0 4 -b11111111111111111111110111111111 0 -b11111111111111111111110111111111 H -b0 2 -b0 = -08 -b1000000000001111111100101111 < -b110000000001110010111110010 : -b11111101111111111110011011000010 $ -b11111101111111111110011011000010 - -b11111101111111111110011011000010 5 -b11111101111111111110011011000010 ? -b11111101111111111110011011000010 D -b11110111111111110000000011010000 % -b11110111111111110000000011010000 . -b11110111111111110000000011010000 6 -b11110111111111110000000011010000 @ -b11110111111111110000000011010000 F -#160896000 -0& -#160912000 -b100111010010 , -#160928000 -1& -#160944000 -b11011101111001000 0 -b11011101111001000 H -b11010000000001011110001010110001 < -b111110111101111111100011011111 : -b1101110111100100001011000101101 $ -b1101110111100100001011000101101 - -b1101110111100100001011000101101 5 -b1101110111100100001011000101101 ? -b1101110111100100001011000101101 D -b101111111110100001110101001110 % -b101111111110100001110101001110 . -b101111111110100001110101001110 6 -b101111111110100001110101001110 @ -b101111111110100001110101001110 F -#160960000 -0& -#160976000 -b100111010011 , -#160992000 -1& -#161008000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -19 -08 -b10010000000010100011100100000 < -b10001101111000001000111000110010 : -b1111011110111110100011100010001 $ -b1111011110111110100011100010001 - -b1111011110111110100011100010001 5 -b1111011110111110100011100010001 ? -b1111011110111110100011100010001 D -b11101101111111101011100011011111 % -b11101101111111101011100011011111 . -b11101101111111101011100011011111 6 -b11101101111111101011100011011111 @ -b11101101111111101011100011011111 F -#161024000 -0& -#161040000 -b100111010100 , -#161056000 -1& -#161072000 -1! -b0 " -b0 4 -b1011111011101111110101 0 -b1011111011101111110101 H -b0 2 -b0 = -09 -08 -b1000000100101111011100010110 < -b1100111100010101110001011000001 : -b1011111011101111110101110101010 $ -b1011111011101111110101110101010 - -b1011111011101111110101110101010 5 -b1011111011101111110101110101010 ? -b1011111011101111110101110101010 D -b11110111111011010000100011101001 % -b11110111111011010000100011101001 . -b11110111111011010000100011101001 6 -b11110111111011010000100011101001 @ -b11110111111011010000100011101001 F -#161088000 -0& -#161104000 -b100111010101 , -#161120000 -1& -#161136000 -1! -b0 " -b0 4 -b110 0 -b110 H -b0 2 -b0 = -19 -08 -b1000111101010101110110000100011 < -b10110101100010100101011101001110 : -b1101101110111110110101100101010 $ -b1101101110111110110101100101010 - -b1101101110111110110101100101010 5 -b1101101110111110110101100101010 ? -b1101101110111110110101100101010 D -b10111000010101010001001111011100 % -b10111000010101010001001111011100 . -b10111000010101010001001111011100 6 -b10111000010101010001001111011100 @ -b10111000010101010001001111011100 F -#161152000 -0& -#161168000 -b100111010110 , -#161184000 -1& -#161200000 -0! -b1 " -b1 4 -b11111111111111111111111011111111 0 -b11111111111111111111111011111111 H -b1 2 -b1 = -18 -b10000001001000000111011001001010 < -0# -b1100001000111110101110001010100 : -b11011111111111101110011000001001 $ -b11011111111111101110011000001001 - -b11011111111111101110011000001001 5 -b11011111111111101110011000001001 ? -b11011111111111101110011000001001 D -b1111110110111111000100110110101 % -b1111110110111111000100110110101 . -b1111110110111111000100110110101 6 -b1111110110111111000100110110101 @ -b1111110110111111000100110110101 F -b1 ) -b0 ( -#161216000 -0& -#161232000 -b100111010111 , -#161248000 -1& -#161264000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -09 -08 -b100000100100101101110001000100 < -1# -b100000100011111010001000010001 : -b11111111111111001100010111001100 $ -b11111111111111001100010111001100 - -b11111111111111001100010111001100 5 -b11111111111111001100010111001100 ? -b11111111111111001100010111001100 D -b11011111011011010010001110111011 % -b11011111011011010010001110111011 . -b11011111011011010010001110111011 6 -b11011111011011010010001110111011 @ -b11011111011011010010001110111011 F -b0 ) -b1 ( -#161280000 -0& -#161296000 -b100111011000 , -#161312000 -1& -#161328000 -0! -b1 " -b1 4 -b11111111111111111011111111111010 0 -b11111111111111111011111111111010 H -b1 2 -b1 = -18 -b10010000001001000101101111010011 < -0# -b10001100001001000000101101001001 : -b11111011111111111010111101110101 $ -b11111011111111111010111101110101 - -b11111011111111111010111101110101 5 -b11111011111111111010111101110101 ? -b11111011111111111010111101110101 D -b1101111110110111010010000101100 % -b1101111110110111010010000101100 . -b1101111110110111010010000101100 6 -b1101111110110111010010000101100 @ -b1101111110110111010010000101100 F -b1 ) -b0 ( -#161344000 -0& -#161360000 -b100111011001 , -#161376000 -1& -#161392000 -1! -b0 " -b0 4 -b111111011011001000 0 -b111111011011001000 H -b0 2 -b0 = -08 -b11100000000000111010111011010010 < -1# -b1011110110111001100010100111111 : -b1111110110110010001011001101100 $ -b1111110110110010001011001101100 - -b1111110110110010001011001101100 5 -b1111110110110010001011001101100 ? -b1111110110110010001011001101100 D -b11111111111000101000100101101 % -b11111111111000101000100101101 . -b11111111111000101000100101101 6 -b11111111111000101000100101101 @ -b11111111111000101000100101101 F -b0 ) -b1 ( -#161408000 -0& -#161424000 -b100111011010 , -#161440000 -1& -#161456000 -1! -b0 " -b0 4 -b1101101011100110010000101111001 0 -b1101101011100110010000101111001 H -b0 2 -b0 = -19 -08 -b1001001110000100110001000111111 < -b10110111001101011000001110111001 : -b1101101011100110010000101111001 $ -b1101101011100110010000101111001 - -b1101101011100110010000101111001 5 -b1101101011100110010000101111001 ? -b1101101011100110010000101111001 D -b10110110001111011001110111000000 % -b10110110001111011001110111000000 . -b10110110001111011001110111000000 6 -b10110110001111011001110111000000 @ -b10110110001111011001110111000000 F -#161472000 -0& -#161488000 -b100111011011 , -#161504000 -1& -#161520000 -0! -b1 " -b1 4 -b11110111110110101100011101101111 0 -b11110111110110101100011101101111 H -b1 2 -b1 = -18 -b10010000010000100110010111011100 < -0# -b1001111000110001010000101011100 : -b10111110110101100011101101111111 $ -b10111110110101100011101101111111 - -b10111110110101100011101101111111 5 -b10111110110101100011101101111111 ? -b10111110110101100011101101111111 D -b1101111101111011001101000100011 % -b1101111101111011001101000100011 . -b1101111101111011001101000100011 6 -b1101111101111011001101000100011 @ -b1101111101111011001101000100011 F -b1 ) -b0 ( -#161536000 -0& -#161552000 -b100111011100 , -#161568000 -1& -#161584000 -b11110101010011111111111000101001 0 -b11110101010011111111111000101001 H -b10110000110001000010101000111100 < -b1011011010001000001101110000110 : -b10101010011111111111000101001001 $ -b10101010011111111111000101001001 - -b10101010011111111111000101001001 5 -b10101010011111111111000101001001 ? -b10101010011111111111000101001001 D -b1001111001110111101010111000011 % -b1001111001110111101010111000011 . -b1001111001110111101010111000011 6 -b1001111001110111101010111000011 @ -b1001111001110111101010111000011 F -#161600000 -0& -#161616000 -b100111011101 , -#161632000 -1& -#161648000 -b101101110110001001111000100 0 -b101101110110001001111000100 H -09 -b10000011000101000011001000011011 < -b11011110110001010110111001100110 : -b1011011101100010011110001001010 $ -b1011011101100010011110001001010 - -b1011011101100010011110001001010 5 -b1011011101100010011110001001010 ? -b1011011101100010011110001001010 D -b1111100111010111100110111100100 % -b1111100111010111100110111100100 . -b1111100111010111100110111100100 6 -b1111100111010111100110111100100 @ -b1111100111010111100110111100100 F -#161664000 -0& -#161680000 -b100111011110 , -#161696000 -1& -#161712000 -1! -b0 " -b0 4 -b111011011111111001 0 -b111011011111111001 H -b0 2 -b0 = -08 -b11000001010100100010110001110010 < -1# -b111000010100010110011001000101 : -b1110110111111110011100111010010 $ -b1110110111111110011100111010010 - -b1110110111111110011100111010010 5 -b1110110111111110011100111010010 ? -b1110110111111110011100111010010 D -b111110101011011101001110001101 % -b111110101011011101001110001101 . -b111110101011011101001110001101 6 -b111110101011011101001110001101 @ -b111110101011011101001110001101 F -b0 ) -b1 ( -#161728000 -0& -#161744000 -b100111011111 , -#161760000 -1& -#161776000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1000000001101000110101100100010 < -b111111100100000000111010100101 : -b11111111010110111010001110000010 $ -b11111111010110111010001110000010 - -b11111111010110111010001110000010 5 -b11111111010110111010001110000010 ? -b11111111010110111010001110000010 D -b10111111110010111001010011011101 % -b10111111110010111001010011011101 . -b10111111110010111001010011011101 6 -b10111111110010111001010011011101 @ -b10111111110010111001010011011101 F -#161792000 -0& -#161808000 -b100111100000 , -#161824000 -1& -#161840000 -1! -b0 " -b0 4 -b111010111101110101001110000011 0 -b111010111101110101001110000011 H -b0 2 -b0 = -08 -b101011000100101110111011110 < -b1111011010100010000010011100110 : -b1110101111011101010011100000111 $ -b1110101111011101010011100000111 - -b1110101111011101010011100000111 5 -b1110101111011101010011100000111 ? -b1110101111011101010011100000111 D -b11111010100111011010001000100001 % -b11111010100111011010001000100001 . -b11111010100111011010001000100001 6 -b11111010100111011010001000100001 @ -b11111010100111011010001000100001 F -#161856000 -0& -#161872000 -b100111100001 , -#161888000 -1& -#161904000 -1! -b0 " -b0 4 -b1 0 -b1 H -b0 2 -b0 = -19 -08 -b100001000000110011100111000001 < -b10010000111110001101000000110110 : -b1101111111101011001011001110100 $ -b1101111111101011001011001110100 - -b1101111111101011001011001110100 5 -b1101111111101011001011001110100 ? -b1101111111101011001011001110100 D -b11011110111111001100011000111110 % -b11011110111111001100011000111110 . -b11011110111111001100011000111110 6 -b11011110111111001100011000111110 @ -b11011110111111001100011000111110 F -#161920000 -0& -#161936000 -b100111100010 , -#161952000 -1& -#161968000 -1! -b0 " -b0 4 -b10111111111111110111101010100 0 -b10111111111111110111101010100 H -b0 2 -b0 = -09 -08 -b100100000000110101000011101 < -b1100100100000000010011101101110 : -b1011111111111111011110101010000 $ -b1011111111111111011110101010000 - -b1011111111111111011110101010000 5 -b1011111111111111011110101010000 ? -b1011111111111111011110101010000 D -b11111011011111111001010111100010 % -b11111011011111111001010111100010 . -b11111011011111111001010111100010 6 -b11111011011111111001010111100010 @ -b11111011011111111001010111100010 F -#161984000 -0& -#162000000 -b100111100011 , -#162016000 -1& -#162032000 -b101111011111111011111001 0 -b101111011111111011111001 H -b11000000000001000000101100011000 < -b11111000000111000011110111010 : -b1011110111111110111110010100001 $ -b1011110111111110111110010100001 - -b1011110111111110111110010100001 5 -b1011110111111110111110010100001 ? -b1011110111111110111110010100001 D -b111111111110111111010011100111 % -b111111111110111111010011100111 . -b111111111110111111010011100111 6 -b111111111110111111010011100111 @ -b111111111110111111010011100111 F -#162048000 -0& -#162064000 -b100111100100 , -#162080000 -1& -#162096000 -b1111111001111110011 0 -b1111111001111110011 H -b11000101100010000100011111110011 < -b1000100110001110111100010111001 : -b1111111001111110011000011000101 $ -b1111111001111110011000011000101 - -b1111111001111110011000011000101 5 -b1111111001111110011000011000101 ? -b1111111001111110011000011000101 D -b111010011101111011100000001100 % -b111010011101111011100000001100 . -b111010011101111011100000001100 6 -b111010011101111011100000001100 @ -b111010011101111011100000001100 F -#162112000 -0& -#162128000 -b100111100101 , -#162144000 -1& -#162160000 -0! -b1 " -b1 4 -b1110111011011100010 0 -b1110111011011100010 H -b1 2 -b1 = -18 -b10001010000000001010101000110100 < -0# -b11000101101101111011110000100011 : -b111011101101110001000111101110 $ -b111011101101110001000111101110 - -b111011101101110001000111101110 5 -b111011101101110001000111101110 ? -b111011101101110001000111101110 D -b1110101111111110101010111001011 % -b1110101111111110101010111001011 . -b1110101111111110101010111001011 6 -b1110101111111110101010111001011 @ -b1110101111111110101010111001011 F -b1 ) -b0 ( -#162176000 -0& -#162192000 -b100111100110 , -#162208000 -1& -#162224000 -0! -b1 " -b1 4 -b11111111011111110111111110011010 0 -b11111111011111110111111110011010 H -b1 2 -b1 = -18 -b100001011011111001000011000 < -b11000011111011011011111101001000 : -b10111111101111111100110100101111 $ -b10111111101111111100110100101111 - -b10111111101111111100110100101111 5 -b10111111101111111100110100101111 ? -b10111111101111111100110100101111 D -b11111011110100100000110111100111 % -b11111011110100100000110111100111 . -b11111011110100100000110111100111 6 -b11111011110100100000110111100111 @ -b11111011110100100000110111100111 F -#162240000 -0& -#162256000 -b100111100111 , -#162272000 -1& -#162288000 -b11111111111111111111111100111111 0 -b11111111111111111111111100111111 H -b10010001100011001001001 < -b11010000001010001101101011011000 : -b11001111111000000001010010001110 $ -b11001111111000000001010010001110 - -b11001111111000000001010010001110 5 -b11001111111000000001010010001110 ? -b11001111111000000001010010001110 D -b11111111101101110011100110110110 % -b11111111101101110011100110110110 . -b11111111101101110011100110110110 6 -b11111111101101110011100110110110 @ -b11111111101101110011100110110110 F -#162304000 -0& -#162320000 -b100111101000 , -#162336000 -1& -#162352000 -1! -b0 " -b0 4 -b11110011 0 -b11110011 H -b0 2 -b0 = -08 -b1000100100000100110011101001 < -1# -b1000101100011101010001101110101 : -b111100111111100101011010001011 $ -b111100111111100101011010001011 - -b111100111111100101011010001011 5 -b111100111111100101011010001011 ? -b111100111111100101011010001011 D -b11110111011011111011001100010110 % -b11110111011011111011001100010110 . -b11110111011011111011001100010110 6 -b11110111011011111011001100010110 @ -b11110111011011111011001100010110 F -b0 ) -b1 ( -#162368000 -0& -#162384000 -b100111101001 , -#162400000 -1& -#162416000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -19 -b10000010000100010011001011000000 < -0# -b1111111101111001101010000111110 : -b11111101101010111010000101111101 $ -b11111101101010111010000101111101 - -b11111101101010111010000101111101 5 -b11111101101010111010000101111101 ? -b11111101101010111010000101111101 D -b1111101111011101100110100111111 % -b1111101111011101100110100111111 . -b1111101111011101100110100111111 6 -b1111101111011101100110100111111 @ -b1111101111011101100110100111111 F -b1 ) -b0 ( -#162432000 -0& -#162448000 -b100111101010 , -#162464000 -1& -#162480000 -0! -b1 " -b1 4 -b10110100111111 0 -b10110100111111 H -09 -b1 2 -b1 = -18 -b10100001110011001001011000101111 < -b11001111000011000101001110011000 : -b101101001111111011110101101000 $ -b101101001111111011110101101000 - -b101101001111111011110101101000 5 -b101101001111111011110101101000 ? -b101101001111111011110101101000 D -b1011110001100110110100111010000 % -b1011110001100110110100111010000 . -b1011110001100110110100111010000 6 -b1011110001100110110100111010000 @ -b1011110001100110110100111010000 F -#162496000 -0& -#162512000 -b100111101011 , -#162528000 -1& -#162544000 -b101011 0 -b101011 H -b10000010000101000101101001000110 < -b11011001101110000010110110100110 : -b1010111101000111101001101011111 $ -b1010111101000111101001101011111 - -b1010111101000111101001101011111 5 -b1010111101000111101001101011111 ? -b1010111101000111101001101011111 D -b1111101111010111010010110111001 % -b1111101111010111010010110111001 . -b1111101111010111010010110111001 6 -b1111101111010111010010110111001 @ -b1111101111010111010010110111001 F -#162560000 -0& -#162576000 -b100111101100 , -#162592000 -1& -#162608000 -1! -b0 " -b0 4 -b11111111101011000 0 -b11111111101011000 H -b0 2 -b0 = -08 -b11010000010000001001100100110001 < -1# -b1010000000101101010111001000011 : -b1111111110101100001010100010001 $ -b1111111110101100001010100010001 - -b1111111110101100001010100010001 5 -b1111111110101100001010100010001 ? -b1111111110101100001010100010001 D -b101111101111110110011011001110 % -b101111101111110110011011001110 . -b101111101111110110011011001110 6 -b101111101111110110011011001110 @ -b101111101111110110011011001110 F -b0 ) -b1 ( -#162624000 -0& -#162640000 -b100111101101 , -#162656000 -1& -#162672000 -1! -b0 " -b0 4 -b11101001110 0 -b11101001110 H -b0 2 -b0 = -19 -08 -b11000010100100010110100101011 < -b10001101001111011100001000100101 : -b1110100111010111001010011111001 $ -b1110100111010111001010011111001 - -b1110100111010111001010011111001 5 -b1110100111010111001010011111001 ? -b1110100111010111001010011111001 D -b11100111101011011101001011010100 % -b11100111101011011101001011010100 . -b11100111101011011101001011010100 6 -b11100111101011011101001011010100 @ -b11100111101011011101001011010100 F -#162688000 -0& -#162704000 -b100111101110 , -#162720000 -1& -#162736000 -0! -b1 " -b1 4 -b11111111111111111111111111001101 0 -b11111111111111111111111111001101 H -b1 2 -b1 = -18 -19 -b10000010100001000000011011100110 < -0# -b11110011011100001100100001001 : -b10011011111010100001001000100010 $ -b10011011111010100001001000100010 - -b10011011111010100001001000100010 5 -b10011011111010100001001000100010 ? -b10011011111010100001001000100010 D -b1111101011110111111100100011001 % -b1111101011110111111100100011001 . -b1111101011110111111100100011001 6 -b1111101011110111111100100011001 @ -b1111101011110111111100100011001 F -b1 ) -b0 ( -#162752000 -0& -#162768000 -b100111101111 , -#162784000 -1& -#162800000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10000010010010000000101000100010 < -b10000000001100011000011000001101 : -b11111101111010010111101111101010 $ -b11111101111010010111101111101010 - -b11111101111010010111101111101010 5 -b11111101111010010111101111101010 ? -b11111101111010010111101111101010 D -b1111101101101111111010111011101 % -b1111101101101111111010111011101 . -b1111101101101111111010111011101 6 -b1111101101101111111010111011101 @ -b1111101101101111111010111011101 F -#162816000 -0& -#162832000 -b100111110000 , -#162848000 -1& -#162864000 -0! -b1 " -b1 4 -b11110111101111110111100010110011 0 -b11110111101111110111100010110011 H -b1 2 -b1 = -09 -18 -b1000001001000010001010011100 < -b11000110000111111110100000111010 : -b10111101111110111100010110011101 $ -b10111101111110111100010110011101 - -b10111101111110111100010110011101 5 -b10111101111110111100010110011101 ? -b10111101111110111100010110011101 D -b11110111110110111101110101100011 % -b11110111110110111101110101100011 . -b11110111110110111101110101100011 6 -b11110111110110111101110101100011 @ -b11110111110110111101110101100011 F -#162880000 -0& -#162896000 -b100111110001 , -#162912000 -1& -#162928000 -b11111111111111010111011000000111 0 -b11111111111111010111011000000111 H -b100000111010101110100 < -b11101011110000001011010001110111 : -b11101011101100000011111100000010 $ -b11101011101100000011111100000010 - -b11101011101100000011111100000010 5 -b11101011101100000011111100000010 ? -b11101011101100000011111100000010 D -b11111111111011111000101010001011 % -b11111111111011111000101010001011 . -b11111111111011111000101010001011 6 -b11111111111011111000101010001011 @ -b11111111111011111000101010001011 F -#162944000 -0& -#162960000 -b100111110010 , -#162976000 -1& -#162992000 -b11111110110111011111111101001111 0 -b11111110110111011111111101001111 H -b10101101010000101010111011011011 < -b10011011001000101010001111001110 : -b11101101110111111111010011110010 $ -b11101101110111111111010011110010 - -b11101101110111111111010011110010 5 -b11101101110111111111010011110010 ? -b11101101110111111111010011110010 D -b1010010101111010101000100100100 % -b1010010101111010101000100100100 . -b1010010101111010101000100100100 6 -b1010010101111010101000100100100 @ -b1010010101111010101000100100100 F -#163008000 -0& -#163024000 -b100111110011 , -#163040000 -1& -#163056000 -1! -b0 " -b0 4 -b1011111 0 -b1011111 H -b0 2 -b0 = -08 -b10011001000100110000100100111 < -1# -b1110010110101111110010111110001 : -b1011111101101011000010011001001 $ -b1011111101101011000010011001001 - -b1011111101101011000010011001001 5 -b1011111101101011000010011001001 ? -b1011111101101011000010011001001 D -b11101100110111011001111011011000 % -b11101100110111011001111011011000 . -b11101100110111011001111011011000 6 -b11101100110111011001111011011000 @ -b11101100110111011001111011011000 F -b0 ) -b1 ( -#163072000 -0& -#163088000 -b100111110100 , -#163104000 -1& -#163120000 -1! -b0 " -b0 4 -b11111111111111111111111011111101 0 -b11111111111111111111111011111101 H -b0 2 -b0 = -08 -b1000000010101001110110111101010 < -b100000000100111010010001000100 : -b11011111101111101011011001011001 $ -b11011111101111101011011001011001 - -b11011111101111101011011001011001 5 -b11011111101111101011011001011001 ? -b11011111101111101011011001011001 D -b10111111101010110001001000010101 % -b10111111101010110001001000010101 . -b10111111101010110001001000010101 6 -b10111111101010110001001000010101 @ -b10111111101010110001001000010101 F -#163136000 -0& -#163152000 -b100111110101 , -#163168000 -1& -#163184000 -0! -b1 " -b1 4 -b1111100101101010000010001101 0 -b1111100101101010000010001101 H -b1 2 -b1 = -18 -b10101000000110001111100010111101 < -0# -b11100110011100110111101011110010 : -b111110010110101000001000110100 $ -b111110010110101000001000110100 - -b111110010110101000001000110100 5 -b111110010110101000001000110100 ? -b111110010110101000001000110100 D -b1010111111001110000011101000010 % -b1010111111001110000011101000010 . -b1010111111001110000011101000010 6 -b1010111111001110000011101000010 @ -b1010111111001110000011101000010 F -b1 ) -b0 ( -#163200000 -0& -#163216000 -b100111110110 , -#163232000 -1& -#163248000 -1! -b0 " -b0 4 -b11111111111111110110101010101000 0 -b11111111111111110110101010101000 H -b0 2 -b0 = -08 -b1101000000000001101110000010010 < -1# -b1010101010101011101110110000011 : -b11101101010101010000000101110000 $ -b11101101010101010000000101110000 - -b11101101010101010000000101110000 5 -b11101101010101010000000101110000 ? -b11101101010101010000000101110000 D -b10010111111111110010001111101101 % -b10010111111111110010001111101101 . -b10010111111111110010001111101101 6 -b10010111111111110010001111101101 @ -b10010111111111110010001111101101 F -b0 ) -b1 ( -#163264000 -0& -#163280000 -b100111110111 , -#163296000 -1& -#163312000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b1011111101101000000 < -0# -b10100111001111110110000100011111 : -b10100111001110010110010111011110 $ -b10100111001110010110010111011110 - -b10100111001110010110010111011110 5 -b10100111001110010110010111011110 ? -b10100111001110010110010111011110 D -b11111111111110100000010010111111 % -b11111111111110100000010010111111 . -b11111111111110100000010010111111 6 -b11111111111110100000010010111111 @ -b11111111111110100000010010111111 F -b1 ) -b0 ( -#163328000 -0& -#163344000 -b100111111000 , -#163360000 -1& -#163376000 -b11111111111111111111110101101011 0 -b11111111111111111111110101101011 H -b11000000100100001011011010001011 < -b10010111010100000101001000000101 : -b11010110101111111001101101111001 $ -b11010110101111111001101101111001 - -b11010110101111111001101101111001 5 -b11010110101111111001101101111001 ? -b11010110101111111001101101111001 D -b111111011011110100100101110100 % -b111111011011110100100101110100 . -b111111011011110100100101110100 6 -b111111011011110100100101110100 @ -b111111011011110100100101110100 F -#163392000 -0& -#163408000 -b100111111001 , -#163424000 -1& -#163440000 -1! -b0 " -b0 4 -b111110110 0 -b111110110 H -19 -b0 2 -b0 = -08 -b110101000000010100010010101001 < -1# -b10110010101111111110010100011111 : -b1111101101111101010000001110101 $ -b1111101101111101010000001110101 - -b1111101101111101010000001110101 5 -b1111101101111101010000001110101 ? -b1111101101111101010000001110101 D -b11001010111111101011101101010110 % -b11001010111111101011101101010110 . -b11001010111111101011101101010110 6 -b11001010111111101011101101010110 @ -b11001010111111101011101101010110 F -b0 ) -b1 ( -#163456000 -0& -#163472000 -b100111111010 , -#163488000 -1& -#163504000 -0! -b1 " -b1 4 -b1100 0 -b1100 H -b1 2 -b1 = -09 -18 -b10000000000010000000000100000101 < -0# -b10110010000001111000011001011010 : -b110001111111111000010101010100 $ -b110001111111111000010101010100 - -b110001111111111000010101010100 5 -b110001111111111000010101010100 ? -b110001111111111000010101010100 D -b1111111111101111111111011111010 % -b1111111111101111111111011111010 . -b1111111111101111111111011111010 6 -b1111111111101111111111011111010 @ -b1111111111101111111111011111010 F -b1 ) -b0 ( -#163520000 -0& -#163536000 -b100111111011 , -#163552000 -1& -#163568000 -0! -b1 " -b1 4 -b11111111111111111101111101111111 0 -b11111111111111111101111101111111 H -b1 2 -b1 = -18 -b11011000011001000101010001 < -b11111011010000010111001000010011 : -b11110111110111111110000011000001 $ -b11110111110111111110000011000001 - -b11110111110111111110000011000001 5 -b11110111110111111110000011000001 ? -b11110111110111111110000011000001 D -b11111100100111100110111010101110 % -b11111100100111100110111010101110 . -b11111100100111100110111010101110 6 -b11111100100111100110111010101110 @ -b11111100100111100110111010101110 F -#163584000 -0& -#163600000 -b100111111100 , -#163616000 -1& -#163632000 -1! -b0 " -b0 4 -b11111111111111111111111011110111 0 -b11111111111111111111111011110111 H -b0 2 -b0 = -08 -b1000010101000111100100111001001 < -1# -b100010001011010100100101 : -b10111101111001001110101101011011 $ -b10111101111001001110101101011011 - -b10111101111001001110101101011011 5 -b10111101111001001110101101011011 ? -b10111101111001001110101101011011 D -b10111101010111000011011000110110 % -b10111101010111000011011000110110 . -b10111101010111000011011000110110 6 -b10111101010111000011011000110110 @ -b10111101010111000011011000110110 F -b0 ) -b1 ( -#163648000 -0& -#163664000 -b100111111101 , -#163680000 -1& -#163696000 -0! -b1 " -b1 4 -b111001110111 0 -b111001110111 H -b1 2 -b1 = -18 -b10001000111000101111010010001100 < -0# -b11111100101000000101110111110100 : -b1110011101111010110100101100111 $ -b1110011101111010110100101100111 - -b1110011101111010110100101100111 5 -b1110011101111010110100101100111 ? -b1110011101111010110100101100111 D -b1110111000111010000101101110011 % -b1110111000111010000101101110011 . -b1110111000111010000101101110011 6 -b1110111000111010000101101110011 @ -b1110111000111010000101101110011 F -b1 ) -b0 ( -#163712000 -0& -#163728000 -b100111111110 , -#163744000 -1& -#163760000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b1001000000000010000111100010 < -b11111000110111101011001000100111 : -b11101111110111101001000001000100 $ -b11101111110111101001000001000100 - -b11101111110111101001000001000100 5 -b11101111110111101001000001000100 ? -b11101111110111101001000001000100 D -b11110110111111111101111000011101 % -b11110110111111111101111000011101 . -b11110110111111111101111000011101 6 -b11110110111111111101111000011101 @ -b11110110111111111101111000011101 F -#163776000 -0& -#163792000 -b100111111111 , -#163808000 -1& -#163824000 -1! -b0 " -b0 4 -b111111101110111101110010 0 -b111111101110111101110010 H -b0 2 -b0 = -08 -b11000000011101001101101010011000 < -1# -b111111111011001001001111011100 : -b1111111011101111011100101000011 $ -b1111111011101111011100101000011 - -b1111111011101111011100101000011 5 -b1111111011101111011100101000011 ? -b1111111011101111011100101000011 D -b111111100010110010010101100111 % -b111111100010110010010101100111 . -b111111100010110010010101100111 6 -b111111100010110010010101100111 @ -b111111100010110010010101100111 F -b0 ) -b1 ( -#163840000 -0& -#163856000 -b101000000000 , -#163872000 -1& -#163888000 -0! -b1 " -b1 4 -b11111111111111111111111011111111 0 -b11111111111111111111111011111111 H -b1 2 -b1 = -18 -b10010010010000100110011010001011 < -0# -b10000010001100111000101000011101 : -b11101111111100010010001110010001 $ -b11101111111100010010001110010001 - -b11101111111100010010001110010001 5 -b11101111111100010010001110010001 ? -b11101111111100010010001110010001 D -b1101101101111011001100101110100 % -b1101101101111011001100101110100 . -b1101101101111011001100101110100 6 -b1101101101111011001100101110100 @ -b1101101101111011001100101110100 F -b1 ) -b0 ( -#163904000 -0& -#163920000 -b101000000001 , -#163936000 -1& -#163952000 -0! -b1 " -b1 4 -b11111111111111101111111010010001 0 -b11111111111111101111111010010001 H -b1 2 -b1 = -19 -18 -b10000000101000001100001010110100 < -b1111000100101010101000100011001 : -b11110111111101001000111001100100 $ -b11110111111101001000111001100100 - -b11110111111101001000111001100100 5 -b11110111111101001000111001100100 ? -b11110111111101001000111001100100 D -b1111111010111110011110101001011 % -b1111111010111110011110101001011 . -b1111111010111110011110101001011 6 -b1111111010111110011110101001011 @ -b1111111010111110011110101001011 F -#163968000 -0& -#163984000 -b101000000010 , -#164000000 -1& -#164016000 -1! -b0 " -b0 4 -b10101111001111101010 0 -b10101111001111101010 H -09 -b0 2 -b0 = -08 -b11001101001011001010110101 < -1# -b101111000001000101101100001101 : -b101011110011111010100001010111 $ -b101011110011111010100001010111 - -b101011110011111010100001010111 5 -b101011110011111010100001010111 ? -b101011110011111010100001010111 D -b11111100110010110100110101001010 % -b11111100110010110100110101001010 . -b11111100110010110100110101001010 6 -b11111100110010110100110101001010 @ -b11111100110010110100110101001010 F -b0 ) -b1 ( -#164032000 -0& -#164048000 -b101000000011 , -#164064000 -1& -#164080000 -1! -b0 " -b0 4 -b111111111100011 0 -b111111111100011 H -b0 2 -b0 = -19 -08 -b100010101110001011001111 < -b10000000011011101010001100011011 : -b1111111111000111100000001001011 $ -b1111111111000111100000001001011 - -b1111111111000111100000001001011 5 -b1111111111000111100000001001011 ? -b1111111111000111100000001001011 D -b11111111011101010001110100110000 % -b11111111011101010001110100110000 . -b11111111011101010001110100110000 6 -b11111111011101010001110100110000 @ -b11111111011101010001110100110000 F -#164096000 -0& -#164112000 -b101000000100 , -#164128000 -1& -#164144000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -09 -08 -b101000011111110000000 < -b1011111100011000000110010100000 : -b1011111011101111100110100011111 $ -b1011111011101111100110100011111 - -b1011111011101111100110100011111 5 -b1011111011101111100110100011111 ? -b1011111011101111100110100011111 D -b11111111111010111100000001111111 % -b11111111111010111100000001111111 . -b11111111111010111100000001111111 6 -b11111111111010111100000001111111 @ -b11111111111010111100000001111111 F -#164160000 -0& -#164176000 -b101000000101 , -#164192000 -1& -#164208000 -b111000111111010110 0 -b111000111111010110 H -b1000000011001010010010 < -b1110010000110110000101000011100 : -b1110001111110101101011110001001 $ -b1110001111110101101011110001001 - -b1110001111110101101011110001001 5 -b1110001111110101101011110001001 ? -b1110001111110101101011110001001 D -b11111111110111111100110101101101 % -b11111111110111111100110101101101 . -b11111111110111111100110101101101 6 -b11111111110111111100110101101101 @ -b11111111110111111100110101101101 F -#164224000 -0& -#164240000 -b101000000110 , -#164256000 -1& -#164272000 -1! -b0 " -b0 4 -b11111111111111011101001011111011 0 -b11111111111111011101001011111011 H -b0 2 -b0 = -08 -b100010010000000110100101011001 < -b100001101101010010100000110011 : -b11111111011101001011111011011001 $ -b11111111011101001011111011011001 - -b11111111011101001011111011011001 5 -b11111111011101001011111011011001 ? -b11111111011101001011111011011001 D -b11011101101111111001011010100110 % -b11011101101111111001011010100110 . -b11011101101111111001011010100110 6 -b11011101101111111001011010100110 @ -b11011101101111111001011010100110 F -#164288000 -0& -#164304000 -b101000000111 , -#164320000 -1& -#164336000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b10100110010101001010010011000000 < -b11110010101000111000010010110 : -b1110111111111111100101111010101 $ -b1110111111111111100101111010101 - -b1110111111111111100101111010101 5 -b1110111111111111100101111010101 ? -b1110111111111111100101111010101 D -b1011001101010110101101100111111 % -b1011001101010110101101100111111 . -b1011001101010110101101100111111 6 -b1011001101010110101101100111111 @ -b1011001101010110101101100111111 F -#164352000 -0& -#164368000 -b101000001000 , -#164384000 -1& -#164400000 -0! -b1 " -b1 4 -b11111111111111011111111111110100 0 -b11111111111111011111111111110100 H -b1 2 -b1 = -18 -19 -b10001100000000001101000110010010 < -0# -b1001011111111110110101111111100 : -b10111111111111101001101001101001 $ -b10111111111111101001101001101001 - -b10111111111111101001101001101001 5 -b10111111111111101001101001101001 ? -b10111111111111101001101001101001 D -b1110011111111110010111001101101 % -b1110011111111110010111001101101 . -b1110011111111110010111001101101 6 -b1110011111111110010111001101101 @ -b1110011111111110010111001101101 F -b1 ) -b0 ( -#164416000 -0& -#164432000 -b101000001001 , -#164448000 -1& -#164464000 -1! -b0 " -b0 4 -b100001001100111 0 -b100001001100111 H -09 -b0 2 -b0 = -08 -b10100000000110100101100001111 < -1# -b1010110011010100111101110100010 : -b1000010011001110011000010010010 $ -b1000010011001110011000010010010 - -b1000010011001110011000010010010 5 -b1000010011001110011000010010010 ? -b1000010011001110011000010010010 D -b11101011111111001011010011110000 % -b11101011111111001011010011110000 . -b11101011111111001011010011110000 6 -b11101011111111001011010011110000 @ -b11101011111111001011010011110000 F -b0 ) -b1 ( -#164480000 -0& -#164496000 -b101000001010 , -#164512000 -1& -#164528000 -b111111 0 -b111111 H -b11100000100101101101000100000111 < -b100000100100011101100111001110 : -b111111111110110000100011000110 $ -b111111111110110000100011000110 - -b111111111110110000100011000110 5 -b111111111110110000100011000110 ? -b111111111110110000100011000110 D -b11111011010010010111011111000 % -b11111011010010010111011111000 . -b11111011010010010111011111000 6 -b11111011010010010111011111000 @ -b11111011010010010111011111000 F -#164544000 -0& -#164560000 -b101000001011 , -#164576000 -1& -#164592000 -0! -b1 " -b1 4 -b11111111111111111111111111110100 0 -b11111111111111111111111111110100 H -19 -b1 2 -b1 = -18 -b10100100101000010000010111000100 < -0# -b1001100011011111101000101000101 : -b10100111110011101100101110000000 $ -b10100111110011101100101110000000 - -b10100111110011101100101110000000 5 -b10100111110011101100101110000000 ? -b10100111110011101100101110000000 D -b1011011010111101111101000111011 % -b1011011010111101111101000111011 . -b1011011010111101111101000111011 6 -b1011011010111101111101000111011 @ -b1011011010111101111101000111011 F -b1 ) -b0 ( -#164608000 -0& -#164624000 -b101000001100 , -#164640000 -1& -#164656000 -1! -b0 " -b0 4 -b10111101000010 0 -b10111101000010 H -b0 2 -b0 = -08 -09 -b100000100001000111101001110 < -1# -b1100010100101100000101100011111 : -b1011110100001010111101111010000 $ -b1011110100001010111101111010000 - -b1011110100001010111101111010000 5 -b1011110100001010111101111010000 ? -b1011110100001010111101111010000 D -b11111011111011110111000010110001 % -b11111011111011110111000010110001 . -b11111011111011110111000010110001 6 -b11111011111011110111000010110001 @ -b11111011111011110111000010110001 F -b0 ) -b1 ( -#164672000 -0& -#164688000 -b101000001101 , -#164704000 -1& -#164720000 -0! -b1 " -b1 4 -b11100111101101110011001000010000 0 -b11100111101101110011001000010000 H -19 -b1 2 -b1 = -18 -b11000100100000101101100101011101 < -0# -b1100011010111111010000110011111 : -b10011110110111001100100001000001 $ -b10011110110111001100100001000001 - -b10011110110111001100100001000001 5 -b10011110110111001100100001000001 ? -b10011110110111001100100001000001 D -b111011011111010010011010100010 % -b111011011111010010011010100010 . -b111011011111010010011010100010 6 -b111011011111010010011010100010 @ -b111011011111010010011010100010 F -b1 ) -b0 ( -#164736000 -0& -#164752000 -b101000001110 , -#164768000 -1& -#164784000 -1! -b0 " -b0 4 -b111101111101101110001000101 0 -b111101111101101110001000101 H -b0 2 -b0 = -08 -19 -b10101001001000101101110111011 < -1# -b10010001000100100010000000010100 : -b1111011111011011100010001011000 $ -b1111011111011011100010001011000 - -b1111011111011011100010001011000 5 -b1111011111011011100010001011000 ? -b1111011111011011100010001011000 D -b11101010110110111010010001000100 % -b11101010110110111010010001000100 . -b11101010110110111010010001000100 6 -b11101010110110111010010001000100 @ -b11101010110110111010010001000100 F -b0 ) -b1 ( -#164800000 -0& -#164816000 -b101000001111 , -#164832000 -1& -#164848000 -1! -b0 " -b0 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -09 -b0 2 -b0 = -08 -b1000010100000001000001001101101 < -b1000010010101100111011110110110 : -b11111111110101011111010101001000 $ -b11111111110101011111010101001000 - -b11111111110101011111010101001000 5 -b11111111110101011111010101001000 ? -b11111111110101011111010101001000 D -b10111101011111110111110110010010 % -b10111101011111110111110110010010 . -b10111101011111110111110110010010 6 -b10111101011111110111110110010010 @ -b10111101011111110111110110010010 F -#164864000 -0& -#164880000 -b101000010000 , -#164896000 -1& -#164912000 -1! -b0 " -b0 4 -b11111110111111100101000101001 0 -b11111110111111100101000101001 H -b0 2 -b0 = -08 -b1000010100101111110 < -b111111110000111011110111010010 : -b111111101111111001010001010011 $ -b111111101111111001010001010011 - -b111111101111111001010001010011 5 -b111111101111111001010001010011 ? -b111111101111111001010001010011 D -b11111111111110111101011010000001 % -b11111111111110111101011010000001 . -b11111111111110111101011010000001 6 -b11111111111110111101011010000001 @ -b11111111111110111101011010000001 F -#164928000 -0& -#164944000 -b101000010001 , -#164960000 -1& -#164976000 -0! -b1 " -b1 4 -b11111111101111110111100010101111 0 -b11111111101111110111100010101111 H -19 -b1 2 -b1 = -18 -b10000110000000010101000101011000 < -0# -b1100101101111011010100101000001 : -b11011111101111000101011111101000 $ -b11011111101111000101011111101000 - -b11011111101111000101011111101000 5 -b11011111101111000101011111101000 ? -b11011111101111000101011111101000 D -b1111001111111101010111010100111 % -b1111001111111101010111010100111 . -b1111001111111101010111010100111 6 -b1111001111111101010111010100111 @ -b1111001111111101010111010100111 F -b1 ) -b0 ( -#164992000 -0& -#165008000 -b101000010010 , -#165024000 -1& -#165040000 -1! -b0 " -b0 4 -b11010111010100100 0 -b11010111010100100 H -09 -b0 2 -b0 = -08 -b11010001100010000101111010110001 < -1# -b111101001100010111111100000001 : -b1101011101010010010000001001111 $ -b1101011101010010010000001001111 - -b1101011101010010010000001001111 5 -b1101011101010010010000001001111 ? -b1101011101010010010000001001111 D -b101110011101111010000101001110 % -b101110011101111010000101001110 . -b101110011101111010000101001110 6 -b101110011101111010000101001110 @ -b101110011101111010000101001110 F -b0 ) -b1 ( -#165056000 -0& -#165072000 -b101000010011 , -#165088000 -1& -#165104000 -1! -b0 " -b0 4 -b11011111111111111111100110000 0 -b11011111111111111111100110000 H -b0 2 -b0 = -08 -b1000000110001011100011111 < -b11101000000110001011001010000 : -b11011111111111111111100110000 $ -b11011111111111111111100110000 - -b11011111111111111111100110000 5 -b11011111111111111111100110000 ? -b11011111111111111111100110000 D -b11111110111111001110100011100000 % -b11111110111111001110100011100000 . -b11111110111111001110100011100000 6 -b11111110111111001110100011100000 @ -b11111110111111001110100011100000 F -#165120000 -0& -#165136000 -b101000010100 , -#165152000 -1& -#165168000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -b10100001001010001011011101100110 < -0# -b10011000001001001010010011101110 : -b11110110111110111110110110000111 $ -b11110110111110111110110110000111 - -b11110110111110111110110110000111 5 -b11110110111110111110110110000111 ? -b11110110111110111110110110000111 D -b1011110110101110100100010011001 % -b1011110110101110100100010011001 . -b1011110110101110100100010011001 6 -b1011110110101110100100010011001 @ -b1011110110101110100100010011001 F -b1 ) -b0 ( -#165184000 -0& -#165200000 -b101000010101 , -#165216000 -1& -#165232000 -0! -b1 " -b1 4 -b111111001101111101000 0 -b111111001101111101000 H -b1 2 -b1 = -18 -b10000000011101011101010110010101 < -b11111110111001010111010111001000 : -b1111110011011111010000000110010 $ -b1111110011011111010000000110010 - -b1111110011011111010000000110010 5 -b1111110011011111010000000110010 ? -b1111110011011111010000000110010 D -b1111111100010100010101001101010 % -b1111111100010100010101001101010 . -b1111111100010100010101001101010 6 -b1111111100010100010101001101010 @ -b1111111100010100010101001101010 F -#165248000 -0& -#165264000 -b101000010110 , -#165280000 -1& -#165296000 -0! -b1 " -b1 4 -b11111111111111111111111111111010 0 -b11111111111111111111111111111010 H -b1 2 -b1 = -18 -b10000100000110001000111100100 < -b11100111000100111011111010110110 : -b11010110100100001010110011010001 $ -b11010110100100001010110011010001 - -b11010110100100001010110011010001 5 -b11010110100100001010110011010001 ? -b11010110100100001010110011010001 D -b11101111011111001110111000011011 % -b11101111011111001110111000011011 . -b11101111011111001110111000011011 6 -b11101111011111001110111000011011 @ -b11101111011111001110111000011011 F -#165312000 -0& -#165328000 -b101000010111 , -#165344000 -1& -#165360000 -1! -b0 " -b0 4 -b111111001111010 0 -b111111001111010 H -b0 2 -b0 = -08 -19 -b100110000000000010010100101111 < -1# -b10100100011110101001100110111111 : -b1111110011110100111010010001111 $ -b1111110011110100111010010001111 - -b1111110011110100111010010001111 5 -b1111110011110100111010010001111 ? -b1111110011110100111010010001111 D -b11011001111111111101101011010000 % -b11011001111111111101101011010000 . -b11011001111111111101101011010000 6 -b11011001111111111101101011010000 @ -b11011001111111111101101011010000 F -b0 ) -b1 ( -#165376000 -0& -#165392000 -b101000011000 , -#165408000 -1& -#165424000 -1! -b0 " -b0 4 -b11111111111111111110111010010101 0 -b11111111111111111110111010010101 H -09 -b0 2 -b0 = -08 -b100001000010000000101011111000 < -b100000111111110101010110011001 : -b11111111111101110100101010100000 $ -b11111111111101110100101010100000 - -b11111111111101110100101010100000 5 -b11111111111101110100101010100000 ? -b11111111111101110100101010100000 D -b11011110111101111111010100000111 % -b11011110111101111111010100000111 . -b11011110111101111111010100000111 6 -b11011110111101111111010100000111 @ -b11011110111101111111010100000111 F -#165440000 -0& -#165456000 -b101000011001 , -#165472000 -1& -#165488000 -0! -b1 " -b1 4 -b11111111111111111111111101111111 0 -b11111111111111111111111101111111 H -b1 2 -b1 = -18 -b1001000001010101000001001 < -0# -b11100001000101111001001010011100 : -b11011111111101101110100010010010 $ -b11011111111101101110100010010010 - -b11011111111101101110100010010010 5 -b11011111111101101110100010010010 ? -b11011111111101101110100010010010 D -b11111110110111110101010111110110 % -b11111110110111110101010111110110 . -b11111110110111110101010111110110 6 -b11111110110111110101010111110110 @ -b11111110110111110101010111110110 F -b1 ) -b0 ( -#165504000 -0& -#165520000 -b101000011010 , -#165536000 -1& -#165552000 -1! -b0 " -b0 4 -b11111111001111010001010001001111 0 -b11111111001111010001010001001111 H -b0 2 -b0 = -08 -b1100001001011000111001000111001 < -1# -b110000011100011000011000011100 : -b11001111010001010001001111100010 $ -b11001111010001010001001111100010 - -b11001111010001010001001111100010 5 -b11001111010001010001001111100010 ? -b11001111010001010001001111100010 D -b10011110110100111000110111000110 % -b10011110110100111000110111000110 . -b10011110110100111000110111000110 6 -b10011110110100111000110111000110 @ -b10011110110100111000110111000110 F -b0 ) -b1 ( -#165568000 -0& -#165584000 -b101000011011 , -#165600000 -1& -#165616000 -b11111111111111111110111111111111 0 -b11111111111111111110111111111111 H -b1000000000000101011011000010001 < -b111100000000101001001101000110 : -b11111011111111111101110100110100 $ -b11111011111111111101110100110100 - -b11111011111111111101110100110100 5 -b11111011111111111101110100110100 ? -b11111011111111111101110100110100 D -b10111111111111010100100111101110 % -b10111111111111010100100111101110 . -b10111111111111010100100111101110 6 -b10111111111111010100100111101110 @ -b10111111111111010100100111101110 F -#165632000 -0& -#165648000 -b101000011100 , -#165664000 -1& -#165680000 -0! -b1 " -b1 4 -b11111111111111111111111111100010 0 -b11111111111111111111111111100010 H -b1 2 -b1 = -18 -b11100001111100101100110 < -0# -b11000110000111000001110010110001 : -b11000101101010110010001101001010 $ -b11000101101010110010001101001010 - -b11000101101010110010001101001010 5 -b11000101101010110010001101001010 ? -b11000101101010110010001101001010 D -b11111111100011110000011010011001 % -b11111111100011110000011010011001 . -b11111111100011110000011010011001 6 -b11111111100011110000011010011001 @ -b11111111100011110000011010011001 F -b1 ) -b0 ( -#165696000 -0& -#165712000 -b101000011101 , -#165728000 -1& -#165744000 -1! -b0 " -b0 4 -b1111011111110110010100000011010 0 -b1111011111110110010100000011010 H -b0 2 -b0 = -08 -b11000000110001100011111 < -1# -b1111100010110111000101100111010 : -b1111011111110110010100000011010 $ -b1111011111110110010100000011010 - -b1111011111110110010100000011010 5 -b1111011111110110010100000011010 ? -b1111011111110110010100000011010 D -b11111111100111111001110011100000 % -b11111111100111111001110011100000 . -b11111111100111111001110011100000 6 -b11111111100111111001110011100000 @ -b11111111100111111001110011100000 F -b0 ) -b1 ( -#165760000 -0& -#165776000 -b101000011110 , -#165792000 -1& -#165808000 -b100011111011111101101 0 -b100011111011111101101 H -b10000000000110000110101 < -b1001000000111111100001010111011 : -b1000111110111111011011010000101 $ -b1000111110111111011011010000101 - -b1000111110111111011011010000101 5 -b1000111110111111011011010000101 ? -b1000111110111111011011010000101 D -b11111111101111111111001111001010 % -b11111111101111111111001111001010 . -b11111111101111111111001111001010 6 -b11111111101111111111001111001010 @ -b11111111101111111111001111001010 F -#165824000 -0& -#165840000 -b101000011111 , -#165856000 -1& -#165872000 -1! -b0 " -b0 4 -b1111111111011110 0 -b1111111111011110 H -b0 2 -b0 = -09 -08 -b10001010100000011100110011110000 < -b1010011100010001011111001111 : -b1111111111011110100101011011110 $ -b1111111111011110100101011011110 - -b1111111111011110100101011011110 5 -b1111111111011110100101011011110 ? -b1111111111011110100101011011110 D -b1110101011111100011001100001111 % -b1110101011111100011001100001111 . -b1110101011111100011001100001111 6 -b1110101011111100011001100001111 @ -b1110101011111100011001100001111 F -#165888000 -0& -#165904000 -b101000100000 , -#165920000 -1& -#165936000 -1! -b0 " -b0 4 -b111110110101010010 0 -b111110110101010010 H -b0 2 -b0 = -19 -08 -b1000000000101011100111111010010 < -b10111101110000000010001110101111 : -b1111101101010100101001111011100 $ -b1111101101010100101001111011100 - -b1111101101010100101001111011100 5 -b1111101101010100101001111011100 ? -b1111101101010100101001111011100 D -b10111111111010100011000000101101 % -b10111111111010100011000000101101 . -b10111111111010100011000000101101 6 -b10111111111010100011000000101101 @ -b10111111111010100011000000101101 F -#165952000 -0& -#165968000 -b101000100001 , -#165984000 -1& -#166000000 -0! -b1 " -b1 4 -b11111110111110111111110110001110 0 -b11111110111110111111110110001110 H -09 -b1 2 -b1 = -18 -b11001000100010000000101101011011 < -0# -b10111000010001111110010001001010 : -b11101111101111111101100011101110 $ -b11101111101111111101100011101110 - -b11101111101111111101100011101110 5 -b11101111101111111101100011101110 ? -b11101111101111111101100011101110 D -b110111011101111111010010100100 % -b110111011101111111010010100100 . -b110111011101111111010010100100 6 -b110111011101111111010010100100 @ -b110111011101111111010010100100 F -b1 ) -b0 ( -#166016000 -0& -#166032000 -b101000100010 , -#166048000 -1& -#166064000 -1! -b0 " -b0 4 -b111 0 -b111 H -19 -b0 2 -b0 = -08 -b1000011011001010111101100100011 < -1# -b11000010110011001111001010110000 : -b1111111011001110111011110001100 $ -b1111111011001110111011110001100 - -b1111111011001110111011110001100 5 -b1111111011001110111011110001100 ? -b1111111011001110111011110001100 D -b10111100100110101000010011011100 % -b10111100100110101000010011011100 . -b10111100100110101000010011011100 6 -b10111100100110101000010011011100 @ -b10111100100110101000010011011100 F -b0 ) -b1 ( -#166080000 -0& -#166096000 -b101000100011 , -#166112000 -1& -#166128000 -0! -b1 " -b1 4 -b11111111111110111110110111111000 0 -b11111111111110111110110111111000 H -b1 2 -b1 = -18 -b10001110000100110111111000010011 < -0# -b1001100111100110000110101000010 : -b10111110110111111000111100101110 $ -b10111110110111111000111100101110 - -b10111110110111111000111100101110 5 -b10111110110111111000111100101110 ? -b10111110110111111000111100101110 D -b1110001111011001000000111101100 % -b1110001111011001000000111101100 . -b1110001111011001000000111101100 6 -b1110001111011001000000111101100 @ -b1110001111011001000000111101100 F -b1 ) -b0 ( -#166144000 -0& -#166160000 -b101000100100 , -#166176000 -1& -#166192000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10100101001011000000101111101000 < -b10100101000010111011101011010001 : -b11111111110111111010111011101000 $ -b11111111110111111010111011101000 - -b11111111110111111010111011101000 5 -b11111111110111111010111011101000 ? -b11111111110111111010111011101000 D -b1011010110100111111010000010111 % -b1011010110100111111010000010111 . -b1011010110100111111010000010111 6 -b1011010110100111111010000010111 @ -b1011010110100111111010000010111 F -#166208000 -0& -#166224000 -b101000100101 , -#166240000 -1& -#166256000 -0! -b1 " -b1 4 -b111100101101011110000010 0 -b111100101101011110000010 H -b1 2 -b1 = -18 -b10000100100010000010001110111000 < -b11111101111100111110010011101100 : -b1111001011010111100000100110011 $ -b1111001011010111100000100110011 - -b1111001011010111100000100110011 5 -b1111001011010111100000100110011 ? -b1111001011010111100000100110011 D -b1111011011101111101110001000111 % -b1111011011101111101110001000111 . -b1111011011101111101110001000111 6 -b1111011011101111101110001000111 @ -b1111011011101111101110001000111 F -#166272000 -0& -#166288000 -b101000100110 , -#166304000 -1& -#166320000 -1! -b0 " -b0 4 -b11111111111111111101111011001010 0 -b11111111111111111101111011001010 H -b0 2 -b0 = -08 -b1010010100011000101000101110010 < -1# -b1001110011001011010000010110001 : -b11111011110110010100111100111110 $ -b11111011110110010100111100111110 - -b11111011110110010100111100111110 5 -b11111011110110010100111100111110 ? -b11111011110110010100111100111110 D -b10101101011100111010111010001101 % -b10101101011100111010111010001101 . -b10101101011100111010111010001101 6 -b10101101011100111010111010001101 @ -b10101101011100111010111010001101 F -b0 ) -b1 ( -#166336000 -0& -#166352000 -b101000100111 , -#166368000 -1& -#166384000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1000000100001011011100101000 < -b1000000100001011010101000000 : -b11111111111111111111111000010111 $ -b11111111111111111111111000010111 - -b11111111111111111111111000010111 5 -b11111111111111111111111000010111 ? -b11111111111111111111111000010111 D -b11110111111011110100100011010111 % -b11110111111011110100100011010111 . -b11110111111011110100100011010111 6 -b11110111111011110100100011010111 @ -b11110111111011110100100011010111 F -#166400000 -0& -#166416000 -b101000101000 , -#166432000 -1& -#166448000 -1! -b0 " -b0 4 -b101111001110111101111 0 -b101111001110111101111 H -b0 2 -b0 = -19 -08 -b101000000100001001000001110101 < -b10000110100010000100110011001111 : -b1011110011101111011110001011001 $ -b1011110011101111011110001011001 - -b1011110011101111011110001011001 5 -b1011110011101111011110001011001 ? -b1011110011101111011110001011001 D -b11010111111011110110111110001010 % -b11010111111011110110111110001010 . -b11010111111011110110111110001010 6 -b11010111111011110110111110001010 @ -b11010111111011110110111110001010 F -#166464000 -0& -#166480000 -b101000101001 , -#166496000 -1& -#166512000 -1! -b0 " -b0 4 -b11111111111111111110101111101101 0 -b11111111111111111110101111101101 H -09 -b0 2 -b0 = -08 -b1010000010100011110110010100 < -b1001011010011010100110111100 : -b11111111010111110110110000100111 $ -b11111111010111110110110000100111 - -b11111111010111110110110000100111 5 -b11111111010111110110110000100111 ? -b11111111010111110110110000100111 D -b11110101111101011100001001101011 % -b11110101111101011100001001101011 . -b11110101111101011100001001101011 6 -b11110101111101011100001001101011 @ -b11110101111101011100001001101011 F -#166528000 -0& -#166544000 -b101000101010 , -#166560000 -1& -#166576000 -1! -b0 " -b0 4 -b1111110101111111 0 -b1111110101111111 H -b0 2 -b0 = -08 -b100010001001100110110000 < -b1111111010010001001001000100010 : -b1111110101111111111100001110001 $ -b1111110101111111111100001110001 - -b1111110101111111111100001110001 5 -b1111110101111111111100001110001 ? -b1111110101111111111100001110001 D -b11111111011101110110011001001111 % -b11111111011101110110011001001111 . -b11111111011101110110011001001111 6 -b11111111011101110110011001001111 @ -b11111111011101110110011001001111 F -#166592000 -0& -#166608000 -b101000101011 , -#166624000 -1& -#166640000 -1! -b0 " -b0 4 -b11111111111111111111101011110111 0 -b11111111111111111111101011110111 H -b0 2 -b0 = -08 -b1000001100100011110111100101101 < -b101101011011111010010000110011 : -b11101011110111011011010100000101 $ -b11101011110111011011010100000101 - -b11101011110111011011010100000101 5 -b11101011110111011011010100000101 ? -b11101011110111011011010100000101 D -b10111110011011100001000011010010 % -b10111110011011100001000011010010 . -b10111110011011100001000011010010 6 -b10111110011011100001000011010010 @ -b10111110011011100001000011010010 F -#166656000 -0& -#166672000 -b101000101100 , -#166688000 -1& -#166704000 -1! -b0 " -b0 4 -b1111011110111101110000100110001 0 -b1111011110111101110000100110001 H -19 -b0 2 -b0 = -08 -b101001010000011101100111011111 < -b10100101001000001011101100010001 : -b1111011110111101110000100110001 $ -b1111011110111101110000100110001 - -b1111011110111101110000100110001 5 -b1111011110111101110000100110001 ? -b1111011110111101110000100110001 D -b11010110101111100010011000100000 % -b11010110101111100010011000100000 . -b11010110101111100010011000100000 6 -b11010110101111100010011000100000 @ -b11010110101111100010011000100000 F -#166720000 -0& -#166736000 -b101000101101 , -#166752000 -1& -#166768000 -1! -b0 " -b0 4 -b110111101100 0 -b110111101100 H -b0 2 -b0 = -09 -08 -b110000001110010111001100 < -b1110000001001110110111000001111 : -b1101111011001101000100001000010 $ -b1101111011001101000100001000010 - -b1101111011001101000100001000010 5 -b1101111011001101000100001000010 ? -b1101111011001101000100001000010 D -b11111111001111110001101000110011 % -b11111111001111110001101000110011 . -b11111111001111110001101000110011 6 -b11111111001111110001101000110011 @ -b11111111001111110001101000110011 F -#166784000 -0& -#166800000 -b101000101110 , -#166816000 -1& -#166832000 -b111111010111110010100 0 -b111111010111110010100 H -b11100110100010000110000100010110 < -b100101111001111000101001111001 : -b111111010111110010100101100010 $ -b111111010111110010100101100010 - -b111111010111110010100101100010 5 -b111111010111110010100101100010 ? -b111111010111110010100101100010 D -b11001011101111001111011101001 % -b11001011101111001111011101001 . -b11001011101111001111011101001 6 -b11001011101111001111011101001 @ -b11001011101111001111011101001 F -#166848000 -0& -#166864000 -b101000101111 , -#166880000 -1& -#166896000 -0! -b1 " -b1 4 -b11011111111110010110110010001011 0 -b11011111111110010110110010001011 H -b1 2 -b1 = -18 -b11100010000110000010101010011111 < -0# -b11000010000100011001011100101011 : -b11011111111110010110110010001011 $ -b11011111111110010110110010001011 - -b11011111111110010110110010001011 5 -b11011111111110010110110010001011 ? -b11011111111110010110110010001011 D -b11101111001111101010101100000 % -b11101111001111101010101100000 . -b11101111001111101010101100000 6 -b11101111001111101010101100000 @ -b11101111001111101010101100000 F -b1 ) -b0 ( -#166912000 -0& -#166928000 -b101000110000 , -#166944000 -1& -#166960000 -0! -b1 " -b1 4 -b11111111110011110101111111111000 0 -b11111111110011110101111111111000 H -b1 2 -b1 = -19 -18 -b10111001100000000001101101010110 < -b1011000010000000000101110010100 : -b10011110101111111111000000111101 $ -b10011110101111111111000000111101 - -b10011110101111111111000000111101 5 -b10011110101111111111000000111101 ? -b10011110101111111111000000111101 D -b1000110011111111110010010101001 % -b1000110011111111110010010101001 . -b1000110011111111110010010101001 6 -b1000110011111111110010010101001 @ -b1000110011111111110010010101001 F -#166976000 -0& -#166992000 -b101000110001 , -#167008000 -1& -#167024000 -1! -b0 " -b0 4 -b10111101101010101 0 -b10111101101010101 H -b0 2 -b0 = -08 -19 -b1100001100000000110101100110001 < -1# -b11000000010101011101010110110000 : -b1011110110101010110101001111110 $ -b1011110110101010110101001111110 - -b1011110110101010110101001111110 5 -b1011110110101010110101001111110 ? -b1011110110101010110101001111110 D -b10011110011111111001010011001110 % -b10011110011111111001010011001110 . -b10011110011111111001010011001110 6 -b10011110011111111001010011001110 @ -b10011110011111111001010011001110 F -b0 ) -b1 ( -#167040000 -0& -#167056000 -b101000110010 , -#167072000 -1& -#167088000 -1! -b0 " -b0 4 -b1110110100101101110000100100111 0 -b1110110100101101110000100100111 H -b0 2 -b0 = -09 -08 -b1000011100001010000001011111 < -b1111111000001111000000110000111 : -b1110110100101101110000100100111 $ -b1110110100101101110000100100111 - -b1110110100101101110000100100111 5 -b1110110100101101110000100100111 ? -b1110110100101101110000100100111 D -b11110111100011110101111110100000 % -b11110111100011110101111110100000 . -b11110111100011110101111110100000 6 -b11110111100011110101111110100000 @ -b11110111100011110101111110100000 F -#167104000 -0& -#167120000 -b101000110011 , -#167136000 -1& -#167152000 -0! -b1 " -b1 4 -b10011 0 -b10011 H -b1 2 -b1 = -18 -b10000000001001000011011100000110 < -0# -b10100111100100111101110010000110 : -b100111011011111010010101111111 $ -b100111011011111010010101111111 - -b100111011011111010010101111111 5 -b100111011011111010010101111111 ? -b100111011011111010010101111111 D -b1111111110110111100100011111001 % -b1111111110110111100100011111001 . -b1111111110110111100100011111001 6 -b1111111110110111100100011111001 @ -b1111111110110111100100011111001 F -b1 ) -b0 ( -#167168000 -0& -#167184000 -b101000110100 , -#167200000 -1& -#167216000 -0! -b1 " -b1 4 -b11111111111111111111010001101011 0 -b11111111111111111111010001101011 H -b1 2 -b1 = -18 -b10100001000001110100011001101 < -b11100101110011101101111100111000 : -b11010001101011011111011001101010 $ -b11010001101011011111011001101010 - -b11010001101011011111011001101010 5 -b11010001101011011111011001101010 ? -b11010001101011011111011001101010 D -b11101011110111110001011100110010 % -b11101011110111110001011100110010 . -b11101011110111110001011100110010 6 -b11101011110111110001011100110010 @ -b11101011110111110001011100110010 F -#167232000 -0& -#167248000 -b101000110101 , -#167264000 -1& -#167280000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -18 -b10000010001001001000111110100110 < -b10000000001001000111011011110111 : -b11111101111111111110011101010000 $ -b11111101111111111110011101010000 - -b11111101111111111110011101010000 5 -b11111101111111111110011101010000 ? -b11111101111111111110011101010000 D -b1111101110110110111000001011001 % -b1111101110110110111000001011001 . -b1111101110110110111000001011001 6 -b1111101110110110111000001011001 @ -b1111101110110110111000001011001 F -#167296000 -0& -#167312000 -b101000110110 , -#167328000 -1& -#167344000 -b11111111111111111111111101010100 0 -b11111111111111111111111101010100 H -b11001001010001001010000000010011 < -b11001001001110011110100110110110 : -b11111111111101010100100110100010 $ -b11111111111101010100100110100010 - -b11111111111101010100100110100010 5 -b11111111111101010100100110100010 ? -b11111111111101010100100110100010 D -b110110101110110101111111101100 % -b110110101110110101111111101100 . -b110110101110110101111111101100 6 -b110110101110110101111111101100 @ -b110110101110110101111111101100 F -#167360000 -0& -#167376000 -b101000110111 , -#167392000 -1& -#167408000 -b11111111110111111101011111110110 0 -b11111111110111111101011111110110 H -b110000010000000010100010010110 < -b11101111111100000001010100100110 : -b10111111101011111110110010001111 $ -b10111111101011111110110010001111 - -b10111111101011111110110010001111 5 -b10111111101011111110110010001111 ? -b10111111101011111110110010001111 D -b11001111101111111101011101101001 % -b11001111101111111101011101101001 . -b11001111101111111101011101101001 6 -b11001111101111111101011101101001 @ -b11001111101111111101011101101001 F -#167424000 -0& -#167440000 -b101000111000 , -#167456000 -1& -#167472000 -b111011101 0 -b111011101 H -b10000101110001001000110001001001 < -b11111101010000000010011010110110 : -b1110111011110111001101001101100 $ -b1110111011110111001101001101100 - -b1110111011110111001101001101100 5 -b1110111011110111001101001101100 ? -b1110111011110111001101001101100 D -b1111010001110110111001110110110 % -b1111010001110110111001110110110 . -b1111010001110110111001110110110 6 -b1111010001110110111001110110110 @ -b1111010001110110111001110110110 F -#167488000 -0& -#167504000 -b101000111001 , -#167520000 -1& -#167536000 -0! -b1 " -b1 4 -b11111111111111111111111111110011 0 -b11111111111111111111111111110011 H -b1 2 -b1 = -18 -b10010110000100000001011110001000 < -b10001111101111110001100000000111 : -b11111001101011110000000001111110 $ -b11111001101011110000000001111110 - -b11111001101011110000000001111110 5 -b11111001101011110000000001111110 ? -b11111001101011110000000001111110 D -b1101001111011111110100001110111 % -b1101001111011111110100001110111 . -b1101001111011111110100001110111 6 -b1101001111011111110100001110111 @ -b1101001111011111110100001110111 F -#167552000 -0& -#167568000 -b101000111010 , -#167584000 -1& -#167600000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -09 -18 -b10100001110010111001100001100010 < -b10000001010000101011001000001101 : -b11011111011101110001100110101010 $ -b11011111011101110001100110101010 - -b11011111011101110001100110101010 5 -b11011111011101110001100110101010 ? -b11011111011101110001100110101010 D -b1011110001101000110011110011101 % -b1011110001101000110011110011101 . -b1011110001101000110011110011101 6 -b1011110001101000110011110011101 @ -b1011110001101000110011110011101 F -#167616000 -0& -#167632000 -b101000111011 , -#167648000 -1& -#167664000 -1! -b0 " -b0 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -b0 2 -b0 = -08 -b1000000000000000111010100001000 < -1# -b11111100110110010110110001111 : -b11011111100110101011100010000110 $ -b11011111100110101011100010000110 - -b11011111100110101011100010000110 5 -b11011111100110101011100010000110 ? -b11011111100110101011100010000110 D -b10111111111111111000101011110111 % -b10111111111111111000101011110111 . -b10111111111111111000101011110111 6 -b10111111111111111000101011110111 @ -b10111111111111111000101011110111 F -b0 ) -b1 ( -#167680000 -0& -#167696000 -b101000111100 , -#167712000 -1& -#167728000 -1! -b0 " -b0 4 -b111110110111011101000100 0 -b111110110111011101000100 H -b0 2 -b0 = -08 -b10000011000100000001011010011000 < -b110010111011100010101011 : -b1111101101110111010001000010010 $ -b1111101101110111010001000010010 - -b1111101101110111010001000010010 5 -b1111101101110111010001000010010 ? -b1111101101110111010001000010010 D -b1111100111011111110100101100111 % -b1111100111011111110100101100111 . -b1111100111011111110100101100111 6 -b1111100111011111110100101100111 @ -b1111100111011111110100101100111 F -#167744000 -0& -#167760000 -b101000111101 , -#167776000 -1& -#167792000 -0! -b1 " -b1 4 -b11111111111111110111110111100111 0 -b11111111111111110111110111100111 H -b1 2 -b1 = -18 -b1000110000000001000111010000 < -0# -b11000111101101000000000100100110 : -b10111110111100111110111101010101 $ -b10111110111100111110111101010101 - -b10111110111100111110111101010101 5 -b10111110111100111110111101010101 ? -b10111110111100111110111101010101 D -b11110111001111111110111000101111 % -b11110111001111111110111000101111 . -b11110111001111111110111000101111 6 -b11110111001111111110111000101111 @ -b11110111001111111110111000101111 F -b1 ) -b0 ( -#167808000 -0& -#167824000 -b101000111110 , -#167840000 -1& -#167856000 -0! -b1 " -b1 4 -b11111111111101011001111111000110 0 -b11111111111101011001111111000110 H -b1 2 -b1 = -19 -18 -b10000010000011000110110100110100 < -b101111000010101001111000010011 : -b10101100111111100011000011011110 $ -b10101100111111100011000011011110 - -b10101100111111100011000011011110 5 -b10101100111111100011000011011110 ? -b10101100111111100011000011011110 D -b1111101111100111001001011001011 % -b1111101111100111001001011001011 . -b1111101111100111001001011001011 6 -b1111101111100111001001011001011 @ -b1111101111100111001001011001011 F -#167872000 -0& -#167888000 -b101000111111 , -#167904000 -1& -#167920000 -b11111110111111111111111011011110 0 -b11111110111111111111111011011110 H -b10000011010101001101100000111001 < -b1000011010101001000111110111010 : -b10111111111111111011011110000000 $ -b10111111111111111011011110000000 - -b10111111111111111011011110000000 5 -b10111111111111111011011110000000 ? -b10111111111111111011011110000000 D -b1111100101010110010011111000110 % -b1111100101010110010011111000110 . -b1111100101010110010011111000110 6 -b1111100101010110010011111000110 @ -b1111100101010110010011111000110 F -#167936000 -0& -#167952000 -b101001000000 , -#167968000 -1& -#167984000 -1! -b0 " -b0 4 -b101 0 -b101 H -09 -b0 2 -b0 = -08 -b11100100100101111100001000011 < -1# -b1111011100011110011001010000001 : -b1011110111111000011101000111101 $ -b1011110111111000011101000111101 - -b1011110111111000011101000111101 5 -b1011110111111000011101000111101 ? -b1011110111111000011101000111101 D -b11100011011011010000011110111100 % -b11100011011011010000011110111100 . -b11100011011011010000011110111100 6 -b11100011011011010000011110111100 @ -b11100011011011010000011110111100 F -b0 ) -b1 ( -#168000000 -0& -#168016000 -b101001000001 , -#168032000 -1& -#168048000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -09 -b10001000011000000110000110001000 < -0# -b10001000001111001110010000111110 : -b11111111110111001000001010110101 $ -b11111111110111001000001010110101 - -b11111111110111001000001010110101 5 -b11111111110111001000001010110101 ? -b11111111110111001000001010110101 D -b1110111100111111001111001110111 % -b1110111100111111001111001110111 . -b1110111100111111001111001110111 6 -b1110111100111111001111001110111 @ -b1110111100111111001111001110111 F -b1 ) -b0 ( -#168064000 -0& -#168080000 -b101001000010 , -#168096000 -1& -#168112000 -1! -b0 " -b0 4 -b11111111111111111111111110011111 0 -b11111111111111111111111110011111 H -b0 2 -b0 = -09 -08 -b111010001000001000111111101010 < -1# -b101110001000000000001110110000 : -b11110011111111110111001111000101 $ -b11110011111111110111001111000101 - -b11110011111111110111001111000101 5 -b11110011111111110111001111000101 ? -b11110011111111110111001111000101 D -b11000101110111110111000000010101 % -b11000101110111110111000000010101 . -b11000101110111110111000000010101 6 -b11000101110111110111000000010101 @ -b11000101110111110111000000010101 F -b0 ) -b1 ( -#168128000 -0& -#168144000 -b101001000011 , -#168160000 -1& -#168176000 -0! -b1 " -b1 4 -b111011111111011 0 -b111011111111011 H -b1 2 -b1 = -18 -b10000001111100001011111011001111 < -0# -b11111001111011001011110001000101 : -b1110111111110111111110101110101 $ -b1110111111110111111110101110101 - -b1110111111110111111110101110101 5 -b1110111111110111111110101110101 ? -b1110111111110111111110101110101 D -b1111110000011110100000100110000 % -b1111110000011110100000100110000 . -b1111110000011110100000100110000 6 -b1111110000011110100000100110000 @ -b1111110000011110100000100110000 F -b1 ) -b0 ( -#168192000 -0& -#168208000 -b101001000100 , -#168224000 -1& -#168240000 -b11111111111111111111111111110010 0 -b11111111111111111111111111110010 H -b10100001001001000110111011110001 < -b10100001001000010001001110110101 : -b11111111111111001010010011000011 $ -b11111111111111001010010011000011 - -b11111111111111001010010011000011 5 -b11111111111111001010010011000011 ? -b11111111111111001010010011000011 D -b1011110110110111001000100001110 % -b1011110110110111001000100001110 . -b1011110110110111001000100001110 6 -b1011110110110111001000100001110 @ -b1011110110110111001000100001110 F -#168256000 -0& -#168272000 -b101001000101 , -#168288000 -1& -#168304000 -1! -b0 " -b0 4 -b11111111111110100101 0 -b11111111111110100101 H -19 -b0 2 -b0 = -08 -b1000100100100100010111110100 < -1# -b10001000100011110111010111011010 : -b1111111111111010010111111100101 $ -b1111111111111010010111111100101 - -b1111111111111010010111111100101 5 -b1111111111111010010111111100101 ? -b1111111111111010010111111100101 D -b11110111011011011011101000001011 % -b11110111011011011011101000001011 . -b11110111011011011011101000001011 6 -b11110111011011011011101000001011 @ -b11110111011011011011101000001011 F -b0 ) -b1 ( -#168320000 -0& -#168336000 -b101001000110 , -#168352000 -1& -#168368000 -0! -b1 " -b1 4 -b111110101 0 -b111110101 H -b1 2 -b1 = -18 -09 -b10000001000000000010101101101001 < -0# -b11111110010001111001011000001011 : -b1111101010001110110101010100001 $ -b1111101010001110110101010100001 - -b1111101010001110110101010100001 5 -b1111101010001110110101010100001 ? -b1111101010001110110101010100001 D -b1111110111111111101010010010110 % -b1111110111111111101010010010110 . -b1111110111111111101010010010110 6 -b1111110111111111101010010010110 @ -b1111110111111111101010010010110 F -b1 ) -b0 ( -#168384000 -0& -#168400000 -b101001000111 , -#168416000 -1& -#168432000 -0! -b1 " -b1 4 -b11111111111111110111111011111001 0 -b11111111111111110111111011111001 H -b1 2 -b1 = -18 -b1100010000000111001110010 < -b11110001011001110100101110111101 : -b11101111110111110011110101001010 $ -b11101111110111110011110101001010 - -b11101111110111110011110101001010 5 -b11101111110111110011110101001010 ? -b11101111110111110011110101001010 D -b11111110011101111111000110001101 % -b11111110011101111111000110001101 . -b11111110011101111111000110001101 6 -b11111110011101111111000110001101 @ -b11111110011101111111000110001101 F -#168448000 -0& -#168464000 -b101001001000 , -#168480000 -1& -#168496000 -0! -b1 " -b1 4 -b11111111111111111111111110011111 0 -b11111111111111111111111110011111 H -b1 2 -b1 = -19 -18 -b10000010000100010111111010100111 < -b100001110111101100111100110100 : -b10011111110011010101000010001100 $ -b10011111110011010101000010001100 - -b10011111110011010101000010001100 5 -b10011111110011010101000010001100 ? -b10011111110011010101000010001100 D -b1111101111011101000000101011000 % -b1111101111011101000000101011000 . -b1111101111011101000000101011000 6 -b1111101111011101000000101011000 @ -b1111101111011101000000101011000 F -#168512000 -0& -#168528000 -b101001001001 , -#168544000 -1& -#168560000 -0! -b1 " -b1 4 -b1 2 -b1 = -09 -18 -b10000001100001000111100110001101 < -b10000000000000101110111100100110 : -b11111110011111100111010110011000 $ -b11111110011111100111010110011000 - -b11111110011111100111010110011000 5 -b11111110011111100111010110011000 ? -b11111110011111100111010110011000 D -b1111110011110111000011001110010 % -b1111110011110111000011001110010 . -b1111110011110111000011001110010 6 -b1111110011110111000011001110010 @ -b1111110011110111000011001110010 F -#168576000 -0& -#168592000 -b101001001010 , -#168608000 -1& -#168624000 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -b10100000101000110011110011001100 < -b10100000000111000100000001011010 : -b11111111011110010000001110001101 $ -b11111111011110010000001110001101 - -b11111111011110010000001110001101 5 -b11111111011110010000001110001101 ? -b11111111011110010000001110001101 D -b1011111010111001100001100110011 % -b1011111010111001100001100110011 . -b1011111010111001100001100110011 6 -b1011111010111001100001100110011 @ -b1011111010111001100001100110011 F -#168640000 -0& -#168656000 -b101001001011 , -#168672000 -1& -#168688000 -1! -b0 " -b0 4 -b110 0 -b110 H -b0 2 -b0 = -08 -b10011010001001101101101110000011 < -1# -b111101001000010111101111110 : -b1101101011111010101001111111010 $ -b1101101011111010101001111111010 - -b1101101011111010101001111111010 5 -b1101101011111010101001111111010 ? -b1101101011111010101001111111010 D -b1100101110110010010010001111100 % -b1100101110110010010010001111100 . -b1100101110110010010010001111100 6 -b1100101110110010010010001111100 @ -b1100101110110010010010001111100 F -b0 ) -b1 ( -#168704000 -0& -#168720000 -b101001001100 , -#168736000 -1& -#168752000 -b1111110110111111110 0 -b1111110110111111110 H -b10110000000000011110000111110011 < -b101110111000011100001110110001 : -b1111110110111111110000110111101 $ -b1111110110111111110000110111101 - -b1111110110111111110000110111101 5 -b1111110110111111110000110111101 ? -b1111110110111111110000110111101 D -b1001111111111100001111000001100 % -b1001111111111100001111000001100 . -b1001111111111100001111000001100 6 -b1001111111111100001111000001100 @ -b1001111111111100001111000001100 F -#168768000 -0& -#168784000 -b101001001101 , -#168800000 -1& -#168816000 -0! -b1 " -b1 4 -b11111111111101001111101110101011 0 -b11111111111101001111101110101011 H -b1 2 -b1 = -18 -b111001000011010000110101010100 < -0# -b11100000111010100110011001001100 : -b10100111110111010101100011110111 $ -b10100111110111010101100011110111 - -b10100111110111010101100011110111 5 -b10100111110111010101100011110111 ? -b10100111110111010101100011110111 D -b11000110111100101111001010101011 % -b11000110111100101111001010101011 . -b11000110111100101111001010101011 6 -b11000110111100101111001010101011 @ -b11000110111100101111001010101011 F -b1 ) -b0 ( -#168832000 -0& -#168848000 -b101001001110 , -#168864000 -1& -#168880000 -0! -b1 " -b1 4 -b11111111110111001101100111111101 0 -b11111111110111001101100111111101 H -19 -b1 2 -b1 = -18 -b10001000010000001011111110010111 < -b1100101000110101011110101101011 : -b11011100110110011111110111010011 $ -b11011100110110011111110111010011 - -b11011100110110011111110111010011 5 -b11011100110110011111110111010011 ? -b11011100110110011111110111010011 D -b1110111101111110100000001101000 % -b1110111101111110100000001101000 . -b1110111101111110100000001101000 6 -b1110111101111110100000001101000 @ -b1110111101111110100000001101000 F -#168896000 -0& -#168912000 -b101001001111 , -#168928000 -1& -#168944000 -0! -b1 " -b1 4 -b11011111011110111101000101100001 0 -b11011111011110111101000101100001 H -b1 2 -b1 = -09 -18 -b101011000000101001110111111110 < -b11101001111110100100000011000010 : -b10111110111101111010001011000011 $ -b10111110111101111010001011000011 - -b10111110111101111010001011000011 5 -b10111110111101111010001011000011 ? -b10111110111101111010001011000011 D -b11010100111111010110001000000001 % -b11010100111111010110001000000001 . -b11010100111111010110001000000001 6 -b11010100111111010110001000000001 @ -b11010100111111010110001000000001 F -#168960000 -0& -#168976000 -b101001010000 , -#168992000 -1& -#169008000 -1! -b0 " -b0 4 -b101 0 -b101 H -b0 2 -b0 = -08 -b10100100000010010111100011 < -1# -b1011101101011011011100100010110 : -b1011011000111011001001100110010 $ -b1011011000111011001001100110010 - -b1011011000111011001001100110010 5 -b1011011000111011001001100110010 ? -b1011011000111011001001100110010 D -b11111101011011111101101000011100 % -b11111101011011111101101000011100 . -b11111101011011111101101000011100 6 -b11111101011011111101101000011100 @ -b11111101011011111101101000011100 F -b0 ) -b1 ( -#169024000 -0& -#169040000 -b101001010001 , -#169056000 -1& -#169072000 -1! -b0 " -b0 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b0 2 -b0 = -08 -b1000000000000010111011111000101 < -b101100011000010100000101011100 : -b11101100010111111100100110010110 $ -b11101100010111111100100110010110 - -b11101100010111111100100110010110 5 -b11101100010111111100100110010110 ? -b11101100010111111100100110010110 D -b10111111111111101000100000111010 % -b10111111111111101000100000111010 . -b10111111111111101000100000111010 6 -b10111111111111101000100000111010 @ -b10111111111111101000100000111010 F -#169088000 -0& -#169104000 -b101001010010 , -#169120000 -1& -#169136000 -0! -b1 " -b1 4 -b11111111111111111111110110111011 0 -b11111111111111111111110110111011 H -b1 2 -b1 = -18 -b11001000000001100010011101010 < -0# -b11010000011011111100000100001100 : -b10110111011011101111110000100001 $ -b10110111011011101111110000100001 - -b10110111011011101111110000100001 5 -b10110111011011101111110000100001 ? -b10110111011011101111110000100001 D -b11100110111111110011101100010101 % -b11100110111111110011101100010101 . -b11100110111111110011101100010101 6 -b11100110111111110011101100010101 @ -b11100110111111110011101100010101 F -b1 ) -b0 ( -#169152000 -0& -#169168000 -b101001010011 , -#169184000 -1& -#169200000 -0! -b1 " -b1 4 -b1010 0 -b1010 H -b1 2 -b1 = -18 -b11000101100000111001101010100101 < -b11110001100000010100101100011000 : -b101011111111011011000001110010 $ -b101011111111011011000001110010 - -b101011111111011011000001110010 5 -b101011111111011011000001110010 ? -b101011111111011011000001110010 D -b111010011111000110010101011010 % -b111010011111000110010101011010 . -b111010011111000110010101011010 6 -b111010011111000110010101011010 @ -b111010011111000110010101011010 F -#169216000 -0& -#169232000 -b101001010100 , -#169248000 -1& -#169264000 -1! -b0 " -b0 4 -b10111111011111110 0 -b10111111011111110 H -19 -b0 2 -b0 = -08 -b1110101000101111001000011010001 < -1# -b11010100110101110011101000001001 : -b1011111101111111010100100110111 $ -b1011111101111111010100100110111 - -b1011111101111111010100100110111 5 -b1011111101111111010100100110111 ? -b1011111101111111010100100110111 D -b10001010111010000110111100101110 % -b10001010111010000110111100101110 . -b10001010111010000110111100101110 6 -b10001010111010000110111100101110 @ -b10001010111010000110111100101110 F -b0 ) -b1 ( -#169280000 -0& -#169296000 -b101001010101 , -#169312000 -1& -#169328000 -0! -b1 " -b1 4 -b110111010111111000100 0 -b110111010111111000100 H -b1 2 -b1 = -18 -09 -b10000001101101000011000011110110 < -0# -b10111001000100111011101010011010 : -b110111010111111000100110100011 $ -b110111010111111000100110100011 - -b110111010111111000100110100011 5 -b110111010111111000100110100011 ? -b110111010111111000100110100011 D -b1111110010010111100111100001001 % -b1111110010010111100111100001001 . -b1111110010010111100111100001001 6 -b1111110010010111100111100001001 @ -b1111110010010111100111100001001 F -b1 ) -b0 ( -#169344000 -0& -#169360000 -b101001010110 , -#169376000 -1& -#169392000 -0! -b1 " -b1 4 -b11111111111111111111011111101110 0 -b11111111111111111111011111101110 H -b1 2 -b1 = -18 -b10110000101001010001101101 < -b11100010011111011101100010110010 : -b11011111101110110100010001000100 $ -b11011111101110110100010001000100 - -b11011111101110110100010001000100 5 -b11011111101110110100010001000100 ? -b11011111101110110100010001000100 D -b11111101001111010110101110010010 % -b11111101001111010110101110010010 . -b11111101001111010110101110010010 6 -b11111101001111010110101110010010 @ -b11111101001111010110101110010010 F -#169408000 -0& -#169424000 -b101001010111 , -#169440000 -1& -#169456000 -1! -b0 " -b0 4 -b11110111110101010101101110101 0 -b11110111110101010101101110101 H -b0 2 -b0 = -08 -b11001011000000110000011110111101 < -1# -b1000110111011011011010110010101 : -b1111011111010101010110111010111 $ -b1111011111010101010110111010111 - -b1111011111010101010110111010111 5 -b1111011111010101010110111010111 ? -b1111011111010101010110111010111 D -b110100111111001111100001000010 % -b110100111111001111100001000010 . -b110100111111001111100001000010 6 -b110100111111001111100001000010 @ -b110100111111001111100001000010 F -b0 ) -b1 ( -#169472000 -0& -#169488000 -b101001011000 , -#169504000 -1& -#169520000 -1! -b0 " -b0 4 -b11111111111111100011110110111111 0 -b11111111111111100011110110111111 H -b0 2 -b0 = -08 -b110010010001000001011111110111 < -b110000100000011101011111101110 : -b11111110001111011011111111110110 $ -b11111110001111011011111111110110 - -b11111110001111011011111111110110 5 -b11111110001111011011111111110110 ? -b11111110001111011011111111110110 D -b11001101101110111110100000001000 % -b11001101101110111110100000001000 . -b11001101101110111110100000001000 6 -b11001101101110111110100000001000 @ -b11001101101110111110100000001000 F -#169536000 -0& -#169552000 -b101001011001 , -#169568000 -1& -#169584000 -0! -b1 " -b1 4 -b11111111101101011101111001111010 0 -b11111111101101011101111001111010 H -b1 2 -b1 = -18 -b10001000111010001111000 < -0# -b11011011001100111011000110110111 : -b11011010111011110011110100111110 $ -b11011010111011110011110100111110 - -b11011010111011110011110100111110 5 -b11011010111011110011110100111110 ? -b11011010111011110011110100111110 D -b11111111101110111000101110000111 % -b11111111101110111000101110000111 . -b11111111101110111000101110000111 6 -b11111111101110111000101110000111 @ -b11111111101110111000101110000111 F -b1 ) -b0 ( -#169600000 -0& -#169616000 -b101001011010 , -#169632000 -1& -#169648000 -1! -b0 " -b0 4 -b11011001101111 0 -b11011001101111 H -b0 2 -b0 = -08 -b100100110100011000111001110 < -1# -b1110001011110100001110100011010 : -b1101100110111111110101101001011 $ -b1101100110111111110101101001011 - -b1101100110111111110101101001011 5 -b1101100110111111110101101001011 ? -b1101100110111111110101101001011 D -b11111011011001011100111000110001 % -b11111011011001011100111000110001 . -b11111011011001011100111000110001 6 -b11111011011001011100111000110001 @ -b11111011011001011100111000110001 F -b0 ) -b1 ( -#169664000 -0& -#169680000 -b101001011011 , -#169696000 -1& -#169712000 -0! -b1 " -b1 4 -b111110110111111000011100011 0 -b111110110111111000011100011 H -b1 2 -b1 = -09 -18 -b10000000100000000010000111011011 < -0# -b11111110001111110011000000010011 : -b1111101101111110000111000110111 $ -b1111101101111110000111000110111 - -b1111101101111110000111000110111 5 -b1111101101111110000111000110111 ? -b1111101101111110000111000110111 D -b1111111011111111101111000100100 % -b1111111011111111101111000100100 . -b1111111011111111101111000100100 6 -b1111111011111111101111000100100 @ -b1111111011111111101111000100100 F -b1 ) -b0 ( -#169728000 -0& -#169744000 -b101001011100 , -#169760000 -1& -#169776000 -1! -b0 " -b0 4 -b111110111101011110 0 -b111110111101011110 H -b0 2 -b0 = -08 -19 -b100011101000001011100010010010 < -1# -b10100001100011000111101000000010 : -b1111101111010111100000101101111 $ -b1111101111010111100000101101111 - -b1111101111010111100000101101111 5 -b1111101111010111100000101101111 ? -b1111101111010111100000101101111 D -b11011100010111110100011101101101 % -b11011100010111110100011101101101 . -b11011100010111110100011101101101 6 -b11011100010111110100011101101101 @ -b11011100010111110100011101101101 F -b0 ) -b1 ( -#169792000 -0& -#169808000 -b101001011101 , -#169824000 -1& -#169840000 -1! -b0 " -b0 4 -b111111111 0 -b111111111 H -b0 2 -b0 = -09 -08 -b10110000100000100111011000001001 < -b110000011100100001010011100101 : -b1111111111011111001111011011011 $ -b1111111111011111001111011011011 - -b1111111111011111001111011011011 5 -b1111111111011111001111011011011 ? -b1111111111011111001111011011011 D -b1001111011111011000100111110110 % -b1001111011111011000100111110110 . -b1001111011111011000100111110110 6 -b1001111011111011000100111110110 @ -b1001111011111011000100111110110 F -#169856000 -0& -#169872000 -b101001011110 , -#169888000 -1& -#169904000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -b11000101101010101001010 < -0# -b11111111110110001100111110001000 : -b11111111011101011111101000111101 $ -b11111111011101011111101000111101 - -b11111111011101011111101000111101 5 -b11111111011101011111101000111101 ? -b11111111011101011111101000111101 D -b11111111100111010010101010110101 % -b11111111100111010010101010110101 . -b11111111100111010010101010110101 6 -b11111111100111010010101010110101 @ -b11111111100111010010101010110101 F -b1 ) -b0 ( -#169920000 -0& -#169936000 -b101001011111 , -#169952000 -1& -#169968000 -1! -b0 " -b0 4 -b11111110011100111000100011111010 0 -b11111110011100111000100011111010 H -b0 2 -b0 = -08 -b101110010000100101101011111110 < -1# -b101011001010010110110011110011 : -b11111100111001110001000111110100 $ -b11111100111001110001000111110100 - -b11111100111001110001000111110100 5 -b11111100111001110001000111110100 ? -b11111100111001110001000111110100 D -b11010001101111011010010100000001 % -b11010001101111011010010100000001 . -b11010001101111011010010100000001 6 -b11010001101111011010010100000001 @ -b11010001101111011010010100000001 F -b0 ) -b1 ( -#169984000 -0& -#170000000 -b101001100000 , -#170016000 -1& -#170032000 -b1111010111110110011011 0 -b1111010111110110011011 H -b1010100001010001011111100111001 < -b1100011100010000010011000001001 : -b1111010111110110011011001111 $ -b1111010111110110011011001111 - -b1111010111110110011011001111 5 -b1111010111110110011011001111 ? -b1111010111110110011011001111 D -b10101011110101110100000011000110 % -b10101011110101110100000011000110 . -b10101011110101110100000011000110 6 -b10101011110101110100000011000110 @ -b10101011110101110100000011000110 F -#170048000 -0& -#170064000 -b101001100001 , -#170080000 -1& -#170096000 -0! -b1 " -b1 4 -b11111111111111111100111111101111 0 -b11111111111111111100111111101111 H -19 -b1 2 -b1 = -18 -b10010010010000000101101110001110 < -0# -b110010000111111110110101010100 : -b10011111110111111001000111000101 $ -b10011111110111111001000111000101 - -b10011111110111111001000111000101 5 -b10011111110111111001000111000101 ? -b10011111110111111001000111000101 D -b1101101101111111010010001110001 % -b1101101101111111010010001110001 . -b1101101101111111010010001110001 6 -b1101101101111111010010001110001 @ -b1101101101111111010010001110001 F -b1 ) -b0 ( -#170112000 -0& -#170128000 -b101001100010 , -#170144000 -1& -#170160000 -0! -b1 " -b1 4 -b11111011111111 0 -b11111011111111 H -09 -b1 2 -b1 = -18 -b10000101011000111101001111001111 < -b11000100011000110011111000110110 : -b111110111111110110101001100110 $ -b111110111111110110101001100110 - -b111110111111110110101001100110 5 -b111110111111110110101001100110 ? -b111110111111110110101001100110 D -b1111010100111000010110000110000 % -b1111010100111000010110000110000 . -b1111010100111000010110000110000 6 -b1111010100111000010110000110000 @ -b1111010100111000010110000110000 F -#170176000 -0& -#170192000 -b101001100011 , -#170208000 -1& -#170224000 -b0 0 -b0 H -b11000001010000100100111011000001 < -b11111000001100000110110010000010 : -b110110111011100001110111000000 $ -b110110111011100001110111000000 - -b110110111011100001110111000000 5 -b110110111011100001110111000000 ? -b110110111011100001110111000000 D -b111110101111011011000100111110 % -b111110101111011011000100111110 . -b111110101111011011000100111110 6 -b111110101111011011000100111110 @ -b111110101111011011000100111110 F -#170240000 -0& -#170256000 -b101001100100 , -#170272000 -1& -#170288000 -1! -b0 " -b0 4 -b11101110111100001010100 0 -b11101110111100001010100 H -19 -b0 2 -b0 = -08 -b10001100001001111011110110111 < -1# -b10001000111111010100110000110000 : -b1110111011110000101010001111000 $ -b1110111011110000101010001111000 - -b1110111011110000101010001111000 5 -b1110111011110000101010001111000 ? -b1110111011110000101010001111000 D -b11101110011110110000100001001000 % -b11101110011110110000100001001000 . -b11101110011110110000100001001000 6 -b11101110011110110000100001001000 @ -b11101110011110110000100001001000 F -b0 ) -b1 ( -#170304000 -0& -#170320000 -b101001100101 , -#170336000 -1& -#170352000 -b11111111111111001110101110110111 0 -b11111111111111001110101110110111 H -09 -b111000001110000111000101010011 < -b110111100111110101001110001 : -b11001110101110110111100100011101 $ -b11001110101110110111100100011101 - -b11001110101110110111100100011101 5 -b11001110101110110111100100011101 ? -b11001110101110110111100100011101 D -b11000111110001111000111010101100 % -b11000111110001111000111010101100 . -b11000111110001111000111010101100 6 -b11000111110001111000111010101100 @ -b11000111110001111000111010101100 F -#170368000 -0& -#170384000 -b101001100110 , -#170400000 -1& -#170416000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10000001110001000010111001100110 < -0# -b10000001010000101110110010010011 : -b11111111011111101011111000101100 $ -b11111111011111101011111000101100 - -b11111111011111101011111000101100 5 -b11111111011111101011111000101100 ? -b11111111011111101011111000101100 D -b1111110001110111101000110011001 % -b1111110001110111101000110011001 . -b1111110001110111101000110011001 6 -b1111110001110111101000110011001 @ -b1111110001110111101000110011001 F -b1 ) -b0 ( -#170432000 -0& -#170448000 -b101001100111 , -#170464000 -1& -#170480000 -b11111111111011111101111100010001 0 -b11111111111011111101111100010001 H -b11010000000110011101010010011101 < -b11001111110110010101000011100100 : -b11111111101111110111110001000110 $ -b11111111101111110111110001000110 - -b11111111101111110111110001000110 5 -b11111111101111110111110001000110 ? -b11111111101111110111110001000110 D -b101111111001100010101101100010 % -b101111111001100010101101100010 . -b101111111001100010101101100010 6 -b101111111001100010101101100010 @ -b101111111001100010101101100010 F -#170496000 -0& -#170512000 -b101001101000 , -#170528000 -1& -#170544000 -1! -b0 " -b0 4 -b1011 0 -b1011 H -b0 2 -b0 = -08 -b1000100100010000101010100100 < -1# -b1101000100000000100110111110010 : -b1011111111011110100001101001101 $ -b1011111111011110100001101001101 - -b1011111111011110100001101001101 5 -b1011111111011110100001101001101 ? -b1011111111011110100001101001101 D -b11110111011011101111010101011011 % -b11110111011011101111010101011011 . -b11110111011011101111010101011011 6 -b11110111011011101111010101011011 @ -b11110111011011101111010101011011 F -b0 ) -b1 ( -#170560000 -0& -#170576000 -b101001101001 , -#170592000 -1& -#170608000 -1! -b0 " -b0 4 -b11111100011010100101100 0 -b11111100011010100101100 H -b0 2 -b0 = -09 -08 -b10000100110001001001000001110111 < -b10111110011011110011100111 : -b1111110001101010010110001101111 $ -b1111110001101010010110001101111 - -b1111110001101010010110001101111 5 -b1111110001101010010110001101111 ? -b1111110001101010010110001101111 D -b1111011001110110110111110001000 % -b1111011001110110110111110001000 . -b1111011001110110110111110001000 6 -b1111011001110110110111110001000 @ -b1111011001110110110111110001000 F -#170624000 -0& -#170640000 -b101001101010 , -#170656000 -1& -#170672000 -1! -b0 " -b0 4 -b1101111110110 0 -b1101111110110 H -b0 2 -b0 = -08 -b1000000101000100001001101 < -b1110000110110111010010010010011 : -b1101111110110010001110001000101 $ -b1101111110110010001110001000101 - -b1101111110110010001110001000101 5 -b1101111110110010001110001000101 ? -b1101111110110010001110001000101 D -b11111110111111010111011110110010 % -b11111110111111010111011110110010 . -b11111110111111010111011110110010 6 -b11111110111111010111011110110010 @ -b11111110111111010111011110110010 F -#170688000 -0& -#170704000 -b101001101011 , -#170720000 -1& -#170736000 -1! -b0 " -b0 4 -b1111111001101011010111010010001 0 -b1111111001101011010111010010001 H -b0 2 -b0 = -19 -08 -b10001001010101111111010111111 < -b10010000011000001010110101010001 : -b1111111001101011010111010010001 $ -b1111111001101011010111010010001 - -b1111111001101011010111010010001 5 -b1111111001101011010111010010001 ? -b1111111001101011010111010010001 D -b11101110110101010000000101000000 % -b11101110110101010000000101000000 . -b11101110110101010000000101000000 6 -b11101110110101010000000101000000 @ -b11101110110101010000000101000000 F -#170752000 -0& -#170768000 -b101001101100 , -#170784000 -1& -#170800000 -0! -b1 " -b1 4 -b1011100 0 -b1011100 H -b1 2 -b1 = -09 -18 -b10000010000001001111111011000111 < -0# -b11011110100001001111001111110101 : -b1011100011111111111010100101101 $ -b1011100011111111111010100101101 - -b1011100011111111111010100101101 5 -b1011100011111111111010100101101 ? -b1011100011111111111010100101101 D -b1111101111110110000000100111000 % -b1111101111110110000000100111000 . -b1111101111110110000000100111000 6 -b1111101111110110000000100111000 @ -b1111101111110110000000100111000 F -b1 ) -b0 ( -#170816000 -0& -#170832000 -b101001101101 , -#170848000 -1& -#170864000 -b111111100011011111011 0 -b111111100011011111011 H -b10010100110000001101010101010110 < -b11010100010011101100110100010110 : -b111111100011011111011110111111 $ -b111111100011011111011110111111 - -b111111100011011111011110111111 5 -b111111100011011111011110111111 ? -b111111100011011111011110111111 D -b1101011001111110010101010101001 % -b1101011001111110010101010101001 . -b1101011001111110010101010101001 6 -b1101011001111110010101010101001 @ -b1101011001111110010101010101001 F -#170880000 -0& -#170896000 -b101001101110 , -#170912000 -1& -#170928000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1100100001000011001011000010 < -b11111100100000101101100111111001 : -b11101111111111101010011100110110 $ -b11101111111111101010011100110110 - -b11101111111111101010011100110110 5 -b11101111111111101010011100110110 ? -b11101111111111101010011100110110 D -b11110011011110111100110100111101 % -b11110011011110111100110100111101 . -b11110011011110111100110100111101 6 -b11110011011110111100110100111101 @ -b11110011011110111100110100111101 F -#170944000 -0& -#170960000 -b101001101111 , -#170976000 -1& -#170992000 -0! -b1 " -b1 4 -b11111111111111111001100111111110 0 -b11111111111111111001100111111110 H -b1 2 -b1 = -19 -18 -b11000000010101010011100110001111 < -b1011010010100111110111101101110 : -b10011001111111101011010111011110 $ -b10011001111111101011010111011110 - -b10011001111111101011010111011110 5 -b10011001111111101011010111011110 ? -b10011001111111101011010111011110 D -b111111101010101100011001110000 % -b111111101010101100011001110000 . -b111111101010101100011001110000 6 -b111111101010101100011001110000 @ -b111111101010101100011001110000 F -#171008000 -0& -#171024000 -b101001110000 , -#171040000 -1& -#171056000 -b1110 0 -b1110 H -09 -b10001000010000000000001010100100 < -b11111011111111011110111011111000 : -b1110011101111011110110001010011 $ -b1110011101111011110110001010011 - -b1110011101111011110110001010011 5 -b1110011101111011110110001010011 ? -b1110011101111011110110001010011 D -b1110111101111111111110101011011 % -b1110111101111111111110101011011 . -b1110111101111111111110101011011 6 -b1110111101111111111110101011011 @ -b1110111101111111111110101011011 F -#171072000 -0& -#171088000 -b101001110001 , -#171104000 -1& -#171120000 -1! -b0 " -b0 4 -b11111111111111111111011111111000 0 -b11111111111111111111011111111000 H -b0 2 -b0 = -08 -b1010000001000101100000000110011 < -1# -b1001111101000100100001101000111 : -b11111111011111111000001100010011 $ -b11111111011111111000001100010011 - -b11111111011111111000001100010011 5 -b11111111011111111000001100010011 ? -b11111111011111111000001100010011 D -b10101111110111010011111111001100 % -b10101111110111010011111111001100 . -b10101111110111010011111111001100 6 -b10101111110111010011111111001100 @ -b10101111110111010011111111001100 F -b0 ) -b1 ( -#171136000 -0& -#171152000 -b101001110010 , -#171168000 -1& -#171184000 -0! -b1 " -b1 4 -b11111111111111111111100101110011 0 -b11111111111111111111100101110011 H -b1 2 -b1 = -18 -b10001111000001011000110111101110 < -0# -b10000001111011010110111101011001 : -b11110010111001111110000101101010 $ -b11110010111001111110000101101010 - -b11110010111001111110000101101010 5 -b11110010111001111110000101101010 ? -b11110010111001111110000101101010 D -b1110000111110100111001000010001 % -b1110000111110100111001000010001 . -b1110000111110100111001000010001 6 -b1110000111110100111001000010001 @ -b1110000111110100111001000010001 F -b1 ) -b0 ( -#171200000 -0& -#171216000 -b101001110011 , -#171232000 -1& -#171248000 -0! -b1 " -b1 4 -b11111111111111111111111011110111 0 -b11111111111111111111111011110111 H -b1 2 -b1 = -19 -18 -b10000011001101011101110001001101 < -b1111111000101011100001100001110 : -b11111011110111111110011011000000 $ -b11111011110111111110011011000000 - -b11111011110111111110011011000000 5 -b11111011110111111110011011000000 ? -b11111011110111111110011011000000 D -b1111100110010100010001110110010 % -b1111100110010100010001110110010 . -b1111100110010100010001110110010 6 -b1111100110010100010001110110010 @ -b1111100110010100010001110110010 F -#171264000 -0& -#171280000 -b101001110100 , -#171296000 -1& -#171312000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -09 -18 -b1000000010000100011100100000001 < -b11011111101100100010100111111100 : -b10011111011011111111000011111010 $ -b10011111011011111111000011111010 - -b10011111011011111111000011111010 5 -b10011111011011111111000011111010 ? -b10011111011011111111000011111010 D -b10111111101111011100011011111110 % -b10111111101111011100011011111110 . -b10111111101111011100011011111110 6 -b10111111101111011100011011111110 @ -b10111111101111011100011011111110 F -#171328000 -0& -#171344000 -b101001110101 , -#171360000 -1& -#171376000 -1! -b0 " -b0 4 -b11111 0 -b11111 H -b0 2 -b0 = -08 -19 -b1000001011110100000101 < -1# -b10000000000100001010110101000101 : -b1111111111011111111000000111111 $ -b1111111111011111111000000111111 - -b1111111111011111111000000111111 5 -b1111111111011111111000000111111 ? -b1111111111011111111000000111111 D -b11111111110111110100001011111010 % -b11111111110111110100001011111010 . -b11111111110111110100001011111010 6 -b11111111110111110100001011111010 @ -b11111111110111110100001011111010 F -b0 ) -b1 ( -#171392000 -0& -#171408000 -b101001110110 , -#171424000 -1& -#171440000 -1! -b0 " -b0 4 -b1010 0 -b1010 H -b0 2 -b0 = -09 -08 -b1010010011001100000100 < -b1011000001000001000100111000111 : -b1010111111101110101011011000010 $ -b1010111111101110101011011000010 - -b1010111111101110101011011000010 5 -b1010111111101110101011011000010 ? -b1010111111101110101011011000010 D -b11111111110101101100110011111011 % -b11111111110101101100110011111011 . -b11111111110101101100110011111011 6 -b11111111110101101100110011111011 @ -b11111111110101101100110011111011 F -#171456000 -0& -#171472000 -b101001110111 , -#171488000 -1& -#171504000 -0! -b1 " -b1 4 -b11111111111111100101011111011001 0 -b11111111111111100101011111011001 H -b1 2 -b1 = -18 -b10101000000000011001011011110100 < -0# -b10011010110000000110010000110001 : -b11110010101111101100110100111100 $ -b11110010101111101100110100111100 - -b11110010101111101100110100111100 5 -b11110010101111101100110100111100 ? -b11110010101111101100110100111100 D -b1010111111111100110100100001011 % -b1010111111111100110100100001011 . -b1010111111111100110100100001011 6 -b1010111111111100110100100001011 @ -b1010111111111100110100100001011 F -b1 ) -b0 ( -#171520000 -0& -#171536000 -b101001111000 , -#171552000 -1& -#171568000 -1! -b0 " -b0 4 -b1011100001 0 -b1011100001 H -b0 2 -b0 = -08 -b100000000101001001001010 < -1# -b1011100101111001010111111111010 : -b1011100001111000101110110101111 $ -b1011100001111000101110110101111 - -b1011100001111000101110110101111 5 -b1011100001111000101110110101111 ? -b1011100001111000101110110101111 D -b11111111011111111010110110110101 % -b11111111011111111010110110110101 . -b11111111011111111010110110110101 6 -b11111111011111111010110110110101 @ -b11111111011111111010110110110101 F -b0 ) -b1 ( -#171584000 -0& -#171600000 -b101001111001 , -#171616000 -1& -#171632000 -0! -b1 " -b1 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b1 2 -b1 = -18 -b10110001001000000001001001101001 < -0# -b10110000100111101111111001010011 : -b11111111011111101110101111101001 $ -b11111111011111101110101111101001 - -b11111111011111101110101111101001 5 -b11111111011111101110101111101001 ? -b11111111011111101110101111101001 D -b1001110110111111110110110010110 % -b1001110110111111110110110010110 . -b1001110110111111110110110010110 6 -b1001110110111111110110110010110 @ -b1001110110111111110110110010110 F -b1 ) -b0 ( -#171648000 -0& -#171664000 -b101001111010 , -#171680000 -1& -#171696000 -1! -b0 " -b0 4 -b1110011111 0 -b1110011111 H -19 -b0 2 -b0 = -08 -b100010111000111001111001101010 < -1# -b10010110110111001101011101100001 : -b1110011111110010011100011110110 $ -b1110011111110010011100011110110 - -b1110011111110010011100011110110 5 -b1110011111110010011100011110110 ? -b1110011111110010011100011110110 D -b11011101000111000110000110010101 % -b11011101000111000110000110010101 . -b11011101000111000110000110010101 6 -b11011101000111000110000110010101 @ -b11011101000111000110000110010101 F -b0 ) -b1 ( -#171712000 -0& -#171728000 -b101001111011 , -#171744000 -1& -#171760000 -0! -b1 " -b1 4 -b11111111111011100110110010101001 0 -b11111111111011100110110010101001 H -b1 2 -b1 = -18 -b10000000010100000000110111011001 < -0# -b1111011111010110011100001010010 : -b11111011100110110010101001111000 $ -b11111011100110110010101001111000 - -b11111011100110110010101001111000 5 -b11111011100110110010101001111000 ? -b11111011100110110010101001111000 D -b1111111101011111111001000100110 % -b1111111101011111111001000100110 . -b1111111101011111111001000100110 6 -b1111111101011111111001000100110 @ -b1111111101011111111001000100110 F -b1 ) -b0 ( -#171776000 -0& -#171792000 -b101001111100 , -#171808000 -1& -#171824000 -b11111111111111110111010111001000 0 -b11111111111111110111010111001000 H -b10000001000000000000000011110010 < -b1101111101110010001010110100110 : -b11101110101110010001010010110011 $ -b11101110101110010001010010110011 - -b11101110101110010001010010110011 5 -b11101110101110010001010010110011 ? -b11101110101110010001010010110011 D -b1111110111111111111111100001101 % -b1111110111111111111111100001101 . -b1111110111111111111111100001101 6 -b1111110111111111111111100001101 @ -b1111110111111111111111100001101 F -#171840000 -0& -#171856000 -b101001111101 , -#171872000 -1& -#171888000 -b11111110111111011110100110111001 0 -b11111110111111011110100110111001 H -b10000001000100101110101000111011 < -b1110000111100011000010111010100 : -b11101111110111101001101110011000 $ -b11101111110111101001101110011000 - -b11101111110111101001101110011000 5 -b11101111110111101001101110011000 ? -b11101111110111101001101110011000 D -b1111110111011010001010111000100 % -b1111110111011010001010111000100 . -b1111110111011010001010111000100 6 -b1111110111011010001010111000100 @ -b1111110111011010001010111000100 F -#171904000 -0& -#171920000 -b101001111110 , -#171936000 -1& -#171952000 -1! -b0 " -b0 4 -b1110110110110100100 0 -b1110110110110100100 H -09 -b0 2 -b0 = -08 -b11000100010011110011010100 < -1# -b111110011111100101110111011010 : -b111011011011010010000100000101 $ -b111011011011010010000100000101 - -b111011011011010010000100000101 5 -b111011011011010010000100000101 ? -b111011011011010010000100000101 D -b11111100111011101100001100101011 % -b11111100111011101100001100101011 . -b11111100111011101100001100101011 6 -b11111100111011101100001100101011 @ -b11111100111011101100001100101011 F -b0 ) -b1 ( -#171968000 -0& -#171984000 -b101001111111 , -#172000000 -1& -#172016000 -1! -b0 " -b0 4 -b1111011101001111 0 -b1111011101001111 H -b0 2 -b0 = -19 -08 -b101100001101000000000101010000 < -b10100111110110111010011110011100 : -b1111011101001111010011001001011 $ -b1111011101001111010011001001011 - -b1111011101001111010011001001011 5 -b1111011101001111010011001001011 ? -b1111011101001111010011001001011 D -b11010011110010111111111010101111 % -b11010011110010111111111010101111 . -b11010011110010111111111010101111 6 -b11010011110010111111111010101111 @ -b11010011110010111111111010101111 F -#172032000 -0& -#172048000 -b101010000000 , -#172064000 -1& -#172080000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -09 -b1 2 -b1 = -18 -b11000000100001001101110000001100 < -0# -b11000000010001000010011010101000 : -b11111111101111110100101010011011 $ -b11111111101111110100101010011011 - -b11111111101111110100101010011011 5 -b11111111101111110100101010011011 ? -b11111111101111110100101010011011 D -b111111011110110010001111110011 % -b111111011110110010001111110011 . -b111111011110110010001111110011 6 -b111111011110110010001111110011 @ -b111111011110110010001111110011 F -b1 ) -b0 ( -#172096000 -0& -#172112000 -b101010000001 , -#172128000 -1& -#172144000 -1! -b0 " -b0 4 -b110111101 0 -b110111101 H -b0 2 -b0 = -08 -09 -b1000100100100110111000001010 < -1# -b1000000001111111111001101110101 : -b110111101011011000010101101010 $ -b110111101011011000010101101010 - -b110111101011011000010101101010 5 -b110111101011011000010101101010 ? -b110111101011011000010101101010 D -b11110111011011011001000111110101 % -b11110111011011011001000111110101 . -b11110111011011011001000111110101 6 -b11110111011011011001000111110101 @ -b11110111011011011001000111110101 F -b0 ) -b1 ( -#172160000 -0& -#172176000 -b101010000010 , -#172192000 -1& -#172208000 -b11101101101011101 0 -b11101101101011101 H -b10011010000000001001110010110001 < -b10000110110000001010110100011 : -b1110110110101110111100011110001 $ -b1110110110101110111100011110001 - -b1110110110101110111100011110001 5 -b1110110110101110111100011110001 ? -b1110110110101110111100011110001 D -b1100101111111110110001101001110 % -b1100101111111110110001101001110 . -b1100101111111110110001101001110 6 -b1100101111111110110001101001110 @ -b1100101111111110110001101001110 F -#172224000 -0& -#172240000 -b101010000011 , -#172256000 -1& -#172272000 -0! -b1 " -b1 4 -b11111111111111111111111111110010 0 -b11111111111111111111111111110010 H -b1 2 -b1 = -18 -b11100010001100101001110000100101 < -0# -b10101100000100100110001100111110 : -b11001001110111111100011100011000 $ -b11001001110111111100011100011000 - -b11001001110111111100011100011000 5 -b11001001110111111100011100011000 ? -b11001001110111111100011100011000 D -b11101110011010110001111011010 % -b11101110011010110001111011010 . -b11101110011010110001111011010 6 -b11101110011010110001111011010 @ -b11101110011010110001111011010 F -b1 ) -b0 ( -#172288000 -0& -#172304000 -b101010000100 , -#172320000 -1& -#172336000 -b11111111111111111111111111101000 0 -b11111111111111111111111111101000 H -b100000000111101001000100101 < -b10100110001111111101111110100100 : -b10100010001111000000110101111110 $ -b10100010001111000000110101111110 - -b10100010001111000000110101111110 5 -b10100010001111000000110101111110 ? -b10100010001111000000110101111110 D -b11111011111111000010110111011010 % -b11111011111111000010110111011010 . -b11111011111111000010110111011010 6 -b11111011111111000010110111011010 @ -b11111011111111000010110111011010 F -#172352000 -0& -#172368000 -b101010000101 , -#172384000 -1& -#172400000 -1! -b0 " -b0 4 -b101111110 0 -b101111110 H -b0 2 -b0 = -08 -b11001000001100100010110011101001 < -1# -b100111111000110100010000000110 : -b1011111101100010001011100011100 $ -b1011111101100010001011100011100 - -b1011111101100010001011100011100 5 -b1011111101100010001011100011100 ? -b1011111101100010001011100011100 D -b110111110011011101001100010110 % -b110111110011011101001100010110 . -b110111110011011101001100010110 6 -b110111110011011101001100010110 @ -b110111110011011101001100010110 F -b0 ) -b1 ( -#172416000 -0& -#172432000 -b101010000110 , -#172448000 -1& -#172464000 -1! -b0 " -b0 4 -b11011111 0 -b11011111 H -b0 2 -b0 = -19 -08 -b10010000000001001001110101000 < -b10000001101111101011010010001001 : -b1101111101111100010000011100000 $ -b1101111101111100010000011100000 - -b1101111101111100010000011100000 5 -b1101111101111100010000011100000 ? -b1101111101111100010000011100000 D -b11101101111111110110110001010111 % -b11101101111111110110110001010111 . -b11101101111111110110110001010111 6 -b11101101111111110110110001010111 @ -b11101101111111110110110001010111 F -#172480000 -0& -#172496000 -b101010000111 , -#172512000 -1& -#172528000 -0! -b1 " -b1 4 -b11111111111111111011100011110010 0 -b11111111111111111011100011110010 H -09 -b1 2 -b1 = -18 -b11100000100001000010010110110011 < -0# -b11011100000100110101010011111011 : -b11111011100011110010111101000111 $ -b11111011100011110010111101000111 - -b11111011100011110010111101000111 5 -b11111011100011110010111101000111 ? -b11111011100011110010111101000111 D -b11111011110111101101001001100 % -b11111011110111101101001001100 . -b11111011110111101101001001100 6 -b11111011110111101101001001100 @ -b11111011110111101101001001100 F -b1 ) -b0 ( -#172544000 -0& -#172560000 -b101010001000 , -#172576000 -1& -#172592000 -1! -b0 " -b0 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b0 2 -b0 = -08 -b1000100000001001110111100110 < -1# -b11111011010110110010011000 : -b11111011011011001100111010110001 $ -b11111011011011001100111010110001 - -b11111011011011001100111010110001 5 -b11111011011011001100111010110001 ? -b11111011011011001100111010110001 D -b11110111011111110110001000011001 % -b11110111011111110110001000011001 . -b11110111011111110110001000011001 6 -b11110111011111110110001000011001 @ -b11110111011111110110001000011001 F -b0 ) -b1 ( -#172608000 -0& -#172624000 -b101010001001 , -#172640000 -1& -#172656000 -1! -b0 " -b0 4 -b111111 0 -b111111 H -19 -b0 2 -b0 = -08 -b1001111100100100110011100000110 < -b11001111011000100001001111011010 : -b1111111110011111010110011010011 $ -b1111111110011111010110011010011 - -b1111111110011111010110011010011 5 -b1111111110011111010110011010011 ? -b1111111110011111010110011010011 D -b10110000011011011001100011111001 % -b10110000011011011001100011111001 . -b10110000011011011001100011111001 6 -b10110000011011011001100011111001 @ -b10110000011011011001100011111001 F -#172672000 -0& -#172688000 -b101010001010 , -#172704000 -1& -#172720000 -0! -b1 " -b1 4 -b1 0 -b1 H -b1 2 -b1 = -09 -18 -b10000110000000001011111110000011 < -0# -b10100010001101101011011100000110 : -b11100001101011111011110000010 $ -b11100001101011111011110000010 - -b11100001101011111011110000010 5 -b11100001101011111011110000010 ? -b11100001101011111011110000010 D -b1111001111111110100000001111100 % -b1111001111111110100000001111100 . -b1111001111111110100000001111100 6 -b1111001111111110100000001111100 @ -b1111001111111110100000001111100 F -b1 ) -b0 ( -#172736000 -0& -#172752000 -b101010001011 , -#172768000 -1& -#172784000 -0! -b1 " -b1 4 -b11111111111111101011011110111100 0 -b11111111111111101011011110111100 H -b1 2 -b1 = -18 -b10011000010001110011010010001 < -b11000000111110000000001011000011 : -b10101101111011110001110000110001 $ -b10101101111011110001110000110001 - -b10101101111011110001110000110001 5 -b10101101111011110001110000110001 ? -b10101101111011110001110000110001 D -b11101100111101110001100101101110 % -b11101100111101110001100101101110 . -b11101100111101110001100101101110 6 -b11101100111101110001100101101110 @ -b11101100111101110001100101101110 F -#172800000 -0& -#172816000 -b101010001100 , -#172832000 -1& -#172848000 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b101011001111010010000001 < -b10101010001010011110010010101010 : -b10101001011111001111000000101000 $ -b10101001011111001111000000101000 - -b10101001011111001111000000101000 5 -b10101001011111001111000000101000 ? -b10101001011111001111000000101000 D -b11111111010100110000101101111110 % -b11111111010100110000101101111110 . -b11111111010100110000101101111110 6 -b11111111010100110000101101111110 @ -b11111111010100110000101101111110 F -#172864000 -0& -#172880000 -b101010001101 , -#172896000 -1& -#172912000 -0! -b1 " -b1 4 -b11111111110100111111100100000000 0 -b11111111110100111111100100000000 H -b1 2 -b1 = -19 -18 -b10000000000000100000010010111011 < -b1111101010000011001010011001000 : -b11111101001111111001000000001100 $ -b11111101001111111001000000001100 - -b11111101001111111001000000001100 5 -b11111101001111111001000000001100 ? -b11111101001111111001000000001100 D -b1111111111111011111101101000100 % -b1111111111111011111101101000100 . -b1111111111111011111101101000100 6 -b1111111111111011111101101000100 @ -b1111111111111011111101101000100 F -#172928000 -0& -#172944000 -b101010001110 , -#172960000 -1& -#172976000 -1! -b0 " -b0 4 -b11111110111110110010010110 0 -b11111110111110110010010110 H -b0 2 -b0 = -08 -b1000000000000000100000111111010 < -1# -b10111111011111011101010011010110 : -b1111111011111011001001011011011 $ -b1111111011111011001001011011011 - -b1111111011111011001001011011011 5 -b1111111011111011001001011011011 ? -b1111111011111011001001011011011 D -b10111111111111111011111000000101 % -b10111111111111111011111000000101 . -b10111111111111111011111000000101 6 -b10111111111111111011111000000101 @ -b10111111111111111011111000000101 F -b0 ) -b1 ( -#172992000 -0& -#173008000 -b101010001111 , -#173024000 -1& -#173040000 -b10111111 0 -b10111111 H -b101001001000000110100011101000 < -b10001001000011011011111101010001 : -b1011111111011010101011001101000 $ -b1011111111011010101011001101000 - -b1011111111011010101011001101000 5 -b1011111111011010101011001101000 ? -b1011111111011010101011001101000 D -b11010110110111111001011100010111 % -b11010110110111111001011100010111 . -b11010110110111111001011100010111 6 -b11010110110111111001011100010111 @ -b11010110110111111001011100010111 F -#173056000 -0& -#173072000 -b101010010000 , -#173088000 -1& -#173104000 -0! -b1 " -b1 4 -b11111111111100110111000111001100 0 -b11111111111100110111000111001100 H -b1 2 -b1 = -18 -09 -b100011100000000101000110010100 < -0# -b10111111000011101011011111101011 : -b10011011100011100110011001010110 $ -b10011011100011100110011001010110 - -b10011011100011100110011001010110 5 -b10011011100011100110011001010110 ? -b10011011100011100110011001010110 D -b11011100011111111010111001101011 % -b11011100011111111010111001101011 . -b11011100011111111010111001101011 6 -b11011100011111111010111001101011 @ -b11011100011111111010111001101011 F -b1 ) -b0 ( -#173120000 -0& -#173136000 -b101010010001 , -#173152000 -1& -#173168000 -0! -b1 " -b1 4 -b101011111101110100001111101 0 -b101011111101110100001111101 H -b1 2 -b1 = -18 -b10100001010001011010010100111011 < -b11111001001101000010110100001111 : -b1010111111011101000011111010011 $ -b1010111111011101000011111010011 - -b1010111111011101000011111010011 5 -b1010111111011101000011111010011 ? -b1010111111011101000011111010011 D -b1011110101110100101101011000100 % -b1011110101110100101101011000100 . -b1011110101110100101101011000100 6 -b1011110101110100101101011000100 @ -b1011110101110100101101011000100 F -#173184000 -0& -#173200000 -b101010010010 , -#173216000 -1& -#173232000 -0! -b1 " -b1 4 -b10111111110001000 0 -b10111111110001000 H -b1 2 -b1 = -18 -b10001011000000000110010011010001 < -b11101010111000101001010011000001 : -b1011111111000100010111111101111 $ -b1011111111000100010111111101111 - -b1011111111000100010111111101111 5 -b1011111111000100010111111101111 ? -b1011111111000100010111111101111 D -b1110100111111111001101100101110 % -b1110100111111111001101100101110 . -b1110100111111111001101100101110 6 -b1110100111111111001101100101110 @ -b1110100111111111001101100101110 F -#173248000 -0& -#173264000 -b101010010011 , -#173280000 -1& -#173296000 -b1010010111111110100110101 0 -b1010010111111110100110101 H -b10000000111010000110110110111011 < -b10010101101010000100000100011001 : -b10100101111111101001101011101 $ -b10100101111111101001101011101 - -b10100101111111101001101011101 5 -b10100101111111101001101011101 ? -b10100101111111101001101011101 D -b1111111000101111001001001000100 % -b1111111000101111001001001000100 . -b1111111000101111001001001000100 6 -b1111111000101111001001001000100 @ -b1111111000101111001001001000100 F -#173312000 -0& -#173328000 -b101010010100 , -#173344000 -1& -#173360000 -0! -b1 " -b1 4 -b11111111111111111111111110001101 0 -b11111111111111111111111110001101 H -19 -b1 2 -b1 = -18 -b10001010000000001000010110001000 < -b1010000111101101001001110000001 : -b11000110111101100000110111111000 $ -b11000110111101100000110111111000 - -b11000110111101100000110111111000 5 -b11000110111101100000110111111000 ? -b11000110111101100000110111111000 D -b1110101111111110111101001110111 % -b1110101111111110111101001110111 . -b1110101111111110111101001110111 6 -b1110101111111110111101001110111 @ -b1110101111111110111101001110111 F -#173376000 -0& -#173392000 -b101010010101 , -#173408000 -1& -#173424000 -1! -b0 " -b0 4 -b1 0 -b1 H -09 -b0 2 -b0 = -08 -b1101001011101001101100001 < -1# -b1100001010011000011010010100110 : -b1011111101001100110000101000100 $ -b1011111101001100110000101000100 - -b1011111101001100110000101000100 5 -b1011111101001100110000101000100 ? -b1011111101001100110000101000100 D -b11111110010110100010110010011110 % -b11111110010110100010110010011110 . -b11111110010110100010110010011110 6 -b11111110010110100010110010011110 @ -b11111110010110100010110010011110 F -b0 ) -b1 ( -#173440000 -0& -#173456000 -b101010010110 , -#173472000 -1& -#173488000 -1! -b0 " -b0 4 -b100101111110011110111 0 -b100101111110011110111 H -b0 2 -b0 = -19 -08 -b1000000000000101010101000110101 < -b10001011111101101000011010100100 : -b1001011111100111101110001101110 $ -b1001011111100111101110001101110 - -b1001011111100111101110001101110 5 -b1001011111100111101110001101110 ? -b1001011111100111101110001101110 D -b10111111111111010101010111001010 % -b10111111111111010101010111001010 . -b10111111111111010101010111001010 6 -b10111111111111010101010111001010 @ -b10111111111111010101010111001010 F -#173504000 -0& -#173520000 -b101010010111 , -#173536000 -1& -#173552000 -b1111101 0 -b1111101 H -b1001000110000101111100101100111 < -b11000110110000100100110010001001 : -b1111101111111110101001100100001 $ -b1111101111111110101001100100001 - -b1111101111111110101001100100001 5 -b1111101111111110101001100100001 ? -b1111101111111110101001100100001 D -b10110111001111010000011010011000 % -b10110111001111010000011010011000 . -b10110111001111010000011010011000 6 -b10110111001111010000011010011000 @ -b10110111001111010000011010011000 F -#173568000 -0& -#173584000 -b101010011000 , -#173600000 -1& -#173616000 -0! -b1 " -b1 4 -b11111111111111111111111101011111 0 -b11111111111111111111111101011111 H -09 -b1 2 -b1 = -18 -b11000010100011001000011111001110 < -0# -b11000001010010110101101101010001 : -b11111110101111101101001110000010 $ -b11111110101111101101001110000010 - -b11111110101111101101001110000010 5 -b11111110101111101101001110000010 ? -b11111110101111101101001110000010 D -b111101011100110111100000110001 % -b111101011100110111100000110001 . -b111101011100110111100000110001 6 -b111101011100110111100000110001 @ -b111101011100110111100000110001 F -b1 ) -b0 ( -#173632000 -0& -#173648000 -b101010011001 , -#173664000 -1& -#173680000 -b11111111111111111111111111110001 0 -b11111111111111111111111111110001 H -b10011101000011000110111110001000 < -b10010101111111100111001000100100 : -b11111000111100100000001010011011 $ -b11111000111100100000001010011011 - -b11111000111100100000001010011011 5 -b11111000111100100000001010011011 ? -b11111000111100100000001010011011 D -b1100010111100111001000001110111 % -b1100010111100111001000001110111 . -b1100010111100111001000001110111 6 -b1100010111100111001000001110111 @ -b1100010111100111001000001110111 F -#173696000 -0& -#173712000 -b101010011010 , -#173728000 -1& -#173744000 -0! -b1 " -b1 4 -b11111111111111111111111111101101 0 -b11111111111111111111111111101101 H -b1 2 -b1 = -09 -18 -b10100001010001100101101100101 < -b11001011001010000111010000100010 : -b10110110111111111010100010111100 $ -b10110110111111111010100010111100 - -b10110110111111111010100010111100 5 -b10110110111111111010100010111100 ? -b10110110111111111010100010111100 D -b11101011110101110011010010011010 % -b11101011110101110011010010011010 . -b11101011110101110011010010011010 6 -b11101011110101110011010010011010 @ -b11101011110101110011010010011010 F -#173760000 -0& -#173776000 -b101010011011 , -#173792000 -1& -#173808000 -1! -b0 " -b0 4 -b1110001011111010011 0 -b1110001011111010011 H -b0 2 -b0 = -08 -19 -b101001100010011111101010010011 < -1# -b10011011000001110011011010001110 : -b1110001011111010011101111111010 $ -b1110001011111010011101111111010 - -b1110001011111010011101111111010 5 -b1110001011111010011101111111010 ? -b1110001011111010011101111111010 D -b11010110011101100000010101101100 % -b11010110011101100000010101101100 . -b11010110011101100000010101101100 6 -b11010110011101100000010101101100 @ -b11010110011101100000010101101100 F -b0 ) -b1 ( -#173824000 -0& -#173840000 -b101010011100 , -#173856000 -1& -#173872000 -0! -b1 " -b1 4 -b100101111 0 -b100101111 H -b1 2 -b1 = -09 -18 -b10100000101001101000110101001001 < -0# -b11101100101001011010111001000001 : -b1001011111111110010000011110111 $ -b1001011111111110010000011110111 - -b1001011111111110010000011110111 5 -b1001011111111110010000011110111 ? -b1001011111111110010000011110111 D -b1011111010110010111001010110110 % -b1011111010110010111001010110110 . -b1011111010110010111001010110110 6 -b1011111010110010111001010110110 @ -b1011111010110010111001010110110 F -b1 ) -b0 ( -#173888000 -0& -#173904000 -b101010011101 , -#173920000 -1& -#173936000 -1! -b0 " -b0 4 -b110111111 0 -b110111111 H -b0 2 -b0 = -08 -b1011000100000110100100001001 < -1# -b1111010111010000101001000110011 : -b1101111110101111110100100101001 $ -b1101111110101111110100100101001 - -b1101111110101111110100100101001 5 -b1101111110101111110100100101001 ? -b1101111110101111110100100101001 D -b11110100111011111001011011110110 % -b11110100111011111001011011110110 . -b11110100111011111001011011110110 6 -b11110100111011111001011011110110 @ -b11110100111011111001011011110110 F -b0 ) -b1 ( -#173952000 -0& -#173968000 -b101010011110 , -#173984000 -1& -#174000000 -b1100011111110110011011011001110 0 -b1100011111110110011011011001110 H -b11000111100000010101100100111111 < -b101011011111001001000000001110 : -b1100011111110110011011011001110 $ -b1100011111110110011011011001110 - -b1100011111110110011011011001110 5 -b1100011111110110011011011001110 ? -b1100011111110110011011011001110 D -b111000011111101010011011000000 % -b111000011111101010011011000000 . -b111000011111101010011011000000 6 -b111000011111101010011011000000 @ -b111000011111101010011011000000 F -#174016000 -0& -#174032000 -b101010011111 , -#174048000 -1& -#174064000 -0! -b1 " -b1 4 -b11110111101111111111100001010010 0 -b11110111101111111111100001010010 H -19 -b1 2 -b1 = -18 -b10001110010000001010111100011100 < -0# -b1001100010000000111000110110000 : -b10111101111111111100001010010011 $ -b10111101111111111100001010010011 - -b10111101111111111100001010010011 5 -b10111101111111111100001010010011 ? -b10111101111111111100001010010011 D -b1110001101111110101000011100011 % -b1110001101111110101000011100011 . -b1110001101111110101000011100011 6 -b1110001101111110101000011100011 @ -b1110001101111110101000011100011 F -b1 ) -b0 ( -#174080000 -0& -#174096000 -b101010100000 , -#174112000 -1& -#174128000 -0! -b1 " -b1 4 -b11111111110010101011101000000000 0 -b11111111110010101011101000000000 H -b1 2 -b1 = -09 -18 -b1010010000100111101100011000 < -b11101111100111110111101100110111 : -b11100101010111010000000000011110 $ -b11100101010111010000000000011110 - -b11100101010111010000000000011110 5 -b11100101010111010000000000011110 ? -b11100101010111010000000000011110 D -b11110101101111011000010011100111 % -b11110101101111011000010011100111 . -b11110101101111011000010011100111 6 -b11110101101111011000010011100111 @ -b11110101101111011000010011100111 F -#174144000 -0& -#174160000 -b101010100001 , -#174176000 -1& -#174192000 -1! -b0 " -b0 4 -b1111011101100100101011001 0 -b1111011101100100101011001 H -b0 2 -b0 = -08 -b10100001110111011100111001111001 < -1# -b11101100100000010010011101011 : -b1111011101100100101011001110001 $ -b1111011101100100101011001110001 - -b1111011101100100101011001110001 5 -b1111011101100100101011001110001 ? -b1111011101100100101011001110001 D -b1011110001000100011000110000110 % -b1011110001000100011000110000110 . -b1011110001000100011000110000110 6 -b1011110001000100011000110000110 @ -b1011110001000100011000110000110 F -b0 ) -b1 ( -#174208000 -0& -#174224000 -b101010100010 , -#174240000 -1& -#174256000 -b1 0 -b1 H -b10010000001101111100001 < -b1100000001100100000010011100101 : -b1011111111010011110100100000011 $ -b1011111111010011110100100000011 - -b1011111111010011110100100000011 5 -b1011111111010011110100100000011 ? -b1011111111010011110100100000011 D -b11111111101101111110010000011110 % -b11111111101101111110010000011110 . -b11111111101101111110010000011110 6 -b11111111101101111110010000011110 @ -b11111111101101111110010000011110 F -#174272000 -0& -#174288000 -b101010100011 , -#174304000 -1& -#174320000 -0! -b1 " -b1 4 -b11111111110111111000010010100011 0 -b11111111110111111000010010100011 H -b1 2 -b1 = -18 -09 -b10101011100000001110001101111110 < -0# -b10101011001111111110110011000110 : -b11111111101111110000100101000111 $ -b11111111101111110000100101000111 - -b11111111101111110000100101000111 5 -b11111111101111110000100101000111 ? -b11111111101111110000100101000111 D -b1010100011111110001110010000001 % -b1010100011111110001110010000001 . -b1010100011111110001110010000001 6 -b1010100011111110001110010000001 @ -b1010100011111110001110010000001 F -b1 ) -b0 ( -#174336000 -0& -#174352000 -b101010100100 , -#174368000 -1& -#174384000 -1! -b0 " -b0 4 -b111101101111110 0 -b111101101111110 H -19 -b0 2 -b0 = -08 -b110010110000001100110100101111 < -1# -b10101110001111111011000011010001 : -b1111011011111101110001110100001 $ -b1111011011111101110001110100001 - -b1111011011111101110001110100001 5 -b1111011011111101110001110100001 ? -b1111011011111101110001110100001 D -b11001101001111110011001011010000 % -b11001101001111110011001011010000 . -b11001101001111110011001011010000 6 -b11001101001111110011001011010000 @ -b11001101001111110011001011010000 F -b0 ) -b1 ( -#174400000 -0& -#174416000 -b101010100101 , -#174432000 -1& -#174448000 -1! -b0 " -b0 4 -b1111111011 0 -b1111111011 H -b0 2 -b0 = -09 -08 -b11111000000100001110101100001011 < -b110111110100000101110000000101 : -b111111101111110111000011111001 $ -b111111101111110111000011111001 - -b111111101111110111000011111001 5 -b111111101111110111000011111001 ? -b111111101111110111000011111001 D -b111111011110001010011110100 % -b111111011110001010011110100 . -b111111011110001010011110100 6 -b111111011110001010011110100 @ -b111111011110001010011110100 F -#174464000 -0& -#174480000 -b101010100110 , -#174496000 -1& -#174512000 -1! -b0 " -b0 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b0 2 -b0 = -08 -b1000000010000101011000011100111 < -b111111001111101101101101001110 : -b11111110111111000010101001100110 $ -b11111110111111000010101001100110 - -b11111110111111000010101001100110 5 -b11111110111111000010101001100110 ? -b11111110111111000010101001100110 D -b10111111101111010100111100011000 % -b10111111101111010100111100011000 . -b10111111101111010100111100011000 6 -b10111111101111010100111100011000 @ -b10111111101111010100111100011000 F -#174528000 -0& -#174544000 -b101010100111 , -#174560000 -1& -#174576000 -0! -b1 " -b1 4 -b11111111111101101111011101110101 0 -b11111111111101101111011101110101 H -19 -b1 2 -b1 = -18 -b10101100001000101100011010010100 < -0# -b1100011110111100111001011011111 : -b10110111101110111010110001001010 $ -b10110111101110111010110001001010 - -b10110111101110111010110001001010 5 -b10110111101110111010110001001010 ? -b10110111101110111010110001001010 D -b1010011110111010011100101101011 % -b1010011110111010011100101101011 . -b1010011110111010011100101101011 6 -b1010011110111010011100101101011 @ -b1010011110111010011100101101011 F -b1 ) -b0 ( -#174592000 -0& -#174608000 -b101010101000 , -#174624000 -1& -#174640000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b11000100010110101010100000 < -b11010010101001001011101110011101 : -b11001111100100110101000011111100 $ -b11001111100100110101000011111100 - -b11001111100100110101000011111100 5 -b11001111100100110101000011111100 ? -b11001111100100110101000011111100 D -b11111100111011101001010101011111 % -b11111100111011101001010101011111 . -b11111100111011101001010101011111 6 -b11111100111011101001010101011111 @ -b11111100111011101001010101011111 F -#174656000 -0& -#174672000 -b101010101001 , -#174688000 -1& -#174704000 -1! -b0 " -b0 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -b0 2 -b0 = -08 -b1000011000001011100000111101000 < -1# -b100010110001010101010110100011 : -b11011111101111111001001110111010 $ -b11011111101111111001001110111010 - -b11011111101111111001001110111010 5 -b11011111101111111001001110111010 ? -b11011111101111111001001110111010 D -b10111100111110100011111000010111 % -b10111100111110100011111000010111 . -b10111100111110100011111000010111 6 -b10111100111110100011111000010111 @ -b10111100111110100011111000010111 F -b0 ) -b1 ( -#174720000 -0& -#174736000 -b101010101010 , -#174752000 -1& -#174768000 -1! -b0 " -b0 4 -b11101101011111 0 -b11101101011111 H -19 -b0 2 -b0 = -08 -b1101010100000101011110001110 < -b10000100000011110101011110011101 : -b1110110101111110000000000001110 $ -b1110110101111110000000000001110 - -b1110110101111110000000000001110 5 -b1110110101111110000000000001110 ? -b1110110101111110000000000001110 D -b11110010101011111010100001110001 % -b11110010101011111010100001110001 . -b11110010101011111010100001110001 6 -b11110010101011111010100001110001 @ -b11110010101011111010100001110001 F -#174784000 -0& -#174800000 -b101010101011 , -#174816000 -1& -#174832000 -0! -b1 " -b1 4 -b11111111111111111101111011011010 0 -b11111111111111111101111011011010 H -b1 2 -b1 = -18 -19 -b10000000100010000101100101110000 < -0# -b1101111111101011000111000100110 : -b11101111011011010011010010110101 $ -b11101111011011010011010010110101 - -b11101111011011010011010010110101 5 -b11101111011011010011010010110101 ? -b11101111011011010011010010110101 D -b1111111011101111010011010001111 % -b1111111011101111010011010001111 . -b1111111011101111010011010001111 6 -b1111111011101111010011010001111 @ -b1111111011101111010011010001111 F -b1 ) -b0 ( -#174848000 -0& -#174864000 -b101010101100 , -#174880000 -1& -#174896000 -1! -b0 " -b0 4 -b100111011111 0 -b100111011111 H -09 -b0 2 -b0 = -08 -b1000111101101100000111001101 < -1# -b110000011101011101011110000110 : -b100111011111110001010110111000 $ -b100111011111110001010110111000 - -b100111011111110001010110111000 5 -b100111011111110001010110111000 ? -b100111011111110001010110111000 D -b11110111000010010011111000110010 % -b11110111000010010011111000110010 . -b11110111000010010011111000110010 6 -b11110111000010010011111000110010 @ -b11110111000010010011111000110010 F -b0 ) -b1 ( -#174912000 -0& -#174928000 -b101010101101 , -#174944000 -1& -#174960000 -b110111101101101110111111001 0 -b110111101101101110111111001 H -b11011100010001100111010100011011 < -b1001011101101000101010010111010 : -b1101111011011011101111110011110 $ -b1101111011011011101111110011110 - -b1101111011011011101111110011110 5 -b1101111011011011101111110011110 ? -b1101111011011011101111110011110 D -b100011101110011000101011100100 % -b100011101110011000101011100100 . -b100011101110011000101011100100 6 -b100011101110011000101011100100 @ -b100011101110011000101011100100 F -#174976000 -0& -#174992000 -b101010101110 , -#175008000 -1& -#175024000 -0! -b1 " -b1 4 -b11111111111001010010110111001111 0 -b11111111111001010010110111001111 H -b1 2 -b1 = -18 -19 -b10100000100001001010010011010101 < -0# -b110101001110111110001011101101 : -b10010100101101110011111000010111 $ -b10010100101101110011111000010111 - -b10010100101101110011111000010111 5 -b10010100101101110011111000010111 ? -b10010100101101110011111000010111 D -b1011111011110110101101100101010 % -b1011111011110110101101100101010 . -b1011111011110110101101100101010 6 -b1011111011110110101101100101010 @ -b1011111011110110101101100101010 F -b1 ) -b0 ( -#175040000 -0& -#175056000 -b101010101111 , -#175072000 -1& -#175088000 -1! -b0 " -b0 4 -b111001 0 -b111001 H -b0 2 -b0 = -08 -b1011000101000000001001010100111 < -1# -b10010010100111111011001101111111 : -b111001111111111010000011010111 $ -b111001111111111010000011010111 - -b111001111111111010000011010111 5 -b111001111111111010000011010111 ? -b111001111111111010000011010111 D -b10100111010111111110110101011000 % -b10100111010111111110110101011000 . -b10100111010111111110110101011000 6 -b10100111010111111110110101011000 @ -b10100111010111111110110101011000 F -b0 ) -b1 ( -#175104000 -0& -#175120000 -b101010110000 , -#175136000 -1& -#175152000 -1! -b0 " -b0 4 -b111011011011 0 -b111011011011 H -b0 2 -b0 = -09 -08 -b1000010011101100 < -b1110110110110101010000000001000 : -b1110110110110100001101100011011 $ -b1110110110110100001101100011011 - -b1110110110110100001101100011011 5 -b1110110110110100001101100011011 ? -b1110110110110100001101100011011 D -b11111111111111110111101100010011 % -b11111111111111110111101100010011 . -b11111111111111110111101100010011 6 -b11111111111111110111101100010011 @ -b11111111111111110111101100010011 F -#175168000 -0& -#175184000 -b101010110001 , -#175200000 -1& -#175216000 -0! -b1 " -b1 4 -b101110 0 -b101110 H -b1 2 -b1 = -18 -b10000000010000000101001110100110 < -0# -b11011101111110000001010110000011 : -b1011101101101111100000111011100 $ -b1011101101101111100000111011100 - -b1011101101101111100000111011100 5 -b1011101101101111100000111011100 ? -b1011101101101111100000111011100 D -b1111111101111111010110001011001 % -b1111111101111111010110001011001 . -b1111111101111111010110001011001 6 -b1111111101111111010110001011001 @ -b1111111101111111010110001011001 F -b1 ) -b0 ( -#175232000 -0& -#175248000 -b101010110010 , -#175264000 -1& -#175280000 -1! -b0 " -b0 4 -b1111101101110110010100110 0 -b1111101101110110010100110 H -b0 2 -b0 = -08 -b10001000011100111101110111011001 < -1# -b110001011110000011110010110 : -b1111101101110110010100110111100 $ -b1111101101110110010100110111100 - -b1111101101110110010100110111100 5 -b1111101101110110010100110111100 ? -b1111101101110110010100110111100 D -b1110111100011000010001000100110 % -b1110111100011000010001000100110 . -b1110111100011000010001000100110 6 -b1110111100011000010001000100110 @ -b1110111100011000010001000100110 F -b0 ) -b1 ( -#175296000 -0& -#175312000 -b101010110011 , -#175328000 -1& -#175344000 -1! -b0 " -b0 4 -b11000001111111010001 0 -b11000001111111010001 H -b0 2 -b0 = -08 -b11001110011010010100 < -b1100001000010110111010100110101 : -b1100000111111101000111010100000 $ -b1100000111111101000111010100000 - -b1100000111111101000111010100000 5 -b1100000111111101000111010100000 ? -b1100000111111101000111010100000 D -b11111111111100110001100101101011 % -b11111111111100110001100101101011 . -b11111111111100110001100101101011 6 -b11111111111100110001100101101011 @ -b11111111111100110001100101101011 F -#175360000 -0& -#175376000 -b101010110100 , -#175392000 -1& -#175408000 -1! -b0 " -b0 4 -b11011010111 0 -b11011010111 H -b0 2 -b0 = -19 -08 -b101000100000101011011011001011 < -b10010110000000011100101001100001 : -b1101101011111110001001110010101 $ -b1101101011111110001001110010101 - -b1101101011111110001001110010101 5 -b1101101011111110001001110010101 ? -b1101101011111110001001110010101 D -b11010111011111010100100100110100 % -b11010111011111010100100100110100 . -b11010111011111010100100100110100 6 -b11010111011111010100100100110100 @ -b11010111011111010100100100110100 F -#175424000 -0& -#175440000 -b101010110101 , -#175456000 -1& -#175472000 -0! -b1 " -b1 4 -b0 0 -b0 H -b1 2 -b1 = -18 -09 -b10000000010000011110100010100000 < -0# -b11011111010011111110010010111011 : -b1011111000011011111110000011010 $ -b1011111000011011111110000011010 - -b1011111000011011111110000011010 5 -b1011111000011011111110000011010 ? -b1011111000011011111110000011010 D -b1111111101111100001011101011111 % -b1111111101111100001011101011111 . -b1111111101111100001011101011111 6 -b1111111101111100001011101011111 @ -b1111111101111100001011101011111 F -b1 ) -b0 ( -#175488000 -0& -#175504000 -b101010110110 , -#175520000 -1& -#175536000 -0! -b1 " -b1 4 -b11111010100101111110100010111001 0 -b11111010100101111110100010111001 H -19 -b1 2 -b1 = -18 -b10000010000110101100111110111011 < -b101011100110010101101101001111 : -b10101001011111101000101110010011 $ -b10101001011111101000101110010011 - -b10101001011111101000101110010011 5 -b10101001011111101000101110010011 ? -b10101001011111101000101110010011 D -b1111101111001010011000001000100 % -b1111101111001010011000001000100 . -b1111101111001010011000001000100 6 -b1111101111001010011000001000100 @ -b1111101111001010011000001000100 F -#175552000 -0& -#175568000 -b101010110111 , -#175584000 -1& -#175600000 -0! -b1 " -b1 4 -b110000011101110000100 0 -b110000011101110000100 H -09 -b1 2 -b1 = -18 -b10000000011010110110111001010101 < -b11100001010110010111111111011011 : -b1100000111011100001000110000101 $ -b1100000111011100001000110000101 - -b1100000111011100001000110000101 5 -b1100000111011100001000110000101 ? -b1100000111011100001000110000101 D -b1111111100101001001000110101010 % -b1111111100101001001000110101010 . -b1111111100101001001000110101010 6 -b1111111100101001001000110101010 @ -b1111111100101001001000110101010 F -#175616000 -0& -#175632000 -b101010111000 , -#175648000 -1& -#175664000 -1! -b0 " -b0 4 -b1111110 0 -b1111110 H -b0 2 -b0 = -08 -19 -b100100100100010010010000000111 < -1# -b10100011000111000110100110010110 : -b1111110100010110100010110001110 $ -b1111110100010110100010110001110 - -b1111110100010110100010110001110 5 -b1111110100010110100010110001110 ? -b1111110100010110100010110001110 D -b11011011011011101101101111111000 % -b11011011011011101101101111111000 . -b11011011011011101101101111111000 6 -b11011011011011101101101111111000 @ -b11011011011011101101101111111000 F -b0 ) -b1 ( -#175680000 -0& -#175696000 -b101010111001 , -#175712000 -1& -#175728000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b1 2 -b1 = -18 -b1100110000011000000100011 < -0# -b11111101100101110011101000010000 : -b11111011111111110000100111101100 $ -b11111011111111110000100111101100 - -b11111011111111110000100111101100 5 -b11111011111111110000100111101100 ? -b11111011111111110000100111101100 D -b11111110011001111100111111011100 % -b11111110011001111100111111011100 . -b11111110011001111100111111011100 6 -b11111110011001111100111111011100 @ -b11111110011001111100111111011100 F -b1 ) -b0 ( -#175744000 -0& -#175760000 -b101010111010 , -#175776000 -1& -#175792000 -b11111111111111111111110111111110 0 -b11111111111111111111110111111110 H -b11010010001101001011101000001100 < -b11000010001011001011010001100010 : -b11101111111101111111101001010101 $ -b11101111111101111111101001010101 - -b11101111111101111111101001010101 5 -b11101111111101111111101001010101 ? -b11101111111101111111101001010101 D -b101101110010110100010111110011 % -b101101110010110100010111110011 . -b101101110010110100010111110011 6 -b101101110010110100010111110011 @ -b101101110010110100010111110011 F -#175808000 -0& -#175824000 -b101010111011 , -#175840000 -1& -#175856000 -0! -b1 " -b1 4 -b1110111 0 -b1110111 H -b1 2 -b1 = -18 -b10000000001000101001000101100111 < -b11111000000110100010001100101001 : -b1110111111101111001000111000001 $ -b1110111111101111001000111000001 - -b1110111111101111001000111000001 5 -b1110111111101111001000111000001 ? -b1110111111101111001000111000001 D -b1111111110111010110111010011000 % -b1111111110111010110111010011000 . -b1111111110111010110111010011000 6 -b1111111110111010110111010011000 @ -b1111111110111010110111010011000 F -#175872000 -0& -#175888000 -b101010111100 , -#175904000 -1& -#175920000 -0! -b1 " -b1 4 -b11111111111111111111111111001011 0 -b11111111111111111111111111001011 H -b1 2 -b1 = -18 -b100100100101101101001001000 < -b11101010011100011110101110001110 : -b11100101110111110001000101000101 $ -b11100101110111110001000101000101 - -b11100101110111110001000101000101 5 -b11100101110111110001000101000101 ? -b11100101110111110001000101000101 D -b11111011011011010010010110110111 % -b11111011011011010010010110110111 . -b11111011011011010010010110110111 6 -b11111011011011010010010110110111 @ -b11111011011011010010010110110111 F -#175936000 -0& -#175952000 -b101010111101 , -#175968000 -1& -#175984000 -1! -b0 " -b0 4 -b111 0 -b111 H -b0 2 -b0 = -08 -b10110010110000100101001110000011 < -1# -b110010001111011001001001011001 : -b1111111011110110011111011010101 $ -b1111111011110110011111011010101 - -b1111111011110110011111011010101 5 -b1111111011110110011111011010101 ? -b1111111011110110011111011010101 D -b1001101001111011010110001111100 % -b1001101001111011010110001111100 . -b1001101001111011010110001111100 6 -b1001101001111011010110001111100 @ -b1001101001111011010110001111100 F -b0 ) -b1 ( -#176000000 -0& -#176016000 -b101010111110 , -#176032000 -1& -#176048000 -0! -b1 " -b1 4 -b1101111111110100100000001001 0 -b1101111111110100100000001001 H -b1 2 -b1 = -18 -b10000001100100000110011000011101 < -0# -b10111001100011011000011001000011 : -b110111111111010010000000100101 $ -b110111111111010010000000100101 - -b110111111111010010000000100101 5 -b110111111111010010000000100101 ? -b110111111111010010000000100101 D -b1111110011011111001100111100010 % -b1111110011011111001100111100010 . -b1111110011011111001100111100010 6 -b1111110011011111001100111100010 @ -b1111110011011111001100111100010 F -b1 ) -b0 ( -#176064000 -0& -#176080000 -b101010111111 , -#176096000 -1& -#176112000 -0! -b1 " -b1 4 -b11111111011110100101101001110001 0 -b11111111011110100101101001110001 H -b1 2 -b1 = -18 -b10111000000000100000100110111100 < -b10110011110101001101110101000111 : -b11111011110100101101001110001010 $ -b11111011110100101101001110001010 - -b11111011110100101101001110001010 5 -b11111011110100101101001110001010 ? -b11111011110100101101001110001010 D -b1000111111111011111011001000011 % -b1000111111111011111011001000011 . -b1000111111111011111011001000011 6 -b1000111111111011111011001000011 @ -b1000111111111011111011001000011 F -#176128000 -0& -#176144000 -b101011000000 , -#176160000 -1& -#176176000 -b11111111111111111111111001111111 0 -b11111111111111111111111001111111 H -b10001101000110000111011100001111 < -b10001011100101111010001111100100 : -b11111110011111110010110011010100 $ -b11111110011111110010110011010100 - -b11111110011111110010110011010100 5 -b11111110011111110010110011010100 ? -b11111110011111110010110011010100 D -b1110010111001111000100011110000 % -b1110010111001111000100011110000 . -b1110010111001111000100011110000 6 -b1110010111001111000100011110000 @ -b1110010111001111000100011110000 F -#176192000 -0& -#176208000 -b101011000001 , -#176224000 -1& -#176240000 -1! -b0 " -b0 4 -b111 0 -b111 H -b0 2 -b0 = -08 -b10000011000010011010011110100011 < -1# -b1111010011001100101000000 : -b1111110110111111111000110011100 $ -b1111110110111111111000110011100 - -b1111110110111111111000110011100 5 -b1111110110111111111000110011100 ? -b1111110110111111111000110011100 D -b1111100111101100101100001011100 % -b1111100111101100101100001011100 . -b1111100111101100101100001011100 6 -b1111100111101100101100001011100 @ -b1111100111101100101100001011100 F -b0 ) -b1 ( -#176256000 -0& -#176272000 -b101011000010 , -#176288000 -1& -#176304000 -0! -b1 " -b1 4 -b11111001110111001001101000111 0 -b11111001110111001001101000111 H -b1 2 -b1 = -18 -b10000010010101011100010111111110 < -0# -b11000000110011001110110010001101 : -b111110011101110010011010001110 $ -b111110011101110010011010001110 - -b111110011101110010011010001110 5 -b111110011101110010011010001110 ? -b111110011101110010011010001110 D -b1111101101010100011101000000001 % -b1111101101010100011101000000001 . -b1111101101010100011101000000001 6 -b1111101101010100011101000000001 @ -b1111101101010100011101000000001 F -b1 ) -b0 ( -#176320000 -0& -#176336000 -b101011000011 , -#176352000 -1& -#176368000 -b11111101110111 0 -b11111101110111 H -b10000010101000001111111111001111 < -b11000010000110000000110110100110 : -b111111011101110000110111010110 $ -b111111011101110000110111010110 - -b111111011101110000110111010110 5 -b111111011101110000110111010110 ? -b111111011101110000110111010110 D -b1111101010111110000000000110000 % -b1111101010111110000000000110000 . -b1111101010111110000000000110000 6 -b1111101010111110000000000110000 @ -b1111101010111110000000000110000 F -#176384000 -0& -#176400000 -b101011000100 , -#176416000 -1& -#176432000 -0! -b1 " -b1 4 -b1 0 -b1 H -b1 2 -b1 = -18 -b10000000000011000000111101000001 < -b11111111011010100101001111111111 : -b1111111010111100100010010111101 $ -b1111111010111100100010010111101 - -b1111111010111100100010010111101 5 -b1111111010111100100010010111101 ? -b1111111010111100100010010111101 D -b1111111111100111111000010111110 % -b1111111111100111111000010111110 . -b1111111111100111111000010111110 6 -b1111111111100111111000010111110 @ -b1111111111100111111000010111110 F -#176448000 -0& -#176464000 -b101011000101 , -#176480000 -1& -#176496000 -0! -b1 " -b1 4 -b11111100011011101111011011101110 0 -b11111100011011101111011011101110 H -19 -b1 2 -b1 = -18 -b10001110000010001110101110111010 < -b11011111001111100100110010010 : -b10001101110111101101110111010111 $ -b10001101110111101101110111010111 - -b10001101110111101101110111010111 5 -b10001101110111101101110111010111 ? -b10001101110111101101110111010111 D -b1110001111101110001010001000101 % -b1110001111101110001010001000101 . -b1110001111101110001010001000101 6 -b1110001111101110001010001000101 @ -b1110001111101110001010001000101 F -#176512000 -0& -#176528000 -b101011000110 , -#176544000 -1& -#176560000 -0! -b1 " -b1 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b1 2 -b1 = -09 -18 -b11000011000010101100101001001110 < -b11000010110010101000101111011100 : -b11111111101111111100000110001101 $ -b11111111101111111100000110001101 - -b11111111101111111100000110001101 5 -b11111111101111111100000110001101 ? -b11111111101111111100000110001101 D -b111100111101010011010110110001 % -b111100111101010011010110110001 . -b111100111101010011010110110001 6 -b111100111101010011010110110001 @ -b111100111101010011010110110001 F -#176576000 -0& -#176592000 -b101011000111 , -#176608000 -1& -#176624000 -0! -b1 " -b1 4 -b1101010010111111001110110 0 -b1101010010111111001110110 H -b1 2 -b1 = -18 -b10000001000000011010000100011001 < -b11101011011000010011111010110100 : -b1101010010111111001110110011010 $ -b1101010010111111001110110011010 - -b1101010010111111001110110011010 5 -b1101010010111111001110110011010 ? -b1101010010111111001110110011010 D -b1111110111111100101111011100110 % -b1111110111111100101111011100110 . -b1111110111111100101111011100110 6 -b1111110111111100101111011100110 @ -b1111110111111100101111011100110 F -#176640000 -0& -#176656000 -b101011001000 , -#176672000 -1& -#176688000 -0! -b1 " -b1 4 -b11111111111111111111111011011010 0 -b11111111111111111111111011011010 H -19 -b1 2 -b1 = -18 -b10000001010000100001101010001011 < -b1101110111100010100110010110001 : -b11101101101011110011001000100101 $ -b11101101101011110011001000100101 - -b11101101101011110011001000100101 5 -b11101101101011110011001000100101 ? -b11101101101011110011001000100101 D -b1111110101111011110010101110100 % -b1111110101111011110010101110100 . -b1111110101111011110010101110100 6 -b1111110101111011110010101110100 @ -b1111110101111011110010101110100 F -#176704000 -0& -#176720000 -b101011001001 , -#176736000 -1& -#176752000 -1! -b0 " -b0 4 -b1111 0 -b1111 H -09 -b0 2 -b0 = -08 -b10101000010010000100101101000100 < -1# -b100001101001110101111000000010 : -b1111001010111110001001010111101 $ -b1111001010111110001001010111101 - -b1111001010111110001001010111101 5 -b1111001010111110001001010111101 ? -b1111001010111110001001010111101 D -b1010111101101111011010010111011 % -b1010111101101111011010010111011 . -b1010111101101111011010010111011 6 -b1010111101101111011010010111011 @ -b1010111101101111011010010111011 F -b0 ) -b1 ( -#176768000 -0& -#176784000 -b101011001010 , -#176800000 -1& -#176816000 -0! -b1 " -b1 4 -b11111111111111111111100001111011 0 -b11111111111111111111100001111011 H -b1 2 -b1 = -18 -b10010100100001000001010001101 < -0# -b11110100100000000111010111100100 : -b11100001111011111111001101010110 $ -b11100001111011111111001101010110 - -b11100001111011111111001101010110 5 -b11100001111011111111001101010110 ? -b11100001111011111111001101010110 D -b11101101011011110111110101110010 % -b11101101011011110111110101110010 . -b11101101011011110111110101110010 6 -b11101101011011110111110101110010 @ -b11101101011011110111110101110010 F -b1 ) -b0 ( -#176832000 -0& -#176848000 -b101011001011 , -#176864000 -1& -#176880000 -1! -b0 " -b0 4 -b11111111110111011111000100000000 0 -b11111111110111011111000100000000 H -b0 2 -b0 = -08 -b100000100000001100010101011011 < -1# -b11110010111111101010101011100 : -b11111101110111110001000000000000 $ -b11111101110111110001000000000000 - -b11111101110111110001000000000000 5 -b11111101110111110001000000000000 ? -b11111101110111110001000000000000 D -b11011111011111110011101010100100 % -b11011111011111110011101010100100 . -b11011111011111110011101010100100 6 -b11011111011111110011101010100100 @ -b11011111011111110011101010100100 F -b0 ) -b1 ( -#176896000 -0& -#176912000 -b101011001100 , -#176928000 -1& -#176944000 -0! -b1 " -b1 4 -b110000111101100101101111001 0 -b110000111101100101101111001 H -b1 2 -b1 = -18 -b10111101001001100001110001111100 < -0# -b11101110000111000111100001001010 : -b110000111101100101101111001101 $ -b110000111101100101101111001101 - -b110000111101100101101111001101 5 -b110000111101100101101111001101 ? -b110000111101100101101111001101 D -b1000010110110011110001110000011 % -b1000010110110011110001110000011 . -b1000010110110011110001110000011 6 -b1000010110110011110001110000011 @ -b1000010110110011110001110000011 F -b1 ) -b0 ( -#176960000 -0& -#176976000 -b101011001101 , -#176992000 -1& -#177008000 -b11111111111100111111110111111000 0 -b11111111111100111111110111111000 H -b11100000011101001001010110010101 < -b10110000011011000111011010100011 : -b11001111111101111110000100001101 $ -b11001111111101111110000100001101 - -b11001111111101111110000100001101 5 -b11001111111101111110000100001101 ? -b11001111111101111110000100001101 D -b11111100010110110101001101010 % -b11111100010110110101001101010 . -b11111100010110110101001101010 6 -b11111100010110110101001101010 @ -b11111100010110110101001101010 F -#177024000 -0& -#177040000 -b101011001110 , -#177056000 -1& -#177072000 -1! -b0 " -b0 4 -b1 0 -b1 H -b0 2 -b0 = -08 -b10001000101000000111000001 < -1# -b1100001100011111000101101111101 : -b1011111011011010000100110111011 $ -b1011111011011010000100110111011 - -b1011111011011010000100110111011 5 -b1011111011011010000100110111011 ? -b1011111011011010000100110111011 D -b11111101110111010111111000111110 % -b11111101110111010111111000111110 . -b11111101110111010111111000111110 6 -b11111101110111010111111000111110 @ -b11111101110111010111111000111110 F -b0 ) -b1 ( -#177088000 -0& -#177104000 -b101011001111 , -#177120000 -1& -#177136000 -b0 0 -b0 H -b100010011011101010100100001 < -b100110000000101001010111110111 : -b100001101101001100000011010101 $ -b100001101101001100000011010101 - -b100001101101001100000011010101 5 -b100001101101001100000011010101 ? -b100001101101001100000011010101 D -b11111011101100100010101011011110 % -b11111011101100100010101011011110 . -b11111011101100100010101011011110 6 -b11111011101100100010101011011110 @ -b11111011101100100010101011011110 F -#177152000 -0& -#177168000 -b101011010000 , -#177184000 -1& -#177200000 -1! -b0 " -b0 4 -b11101 0 -b11101 H -b0 2 -b0 = -19 -08 -b1011000100000000000000001100101 < -b11001111111011110000011100111011 : -b1110111011011110000011011010101 $ -b1110111011011110000011011010101 - -b1110111011011110000011011010101 5 -b1110111011011110000011011010101 ? -b1110111011011110000011011010101 D -b10100111011111111111111110011010 % -b10100111011111111111111110011010 . -b10100111011111111111111110011010 6 -b10100111011111111111111110011010 @ -b10100111011111111111111110011010 F -#177216000 -0& -#177232000 -b101011010001 , -#177248000 -1& -#177264000 -0! -b1 " -b1 4 -b11111111111111111111111101100111 0 -b11111111111111111111111101100111 H -b1 2 -b1 = -18 -b10000000000000000010000110010000 < -0# -b1111111101101000001111110101111 : -b11111111101100111111111000011110 $ -b11111111101100111111111000011110 - -b11111111101100111111111000011110 5 -b11111111101100111111111000011110 ? -b11111111101100111111111000011110 D -b1111111111111111101111001101111 % -b1111111111111111101111001101111 . -b1111111111111111101111001101111 6 -b1111111111111111101111001101111 @ -b1111111111111111101111001101111 F -b1 ) -b0 ( -#177280000 -0& -#177296000 -b101011010010 , -#177312000 -1& -#177328000 -1! -b0 " -b0 4 -b11110100 0 -b11110100 H -09 -b0 2 -b0 = -08 -b10011100000000011110110110001000 < -1# -b10110001101110110011101000100 : -b1111010001101010111100110111011 $ -b1111010001101010111100110111011 - -b1111010001101010111100110111011 5 -b1111010001101010111100110111011 ? -b1111010001101010111100110111011 D -b1100011111111100001001001110111 % -b1100011111111100001001001110111 . -b1100011111111100001001001110111 6 -b1100011111111100001001001110111 @ -b1100011111111100001001001110111 F -b0 ) -b1 ( -#177344000 -0& -#177360000 -b101011010011 , -#177376000 -1& -#177392000 -1! -b0 " -b0 4 -b1111101 0 -b1111101 H -b0 2 -b0 = -19 -08 -b100001011000101101011101000111 < -b10011111011000010010010001000101 : -b1111101111111100100110011111101 $ -b1111101111111100100110011111101 - -b1111101111111100100110011111101 5 -b1111101111111100100110011111101 ? -b1111101111111100100110011111101 D -b11011110100111010010100010111000 % -b11011110100111010010100010111000 . -b11011110100111010010100010111000 6 -b11011110100111010010100010111000 @ -b11011110100111010010100010111000 F -#177408000 -0& -#177424000 -b101011010100 , -#177440000 -1& -#177456000 -b1111000101010010111000010001 0 -b1111000101010010111000010001 H -b1000010000010010100100011100 < -b10000000111010101001100110101000 : -b1111000101010010111000010001011 $ -b1111000101010010111000010001011 - -b1111000101010010111000010001011 5 -b1111000101010010111000010001011 ? -b1111000101010010111000010001011 D -b11110111101111101101011011100011 % -b11110111101111101101011011100011 . -b11110111101111101101011011100011 6 -b11110111101111101101011011100011 @ -b11110111101111101101011011100011 F -#177472000 -0& -#177488000 -b101011010101 , -#177504000 -1& -#177520000 -0! -b1 " -b1 4 -b101111001111110101000100011001 0 -b101111001111110101000100011001 H -b1 2 -b1 = -09 -18 -b10000000100000000110001011111111 < -0# -b10101111101111111011010000011001 : -b101111001111110101000100011001 $ -b101111001111110101000100011001 - -b101111001111110101000100011001 5 -b101111001111110101000100011001 ? -b101111001111110101000100011001 D -b1111111011111111001110100000000 % -b1111111011111111001110100000000 . -b1111111011111111001110100000000 6 -b1111111011111111001110100000000 @ -b1111111011111111001110100000000 F -b1 ) -b0 ( -#177536000 -0& -#177552000 -b101011010110 , -#177568000 -1& -#177584000 -0! -b1 " -b1 4 -b11111111110101110100100100100000 0 -b11111111110101110100100100100000 H -b1 2 -b1 = -18 -b1010000000011011100010110110 < -b10111000100100111111100100010100 : -b10101110100100100100000001011101 $ -b10101110100100100100000001011101 - -b10101110100100100100000001011101 5 -b10101110100100100100000001011101 ? -b10101110100100100100000001011101 D -b11110101111111100100011101001001 % -b11110101111111100100011101001001 . -b11110101111111100100011101001001 6 -b11110101111111100100011101001001 @ -b11110101111111100100011101001001 F -#177600000 -0& -#177616000 -b101011010111 , -#177632000 -1& -#177648000 -0! -b1 " -b1 4 -b11111111100100010100 0 -b11111111100100010100 H -b1 2 -b1 = -18 -b10100000000010001001010001010101 < -b11011111111011001110010011111001 : -b111111111001000101000010100011 $ -b111111111001000101000010100011 - -b111111111001000101000010100011 5 -b111111111001000101000010100011 ? -b111111111001000101000010100011 D -b1011111111101110110101110101010 % -b1011111111101110110101110101010 . -b1011111111101110110101110101010 6 -b1011111111101110110101110101010 @ -b1011111111101110110101110101010 F -#177664000 -0& -#177680000 -b101011011000 , -#177696000 -1& -#177712000 -0! -b1 " -b1 4 -b11011111011011110110010100001001 0 -b11011111011011110110010100001001 H -b1 2 -b1 = -18 -b110111000110111111100111011110 < -b11110101111110101100001111110001 : -b10111110110111101100101000010010 $ -b10111110110111101100101000010010 - -b10111110110111101100101000010010 5 -b10111110110111101100101000010010 ? -b10111110110111101100101000010010 D -b11001000111001000000011000100001 % -b11001000111001000000011000100001 . -b11001000111001000000011000100001 6 -b11001000111001000000011000100001 @ -b11001000111001000000011000100001 F -#177728000 -0& -#177744000 -b101011011001 , -#177760000 -1& -#177776000 -1! -b0 " -b0 4 -b11011101111011111100110011010000 0 -b11011101111011111100110011010000 H -b0 2 -b0 = -08 -b100100100100000011011010011111 < -1# -b10100000000000001101110000 : -b11011101111011111100110011010000 $ -b11011101111011111100110011010000 - -b11011101111011111100110011010000 5 -b11011101111011111100110011010000 ? -b11011101111011111100110011010000 D -b11011011011011111100100101100000 % -b11011011011011111100100101100000 . -b11011011011011111100100101100000 6 -b11011011011011111100100101100000 @ -b11011011011011111100100101100000 F -b0 ) -b1 ( -#177792000 -0& -#177808000 -b101011011010 , -#177824000 -1& -#177840000 -0! -b1 " -b1 4 -b11111111111111111111111110111011 0 -b11111111111111111111111110111011 H -b1 2 -b1 = -18 -b10001110000100000100101000101101 < -0# -b10001100111111100001001011101111 : -b11111110111011011100100011000001 $ -b11111110111011011100100011000001 - -b11111110111011011100100011000001 5 -b11111110111011011100100011000001 ? -b11111110111011011100100011000001 D -b1110001111011111011010111010010 % -b1110001111011111011010111010010 . -b1110001111011111011010111010010 6 -b1110001111011111011010111010010 @ -b1110001111011111011010111010010 F -b1 ) -b0 ( -#177856000 -0& -#177872000 -b101011011011 , -#177888000 -1& -#177904000 -0! -b1 " -b1 4 -b0 0 -b0 H -b1 2 -b1 = -18 -09 -b10000001101110010011101010100000 < -b11101010000101100110101110111001 : -b1101000010111010011000100011000 $ -b1101000010111010011000100011000 - -b1101000010111010011000100011000 5 -b1101000010111010011000100011000 ? -b1101000010111010011000100011000 D -b1111110010001101100010101011111 % -b1111110010001101100010101011111 . -b1111110010001101100010101011111 6 -b1111110010001101100010101011111 @ -b1111110010001101100010101011111 F -#177920000 -0& -#177936000 -b101011011100 , -#177952000 -1& -#177968000 -1! -b0 " -b0 4 -b11111111111111110100110100000011 0 -b11111111111111110100110100000011 H -b0 2 -b0 = -08 -b1001000001010000000001011111100 < -1# -b1001000001000100110101100010111 : -b11111111111110100110100000011010 $ -b11111111111110100110100000011010 - -b11111111111110100110100000011010 5 -b11111111111110100110100000011010 ? -b11111111111110100110100000011010 D -b10110111110101111111110100000011 % -b10110111110101111111110100000011 . -b10110111110101111111110100000011 6 -b10110111110101111111110100000011 @ -b10110111110101111111110100000011 F -b0 ) -b1 ( -#177984000 -0& -#178000000 -b101011011101 , -#178016000 -1& -#178032000 -0! -b1 " -b1 4 -b11111111111111111111111111111010 0 -b11111111111111111111111111111010 H -b1 2 -b1 = -18 -19 -b10000000000101001101100010101000 < -0# -b1111101100011101100000111010000 : -b11111101011110011110100100100111 $ -b11111101011110011110100100100111 - -b11111101011110011110100100100111 5 -b11111101011110011110100100100111 ? -b11111101011110011110100100100111 D -b1111111111010110010011101010111 % -b1111111111010110010011101010111 . -b1111111111010110010011101010111 6 -b1111111111010110010011101010111 @ -b1111111111010110010011101010111 F -b1 ) -b0 ( -#178048000 -0& -#178064000 -b101011011110 , -#178080000 -1& -#178096000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -09 -b1101000010100001111000110 < -1# -b100100111011010110011101 : -b11111110111100100111000111010110 $ -b11111110111100100111000111010110 - -b11111110111100100111000111010110 5 -b11111110111100100111000111010110 ? -b11111110111100100111000111010110 D -b11111110010111101011110000111001 % -b11111110010111101011110000111001 . -b11111110010111101011110000111001 6 -b11111110010111101011110000111001 @ -b11111110010111101011110000111001 F -b0 ) -b1 ( -#178112000 -0& -#178128000 -b101011011111 , -#178144000 -1& -#178160000 -0! -b1 " -b1 4 -b11111111000111110111011010111110 0 -b11111111000111110111011010111110 H -b1 2 -b1 = -18 -b11111010111001101111011000011001 < -0# -b11000010110001001010010111010100 : -b11000111110111011010111110111010 $ -b11000111110111011010111110111010 - -b11000111110111011010111110111010 5 -b11000111110111011010111110111010 ? -b11000111110111011010111110111010 D -b101000110010000100111100110 % -b101000110010000100111100110 . -b101000110010000100111100110 6 -b101000110010000100111100110 @ -b101000110010000100111100110 F -b1 ) -b0 ( -#178176000 -0& -#178192000 -b101011100000 , -#178208000 -1& -#178224000 -b11111111111111111111111101111011 0 -b11111111111111111111111101111011 H -b10101001000000100111111101001101 < -b10100110111100010111010010011001 : -b11111101111011101111010101001011 $ -b11111101111011101111010101001011 - -b11111101111011101111010101001011 5 -b11111101111011101111010101001011 ? -b11111101111011101111010101001011 D -b1010110111111011000000010110010 % -b1010110111111011000000010110010 . -b1010110111111011000000010110010 6 -b1010110111111011000000010110010 @ -b1010110111111011000000010110010 F -#178240000 -0& -#178256000 -b101011100001 , -#178272000 -1& -#178288000 -1! -b0 " -b0 4 -b11101111011111100011111101011 0 -b11101111011111100011111101011 H -b0 2 -b0 = -08 -b10110000100110011101001110111101 < -1# -b101000010110001111001101101101 : -b1110111101111110001111110101111 $ -b1110111101111110001111110101111 - -b1110111101111110001111110101111 5 -b1110111101111110001111110101111 ? -b1110111101111110001111110101111 D -b1001111011001100010110001000010 % -b1001111011001100010110001000010 . -b1001111011001100010110001000010 6 -b1001111011001100010110001000010 @ -b1001111011001100010110001000010 F -b0 ) -b1 ( -#178304000 -0& -#178320000 -b101011100010 , -#178336000 -1& -#178352000 -0! -b1 " -b1 4 -b11111111101011110111110101110010 0 -b11111111101011110111110101110010 H -b1 2 -b1 = -18 -b10000001000010111011111010111 < -0# -b10111111100111101110101000110010 : -b10101111011111010111001001011010 $ -b10101111011111010111001001011010 - -b10101111011111010111001001011010 5 -b10101111011111010111001001011010 ? -b10101111011111010111001001011010 D -b11101111110111101000100000101000 % -b11101111110111101000100000101000 . -b11101111110111101000100000101000 6 -b11101111110111101000100000101000 @ -b11101111110111101000100000101000 F -b1 ) -b0 ( -#178368000 -0& -#178384000 -b101011100011 , -#178400000 -1& -#178416000 -1! -b0 " -b0 4 -b11101101110111110010 0 -b11101101110111110010 H -b0 2 -b0 = -08 -b11000000010101000001011101010100 < -1# -b110111010000111010101110111000 : -b1110110111011111001010001100011 $ -b1110110111011111001010001100011 - -b1110110111011111001010001100011 5 -b1110110111011111001010001100011 ? -b1110110111011111001010001100011 D -b111111101010111110100010101011 % -b111111101010111110100010101011 . -b111111101010111110100010101011 6 -b111111101010111110100010101011 @ -b111111101010111110100010101011 F -b0 ) -b1 ( -#178432000 -0& -#178448000 -b101011100100 , -#178464000 -1& -#178480000 -b1101111100111100001 0 -b1101111100111100001 H -b11000000010010110000000001010011 < -b101111111010010001100010000100 : -b1101111100111100001100000110000 $ -b1101111100111100001100000110000 - -b1101111100111100001100000110000 5 -b1101111100111100001100000110000 ? -b1101111100111100001100000110000 D -b111111101101001111111110101100 % -b111111101101001111111110101100 . -b111111101101001111111110101100 6 -b111111101101001111111110101100 @ -b111111101101001111111110101100 F -#178496000 -0& -#178512000 -b101011100101 , -#178528000 -1& -#178544000 -b11111111111111111111111111111001 0 -b11111111111111111111111111111001 H -b1110000000100101001100101000011 < -b110010001100111011110001101 : -b10010110001100111101111001001001 $ -b10010110001100111101111001001001 - -b10010110001100111101111001001001 5 -b10010110001100111101111001001001 ? -b10010110001100111101111001001001 D -b10001111111011010110011010111100 % -b10001111111011010110011010111100 . -b10001111111011010110011010111100 6 -b10001111111011010110011010111100 @ -b10001111111011010110011010111100 F -#178560000 -0& -#178576000 -b101011100110 , -#178592000 -1& -#178608000 -0! -b1 " -b1 4 -b11111111111111111111111010011010 0 -b11111111111111111111111010011010 H -b1 2 -b1 = -18 -b1000100011101110111101101010 < -0# -b11011011110111100010111100111001 : -b11010011010011110011111111001110 $ -b11010011010011110011111111001110 - -b11010011010011110011111111001110 5 -b11010011010011110011111111001110 ? -b11010011010011110011111111001110 D -b11110111011100010001000010010101 % -b11110111011100010001000010010101 . -b11110111011100010001000010010101 6 -b11110111011100010001000010010101 @ -b11110111011100010001000010010101 F -b1 ) -b0 ( -#178624000 -0& -#178640000 -b101011100111 , -#178656000 -1& -#178672000 -1! -b0 " -b0 4 -b11111111111111111111111111001111 0 -b11111111111111111111111111001111 H -b0 2 -b0 = -08 -b100101100100001011001101001000 < -1# -b1101011100000110011111000011 : -b11100111110111111011010001111010 $ -b11100111110111111011010001111010 - -b11100111110111111011010001111010 5 -b11100111110111111011010001111010 ? -b11100111110111111011010001111010 D -b11011010011011110100110010110111 % -b11011010011011110100110010110111 . -b11011010011011110100110010110111 6 -b11011010011011110100110010110111 @ -b11011010011011110100110010110111 F -b0 ) -b1 ( -#178688000 -0& -#178704000 -b101011101000 , -#178720000 -1& -#178736000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -19 -b1 2 -b1 = -18 -b10000000110011100111110011100011 < -0# -b1000000010011100010000011111001 : -b10111111011111111010010000010101 $ -b10111111011111111010010000010101 - -b10111111011111111010010000010101 5 -b10111111011111111010010000010101 ? -b10111111011111111010010000010101 D -b1111111001100011000001100011100 % -b1111111001100011000001100011100 . -b1111111001100011000001100011100 6 -b1111111001100011000001100011100 @ -b1111111001100011000001100011100 F -b1 ) -b0 ( -#178752000 -0& -#178768000 -b101011101001 , -#178784000 -1& -#178800000 -0! -b1 " -b1 4 -b11111111111110101101110101110101 0 -b11111111111110101101110101110101 H -b1 2 -b1 = -09 -18 -b1001000001100111111011010011 < -b10110110110111011101110011111000 : -b10101101110101110101111000100100 $ -b10101101110101110101111000100100 - -b10101101110101110101111000100100 5 -b10101101110101110101111000100100 ? -b10101101110101110101111000100100 D -b11110110111110011000000100101100 % -b11110110111110011000000100101100 . -b11110110111110011000000100101100 6 -b11110110111110011000000100101100 @ -b11110110111110011000000100101100 F -#178816000 -0& -#178832000 -b101011101010 , -#178848000 -1& -#178864000 -0! -b1 " -b1 4 -b11111111111011111101111110000011 0 -b11111111111011111101111110000011 H -b1 2 -b1 = -18 -b11110000101100001101100010011000 < -b11101000101000001001101001010000 : -b11110111111011111100000110110111 $ -b11110111111011111100000110110111 - -b11110111111011111100000110110111 5 -b11110111111011111100000110110111 ? -b11110111111011111100000110110111 D -b1111010011110010011101100111 % -b1111010011110010011101100111 . -b1111010011110010011101100111 6 -b1111010011110010011101100111 @ -b1111010011110010011101100111 F -#178880000 -0& -#178896000 -b101011101011 , -#178912000 -1& -#178928000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1000001000111000101001100011 < -1# -b111000100100001000110001100 : -b11111110111011101000011100101000 $ -b11111110111011101000011100101000 - -b11111110111011101000011100101000 5 -b11111110111011101000011100101000 ? -b11111110111011101000011100101000 D -b11110111110111000111010110011100 % -b11110111110111000111010110011100 . -b11110111110111000111010110011100 6 -b11110111110111000111010110011100 @ -b11110111110111000111010110011100 F -b0 ) -b1 ( -#178944000 -0& -#178960000 -b101011101100 , -#178976000 -1& -#178992000 -0! -b1 " -b1 4 -b11111111111111111111110111111011 0 -b11111111111111111111110111111011 H -b1 2 -b1 = -18 -b1000110000010100000001011 < -0# -b11100000110100101100010110010101 : -b11011111101110101001110110001001 $ -b11011111101110101001110110001001 - -b11011111101110101001110110001001 5 -b11011111101110101001110110001001 ? -b11011111101110101001110110001001 D -b11111110111001111101011111110100 % -b11111110111001111101011111110100 . -b11111110111001111101011111110100 6 -b11111110111001111101011111110100 @ -b11111110111001111101011111110100 F -b1 ) -b0 ( -#179008000 -0& -#179024000 -b101011101101 , -#179040000 -1& -#179056000 -1! -b0 " -b0 4 -b11100111111101111011 0 -b11100111111101111011 H -b0 2 -b0 = -08 -b11000101000000010101100010110100 < -1# -b111000111111010011000100111101 : -b1110011111110111101100010001000 $ -b1110011111110111101100010001000 - -b1110011111110111101100010001000 5 -b1110011111110111101100010001000 ? -b1110011111110111101100010001000 D -b111010111111101010011101001011 % -b111010111111101010011101001011 . -b111010111111101010011101001011 6 -b111010111111101010011101001011 @ -b111010111111101010011101001011 F -b0 ) -b1 ( -#179072000 -0& -#179088000 -b101011101110 , -#179104000 -1& -#179120000 -1! -b0 " -b0 4 -b111111000 0 -b111111000 H -b0 2 -b0 = -19 -08 -b100100001111101111000001001 < -b10000010101101110000101111011010 : -b1111110001011110010110111010000 $ -b1111110001011110010110111010000 - -b1111110001011110010110111010000 5 -b1111110001011110010110111010000 ? -b1111110001011110010110111010000 D -b11111011011110000010000111110110 % -b11111011011110000010000111110110 . -b11111011011110000010000111110110 6 -b11111011011110000010000111110110 @ -b11111011011110000010000111110110 F -#179136000 -0& -#179152000 -b101011101111 , -#179168000 -1& -#179184000 -0! -b1 " -b1 4 -b11111111111111111111111011100111 0 -b11111111111111111111111011100111 H -09 -b1 2 -b1 = -18 -b1001110000001101001101 < -0# -b11111011110001010111010110100010 : -b11111011100111100111001001010100 $ -b11111011100111100111001001010100 - -b11111011100111100111001001010100 5 -b11111011100111100111001001010100 ? -b11111011100111100111001001010100 D -b11111111110110001111110010110010 % -b11111111110110001111110010110010 . -b11111111110110001111110010110010 6 -b11111111110110001111110010110010 @ -b11111111110110001111110010110010 F -b1 ) -b0 ( -#179200000 -0& -#179216000 -b101011110000 , -#179232000 -1& -#179248000 -1! -b0 " -b0 4 -b11111101 0 -b11111101 H -b0 2 -b0 = -08 -b1000000101010000101000 < -1# -b1111111000011111101110001010000 : -b1111110111011111000100000100111 $ -b1111110111011111000100000100111 - -b1111110111011111000100000100111 5 -b1111110111011111000100000100111 ? -b1111110111011111000100000100111 D -b11111111110111111010101111010111 % -b11111111110111111010101111010111 . -b11111111110111111010101111010111 6 -b11111111110111111010101111010111 @ -b11111111110111111010101111010111 F -b0 ) -b1 ( -#179264000 -0& -#179280000 -b101011110001 , -#179296000 -1& -#179312000 -1! -b0 " -b0 4 -b11111111111111111111011010010001 0 -b11111111111111111111011010010001 H -b0 2 -b0 = -08 -09 -b10000000001110111110010110 < -b1111011100001001101100101 : -b11111111111011010010001111001110 $ -b11111111111011010010001111001110 - -b11111111111011010010001111001110 5 -b11111111111011010010001111001110 ? -b11111111111011010010001111001110 D -b11111101111111110001000001101001 % -b11111101111111110001000001101001 . -b11111101111111110001000001101001 6 -b11111101111111110001000001101001 @ -b11111101111111110001000001101001 F -#179328000 -0& -#179344000 -b101011110010 , -#179360000 -1& -#179376000 -0! -b1 " -b1 4 -b11111110011111111011110111000101 0 -b11111110011111111011110111000101 H -19 -b1 2 -b1 = -18 -b10001000001000101011011100111001 < -0# -b101000000100100010100010100101 : -b10011111111011110111000101101011 $ -b10011111111011110111000101101011 - -b10011111111011110111000101101011 5 -b10011111111011110111000101101011 ? -b10011111111011110111000101101011 D -b1110111110111010100100011000110 % -b1110111110111010100100011000110 . -b1110111110111010100100011000110 6 -b1110111110111010100100011000110 @ -b1110111110111010100100011000110 F -b1 ) -b0 ( -#179392000 -0& -#179408000 -b101011110011 , -#179424000 -1& -#179440000 -0! -b1 " -b1 4 -b11101011111110110110110000101011 0 -b11101011111110110110110000101011 H -b1 2 -b1 = -09 -18 -b1000100010000111000011111 < -b11101101000011000111101001001011 : -b11101011111110110110110000101011 $ -b11101011111110110110110000101011 - -b11101011111110110110110000101011 5 -b11101011111110110110110000101011 ? -b11101011111110110110110000101011 D -b11111110111011101111000111100000 % -b11111110111011101111000111100000 . -b11111110111011101111000111100000 6 -b11111110111011101111000111100000 @ -b11111110111011101111000111100000 F -#179456000 -0& -#179472000 -b101011110100 , -#179488000 -1& -#179504000 -0! -b1 " -b1 4 -b11111111111111111111111100110111 0 -b11111111111111111111111100110111 H -b1 2 -b1 = -19 -18 -b10010010001000001010101100001010 < -b1111001000111111100100001110101 : -b11100110111111110001110101101010 $ -b11100110111111110001110101101010 - -b11100110111111110001110101101010 5 -b11100110111111110001110101101010 ? -b11100110111111110001110101101010 D -b1101101110111110101010011110101 % -b1101101110111110101010011110101 . -b1101101110111110101010011110101 6 -b1101101110111110101010011110101 @ -b1101101110111110101010011110101 F -#179520000 -0& -#179536000 -b101011110101 , -#179552000 -1& -#179568000 -1! -b0 " -b0 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -b0 2 -b0 = -09 -08 -b101010000100001111000000001010 < -1# -b101000110010001000100001001011 : -b11111110101101111001100001000000 $ -b11111110101101111001100001000000 - -b11111110101101111001100001000000 5 -b11111110101101111001100001000000 ? -b11111110101101111001100001000000 D -b11010101111011110000111111110101 % -b11010101111011110000111111110101 . -b11010101111011110000111111110101 6 -b11010101111011110000111111110101 @ -b11010101111011110000111111110101 F -b0 ) -b1 ( -#179584000 -0& -#179600000 -b101011110110 , -#179616000 -1& -#179632000 -b11111111111111110110011010111101 0 -b11111111111111110110011010111101 H -b1000000000011010101001100110001 < -b11001101111001011101101010100 : -b11011001101011110110100000100010 $ -b11011001101011110110100000100010 - -b11011001101011110110100000100010 5 -b11011001101011110110100000100010 ? -b11011001101011110110100000100010 D -b10111111111100101010110011001110 % -b10111111111100101010110011001110 . -b10111111111100101010110011001110 6 -b10111111111100101010110011001110 @ -b10111111111100101010110011001110 F -#179648000 -0& -#179664000 -b101011110111 , -#179680000 -1& -#179696000 -b111111101011110110001100 0 -b111111101011110110001100 H -b11000001000100010011101001011001 < -b110000001001110110001111 : -b111111101011110110001100110101 $ -b111111101011110110001100110101 - -b111111101011110110001100110101 5 -b111111101011110110001100110101 ? -b111111101011110110001100110101 D -b111110111011101100010110100110 % -b111110111011101100010110100110 . -b111110111011101100010110100110 6 -b111110111011101100010110100110 @ -b111110111011101100010110100110 F -#179712000 -0& -#179728000 -b101011111000 , -#179744000 -1& -#179760000 -1! -b0 " -b0 4 -b1 0 -b1 H -b0 2 -b0 = -08 -b1000011110100101010100010 < -b110110010111100100111010010000 : -b110101010011110000001111101101 $ -b110101010011110000001111101101 - -b110101010011110000001111101101 5 -b110101010011110000001111101101 ? -b110101010011110000001111101101 D -b11111110111100001011010101011101 % -b11111110111100001011010101011101 . -b11111110111100001011010101011101 6 -b11111110111100001011010101011101 @ -b11111110111100001011010101011101 F -#179776000 -0& -#179792000 -b101011111001 , -#179808000 -1& -#179824000 -1! -b0 " -b0 4 -b111001101111101010 0 -b111001101111101010 H -b0 2 -b0 = -19 -08 -b1000000000001001110101101110010 < -b10110011100000100100010001000110 : -b1110011011111010101100011010011 $ -b1110011011111010101100011010011 - -b1110011011111010101100011010011 5 -b1110011011111010101100011010011 ? -b1110011011111010101100011010011 D -b10111111111110110001010010001101 % -b10111111111110110001010010001101 . -b10111111111110110001010010001101 6 -b10111111111110110001010010001101 @ -b10111111111110110001010010001101 F -#179840000 -0& -#179856000 -b101011111010 , -#179872000 -1& -#179888000 -0! -b1 " -b1 4 -b11111110011111000001101011 0 -b11111110011111000001101011 H -b1 2 -b1 = -09 -18 -b10100000000011101100000100111011 < -0# -b11011111101011011100011111110001 : -b111111100111110000011010110101 $ -b111111100111110000011010110101 - -b111111100111110000011010110101 5 -b111111100111110000011010110101 ? -b111111100111110000011010110101 D -b1011111111100010011111011000100 % -b1011111111100010011111011000100 . -b1011111111100010011111011000100 6 -b1011111111100010011111011000100 @ -b1011111111100010011111011000100 F -b1 ) -b0 ( -#179904000 -0& -#179920000 -b101011111011 , -#179936000 -1& -#179952000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b10001001110100000111000100100010 < -b10001001110011010111111010010010 : -b11111111111111010000110101101111 $ -b11111111111111010000110101101111 - -b11111111111111010000110101101111 5 -b11111111111111010000110101101111 ? -b11111111111111010000110101101111 D -b1110110001011111000111011011101 % -b1110110001011111000111011011101 . -b1110110001011111000111011011101 6 -b1110110001011111000111011011101 @ -b1110110001011111000111011011101 F -#179968000 -0& -#179984000 -b101011111100 , -#180000000 -1& -#180016000 -0! -b1 " -b1 4 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -b1 2 -b1 = -09 -18 -b111000010100111110010000110 < -b11100110111101111100110001011110 : -b11011111111011010100111111010111 $ -b11011111111011010100111111010111 - -b11011111111011010100111111010111 5 -b11011111111011010100111111010111 ? -b11011111111011010100111111010111 D -b11111000111101011000001101111001 % -b11111000111101011000001101111001 . -b11111000111101011000001101111001 6 -b11111000111101011000001101111001 @ -b11111000111101011000001101111001 F -#180032000 -0& -#180048000 -b101011111101 , -#180064000 -1& -#180080000 -0! -b1 " -b1 4 -b11111111111111111111110011110111 0 -b11111111111111111111110011110111 H -b1 2 -b1 = -19 -18 -b10000010000101000000110101101101 < -b1110101111100101001011110010010 : -b11110011110111101000101000100100 $ -b11110011110111101000101000100100 - -b11110011110111101000101000100100 5 -b11110011110111101000101000100100 ? -b11110011110111101000101000100100 D -b1111101111010111111001010010010 % -b1111101111010111111001010010010 . -b1111101111010111111001010010010 6 -b1111101111010111111001010010010 @ -b1111101111010111111001010010010 F -#180096000 -0& -#180112000 -b101011111110 , -#180128000 -1& -#180144000 -1! -b0 " -b0 4 -b1111101110101 0 -b1111101110101 H -09 -b0 2 -b0 = -08 -b10100010000000001000100100101101 < -1# -b11111110101011001110101100000 : -b1111101110101010001010000110010 $ -b1111101110101010001010000110010 - -b1111101110101010001010000110010 5 -b1111101110101010001010000110010 ? -b1111101110101010001010000110010 D -b1011101111111110111011011010010 % -b1011101111111110111011011010010 . -b1011101111111110111011011010010 6 -b1011101111111110111011011010010 @ -b1011101111111110111011011010010 F -b0 ) -b1 ( -#180160000 -0& -#180176000 -b101011111111 , -#180192000 -1& -#180208000 -0! -b1 " -b1 4 -b11111111111111011011101001011011 0 -b11111111111111011011101001011011 H -b1 2 -b1 = -18 -b10000100100000001000010110111000 < -0# -b10000011010111011011001101110000 : -b11111110110111010010110110110111 $ -b11111110110111010010110110110111 - -b11111110110111010010110110110111 5 -b11111110110111010010110110110111 ? -b11111110110111010010110110110111 D -b1111011011111110111101001000111 % -b1111011011111110111101001000111 . -b1111011011111110111101001000111 6 -b1111011011111110111101001000111 @ -b1111011011111110111101001000111 F -b1 ) -b0 ( -#180224000 -0& -#180240000 -b101100000000 , -#180256000 -1& -#180272000 -1! -b0 " -b0 4 -b1110111000111000001 0 -b1110111000111000001 H -b0 2 -b0 = -08 -09 -b1100000001010111100000100010110 < -1# -b1101111000011110100010001111001 : -b1110111000111000001101100010 $ -b1110111000111000001101100010 - -b1110111000111000001101100010 5 -b1110111000111000001101100010 ? -b1110111000111000001101100010 D -b10011111110101000011111011101001 % -b10011111110101000011111011101001 . -b10011111110101000011111011101001 6 -b10011111110101000011111011101001 @ -b10011111110101000011111011101001 F -b0 ) -b1 ( -#180288000 -0& -#180304000 -b101100000001 , -#180320000 -1& -#180336000 -0! -b1 " -b1 4 -b11111111111110010011 0 -b11111111111110010011 H -b1 2 -b1 = -09 -18 -b10010000110100010101011010010101 < -0# -b11010000110011111010001101010100 : -b111111111111100100110010111110 $ -b111111111111100100110010111110 - -b111111111111100100110010111110 5 -b111111111111100100110010111110 ? -b111111111111100100110010111110 D -b1101111001011101010100101101010 % -b1101111001011101010100101101010 . -b1101111001011101010100101101010 6 -b1101111001011101010100101101010 @ -b1101111001011101010100101101010 F -b1 ) -b0 ( -#180352000 -0& -#180368000 -b101100000010 , -#180384000 -1& -#180400000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b110000100000010101101101100000 < -b11110110111110101010100100010101 : -b11000110011110010100110110110100 $ -b11000110011110010100110110110100 - -b11000110011110010100110110110100 5 -b11000110011110010100110110110100 ? -b11000110011110010100110110110100 D -b11001111011111101010010010011111 % -b11001111011111101010010010011111 . -b11001111011111101010010010011111 6 -b11001111011111101010010010011111 @ -b11001111011111101010010010011111 F -#180416000 -0& -#180432000 -b101100000011 , -#180448000 -1& -#180464000 -0! -b1 " -b1 4 -b11111111111111011110111010101101 0 -b11111111111111011110111010101101 H -b1 2 -b1 = -18 -b10001001010110011111010110 < -b11111110000000101100001010001011 : -b11111011110111010101101010110100 $ -b11111011110111010101101010110100 - -b11111011110111010101101010110100 5 -b11111011110111010101101010110100 ? -b11111011110111010101101010110100 D -b11111101110110101001100000101001 % -b11111101110110101001100000101001 . -b11111101110110101001100000101001 6 -b11111101110110101001100000101001 @ -b11111101110110101001100000101001 F -#180480000 -0& -#180496000 -b101100000100 , -#180512000 -1& -#180528000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1000001111100000000110100000001 < -1# -b110001101000101000000011000011 : -b11101111101100100111001111000001 $ -b11101111101100100111001111000001 - -b11101111101100100111001111000001 5 -b11101111101100100111001111000001 ? -b11101111101100100111001111000001 D -b10111110000011111111001011111110 % -b10111110000011111111001011111110 . -b10111110000011111111001011111110 6 -b10111110000011111111001011111110 @ -b10111110000011111111001011111110 F -b0 ) -b1 ( -#180544000 -0& -#180560000 -b101100000101 , -#180576000 -1& -#180592000 -b111101011110011 0 -b111101011110011 H -b10010010101111010000 < -b111101100000101111111100011100 : -b111101011110011101001101001011 $ -b111101011110011101001101001011 - -b111101011110011101001101001011 5 -b111101011110011101001101001011 ? -b111101011110011101001101001011 D -b11111111111101101101010000101111 % -b11111111111101101101010000101111 . -b11111111111101101101010000101111 6 -b11111111111101101101010000101111 @ -b11111111111101101101010000101111 F -#180608000 -0& -#180624000 -b101100000110 , -#180640000 -1& -#180656000 -b111111111110110001001 0 -b111111111110110001001 H -b10000000001011011101000111010101 < -b1000111111011110111001 : -b1111111111101100010010111100011 $ -b1111111111101100010010111100011 - -b1111111111101100010010111100011 5 -b1111111111101100010010111100011 ? -b1111111111101100010010111100011 D -b1111111110100100010111000101010 % -b1111111110100100010111000101010 . -b1111111110100100010111000101010 6 -b1111111110100100010111000101010 @ -b1111111110100100010111000101010 F -#180672000 -0& -#180688000 -b101100000111 , -#180704000 -1& -#180720000 -b11111111111111111111101110111011 0 -b11111111111111111111101110111011 H -b1010000000111011010101101110 < -b1011110110000111100010010 : -b11110111011101110101100110100011 $ -b11110111011101110101100110100011 - -b11110111011101110101100110100011 5 -b11110111011101110101100110100011 ? -b11110111011101110101100110100011 D -b11110101111111000100101010010001 % -b11110101111111000100101010010001 . -b11110101111111000100101010010001 6 -b11110101111111000100101010010001 @ -b11110101111111000100101010010001 F -#180736000 -0& -#180752000 -b101100001000 , -#180768000 -1& -#180784000 -0! -b1 " -b1 4 -b11111110000111101111001111011010 0 -b11111110000111101111001111011010 H -19 -b1 2 -b1 = -18 -b11110110000111011110100000011001 < -0# -b1111101110110101101111010111100 : -b10000111101111001111011010100010 $ -b10000111101111001111011010100010 - -b10000111101111001111011010100010 5 -b10000111101111001111011010100010 ? -b10000111101111001111011010100010 D -b1001111000100001011111100110 % -b1001111000100001011111100110 . -b1001111000100001011111100110 6 -b1001111000100001011111100110 @ -b1001111000100001011111100110 F -b1 ) -b0 ( -#180800000 -0& -#180816000 -b101100001001 , -#180832000 -1& -#180848000 -0! -b1 " -b1 4 -b11111111111111111111110000101011 0 -b11111111111111111111110000101011 H -b1 2 -b1 = -09 -18 -b11000000000010001000010001111000 < -b11000000000001101001101000001010 : -b11111111111111100001010110010001 $ -b11111111111111100001010110010001 - -b11111111111111100001010110010001 5 -b11111111111111100001010110010001 ? -b11111111111111100001010110010001 D -b111111111101110111101110000111 % -b111111111101110111101110000111 . -b111111111101110111101110000111 6 -b111111111101110111101110000111 @ -b111111111101110111101110000111 F -#180864000 -0& -#180880000 -b101100001010 , -#180896000 -1& -#180912000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b10010011000100100001001011000001 < -b10001110100110111010101110101100 : -b11111011100010011001100011101010 $ -b11111011100010011001100011101010 - -b11111011100010011001100011101010 5 -b11111011100010011001100011101010 ? -b11111011100010011001100011101010 D -b1101100111011011110110100111110 % -b1101100111011011110110100111110 . -b1101100111011011110110100111110 6 -b1101100111011011110110100111110 @ -b1101100111011011110110100111110 F -#180928000 -0& -#180944000 -b101100001011 , -#180960000 -1& -#180976000 -1! -b0 " -b0 4 -b11111111111111111111111011111000 0 -b11111111111111111111111011111000 H -b0 2 -b0 = -08 -b1000000001000001100001011001110 < -1# -b111110000100010000000001011010 : -b11111101111100000011110110001011 $ -b11111101111100000011110110001011 - -b11111101111100000011110110001011 5 -b11111101111100000011110110001011 ? -b11111101111100000011110110001011 D -b10111111110111110011110100110001 % -b10111111110111110011110100110001 . -b10111111110111110011110100110001 6 -b10111111110111110011110100110001 @ -b10111111110111110011110100110001 F -b0 ) -b1 ( -#180992000 -0& -#181008000 -b101100001100 , -#181024000 -1& -#181040000 -1! -b0 " -b0 4 -b111011111010 0 -b111011111010 H -b0 2 -b0 = -08 -b11000111000100100100000101101100 < -b111110111010001101101111001101 : -b1110111110101101001101001100000 $ -b1110111110101101001101001100000 - -b1110111110101101001101001100000 5 -b1110111110101101001101001100000 ? -b1110111110101101001101001100000 D -b111000111011011011111010010011 % -b111000111011011011111010010011 . -b111000111011011011111010010011 6 -b111000111011011011111010010011 @ -b111000111011011011111010010011 F -#181056000 -0& -#181072000 -b101100001101 , -#181088000 -1& -#181104000 -0! -b1 " -b1 4 -b11111111111111111111110110101110 0 -b11111111111111111111110110101110 H -b1 2 -b1 = -18 -b10010000000001001001011111101110 < -0# -b10001011011000010100110111010101 : -b11111011010111001011010111100110 $ -b11111011010111001011010111100110 - -b11111011010111001011010111100110 5 -b11111011010111001011010111100110 ? -b11111011010111001011010111100110 D -b1101111111110110110100000010001 % -b1101111111110110110100000010001 . -b1101111111110110110100000010001 6 -b1101111111110110110100000010001 @ -b1101111111110110110100000010001 F -b1 ) -b0 ( -#181120000 -0& -#181136000 -b101100001110 , -#181152000 -1& -#181168000 -1! -b0 " -b0 4 -b100010111101111000 0 -b100010111101111000 H -b0 2 -b0 = -08 -09 -b100000001010010010101010010 < -1# -b1001001111101000100010001001110 : -b1000101111011110001111011111011 $ -b1000101111011110001111011111011 - -b1000101111011110001111011111011 5 -b1000101111011110001111011111011 ? -b1000101111011110001111011111011 D -b11111011111110101101101010101101 % -b11111011111110101101101010101101 . -b11111011111110101101101010101101 6 -b11111011111110101101101010101101 @ -b11111011111110101101101010101101 F -b0 ) -b1 ( -#181184000 -0& -#181200000 -b101100001111 , -#181216000 -1& -#181232000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -19 -b1 2 -b1 = -18 -b10000001000010000110110111000111 < -0# -b1111001000001110000010110110001 : -b11110111111111101001011111101001 $ -b11110111111111101001011111101001 - -b11110111111111101001011111101001 5 -b11110111111111101001011111101001 ? -b11110111111111101001011111101001 D -b1111110111101111001001000111000 % -b1111110111101111001001000111000 . -b1111110111101111001001000111000 6 -b1111110111101111001001000111000 @ -b1111110111101111001001000111000 F -b1 ) -b0 ( -#181248000 -0& -#181264000 -b101100010000 , -#181280000 -1& -#181296000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b11100000000110001101100100100000 < -b10111000000100001011000000111111 : -b11010111111101111101011100011110 $ -b11010111111101111101011100011110 - -b11010111111101111101011100011110 5 -b11010111111101111101011100011110 ? -b11010111111101111101011100011110 D -b11111111001110010011011011111 % -b11111111001110010011011011111 . -b11111111001110010011011011111 6 -b11111111001110010011011011111 @ -b11111111001110010011011011111 F -#181312000 -0& -#181328000 -b101100010001 , -#181344000 -1& -#181360000 -1! -b0 " -b0 4 -b11111101010001001010111100001 0 -b11111101010001001010111100001 H -b0 2 -b0 = -08 -b10000010010010110100001111110 < -1# -b1001111100110101001010001000001 : -b111111010100010010101111000010 $ -b111111010100010010101111000010 - -b111111010100010010101111000010 5 -b111111010100010010101111000010 ? -b111111010100010010101111000010 D -b11101111101101101001011110000001 % -b11101111101101101001011110000001 . -b11101111101101101001011110000001 6 -b11101111101101101001011110000001 @ -b11101111101101101001011110000001 F -b0 ) -b1 ( -#181376000 -0& -#181392000 -b101100010010 , -#181408000 -1& -#181424000 -0! -b1 " -b1 4 -b11111111111111111111101111000111 0 -b11111111111111111111101111000111 H -b1 2 -b1 = -18 -b11000101000000001000010010001011 < -0# -b10000001011111111011011011101000 : -b10111100011111110011001001011100 $ -b10111100011111110011001001011100 - -b10111100011111110011001001011100 5 -b10111100011111110011001001011100 ? -b10111100011111110011001001011100 D -b111010111111110111101101110100 % -b111010111111110111101101110100 . -b111010111111110111101101110100 6 -b111010111111110111101101110100 @ -b111010111111110111101101110100 F -b1 ) -b0 ( -#181440000 -0& -#181456000 -b101100010011 , -#181472000 -1& -#181488000 -0! -b1 " -b1 4 -b101011111111110100011111111000 0 -b101011111111110100011111111000 H -b1 2 -b1 = -18 -09 -b10001100000101110111110111011111 < -b10111000000101101100010111011000 : -b101011111111110100011111111000 $ -b101011111111110100011111111000 - -b101011111111110100011111111000 5 -b101011111111110100011111111000 ? -b101011111111110100011111111000 D -b1110011111010001000001000100000 % -b1110011111010001000001000100000 . -b1110011111010001000001000100000 6 -b1110011111010001000001000100000 @ -b1110011111010001000001000100000 F -#181504000 -0& -#181520000 -b101100010100 , -#181536000 -1& -#181552000 -b1111111010111101010 0 -b1111111010111101010 H -b10000001101010001101111111010100 < -b11000001010110000011010111010001 : -b111111101011110101010111111100 $ -b111111101011110101010111111100 - -b111111101011110101010111111100 5 -b111111101011110101010111111100 ? -b111111101011110101010111111100 D -b1111110010101110010000000101011 % -b1111110010101110010000000101011 . -b1111110010101110010000000101011 6 -b1111110010101110010000000101011 @ -b1111110010101110010000000101011 F -#181568000 -0& -#181584000 -b101100010101 , -#181600000 -1& -#181616000 -b1 0 -b1 H -b10101001000010000000011010100010 < -b11010011111001100011110101000010 : -b101010110111100011011010011111 $ -b101010110111100011011010011111 - -b101010110111100011011010011111 5 -b101010110111100011011010011111 ? -b101010110111100011011010011111 D -b1010110111101111111100101011101 % -b1010110111101111111100101011101 . -b1010110111101111111100101011101 6 -b1010110111101111111100101011101 @ -b1010110111101111111100101011101 F -#181632000 -0& -#181648000 -b101100010110 , -#181664000 -1& -#181680000 -1! -b0 " -b0 4 -b11100111 0 -b11100111 H -b0 2 -b0 = -08 -b11100001100000000100111001001000 < -1# -b1010101011101110101010001101100 : -b1110011111101110000011000100011 $ -b1110011111101110000011000100011 - -b1110011111101110000011000100011 5 -b1110011111101110000011000100011 ? -b1110011111101110000011000100011 D -b11110011111111011000110110111 % -b11110011111111011000110110111 . -b11110011111111011000110110111 6 -b11110011111111011000110110111 @ -b11110011111111011000110110111 F -b0 ) -b1 ( -#181696000 -0& -#181712000 -b101100010111 , -#181728000 -1& -#181744000 -b110 0 -b110 H -b1100000001010010000000011 < -b1101110001001000011111001100011 : -b1101100101000111001101001011111 $ -b1101100101000111001101001011111 - -b1101100101000111001101001011111 5 -b1101100101000111001101001011111 ? -b1101100101000111001101001011111 D -b11111110011111110101101111111100 % -b11111110011111110101101111111100 . -b11111110011111110101101111111100 6 -b11111110011111110101101111111100 @ -b11111110011111110101101111111100 F -#181760000 -0& -#181776000 -b101100011000 , -#181792000 -1& -#181808000 -b101111110101111 0 -b101111110101111 H -b10000101011001001110100010000 < -b1000000100001000011111111111000 : -b101111110101111010001011100111 $ -b101111110101111010001011100111 - -b101111110101111010001011100111 5 -b101111110101111010001011100111 ? -b101111110101111010001011100111 D -b11101111010100110110001011101111 % -b11101111010100110110001011101111 . -b11101111010100110110001011101111 6 -b11101111010100110110001011101111 @ -b11101111010100110110001011101111 F -#181824000 -0& -#181840000 -b101100011001 , -#181856000 -1& -#181872000 -1! -b0 " -b0 4 -b111111111101111110101100 0 -b111111111101111110101100 H -b0 2 -b0 = -19 -08 -b11000000000001011000010111000 < -b10010111111100001000011100011110 : -b1111111111011111101011001100101 $ -b1111111111011111101011001100101 - -b1111111111011111101011001100101 5 -b1111111111011111101011001100101 ? -b1111111111011111101011001100101 D -b11100111111111110100111101000111 % -b11100111111111110100111101000111 . -b11100111111111110100111101000111 6 -b11100111111111110100111101000111 @ -b11100111111111110100111101000111 F -#181888000 -0& -#181904000 -b101100011010 , -#181920000 -1& -#181936000 -1! -b0 " -b0 4 -b11111111111111110111111011010100 0 -b11111111111111110111111011010100 H -09 -b0 2 -b0 = -08 -b1000000000000000100001111010100 < -b111011111101101110100010000111 : -b11111011111101101010010010110010 $ -b11111011111101101010010010110010 - -b11111011111101101010010010110010 5 -b11111011111101101010010010110010 ? -b11111011111101101010010010110010 D -b10111111111111111011110000101011 % -b10111111111111111011110000101011 . -b10111111111111111011110000101011 6 -b10111111111111111011110000101011 @ -b10111111111111111011110000101011 F -#181952000 -0& -#181968000 -b101100011011 , -#181984000 -1& -#182000000 -0! -b1 " -b1 4 -b11111111111111010111101111111000 0 -b11111111111111010111101111111000 H -b1 2 -b1 = -18 -19 -b10000000010000011101011010110100 < -0# -b1101100001000011001111010101100 : -b11101011110111111100011111110111 $ -b11101011110111111100011111110111 - -b11101011110111111100011111110111 5 -b11101011110111111100011111110111 ? -b11101011110111111100011111110111 D -b1111111101111100010100101001011 % -b1111111101111100010100101001011 . -b1111111101111100010100101001011 6 -b1111111101111100010100101001011 @ -b1111111101111100010100101001011 F -b1 ) -b0 ( -#182016000 -0& -#182032000 -b101100011100 , -#182048000 -1& -#182064000 -1! -b0 " -b0 4 -b11101101111011100000101101001 0 -b11101101111011100000101101001 H -09 -b0 2 -b0 = -08 -b1000101000001000111101111101 < -1# -b1111111100101111001010100100100 : -b1110110111101110000010110100110 $ -b1110110111101110000010110100110 - -b1110110111101110000010110100110 5 -b1110110111101110000010110100110 ? -b1110110111101110000010110100110 D -b11110111010111110111000010000010 % -b11110111010111110111000010000010 . -b11110111010111110111000010000010 6 -b11110111010111110111000010000010 @ -b11110111010111110111000010000010 F -b0 ) -b1 ( -#182080000 -0& -#182096000 -b101100011101 , -#182112000 -1& -#182128000 -1! -b0 " -b0 4 -b111110 0 -b111110 H -b0 2 -b0 = -09 -08 -b10010010110001000010001110000110 < -b1111110000011100000110101101 : -b1111100111111011001111000100110 $ -b1111100111111011001111000100110 - -b1111100111111011001111000100110 5 -b1111100111111011001111000100110 ? -b1111100111111011001111000100110 D -b1101101001110111101110001111001 % -b1101101001110111101110001111001 . -b1101101001110111101110001111001 6 -b1101101001110111101110001111001 @ -b1101101001110111101110001111001 F -#182144000 -0& -#182160000 -b101100011110 , -#182176000 -1& -#182192000 -b11111111111111111111110110111110 0 -b11111111111111111111110110111110 H -b100110010000101101111111001011 < -b10001001111110001010110011 : -b11011011111001010000001011100111 $ -b11011011111001010000001011100111 - -b11011011111001010000001011100111 5 -b11011011111001010000001011100111 ? -b11011011111001010000001011100111 D -b11011001101111010010000000110100 % -b11011001101111010010000000110100 . -b11011001101111010010000000110100 6 -b11011001101111010010000000110100 @ -b11011001101111010010000000110100 F -#182208000 -0& -#182224000 -b101100011111 , -#182240000 -1& -#182256000 -1! -b0 " -b0 4 -b101 0 -b101 H -19 -b0 2 -b0 = -08 -b100001000000010111100011000011 < -b10000001000000001111000011100101 : -b1011111111111110111100000100001 $ -b1011111111111110111100000100001 - -b1011111111111110111100000100001 5 -b1011111111111110111100000100001 ? -b1011111111111110111100000100001 D -b11011110111111101000011100111100 % -b11011110111111101000011100111100 . -b11011110111111101000011100111100 6 -b11011110111111101000011100111100 @ -b11011110111111101000011100111100 F -#182272000 -0& -#182288000 -b101100100000 , -#182304000 -1& -#182320000 -b1111110111001110111000001111 0 -b1111110111001110111000001111 H -b1100000010001100101001101111100 < -b11011111001011011100001111111000 : -b1111110111001110111000001111011 $ -b1111110111001110111000001111011 - -b1111110111001110111000001111011 5 -b1111110111001110111000001111011 ? -b1111110111001110111000001111011 D -b10011111101110011010110010000011 % -b10011111101110011010110010000011 . -b10011111101110011010110010000011 6 -b10011111101110011010110010000011 @ -b10011111101110011010110010000011 F -#182336000 -0& -#182352000 -b101100100001 , -#182368000 -1& -#182384000 -0! -b1 " -b1 4 -b11110111111111011000100011011000 0 -b11110111111111011000100011011000 H -09 -b1 2 -b1 = -18 -b10001000011100011001010100011111 < -0# -b10000000011011110001110111111000 : -b11110111111111011000100011011000 $ -b11110111111111011000100011011000 - -b11110111111111011000100011011000 5 -b11110111111111011000100011011000 ? -b11110111111111011000100011011000 D -b1110111100011100110101011100000 % -b1110111100011100110101011100000 . -b1110111100011100110101011100000 6 -b1110111100011100110101011100000 @ -b1110111100011100110101011100000 F -b1 ) -b0 ( -#182400000 -0& -#182416000 -b101100100010 , -#182432000 -1& -#182448000 -1! -b0 " -b0 4 -b11101010111111111010100111001010 0 -b11101010111111111010100111001010 H -b0 2 -b0 = -09 -08 -b1110001001000001010100011111110 < -1# -b1000111000111111111110010010100 : -b11010101111111110101001110010101 $ -b11010101111111110101001110010101 - -b11010101111111110101001110010101 5 -b11010101111111110101001110010101 ? -b11010101111111110101001110010101 D -b10001110110111110101011100000001 % -b10001110110111110101011100000001 . -b10001110110111110101011100000001 6 -b10001110110111110101011100000001 @ -b10001110110111110101011100000001 F -b0 ) -b1 ( -#182464000 -0& -#182480000 -b101100100011 , -#182496000 -1& -#182512000 -1! -b0 " -b0 4 -b100101111111111010011111 0 -b100101111111111010011111 H -b0 2 -b0 = -08 -b11000000000100011001110101111000 < -b1100000100001110110100001111 : -b1001011111111110100111110010110 $ -b1001011111111110100111110010110 - -b1001011111111110100111110010110 5 -b1001011111111110100111110010110 ? -b1001011111111110100111110010110 D -b111111111011100110001010000111 % -b111111111011100110001010000111 . -b111111111011100110001010000111 6 -b111111111011100110001010000111 @ -b111111111011100110001010000111 F -#182528000 -0& -#182544000 -b101100100100 , -#182560000 -1& -#182576000 -0! -b1 " -b1 4 -b11111110101011110111000110001010 0 -b11111110101011110111000110001010 H -b1 2 -b1 = -18 -b11011000000000001000110010011011 < -0# -b11000010111101111010010100111101 : -b11101010111101110001100010100001 $ -b11101010111101110001100010100001 - -b11101010111101110001100010100001 5 -b11101010111101110001100010100001 ? -b11101010111101110001100010100001 D -b100111111111110111001101100100 % -b100111111111110111001101100100 . -b100111111111110111001101100100 6 -b100111111111110111001101100100 @ -b100111111111110111001101100100 F -b1 ) -b0 ( -#182592000 -0& -#182608000 -b101100100101 , -#182624000 -1& -#182640000 -1! -b0 " -b0 4 -b11111111110111111111101001011110 0 -b11111111110111111111101001011110 H -b0 2 -b0 = -08 -b1001011100000001010101111011000 < -1# -b111011011111011101101100001111 : -b11101111111111010010111100110110 $ -b11101111111111010010111100110110 - -b11101111111111010010111100110110 5 -b11101111111111010010111100110110 ? -b11101111111111010010111100110110 D -b10110100011111110101010000100111 % -b10110100011111110101010000100111 . -b10110100011111110101010000100111 6 -b10110100011111110101010000100111 @ -b10110100011111110101010000100111 F -b0 ) -b1 ( -#182656000 -0& -#182672000 -b101100100110 , -#182688000 -1& -#182704000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10011100000001101000000000100001 < -0# -b10011100000001100001100110110111 : -b11111111111111111001100110010101 $ -b11111111111111111001100110010101 - -b11111111111111111001100110010101 5 -b11111111111111111001100110010101 ? -b11111111111111111001100110010101 D -b1100011111110010111111111011110 % -b1100011111110010111111111011110 . -b1100011111110010111111111011110 6 -b1100011111110010111111111011110 @ -b1100011111110010111111111011110 F -b1 ) -b0 ( -#182720000 -0& -#182736000 -b101100100111 , -#182752000 -1& -#182768000 -1! -b0 " -b0 4 -b11111111111111111111101001101101 0 -b11111111111111111111101001101101 H -b0 2 -b0 = -08 -b1010100010011010000001100101101 < -1# -b111110000000100011001100010111 : -b11101001101101010010111111101001 $ -b11101001101101010010111111101001 - -b11101001101101010010111111101001 5 -b11101001101101010010111111101001 ? -b11101001101101010010111111101001 D -b10101011101100101111110011010010 % -b10101011101100101111110011010010 . -b10101011101100101111110011010010 6 -b10101011101100101111110011010010 @ -b10101011101100101111110011010010 F -b0 ) -b1 ( -#182784000 -0& -#182800000 -b101100101000 , -#182816000 -1& -#182832000 -1! -b0 " -b0 4 -b101110 0 -b101110 H -b0 2 -b0 = -08 -b100000001001011110000011100110 < -b1111101101001001101110110100010 : -b1011101011111101111110010111011 $ -b1011101011111101111110010111011 - -b1011101011111101111110010111011 5 -b1011101011111101111110010111011 ? -b1011101011111101111110010111011 D -b11011111110110100001111100011001 % -b11011111110110100001111100011001 . -b11011111110110100001111100011001 6 -b11011111110110100001111100011001 @ -b11011111110110100001111100011001 F -#182848000 -0& -#182864000 -b101100101001 , -#182880000 -1& -#182896000 -0! -b1 " -b1 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b1 2 -b1 = -18 -09 -b10010000000000000000101100100101 < -0# -b10000111100100100101110110100101 : -b11110111100100100101001001111111 $ -b11110111100100100101001001111111 - -b11110111100100100101001001111111 5 -b11110111100100100101001001111111 ? -b11110111100100100101001001111111 D -b1101111111111111111010011011010 % -b1101111111111111111010011011010 . -b1101111111111111111010011011010 6 -b1101111111111111111010011011010 @ -b1101111111111111111010011011010 F -b1 ) -b0 ( -#182912000 -0& -#182928000 -b101100101010 , -#182944000 -1& -#182960000 -0! -b1 " -b1 4 -b111011101111110101000 0 -b111011101111110101000 H -b1 2 -b1 = -18 -b10000000000001011111100101110101 < -b11110111100001001001110100100100 : -b1110111011111101010001110101110 $ -b1110111011111101010001110101110 - -b1110111011111101010001110101110 5 -b1110111011111101010001110101110 ? -b1110111011111101010001110101110 D -b1111111111110100000011010001010 % -b1111111111110100000011010001010 . -b1111111111110100000011010001010 6 -b1111111111110100000011010001010 @ -b1111111111110100000011010001010 F -#182976000 -0& -#182992000 -b101100101011 , -#183008000 -1& -#183024000 -0! -b1 " -b1 4 -b11111111111111111111110001101111 0 -b11111111111111111111110001101111 H -b1 2 -b1 = -18 -b11111000000001000011001001001011 < -b10111110111101011001110000100100 : -b11000110111100010110100111011000 $ -b11000110111100010110100111011000 - -b11000110111100010110100111011000 5 -b11000110111100010110100111011000 ? -b11000110111100010110100111011000 D -b111111110111100110110110100 % -b111111110111100110110110100 . -b111111110111100110110110100 6 -b111111110111100110110110100 @ -b111111110111100110110110100 F -#183040000 -0& -#183056000 -b101100101100 , -#183072000 -1& -#183088000 -b11111111111111011001111111010000 0 -b11111111111111011001111111010000 H -b101000000001100010110101 < -b11110111000111110101101101011011 : -b11110110011111110100001010100101 $ -b11110110011111110100001010100101 - -b11110110011111110100001010100101 5 -b11110110011111110100001010100101 ? -b11110110011111110100001010100101 D -b11111111010111111110011101001010 % -b11111111010111111110011101001010 . -b11111111010111111110011101001010 6 -b11111111010111111110011101001010 @ -b11111111010111111110011101001010 F -#183104000 -0& -#183120000 -b101100101101 , -#183136000 -1& -#183152000 -0! -b1 " -b1 4 -b11111111111111111111111110011101 0 -b11111111111111111111111110011101 H -b1 2 -b1 = -19 -18 -b10000000010000001101101001001001 < -b1100111101101101001111111110100 : -b11100111011101011100010110101010 $ -b11100111011101011100010110101010 - -b11100111011101011100010110101010 5 -b11100111011101011100010110101010 ? -b11100111011101011100010110101010 D -b1111111101111110010010110110110 % -b1111111101111110010010110110110 . -b1111111101111110010010110110110 6 -b1111111101111110010010110110110 @ -b1111111101111110010010110110110 F -#183168000 -0& -#183184000 -b101100101110 , -#183200000 -1& -#183216000 -1! -b0 " -b0 4 -b10011101110000000 0 -b10011101110000000 H -b0 2 -b0 = -08 -b1001000110100011011110111110001 < -1# -b10010111101100011100001110010011 : -b1001110111000000000010110100001 $ -b1001110111000000000010110100001 - -b1001110111000000000010110100001 5 -b1001110111000000000010110100001 ? -b1001110111000000000010110100001 D -b10110111001011100100001000001110 % -b10110111001011100100001000001110 . -b10110111001011100100001000001110 6 -b10110111001011100100001000001110 @ -b10110111001011100100001000001110 F -b0 ) -b1 ( -#183232000 -0& -#183248000 -b101100101111 , -#183264000 -1& -#183280000 -0! -b1 " -b1 4 -b11111101111111111110010110111010 0 -b11111101111111111110010110111010 H -09 -b1 2 -b1 = -18 -b10000000011000001101011100 < -0# -b11110010000000001011000100101101 : -b11101111111111110010110111010000 $ -b11101111111111110010110111010000 - -b11101111111111110010110111010000 5 -b11101111111111110010110111010000 ? -b11101111111111110010110111010000 D -b11111101111111100111110010100011 % -b11111101111111100111110010100011 . -b11111101111111100111110010100011 6 -b11111101111111100111110010100011 @ -b11111101111111100111110010100011 F -b1 ) -b0 ( -#183296000 -0& -#183312000 -b101100110000 , -#183328000 -1& -#183344000 -1! -b0 " -b0 4 -b11111111111111111111110011111011 0 -b11111111111111111111110011111011 H -b0 2 -b0 = -08 -b100000010100110011011111001111 < -1# -b11101010011110000111111101110 : -b11111100111110111101100000011110 $ -b11111100111110111101100000011110 - -b11111100111110111101100000011110 5 -b11111100111110111101100000011110 ? -b11111100111110111101100000011110 D -b11011111101011001100100000110000 % -b11011111101011001100100000110000 . -b11011111101011001100100000110000 6 -b11011111101011001100100000110000 @ -b11011111101011001100100000110000 F -b0 ) -b1 ( -#183360000 -0& -#183376000 -b101100110001 , -#183392000 -1& -#183408000 -0! -b1 " -b1 4 -b11111111111111111111111110101111 0 -b11111111111111111111111110101111 H -b1 2 -b1 = -18 -b101011000000101111101000 < -0# -b11011000101001110101100101011001 : -b11010111111110110100110101110000 $ -b11010111111110110100110101110000 - -b11010111111110110100110101110000 5 -b11010111111110110100110101110000 ? -b11010111111110110100110101110000 D -b11111111010100111111010000010111 % -b11111111010100111111010000010111 . -b11111111010100111111010000010111 6 -b11111111010100111111010000010111 @ -b11111111010100111111010000010111 F -b1 ) -b0 ( -#183424000 -0& -#183440000 -b101100110010 , -#183456000 -1& -#183472000 -0! -b1 " -b1 4 -b10111010111111101001 0 -b10111010111111101001 H -b1 2 -b1 = -18 -b10100010000000010010110001110101 < -b11010000110000001101001111110111 : -b101110101111111010011110000001 $ -b101110101111111010011110000001 - -b101110101111111010011110000001 5 -b101110101111111010011110000001 ? -b101110101111111010011110000001 D -b1011101111111101101001110001010 % -b1011101111111101101001110001010 . -b1011101111111101101001110001010 6 -b1011101111111101101001110001010 @ -b1011101111111101101001110001010 F -#183488000 -0& -#183504000 -b101100110011 , -#183520000 -1& -#183536000 -1! -b0 " -b0 4 -b1111101111 0 -b1111101111 H -19 -b0 2 -b0 = -08 -b1000000000010110101001101010 < -1# -b10000101111010001101100110111010 : -b1111101111001110110111101001111 $ -b1111101111001110110111101001111 - -b1111101111001110110111101001111 5 -b1111101111001110110111101001111 ? -b1111101111001110110111101001111 D -b11110111111111101001010110010101 % -b11110111111111101001010110010101 . -b11110111111111101001010110010101 6 -b11110111111111101001010110010101 @ -b11110111111111101001010110010101 F -b0 ) -b1 ( -#183552000 -0& -#183568000 -b101100110100 , -#183584000 -1& -#183600000 -0! -b1 " -b1 4 -b0 0 -b0 H -b1 2 -b1 = -18 -09 -b10000001011000011011101010100000 < -0# -b11111111100110111100100111001010 : -b1111110001110100000111100101001 $ -b1111110001110100000111100101001 - -b1111110001110100000111100101001 5 -b1111110001110100000111100101001 ? -b1111110001110100000111100101001 D -b1111110100111100100010101011111 % -b1111110100111100100010101011111 . -b1111110100111100100010101011111 6 -b1111110100111100100010101011111 @ -b1111110100111100100010101011111 F -b1 ) -b0 ( -#183616000 -0& -#183632000 -b101100110101 , -#183648000 -1& -#183664000 -1! -b0 " -b0 4 -b11111101 0 -b11111101 H -b0 2 -b0 = -08 -b10001000000001000110110001101000 < -1# -b110111100100111111110100011 : -b1111110111011100001001100111010 $ -b1111110111011100001001100111010 - -b1111110111011100001001100111010 5 -b1111110111011100001001100111010 ? -b1111110111011100001001100111010 D -b1110111111110111001001110010111 % -b1110111111110111001001110010111 . -b1110111111110111001001110010111 6 -b1110111111110111001001110010111 @ -b1110111111110111001001110010111 F -b0 ) -b1 ( -#183680000 -0& -#183696000 -b101100110110 , -#183712000 -1& -#183728000 -0! -b1 " -b1 4 -b11111110011011101111110101110010 0 -b11111110011011101111110101110010 H -b1 2 -b1 = -18 -19 -b10011000000111000011011000111010 < -0# -b1100101111110111110010010000011 : -b11001101110111111010111001001000 $ -b11001101110111111010111001001000 - -b11001101110111111010111001001000 5 -b11001101110111111010111001001000 ? -b11001101110111111010111001001000 D -b1100111111000111100100111000101 % -b1100111111000111100100111000101 . -b1100111111000111100100111000101 6 -b1100111111000111100100111000101 @ -b1100111111000111100100111000101 F -b1 ) -b0 ( -#183744000 -0& -#183760000 -b101100110111 , -#183776000 -1& -#183792000 -1! -b0 " -b0 4 -b11111111111111111110011110111100 0 -b11111111111111111110011110111100 H -b0 2 -b0 = -09 -08 -b1100000011001100011010101010001 < -1# -b1011010010101010100110101110000 : -b11111001111011110001100000011110 $ -b11111001111011110001100000011110 - -b11111001111011110001100000011110 5 -b11111001111011110001100000011110 ? -b11111001111011110001100000011110 D -b10011111100110011100101010101110 % -b10011111100110011100101010101110 . -b10011111100110011100101010101110 6 -b10011111100110011100101010101110 @ -b10011111100110011100101010101110 F -b0 ) -b1 ( -#183808000 -0& -#183824000 -b101100111000 , -#183840000 -1& -#183856000 -1! -b0 " -b0 4 -b1111101101011111100000101110000 0 -b1111101101011111100000101110000 H -19 -b0 2 -b0 = -08 -b1010001000010001101010101011111 < -b11001110101110001001011011010000 : -b1111101101011111100000101110000 $ -b1111101101011111100000101110000 - -b1111101101011111100000101110000 5 -b1111101101011111100000101110000 ? -b1111101101011111100000101110000 D -b10101110111101110010101010100000 % -b10101110111101110010101010100000 . -b10101110111101110010101010100000 6 -b10101110111101110010101010100000 @ -b10101110111101110010101010100000 F -#183872000 -0& -#183888000 -b101100111001 , -#183904000 -1& -#183920000 -1! -b0 " -b0 4 -b111111 0 -b111111 H -b0 2 -b0 = -09 -08 -b10000101011000000000100000100110 < -b100000111110000110101010001 : -b1111110101111110000010100101010 $ -b1111110101111110000010100101010 - -b1111110101111110000010100101010 5 -b1111110101111110000010100101010 ? -b1111110101111110000010100101010 D -b1111010100111111111011111011001 % -b1111010100111111111011111011001 . -b1111010100111111111011111011001 6 -b1111010100111111111011111011001 @ -b1111010100111111111011111011001 F -#183936000 -0& -#183952000 -b101100111010 , -#183968000 -1& -#183984000 -0! -b1 " -b1 4 -b10110100 0 -b10110100 H -b1 2 -b1 = -18 -b10000000011000001011100101001000 < -0# -b11011010110100000110110001001110 : -b1011010011011111011001100000101 $ -b1011010011011111011001100000101 - -b1011010011011111011001100000101 5 -b1011010011011111011001100000101 ? -b1011010011011111011001100000101 D -b1111111100111110100011010110111 % -b1111111100111110100011010110111 . -b1111111100111110100011010110111 6 -b1111111100111110100011010110111 @ -b1111111100111110100011010110111 F -b1 ) -b0 ( -#184000000 -0& -#184016000 -b101100111011 , -#184032000 -1& -#184048000 -0! -b1 " -b1 4 -b11111111111111110101101011101100 0 -b11111111111111110101101011101100 H -19 -b1 2 -b1 = -18 -b10000000100010010111001111010001 < -b1010111010001001001111111101010 : -b11010110101110110010110000011000 $ -b11010110101110110010110000011000 - -b11010110101110110010110000011000 5 -b11010110101110110010110000011000 ? -b11010110101110110010110000011000 D -b1111111011101101000110000101110 % -b1111111011101101000110000101110 . -b1111111011101101000110000101110 6 -b1111111011101101000110000101110 @ -b1111111011101101000110000101110 F -#184064000 -0& -#184080000 -b101100111100 , -#184096000 -1& -#184112000 -1! -b0 " -b0 4 -b1111111010111011 0 -b1111111010111011 H -b0 2 -b0 = -08 -b100000100000000111111110010000 < -1# -b10011111110111100101011101110001 : -b1111111010111011101011111100000 $ -b1111111010111011101011111100000 - -b1111111010111011101011111100000 5 -b1111111010111011101011111100000 ? -b1111111010111011101011111100000 D -b11011111011111111000000001101111 % -b11011111011111111000000001101111 . -b11011111011111111000000001101111 6 -b11011111011111111000000001101111 @ -b11011111011111111000000001101111 F -b0 ) -b1 ( -#184128000 -0& -#184144000 -b101100111101 , -#184160000 -1& -#184176000 -1! -b0 " -b0 4 -b101010000111111001 0 -b101010000111111001 H -b0 2 -b0 = -09 -08 -b1000001101001011101001110010 < -b1011100011100111111010110100010 : -b1010100001111110011101100101111 $ -b1010100001111110011101100101111 - -b1010100001111110011101100101111 5 -b1010100001111110011101100101111 ? -b1010100001111110011101100101111 D -b11110111110010110100010110001101 % -b11110111110010110100010110001101 . -b11110111110010110100010110001101 6 -b11110111110010110100010110001101 @ -b11110111110010110100010110001101 F -#184192000 -0& -#184208000 -b101100111110 , -#184224000 -1& -#184240000 -b10111111 0 -b10111111 H -b110010101101011011101101000 < -b1100110010101100000001110011100 : -b1011111111111110100110000110011 $ -b1011111111111110100110000110011 - -b1011111111111110100110000110011 5 -b1011111111111110100110000110011 ? -b1011111111111110100110000110011 D -b11111001101010010100100010010111 % -b11111001101010010100100010010111 . -b11111001101010010100100010010111 6 -b11111001101010010100100010010111 @ -b11111001101010010100100010010111 F -#184256000 -0& -#184272000 -b101100111111 , -#184288000 -1& -#184304000 -1! -b0 " -b0 4 -b11111111111111111101111101111111 0 -b11111111111111111101111101111111 H -b0 2 -b0 = -08 -b100100110000010011111010101111 < -b100010000010000110111001010 : -b11011111011111111100111100011010 $ -b11011111011111111100111100011010 - -b11011111011111111100111100011010 5 -b11011111011111111100111100011010 ? -b11011111011111111100111100011010 D -b11011011001111101100000101010000 % -b11011011001111101100000101010000 . -b11011011001111101100000101010000 6 -b11011011001111101100000101010000 @ -b11011011001111101100000101010000 F -#184320000 -0& -#184336000 -b101101000000 , -#184352000 -1& -#184368000 -1! -b0 " -b0 4 -b11111111111111111111111111100111 0 -b11111111111111111111111111100111 H -b0 2 -b0 = -08 -b1000000010001000011101111100110 < -b1111111111101000100100011011 : -b11001111101110100100110100110100 $ -b11001111101110100100110100110100 - -b11001111101110100100110100110100 5 -b11001111101110100100110100110100 ? -b11001111101110100100110100110100 D -b10111111101110111100010000011001 % -b10111111101110111100010000011001 . -b10111111101110111100010000011001 6 -b10111111101110111100010000011001 @ -b10111111101110111100010000011001 F -#184384000 -0& -#184400000 -b101101000001 , -#184416000 -1& -#184432000 -1! -b0 " -b0 4 -b10111101110010110001 0 -b10111101110010110001 H -b0 2 -b0 = -08 -b10100011001000100110011001110100 < -b10000001111111001100110011 : -b1011110111001011000110010111110 $ -b1011110111001011000110010111110 - -b1011110111001011000110010111110 5 -b1011110111001011000110010111110 ? -b1011110111001011000110010111110 D -b1011100110111011001100110001011 % -b1011100110111011001100110001011 . -b1011100110111011001100110001011 6 -b1011100110111011001100110001011 @ -b1011100110111011001100110001011 F -#184448000 -0& -#184464000 -b101101000010 , -#184480000 -1& -#184496000 -0! -b1 " -b1 4 -b10011111110110011110001001001010 0 -b10011111110110011110001001001010 H -b1 2 -b1 = -18 -19 -b10001000000000000111110010011111 < -0# -b100111110110100101111011101010 : -b10011111110110011110001001001010 $ -b10011111110110011110001001001010 - -b10011111110110011110001001001010 5 -b10011111110110011110001001001010 ? -b10011111110110011110001001001010 D -b1110111111111111000001101100000 % -b1110111111111111000001101100000 . -b1110111111111111000001101100000 6 -b1110111111111111000001101100000 @ -b1110111111111111000001101100000 F -b1 ) -b0 ( -#184512000 -0& -#184528000 -b101101000011 , -#184544000 -1& -#184560000 -1! -b0 " -b0 4 -b10101101011 0 -b10101101011 H -09 -b0 2 -b0 = -08 -b100010000000010100001011101100 < -1# -b1001101011000001000010011110000 : -b101011010111110100001000000011 $ -b101011010111110100001000000011 - -b101011010111110100001000000011 5 -b101011010111110100001000000011 ? -b101011010111110100001000000011 D -b11011101111111101011110100010011 % -b11011101111111101011110100010011 . -b11011101111111101011110100010011 6 -b11011101111111101011110100010011 @ -b11011101111111101011110100010011 F -b0 ) -b1 ( -#184576000 -0& -#184592000 -b101101000100 , -#184608000 -1& -#184624000 -0! -b1 " -b1 4 -b10111101011 0 -b10111101011 H -b1 2 -b1 = -09 -18 -b10000000100000100111011010001011 < -0# -b11011111010000100010001000110011 : -b1011110101111111010101110100111 $ -b1011110101111111010101110100111 - -b1011110101111111010101110100111 5 -b1011110101111111010101110100111 ? -b1011110101111111010101110100111 D -b1111111011111011000100101110100 % -b1111111011111011000100101110100 . -b1111111011111011000100101110100 6 -b1111111011111011000100101110100 @ -b1111111011111011000100101110100 F -b1 ) -b0 ( -#184640000 -0& -#184656000 -b101101000101 , -#184672000 -1& -#184688000 -1! -b0 " -b0 4 -b1111 0 -b1111 H -b0 2 -b0 = -08 -b100001000111011011000100 < -1# -b1111111110111111100110101000000 : -b1111111010110110101011001111011 $ -b1111111010110110101011001111011 - -b1111111010110110101011001111011 5 -b1111111010110110101011001111011 ? -b1111111010110110101011001111011 D -b11111111011110111000100100111011 % -b11111111011110111000100100111011 . -b11111111011110111000100100111011 6 -b11111111011110111000100100111011 @ -b11111111011110111000100100111011 F -b0 ) -b1 ( -#184704000 -0& -#184720000 -b101101000110 , -#184736000 -1& -#184752000 -0! -b1 " -b1 4 -b11111111111111111110011111011111 0 -b11111111111111111110011111011111 H -19 -b1 2 -b1 = -18 -b10000100000001000100110111110000 < -0# -b1110111111101000010001001001010 : -b11110011111011111101010001011001 $ -b11110011111011111101010001011001 - -b11110011111011111101010001011001 5 -b11110011111011111101010001011001 ? -b11110011111011111101010001011001 D -b1111011111110111011001000001111 % -b1111011111110111011001000001111 . -b1111011111110111011001000001111 6 -b1111011111110111011001000001111 @ -b1111011111110111011001000001111 F -b1 ) -b0 ( -#184768000 -0& -#184784000 -b101101000111 , -#184800000 -1& -#184816000 -1! -b0 " -b0 4 -b10111111101111 0 -b10111111101111 H -09 -b0 2 -b0 = -08 -b11100000011001001001111011001110 < -1# -b1000000010001000111101111001010 : -b1011111110111111101110011111011 $ -b1011111110111111101110011111011 - -b1011111110111111101110011111011 5 -b1011111110111111101110011111011 ? -b1011111110111111101110011111011 D -b11111100110110110000100110001 % -b11111100110110110000100110001 . -b11111100110110110000100110001 6 -b11111100110110110000100110001 @ -b11111100110110110000100110001 F -b0 ) -b1 ( -#184832000 -0& -#184848000 -b101101001000 , -#184864000 -1& -#184880000 -0! -b1 " -b1 4 -b11111111111111111111111001101111 0 -b11111111111111111111111001101111 H -19 -b1 2 -b1 = -18 -b10001000100000011000100100101011 < -0# -b1101111011110010001101111101000 : -b11100110111101111001001010111100 $ -b11100110111101111001001010111100 - -b11100110111101111001001010111100 5 -b11100110111101111001001010111100 ? -b11100110111101111001001010111100 D -b1110111011111100111011011010100 % -b1110111011111100111011011010100 . -b1110111011111100111011011010100 6 -b1110111011111100111011011010100 @ -b1110111011111100111011011010100 F -b1 ) -b0 ( -#184896000 -0& -#184912000 -b101101001001 , -#184928000 -1& -#184944000 -1! -b0 " -b0 4 -b11111 0 -b11111 H -b0 2 -b0 = -08 -09 -b10000100000000001011100100100101 < -1# -b10010111001111101101100001 : -b1111110010111000100001000111011 $ -b1111110010111000100001000111011 - -b1111110010111000100001000111011 5 -b1111110010111000100001000111011 ? -b1111110010111000100001000111011 D -b1111011111111110100011011011010 % -b1111011111111110100011011011010 . -b1111011111111110100011011011010 6 -b1111011111111110100011011011010 @ -b1111011111111110100011011011010 F -b0 ) -b1 ( -#184960000 -0& -#184976000 -b101101001010 , -#184992000 -1& -#185008000 -1! -b0 " -b0 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b0 2 -b0 = -08 -b1011000010010001011001010 < -b1010000001101100000010000 : -b11111111110111111011010101000101 $ -b11111111110111111011010101000101 - -b11111111110111111011010101000101 5 -b11111111110111111011010101000101 ? -b11111111110111111011010101000101 D -b11111110100111101101110100110101 % -b11111110100111101101110100110101 . -b11111110100111101101110100110101 6 -b11111110100111101101110100110101 @ -b11111110100111101101110100110101 F -#185024000 -0& -#185040000 -b101101001011 , -#185056000 -1& -#185072000 -1! -b0 " -b0 4 -b11111111111111111111101110111110 0 -b11111111111111111111101110111110 H -b0 2 -b0 = -08 -b101000111101001100111101101100 < -b110111010101111110111001101 : -b11011101111101100010111001100000 $ -b11011101111101100010111001100000 - -b11011101111101100010111001100000 5 -b11011101111101100010111001100000 ? -b11011101111101100010111001100000 D -b11010111000010110011000010010011 % -b11010111000010110011000010010011 . -b11010111000010110011000010010011 6 -b11010111000010110011000010010011 @ -b11010111000010110011000010010011 F -#185088000 -0& -#185104000 -b101101001100 , -#185120000 -1& -#185136000 -1! -b0 " -b0 4 -b1101111101111111000010 0 -b1101111101111111000010 H -19 -b0 2 -b0 = -08 -b100000011100111100001101110110 < -b10010000001100110100100010100101 : -b1101111101111111000010100101110 $ -b1101111101111111000010100101110 - -b1101111101111111000010100101110 5 -b1101111101111111000010100101110 ? -b1101111101111111000010100101110 D -b11011111100011000011110010001001 % -b11011111100011000011110010001001 . -b11011111100011000011110010001001 6 -b11011111100011000011110010001001 @ -b11011111100011000011110010001001 F -#185152000 -0& -#185168000 -b101101001101 , -#185184000 -1& -#185200000 -1! -b0 " -b0 4 -b101 0 -b101 H -b0 2 -b0 = -09 -08 -b10000000010010010100011 < -b1011110001001001010000010001100 : -b1011101111001000111101111101000 $ -b1011101111001000111101111101000 - -b1011101111001000111101111101000 5 -b1011101111001000111101111101000 ? -b1011101111001000111101111101000 D -b11111111101111111101101101011100 % -b11111111101111111101101101011100 . -b11111111101111111101101101011100 6 -b11111111101111111101101101011100 @ -b11111111101111111101101101011100 F -#185216000 -0& -#185232000 -b101101001110 , -#185248000 -1& -#185264000 -0! -b1 " -b1 4 -b11111000011110111001010101110010 0 -b11111000011110111001010101110010 H -b1 2 -b1 = -18 -b10001011111001011011 < -0# -b10000111110000100001010101111111 : -b10000111101110010101011100100011 $ -b10000111101110010101011100100011 - -b10000111101110010101011100100011 5 -b10000111101110010101011100100011 ? -b10000111101110010101011100100011 D -b11111111111101110100000110100100 % -b11111111111101110100000110100100 . -b11111111111101110100000110100100 6 -b11111111111101110100000110100100 @ -b11111111111101110100000110100100 F -b1 ) -b0 ( -#185280000 -0& -#185296000 -b101101001111 , -#185312000 -1& -#185328000 -b11111111111111111111111111011011 0 -b11111111111111111111111111011011 H -b1000110000001110000101100110 < -b11000000000111001011101001101111 : -b10110111010110111101100100001000 $ -b10110111010110111101100100001000 - -b10110111010110111101100100001000 5 -b10110111010110111101100100001000 ? -b10110111010110111101100100001000 D -b11110111001111110001111010011001 % -b11110111001111110001111010011001 . -b11110111001111110001111010011001 6 -b11110111001111110001111010011001 @ -b11110111001111110001111010011001 F -#185344000 -0& -#185360000 -b101101010000 , -#185376000 -1& -#185392000 -1! -b0 " -b0 4 -b1001100111100010000001110000100 0 -b1001100111100010000001110000100 H -b0 2 -b0 = -08 -b1010101000001111001011111 < -1# -b1001110010001010010000111100100 : -b1001100111100010000001110000100 $ -b1001100111100010000001110000100 - -b1001100111100010000001110000100 5 -b1001100111100010000001110000100 ? -b1001100111100010000001110000100 D -b11111110101010111110000110100000 % -b11111110101010111110000110100000 . -b11111110101010111110000110100000 6 -b11111110101010111110000110100000 @ -b11111110101010111110000110100000 F -b0 ) -b1 ( -#185408000 -0& -#185424000 -b101101010001 , -#185440000 -1& -#185456000 -0! -b1 " -b1 4 -b11111111111111110110011011000000 0 -b11111111111111110110011011000000 H -b1 2 -b1 = -18 -b10011000000000011011101000110101 < -0# -b10010101100111001011101110001001 : -b11111101100110110000000101010011 $ -b11111101100110110000000101010011 - -b11111101100110110000000101010011 5 -b11111101100110110000000101010011 ? -b11111101100110110000000101010011 D -b1100111111111100100010111001010 % -b1100111111111100100010111001010 . -b1100111111111100100010111001010 6 -b1100111111111100100010111001010 @ -b1100111111111100100010111001010 F -b1 ) -b0 ( -#185472000 -0& -#185488000 -b101101010010 , -#185504000 -1& -#185520000 -1! -b0 " -b0 4 -b101011110110 0 -b101011110110 H -b0 2 -b0 = -08 -19 -b1001000001001000001110001101100 < -1# -b10011111110110110100111010001000 : -b1010111101101110011001000011011 $ -b1010111101101110011001000011011 - -b1010111101101110011001000011011 5 -b1010111101101110011001000011011 ? -b1010111101101110011001000011011 D -b10110111110110111110001110010011 % -b10110111110110111110001110010011 . -b10110111110110111110001110010011 6 -b10110111110110111110001110010011 @ -b10110111110110111110001110010011 F -b0 ) -b1 ( -#185536000 -0& -#185552000 -b101101010011 , -#185568000 -1& -#185584000 -1! -b0 " -b0 4 -b11111111111111111111111110101111 0 -b11111111111111111111111110101111 H -09 -b0 2 -b0 = -08 -b1100000000000001001100001001001 < -b1001011110111101101001000101101 : -b11101011110111100011100111100011 $ -b11101011110111100011100111100011 - -b11101011110111100011100111100011 5 -b11101011110111100011100111100011 ? -b11101011110111100011100111100011 D -b10011111111111110110011110110110 % -b10011111111111110110011110110110 . -b10011111111111110110011110110110 6 -b10011111111111110110011110110110 @ -b10011111111111110110011110110110 F -#185600000 -0& -#185616000 -b101101010100 , -#185632000 -1& -#185648000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b1100011100000000100001 < -0# -b11111101101100001001011110001101 : -b11111101011111101101011101101011 $ -b11111101011111101101011101101011 - -b11111101011111101101011101101011 5 -b11111101011111101101011101101011 ? -b11111101011111101101011101101011 D -b11111111110011100011111111011110 % -b11111111110011100011111111011110 . -b11111111110011100011111111011110 6 -b11111111110011100011111111011110 @ -b11111111110011100011111111011110 F -b1 ) -b0 ( -#185664000 -0& -#185680000 -b101101010101 , -#185696000 -1& -#185712000 -0! -b1 " -b1 4 -b11100101111110101000 0 -b11100101111110101000 H -b1 2 -b1 = -18 -b10000000000011001110010111110100 < -b11110011000010100010011000001011 : -b1110010111111010100000000010110 $ -b1110010111111010100000000010110 - -b1110010111111010100000000010110 5 -b1110010111111010100000000010110 ? -b1110010111111010100000000010110 D -b1111111111100110001101000001011 % -b1111111111100110001101000001011 . -b1111111111100110001101000001011 6 -b1111111111100110001101000001011 @ -b1111111111100110001101000001011 F -#185728000 -0& -#185744000 -b101101010110 , -#185760000 -1& -#185776000 -1! -b0 " -b0 4 -b1111110111111101111100101 0 -b1111110111111101111100101 H -b0 2 -b0 = -08 -19 -b1100110001010000001001101111011 < -1# -b10000101111001111101000111010100 : -b11111101111111011111001011000 $ -b11111101111111011111001011000 - -b11111101111111011111001011000 5 -b11111101111111011111001011000 ? -b11111101111111011111001011000 D -b10011001110101111110110010000100 % -b10011001110101111110110010000100 . -b10011001110101111110110010000100 6 -b10011001110101111110110010000100 @ -b10011001110101111110110010000100 F -b0 ) -b1 ( -#185792000 -0& -#185808000 -b101101010111 , -#185824000 -1& -#185840000 -0! -b1 " -b1 4 -b11110110101111110000000110101100 0 -b11110110101111110000000110101100 H -09 -b1 2 -b1 = -18 -b11000110010100001000001010011101 < -0# -b10100001010011001000100101001110 : -b11011010111111000000011010110000 $ -b11011010111111000000011010110000 - -b11011010111111000000011010110000 5 -b11011010111111000000011010110000 ? -b11011010111111000000011010110000 D -b111001101011110111110101100010 % -b111001101011110111110101100010 . -b111001101011110111110101100010 6 -b111001101011110111110101100010 @ -b111001101011110111110101100010 F -b1 ) -b0 ( -#185856000 -0& -#185872000 -b101101011000 , -#185888000 -1& -#185904000 -b11111111111111111111111111111001 0 -b11111111111111111111111111111001 H -b100010110000000110111010000100 < -b11110010100011110101000100101110 : -b11001111110011101110001010101001 $ -b11001111110011101110001010101001 - -b11001111110011101110001010101001 5 -b11001111110011101110001010101001 ? -b11001111110011101110001010101001 D -b11011101001111111001000101111011 % -b11011101001111111001000101111011 . -b11011101001111111001000101111011 6 -b11011101001111111001000101111011 @ -b11011101001111111001000101111011 F -#185920000 -0& -#185936000 -b101101011001 , -#185952000 -1& -#185968000 -1! -b0 " -b0 4 -b111101010111010110110111 0 -b111101010111010110110111 H -b0 2 -b0 = -08 -b11110000001110101100011000 < -1# -b1111110011110111100011100001110 : -b1111010101110101101101111110101 $ -b1111010101110101101101111110101 - -b1111010101110101101101111110101 5 -b1111010101110101101101111110101 ? -b1111010101110101101101111110101 D -b11111100001111110001010011100111 % -b11111100001111110001010011100111 . -b11111100001111110001010011100111 6 -b11111100001111110001010011100111 @ -b11111100001111110001010011100111 F -b0 ) -b1 ( -#185984000 -0& -#186000000 -b101101011010 , -#186016000 -1& -#186032000 -b1011111011110011010101111 0 -b1011111011110011010101111 H -b100001010000110100011011001 < -b1100011101000100001010010101010 : -b1011111011110011010101111010000 $ -b1011111011110011010101111010000 - -b1011111011110011010101111010000 5 -b1011111011110011010101111010000 ? -b1011111011110011010101111010000 D -b11111011110101111001011100100110 % -b11111011110101111001011100100110 . -b11111011110101111001011100100110 6 -b11111011110101111001011100100110 @ -b11111011110101111001011100100110 F -#186048000 -0& -#186064000 -b101101011011 , -#186080000 -1& -#186096000 -0! -b1 " -b1 4 -b101111110110111 0 -b101111110110111 H -b1 2 -b1 = -18 -b10000100000011101010000101101111 < -0# -b11100011110001100100011000101101 : -b1011111101101111010010010111101 $ -b1011111101101111010010010111101 - -b1011111101101111010010010111101 5 -b1011111101101111010010010111101 ? -b1011111101101111010010010111101 D -b1111011111100010101111010010000 % -b1111011111100010101111010010000 . -b1111011111100010101111010010000 6 -b1111011111100010101111010010000 @ -b1111011111100010101111010010000 F -b1 ) -b0 ( -#186112000 -0& -#186128000 -b101101011100 , -#186144000 -1& -#186160000 -b1011111111001111 0 -b1011111111001111 H -b10000001011000010110000010010000 < -b11100001010010010100100010100011 : -b1011111111001111110100000010010 $ -b1011111111001111110100000010010 - -b1011111111001111110100000010010 5 -b1011111111001111110100000010010 ? -b1011111111001111110100000010010 D -b1111110100111101001111101101111 % -b1111110100111101001111101101111 . -b1111110100111101001111101101111 6 -b1111110100111101001111101101111 @ -b1111110100111101001111101101111 F -#186176000 -0& -#186192000 -b101101011101 , -#186208000 -1& -#186224000 -1! -b0 " -b0 4 -b1 0 -b1 H -b0 2 -b0 = -08 -19 -b10000000110010011100110000001 < -1# -b10001101011101100000001110001101 : -b1111101010111001100101000001011 $ -b1111101010111001100101000001011 - -b1111101010111001100101000001011 5 -b1111101010111001100101000001011 ? -b1111101010111001100101000001011 D -b11101111111001101100011001111110 % -b11101111111001101100011001111110 . -b11101111111001101100011001111110 6 -b11101111111001101100011001111110 @ -b11101111111001101100011001111110 F -b0 ) -b1 ( -#186240000 -0& -#186256000 -b101101011110 , -#186272000 -1& -#186288000 -0! -b1 " -b1 4 -b11111111111000101101110000000101 0 -b11111111111000101101110000000101 H -09 -b1 2 -b1 = -18 -b11100000010110000000101111011000 < -0# -b11010001110001100000111010001111 : -b11110001011011100000001010110110 $ -b11110001011011100000001010110110 - -b11110001011011100000001010110110 5 -b11110001011011100000001010110110 ? -b11110001011011100000001010110110 D -b11111101001111111010000100111 % -b11111101001111111010000100111 . -b11111101001111111010000100111 6 -b11111101001111111010000100111 @ -b11111101001111111010000100111 F -b1 ) -b0 ( -#186304000 -0& -#186320000 -b101101011111 , -#186336000 -1& -#186352000 -1! -b0 " -b0 4 -b11111111100101110011010001111 0 -b11111111100101110011010001111 H -19 -b0 2 -b0 = -08 -b100110000000010110010101011101 < -1# -b10100101110011001111111110011101 : -b1111111110010111001101000111111 $ -b1111111110010111001101000111111 - -b1111111110010111001101000111111 5 -b1111111110010111001101000111111 ? -b1111111110010111001101000111111 D -b11011001111111101001101010100010 % -b11011001111111101001101010100010 . -b11011001111111101001101010100010 6 -b11011001111111101001101010100010 @ -b11011001111111101001101010100010 F -b0 ) -b1 ( -#186368000 -0& -#186384000 -b101101100000 , -#186400000 -1& -#186416000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -09 -08 -b111000010010101001110100000 < -b110110001010000010101101011011 : -b101111000111101101011110111010 $ -b101111000111101101011110111010 - -b101111000111101101011110111010 5 -b101111000111101101011110111010 ? -b101111000111101101011110111010 D -b11111000111101101010110001011111 % -b11111000111101101010110001011111 . -b11111000111101101010110001011111 6 -b11111000111101101010110001011111 @ -b11111000111101101010110001011111 F -#186432000 -0& -#186448000 -b101101100001 , -#186464000 -1& -#186480000 -0! -b1 " -b1 4 -b11111111111111111111111111011110 0 -b11111111111111111111111111011110 H -b1 2 -b1 = -18 -09 -b11110000000011101110010000101011 < -0# -b11101101111101100111011011010010 : -b11111101111001111001001010100110 $ -b11111101111001111001001010100110 - -b11111101111001111001001010100110 5 -b11111101111001111001001010100110 ? -b11111101111001111001001010100110 D -b1111111100010001101111010100 % -b1111111100010001101111010100 . -b1111111100010001101111010100 6 -b1111111100010001101111010100 @ -b1111111100010001101111010100 F -b1 ) -b0 ( -#186496000 -0& -#186512000 -b101101100010 , -#186528000 -1& -#186544000 -1! -b0 " -b0 4 -b11111111111111111110101010111010 0 -b11111111111111111110101010111010 H -b0 2 -b0 = -08 -b100000100100110010000010110100 < -1# -b11111111010001111011000000010 : -b11111111010101011101010101001101 $ -b11111111010101011101010101001101 - -b11111111010101011101010101001101 5 -b11111111010101011101010101001101 ? -b11111111010101011101010101001101 D -b11011111011011001101111101001011 % -b11011111011011001101111101001011 . -b11011111011011001101111101001011 6 -b11011111011011001101111101001011 @ -b11011111011011001101111101001011 F -b0 ) -b1 ( -#186560000 -0& -#186576000 -b101101100011 , -#186592000 -1& -#186608000 -0! -b1 " -b1 4 -b11111111111110011100011101000000 0 -b11111111111110011100011101000000 H -b1 2 -b1 = -18 -19 -b10000000000010011001000100010101 < -0# -b1100111001001101001001011001000 : -b11100111000111010000000110110010 $ -b11100111000111010000000110110010 - -b11100111000111010000000110110010 5 -b11100111000111010000000110110010 ? -b11100111000111010000000110110010 D -b1111111111101100110111011101010 % -b1111111111101100110111011101010 . -b1111111111101100110111011101010 6 -b1111111111101100110111011101010 @ -b1111111111101100110111011101010 F -b1 ) -b0 ( -#186624000 -0& -#186640000 -b101101100100 , -#186656000 -1& -#186672000 -1! -b0 " -b0 4 -b101001101110 0 -b101001101110 H -09 -b0 2 -b0 = -08 -b11000100000000000111000111001100 < -1# -b10111011110000110011000110101 : -b1010011011101111111010001101000 $ -b1010011011101111111010001101000 - -b1010011011101111111010001101000 5 -b1010011011101111111010001101000 ? -b1010011011101111111010001101000 D -b111011111111111000111000110011 % -b111011111111111000111000110011 . -b111011111111111000111000110011 6 -b111011111111111000111000110011 @ -b111011111111111000111000110011 F -b0 ) -b1 ( -#186688000 -0& -#186704000 -b101101100101 , -#186720000 -1& -#186736000 -1! -b0 " -b0 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1100100001011110101100011 < -b111010101000010011000101 : -b11111111010110011100011101100001 $ -b11111111010110011100011101100001 - -b11111111010110011100011101100001 5 -b11111111010110011100011101100001 ? -b11111111010110011100011101100001 D -b11111110011011110100001010011100 % -b11111110011011110100001010011100 . -b11111110011011110100001010011100 6 -b11111110011011110100001010011100 @ -b11111110011011110100001010011100 F -#186752000 -0& -#186768000 -b101101100110 , -#186784000 -1& -#186800000 -1! -b0 " -b0 4 -b101111111111010011 0 -b101111111111010011 H -b0 2 -b0 = -19 -08 -b100101010000000000100000010010 < -b10000101001110100111011111011110 : -b1011111111110100110111111001011 $ -b1011111111110100110111111001011 - -b1011111111110100110111111001011 5 -b1011111111110100110111111001011 ? -b1011111111110100110111111001011 D -b11011010101111111111011111101101 % -b11011010101111111111011111101101 . -b11011010101111111111011111101101 6 -b11011010101111111111011111101101 @ -b11011010101111111111011111101101 F -#186816000 -0& -#186832000 -b101101100111 , -#186848000 -1& -#186864000 -b1011110111101111 0 -b1011110111101111 H -b100001000010010101110110110000 < -b10000000000000010010101000110100 : -b1011110111101111100110010000011 $ -b1011110111101111100110010000011 - -b1011110111101111100110010000011 5 -b1011110111101111100110010000011 ? -b1011110111101111100110010000011 D -b11011110111101101010001001001111 % -b11011110111101101010001001001111 . -b11011110111101101010001001001111 6 -b11011110111101101010001001001111 @ -b11011110111101101010001001001111 F -#186880000 -0& -#186896000 -b101101101000 , -#186912000 -1& -#186928000 -1! -b0 " -b0 4 -b10100100101110001001101011 0 -b10100100101110001001101011 H -b0 2 -b0 = -09 -08 -b11000000011010001000000000011010 < -b10010110001001100110110010011 : -b1010010010111000100110101111000 $ -b1010010010111000100110101111000 - -b1010010010111000100110101111000 5 -b1010010010111000100110101111000 ? -b1010010010111000100110101111000 D -b111111100101110111111111100101 % -b111111100101110111111111100101 . -b111111100101110111111111100101 6 -b111111100101110111111111100101 @ -b111111100101110111111111100101 F -#186944000 -0& -#186960000 -b101101101001 , -#186976000 -1& -#186992000 -0! -b1 " -b1 4 -b11111111111111101100110010010100 0 -b11111111111111101100110010010100 H -b1 2 -b1 = -18 -b10001010000100101011000000110100 < -0# -b10000000011101110101011101011000 : -b11110110011001001010011100100011 $ -b11110110011001001010011100100011 - -b11110110011001001010011100100011 5 -b11110110011001001010011100100011 ? -b11110110011001001010011100100011 D -b1110101111011010100111111001011 % -b1110101111011010100111111001011 . -b1110101111011010100111111001011 6 -b1110101111011010100111111001011 @ -b1110101111011010100111111001011 F -b1 ) -b0 ( -#187008000 -0& -#187024000 -b101101101010 , -#187040000 -1& -#187056000 -0! -b1 " -b1 4 -b11111110111101110111101111000101 0 -b11111110111101110111101111000101 H -b1 2 -b1 = -09 -18 -b10011001000100111100111011010 < -b11110010000100011111001010001000 : -b11011110111011110111100010101101 $ -b11011110111011110111100010101101 - -b11011110111011110111100010101101 5 -b11011110111011110111100010101101 ? -b11011110111011110111100010101101 D -b11101100110111011000011000100101 % -b11101100110111011000011000100101 . -b11101100110111011000011000100101 6 -b11101100110111011000011000100101 @ -b11101100110111011000011000100101 F -#187072000 -0& -#187088000 -b101101101011 , -#187104000 -1& -#187120000 -0! -b1 " -b1 4 -b11111 0 -b11111 H -b1 2 -b1 = -18 -b11000010000000000000011001000111 < -b11100001111101010110011101001111 : -b11111111101010110000100000111 $ -b11111111101010110000100000111 - -b11111111101010110000100000111 5 -b11111111101010110000100000111 ? -b11111111101010110000100000111 D -b111101111111111111100110111000 % -b111101111111111111100110111000 . -b111101111111111111100110111000 6 -b111101111111111111100110111000 @ -b111101111111111111100110111000 F -#187136000 -0& -#187152000 -b101101101100 , -#187168000 -1& -#187184000 -b11111111111111111111101111111110 0 -b11111111111111111111101111111110 H -b10010000001100010001001101110001 < -b10001111001100001011001110101100 : -b11111110111111111010000000111010 $ -b11111110111111111010000000111010 - -b11111110111111111010000000111010 5 -b11111110111111111010000000111010 ? -b11111110111111111010000000111010 D -b1101111110011101110110010001110 % -b1101111110011101110110010001110 . -b1101111110011101110110010001110 6 -b1101111110011101110110010001110 @ -b1101111110011101110110010001110 F -#187200000 -0& -#187216000 -b101101101101 , -#187232000 -1& -#187248000 -1! -b0 " -b0 4 -b11101100111011111110101110011 0 -b11101100111011111110101110011 H -b0 2 -b0 = -08 -b11010000000010001001001101011101 < -1# -b1000110100000001000100100101010 : -b1110110011101111111010111001100 $ -b1110110011101111111010111001100 - -b1110110011101111111010111001100 5 -b1110110011101111111010111001100 ? -b1110110011101111111010111001100 D -b101111111101110110110010100010 % -b101111111101110110110010100010 . -b101111111101110110110010100010 6 -b101111111101110110110010100010 @ -b101111111101110110110010100010 F -b0 ) -b1 ( -#187264000 -0& -#187280000 -b101101101110 , -#187296000 -1& -#187312000 -1! -b0 " -b0 4 -b1011 0 -b1011 H -b0 2 -b0 = -08 -b10000000001000001111100100110 < -b100111110000110110010101000111 : -b10111101111110100011000100000 $ -b10111101111110100011000100000 - -b10111101111110100011000100000 5 -b10111101111110100011000100000 ? -b10111101111110100011000100000 D -b11101111111110111110000011011001 % -b11101111111110111110000011011001 . -b11101111111110111110000011011001 6 -b11101111111110111110000011011001 @ -b11101111111110111110000011011001 F -#187328000 -0& -#187344000 -b101101101111 , -#187360000 -1& -#187376000 -0! -b1 " -b1 4 -b11111111101011011111100011100101 0 -b11111111101011011111100011100101 H -b1 2 -b1 = -18 -09 -b101000010110001011100 < -0# -b11111101100000111111001110001100 : -b11111101011011111100011100101111 $ -b11111101011011111100011100101111 - -b11111101011011111100011100101111 5 -b11111101011011111100011100101111 ? -b11111101011011111100011100101111 D -b11111111111010111101001110100011 % -b11111111111010111101001110100011 . -b11111111111010111101001110100011 6 -b11111111111010111101001110100011 @ -b11111111111010111101001110100011 F -b1 ) -b0 ( -#187392000 -0& -#187408000 -b101101110000 , -#187424000 -1& -#187440000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10001010010000001101011110000011 < -b10001010001110111100111111110111 : -b11111111111110101111100001110011 $ -b11111111111110101111100001110011 - -b11111111111110101111100001110011 5 -b11111111111110101111100001110011 ? -b11111111111110101111100001110011 D -b1110101101111110010100001111100 % -b1110101101111110010100001111100 . -b1110101101111110010100001111100 6 -b1110101101111110010100001111100 @ -b1110101101111110010100001111100 F -#187456000 -0& -#187472000 -b101101110001 , -#187488000 -1& -#187504000 -1! -b0 " -b0 4 -b11111111111111111111110111101001 0 -b11111111111111111111110111101001 H -b0 2 -b0 = -08 -b11000000000001010010110101111 < -1# -b10101111010100100100000100001 : -b11111101111010011010001001110001 $ -b11111101111010011010001001110001 - -b11111101111010011010001001110001 5 -b11111101111010011010001001110001 ? -b11111101111010011010001001110001 D -b11100111111111110101101001010000 % -b11100111111111110101101001010000 . -b11100111111111110101101001010000 6 -b11100111111111110101101001010000 @ -b11100111111111110101101001010000 F -b0 ) -b1 ( -#187520000 -0& -#187536000 -b101101110010 , -#187552000 -1& -#187568000 -1! -b0 " -b0 4 -b11111010 0 -b11111010 H -19 -b0 2 -b0 = -08 -b11001110100010011111001001000 < -b10010111010000010010001111011110 : -b1111101011011111110010110010101 $ -b1111101011011111110010110010101 - -b1111101011011111110010110010101 5 -b1111101011011111110010110010101 ? -b1111101011011111110010110010101 D -b11100110001011101100000110110111 % -b11100110001011101100000110110111 . -b11100110001011101100000110110111 6 -b11100110001011101100000110110111 @ -b11100110001011101100000110110111 F -#187584000 -0& -#187600000 -b101101110011 , -#187616000 -1& -#187632000 -0! -b1 " -b1 4 -b11111111111111111111111011111101 0 -b11111111111111111111111011111101 H -09 -b1 2 -b1 = -18 -b10011000010100001100010110001110 < -0# -b10010110010011001001011011001101 : -b11111101111110111101000100111110 $ -b11111101111110111101000100111110 - -b11111101111110111101000100111110 5 -b11111101111110111101000100111110 ? -b11111101111110111101000100111110 D -b1100111101011110011101001110001 % -b1100111101011110011101001110001 . -b1100111101011110011101001110001 6 -b1100111101011110011101001110001 @ -b1100111101011110011101001110001 F -b1 ) -b0 ( -#187648000 -0& -#187664000 -b101101110100 , -#187680000 -1& -#187696000 -b11111111111111111111111110111011 0 -b11111111111111111111111110111011 H -b10010001000010100000001010 < -b11111001110000000010000100000000 : -b11110111011110111111100011110101 $ -b11110111011110111111100011110101 - -b11110111011110111111100011110101 5 -b11110111011110111111100011110101 ? -b11110111011110111111100011110101 D -b11111101101110111101011111110101 % -b11111101101110111101011111110101 . -b11111101101110111101011111110101 6 -b11111101101110111101011111110101 @ -b11111101101110111101011111110101 F -#187712000 -0& -#187728000 -b101101110101 , -#187744000 -1& -#187760000 -1! -b0 " -b0 4 -b10101111111101 0 -b10101111111101 H -b0 2 -b0 = -08 -b11010001000100011100000110101110 < -1# -b101001000011001110111101000110 : -b1010111111110110010110110010111 $ -b1010111111110110010110110010111 - -b1010111111110110010110110010111 5 -b1010111111110110010110110010111 ? -b1010111111110110010110110010111 D -b101110111011100011111001010001 % -b101110111011100011111001010001 . -b101110111011100011111001010001 6 -b101110111011100011111001010001 @ -b101110111011100011111001010001 F -b0 ) -b1 ( -#187776000 -0& -#187792000 -b101101110110 , -#187808000 -1& -#187824000 -1! -b0 " -b0 4 -b111111111011111 0 -b111111111011111 H -b0 2 -b0 = -19 -08 -b1010000100001010101111 < -b10000000000001111010000011001101 : -b1111111110111110101111000011101 $ -b1111111110111110101111000011101 - -b1111111110111110101111000011101 5 -b1111111110111110101111000011101 ? -b1111111110111110101111000011101 D -b11111111110101111011110101010000 % -b11111111110101111011110101010000 . -b11111111110101111011110101010000 6 -b11111111110101111011110101010000 @ -b11111111110101111011110101010000 F -#187840000 -0& -#187856000 -b101101110111 , -#187872000 -1& -#187888000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -19 -b10000000100000001111101111100010 < -0# -b1111111011111111000110000001111 : -b11111110111111101001000000101100 $ -b11111110111111101001000000101100 - -b11111110111111101001000000101100 5 -b11111110111111101001000000101100 ? -b11111110111111101001000000101100 D -b1111111011111110000010000011101 % -b1111111011111110000010000011101 . -b1111111011111110000010000011101 6 -b1111111011111110000010000011101 @ -b1111111011111110000010000011101 F -b1 ) -b0 ( -#187904000 -0& -#187920000 -b101101111000 , -#187936000 -1& -#187952000 -0! -b1 " -b1 4 -b1 0 -b1 H -09 -b1 2 -b1 = -18 -b10010000100010000011101101000001 < -b11111000100010000000101101001100 : -b1100111111111111101000000001010 $ -b1100111111111111101000000001010 - -b1100111111111111101000000001010 5 -b1100111111111111101000000001010 ? -b1100111111111111101000000001010 D -b1101111011101111100010010111110 % -b1101111011101111100010010111110 . -b1101111011101111100010010111110 6 -b1101111011101111100010010111110 @ -b1101111011101111100010010111110 F -#187968000 -0& -#187984000 -b101101111001 , -#188000000 -1& -#188016000 -1! -b0 " -b0 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b0 2 -b0 = -08 -b1001100000001011001001010000011 < -1# -b1011111101101100111100110101 : -b10111111111100010011110010110001 $ -b10111111111100010011110010110001 - -b10111111111100010011110010110001 5 -b10111111111100010011110010110001 ? -b10111111111100010011110010110001 D -b10110011111110100110110101111100 % -b10110011111110100110110101111100 . -b10110011111110100110110101111100 6 -b10110011111110100110110101111100 @ -b10110011111110100110110101111100 F -b0 ) -b1 ( -#188032000 -0& -#188048000 -b101101111010 , -#188064000 -1& -#188080000 -b11111111111111111111111001111111 0 -b11111111111111111111111001111111 H -b1000100000000000011111011010000 < -b1000011001111111110000110110011 : -b11111111001111111010001011100010 $ -b11111111001111111010001011100010 - -b11111111001111111010001011100010 5 -b11111111001111111010001011100010 ? -b11111111001111111010001011100010 D -b10111011111111111100000100101111 % -b10111011111111111100000100101111 . -b10111011111111111100000100101111 6 -b10111011111111111100000100101111 @ -b10111011111111111100000100101111 F -#188096000 -0& -#188112000 -b101101111011 , -#188128000 -1& -#188144000 -1! -b0 " -b0 4 -b100101011101111110011010111110 0 -b100101011101111110011010111110 H -b0 2 -b0 = -08 -b11111111011011110 < -b1001010111100011100110001011100 : -b1001010111011111100110101111101 $ -b1001010111011111100110101111101 - -b1001010111011111100110101111101 5 -b1001010111011111100110101111101 ? -b1001010111011111100110101111101 D -b11111111111111100000000100100001 % -b11111111111111100000000100100001 . -b11111111111111100000000100100001 6 -b11111111111111100000000100100001 @ -b11111111111111100000000100100001 F -#188160000 -0& -#188176000 -b101101111100 , -#188192000 -1& -#188208000 -0! -b1 " -b1 4 -b111011111111101001111010010 0 -b111011111111101001111010010 H -b1 2 -b1 = -18 -b10000010110000101010010100011011 < -0# -b11111010101111111110001000111101 : -b1110111111111010011110100100001 $ -b1110111111111010011110100100001 - -b1110111111111010011110100100001 5 -b1110111111111010011110100100001 ? -b1110111111111010011110100100001 D -b1111101001111010101101011100100 % -b1111101001111010101101011100100 . -b1111101001111010101101011100100 6 -b1111101001111010101101011100100 @ -b1111101001111010101101011100100 F -b1 ) -b0 ( -#188224000 -0& -#188240000 -b101101111101 , -#188256000 -1& -#188272000 -b11111101001111100111 0 -b11111101001111100111 H -b10000000000001111111101100110101 < -b10111111010101111001101100100001 : -b111111010011111001111111101011 $ -b111111010011111001111111101011 - -b111111010011111001111111101011 5 -b111111010011111001111111101011 ? -b111111010011111001111111101011 D -b1111111111110000000010011001010 % -b1111111111110000000010011001010 . -b1111111111110000000010011001010 6 -b1111111111110000000010011001010 @ -b1111111111110000000010011001010 F -#188288000 -0& -#188304000 -b101101111110 , -#188320000 -1& -#188336000 -1! -b0 " -b0 4 -b11111111111111111111111111101101 0 -b11111111111111111111111111101101 H -b0 2 -b0 = -08 -b1110111000001001100001111100101 < -1# -b101110101010000011000100100010 : -b10110111101000110110110100111100 $ -b10110111101000110110110100111100 - -b10110111101000110110110100111100 5 -b10110111101000110110110100111100 ? -b10110111101000110110110100111100 D -b10001000111110110011110000011010 % -b10001000111110110011110000011010 . -b10001000111110110011110000011010 6 -b10001000111110110011110000011010 @ -b10001000111110110011110000011010 F -b0 ) -b1 ( -#188352000 -0& -#188368000 -b101101111111 , -#188384000 -1& -#188400000 -0! -b1 " -b1 4 -b11111111111111111111110111111101 0 -b11111111111111111111110111111101 H -b1 2 -b1 = -18 -b10001001000000001010111101110000 < -0# -b10000111111111110101111011100010 : -b11111110111111101010111101110001 $ -b11111110111111101010111101110001 - -b11111110111111101010111101110001 5 -b11111110111111101010111101110001 ? -b11111110111111101010111101110001 D -b1110110111111110101000010001111 % -b1110110111111110101000010001111 . -b1110110111111110101000010001111 6 -b1110110111111110101000010001111 @ -b1110110111111110101000010001111 F -b1 ) -b0 ( -#188416000 -0& -#188432000 -b101110000000 , -#188448000 -1& -#188464000 -1! -b0 " -b0 4 -b10011110111 0 -b10011110111 H -b0 2 -b0 = -08 -09 -b100100010100000010111101001100 < -1# -b1001100000010110100110101000001 : -b100111101110110001110111110100 $ -b100111101110110001110111110100 - -b100111101110110001110111110100 5 -b100111101110110001110111110100 ? -b100111101110110001110111110100 D -b11011011101011111101000010110011 % -b11011011101011111101000010110011 . -b11011011101011111101000010110011 6 -b11011011101011111101000010110011 @ -b11011011101011111101000010110011 F -b0 ) -b1 ( -#188480000 -0& -#188496000 -b101110000001 , -#188512000 -1& -#188528000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -09 -b1011000010011101101010 < -0# -b11111111001001100100010011110010 : -b11111110111110100001110110000111 $ -b11111110111110100001110110000111 - -b11111110111110100001110110000111 5 -b11111110111110100001110110000111 ? -b11111110111110100001110110000111 D -b11111111110100111101100010010101 % -b11111111110100111101100010010101 . -b11111111110100111101100010010101 6 -b11111111110100111101100010010101 @ -b11111111110100111101100010010101 F -b1 ) -b0 ( -#188544000 -0& -#188560000 -b101110000010 , -#188576000 -1& -#188592000 -1! -b0 " -b0 4 -b111111 0 -b111111 H -b0 2 -b0 = -08 -b10010010001011101101101010000110 < -1# -b10001111011000111100100110110 : -b1111111101111011001111010101111 $ -b1111111101111011001111010101111 - -b1111111101111011001111010101111 5 -b1111111101111011001111010101111 ? -b1111111101111011001111010101111 D -b1101101110100010010010101111001 % -b1101101110100010010010101111001 . -b1101101110100010010010101111001 6 -b1101101110100010010010101111001 @ -b1101101110100010010010101111001 F -b0 ) -b1 ( -#188608000 -0& -#188624000 -b101110000011 , -#188640000 -1& -#188656000 -1! -b0 " -b0 4 -b110001101110111101 0 -b110001101110111101 H -b0 2 -b0 = -08 -b10110000001000000011111101110010 < -b10011100101111110100101100000 : -b1100011011101111010100111101101 $ -b1100011011101111010100111101101 - -b1100011011101111010100111101101 5 -b1100011011101111010100111101101 ? -b1100011011101111010100111101101 D -b1001111110111111100000010001101 % -b1001111110111111100000010001101 . -b1001111110111111100000010001101 6 -b1001111110111111100000010001101 @ -b1001111110111111100000010001101 F -#188672000 -0& -#188688000 -b101110000100 , -#188704000 -1& -#188720000 -0! -b1 " -b1 4 -b11111111101111111001011101100110 0 -b11111111101111111001011101100110 H -b1 2 -b1 = -18 -b11000011000001110001110111011000 < -0# -b10100010110100101101000100101100 : -b11011111110010111011001101010011 $ -b11011111110010111011001101010011 - -b11011111110010111011001101010011 5 -b11011111110010111011001101010011 ? -b11011111110010111011001101010011 D -b111100111110001110001000100111 % -b111100111110001110001000100111 . -b111100111110001110001000100111 6 -b111100111110001110001000100111 @ -b111100111110001110001000100111 F -b1 ) -b0 ( -#188736000 -0& -#188752000 -b101110000101 , -#188768000 -1& -#188784000 -b11111111111100110101111101101111 0 -b11111111111100110101111101101111 H -b11001000001101111100011001010101 < -b10010101101101011000010011101110 : -b11001101011111011011111010011000 $ -b11001101011111011011111010011000 - -b11001101011111011011111010011000 5 -b11001101011111011011111010011000 ? -b11001101011111011011111010011000 D -b110111110010000011100110101010 % -b110111110010000011100110101010 . -b110111110010000011100110101010 6 -b110111110010000011100110101010 @ -b110111110010000011100110101010 F -#188800000 -0& -#188816000 -b101110000110 , -#188832000 -1& -#188848000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -19 -18 -b10000100010011000110011101000010 < -b1100100001100110111011110101000 : -b11011111111001110001000001100101 $ -b11011111111001110001000001100101 - -b11011111111001110001000001100101 5 -b11011111111001110001000001100101 ? -b11011111111001110001000001100101 D -b1111011101100111001100010111101 % -b1111011101100111001100010111101 . -b1111011101100111001100010111101 6 -b1111011101100111001100010111101 @ -b1111011101100111001100010111101 F -#188864000 -0& -#188880000 -b101110000111 , -#188896000 -1& -#188912000 -1! -b0 " -b0 4 -b11111111111111111111111111111100 0 -b11111111111111111111111111111100 H -b0 2 -b0 = -09 -08 -b100100101111010110101101011 < -1# -b100011001110101000011001111 : -b11111111110011111010001101100011 $ -b11111111110011111010001101100011 - -b11111111110011111010001101100011 5 -b11111111110011111010001101100011 ? -b11111111110011111010001101100011 D -b11111011011010000101001010010100 % -b11111011011010000101001010010100 . -b11111011011010000101001010010100 6 -b11111011011010000101001010010100 @ -b11111011011010000101001010010100 F -b0 ) -b1 ( -#188928000 -0& -#188944000 -b101110001000 , -#188960000 -1& -#188976000 -0! -b1 " -b1 4 -b11111111111111111111100111000101 0 -b11111111111111111111100111000101 H -19 -b1 2 -b1 = -18 -b10000001000010000001100100001011 < -0# -b11101011001011010100010011001 : -b10011100010111011000111110001101 $ -b10011100010111011000111110001101 - -b10011100010111011000111110001101 5 -b10011100010111011000111110001101 ? -b10011100010111011000111110001101 D -b1111110111101111110011011110100 % -b1111110111101111110011011110100 . -b1111110111101111110011011110100 6 -b1111110111101111110011011110100 @ -b1111110111101111110011011110100 F -b1 ) -b0 ( -#188992000 -0& -#189008000 -b101110001001 , -#189024000 -1& -#189040000 -0! -b1 " -b1 4 -b11111111111111111111100101101111 0 -b11111111111111111111100101101111 H -b1 2 -b1 = -09 -18 -b11000000010001000001101010101101 < -b10100110000000011101010001100111 : -b11100101101111011011100110111001 $ -b11100101101111011011100110111001 - -b11100101101111011011100110111001 5 -b11100101101111011011100110111001 ? -b11100101101111011011100110111001 D -b111111101110111110010101010010 % -b111111101110111110010101010010 . -b111111101110111110010101010010 6 -b111111101110111110010101010010 @ -b111111101110111110010101010010 F -#189056000 -0& -#189072000 -b101110001010 , -#189088000 -1& -#189104000 -0! -b1 " -b1 4 -b11111111001111011010011011100111 0 -b11111111001111011010011011100111 H -b1 2 -b1 = -19 -18 -b10000001000010000001011011011000 < -b11111110110111000101010001001 : -b10011110110100110111001110110000 $ -b10011110110100110111001110110000 - -b10011110110100110111001110110000 5 -b10011110110100110111001110110000 ? -b10011110110100110111001110110000 D -b1111110111101111110100100100111 % -b1111110111101111110100100100111 . -b1111110111101111110100100100111 6 -b1111110111101111110100100100111 @ -b1111110111101111110100100100111 F -#189120000 -0& -#189136000 -b101110001011 , -#189152000 -1& -#189168000 -0! -b1 " -b1 4 -b11001111111111000000001000110 0 -b11001111111111000000001000110 H -09 -b1 2 -b1 = -18 -b10001010001000000010110101111101 < -b11110010000111100010111010011000 : -b1100111111111100000000100011010 $ -b1100111111111100000000100011010 - -b1100111111111100000000100011010 5 -b1100111111111100000000100011010 ? -b1100111111111100000000100011010 D -b1110101110111111101001010000010 % -b1110101110111111101001010000010 . -b1110101110111111101001010000010 6 -b1110101110111111101001010000010 @ -b1110101110111111101001010000010 F -#189184000 -0& -#189200000 -b101110001100 , -#189216000 -1& -#189232000 -1! -b0 " -b0 4 -b11111111 0 -b11111111 H -b0 2 -b0 = -08 -b10010010101000011010111111101000 < -1# -b10010010110010010111010000001 : -b1111111101101110111111010011000 $ -b1111111101101110111111010011000 - -b1111111101101110111111010011000 5 -b1111111101101110111111010011000 ? -b1111111101101110111111010011000 D -b1101101010111100101000000010111 % -b1101101010111100101000000010111 . -b1101101010111100101000000010111 6 -b1101101010111100101000000010111 @ -b1101101010111100101000000010111 F -b0 ) -b1 ( -#189248000 -0& -#189264000 -b101110001101 , -#189280000 -1& -#189296000 -1! -b0 " -b0 4 -b100111111010101111010000110 0 -b100111111010101111010000110 H -19 -b0 2 -b0 = -08 -b1001100000000000110111001011011 < -b10011011110101100101011011001010 : -b1001111110101011110100001101110 $ -b1001111110101011110100001101110 - -b1001111110101011110100001101110 5 -b1001111110101011110100001101110 ? -b1001111110101011110100001101110 D -b10110011111111111001000110100100 % -b10110011111111111001000110100100 . -b10110011111111111001000110100100 6 -b10110011111111111001000110100100 @ -b10110011111111111001000110100100 F -#189312000 -0& -#189328000 -b101110001110 , -#189344000 -1& -#189360000 -0! -b1 " -b1 4 -b11111111110101110111111110101110 0 -b11111111110101110111111110101110 H -b1 2 -b1 = -18 -09 -b1011000101001001110110 < -0# -b10101111001010111010111110111001 : -b10101110111111110101110101000010 $ -b10101110111111110101110101000010 - -b10101110111111110101110101000010 5 -b10101110111111110101110101000010 ? -b10101110111111110101110101000010 D -b11111111110100111010110110001001 % -b11111111110100111010110110001001 . -b11111111110100111010110110001001 6 -b11111111110100111010110110001001 @ -b11111111110100111010110110001001 F -b1 ) -b0 ( -#189376000 -0& -#189392000 -b101110001111 , -#189408000 -1& -#189424000 -1! -b0 " -b0 4 -b1111010101111110000111111010001 0 -b1111010101111110000111111010001 H -19 -b0 2 -b0 = -08 -b1000100000100000110001110011111 < -1# -b10111110110011110111001101110001 : -b1111010101111110000111111010001 $ -b1111010101111110000111111010001 - -b1111010101111110000111111010001 5 -b1111010101111110000111111010001 ? -b1111010101111110000111111010001 D -b10111011111011111001110001100000 % -b10111011111011111001110001100000 . -b10111011111011111001110001100000 6 -b10111011111011111001110001100000 @ -b10111011111011111001110001100000 F -b0 ) -b1 ( -#189440000 -0& -#189456000 -b101110010000 , -#189472000 -1& -#189488000 -0! -b1 " -b1 4 -b11111111110101101100011001110100 0 -b11111111110101101100011001110100 H -b1 2 -b1 = -18 -b10001000010000001111011010010111 < -0# -b1011111000001110110101100010011 : -b11010110110001100111010001111011 $ -b11010110110001100111010001111011 - -b11010110110001100111010001111011 5 -b11010110110001100111010001111011 ? -b11010110110001100111010001111011 D -b1110111101111110000100101101000 % -b1110111101111110000100101101000 . -b1110111101111110000100101101000 6 -b1110111101111110000100101101000 @ -b1110111101111110000100101101000 F -b1 ) -b0 ( -#189504000 -0& -#189520000 -b101110010001 , -#189536000 -1& -#189552000 -0! -b1 " -b1 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -09 -18 -b11001011000000010001001100000100 < -b10001001110111111100011100110010 : -b10111110110111101011010000101101 $ -b10111110110111101011010000101101 - -b10111110110111101011010000101101 5 -b10111110110111101011010000101101 ? -b10111110110111101011010000101101 D -b110100111111101110110011111011 % -b110100111111101110110011111011 . -b110100111111101110110011111011 6 -b110100111111101110110011111011 @ -b110100111111101110110011111011 F -#189568000 -0& -#189584000 -b101110010010 , -#189600000 -1& -#189616000 -1! -b0 " -b0 4 -b110101 0 -b110101 H -19 -b0 2 -b0 = -08 -b1000000010010010001011010100110 < -1# -b10101100010001011110000010011110 : -b1101011111111001100100111110111 $ -b1101011111111001100100111110111 - -b1101011111111001100100111110111 5 -b1101011111111001100100111110111 ? -b1101011111111001100100111110111 D -b10111111101101101110100101011001 % -b10111111101101101110100101011001 . -b10111111101101101110100101011001 6 -b10111111101101101110100101011001 @ -b10111111101101101110100101011001 F -b0 ) -b1 ( -#189632000 -0& -#189648000 -b101110010011 , -#189664000 -1& -#189680000 -0! -b1 " -b1 4 -b11111111111111111111111111001011 0 -b11111111111111111111111111001011 H -b1 2 -b1 = -18 -b10001000010010000001010110101001 < -0# -b1111011010000100000111111010110 : -b11110010111110011111101000101100 $ -b11110010111110011111101000101100 - -b11110010111110011111101000101100 5 -b11110010111110011111101000101100 ? -b11110010111110011111101000101100 D -b1110111101101111110101001010110 % -b1110111101101111110101001010110 . -b1110111101101111110101001010110 6 -b1110111101101111110101001010110 @ -b1110111101101111110101001010110 F -b1 ) -b0 ( -#189696000 -0& -#189712000 -b101110010100 , -#189728000 -1& -#189744000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10010001010000000000110111100101 < -b10001111101010011010101011100001 : -b11111110011010011001110011111011 $ -b11111110011010011001110011111011 - -b11111110011010011001110011111011 5 -b11111110011010011001110011111011 ? -b11111110011010011001110011111011 D -b1101110101111111111001000011010 % -b1101110101111111111001000011010 . -b1101110101111111111001000011010 6 -b1101110101111111111001000011010 @ -b1101110101111111111001000011010 F -#189760000 -0& -#189776000 -b101110010101 , -#189792000 -1& -#189808000 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b11110001001110000001001000000100 < -b11100110010001111111011000010101 : -b11110101000011111110010000010000 $ -b11110101000011111110010000010000 - -b11110101000011111110010000010000 5 -b11110101000011111110010000010000 ? -b11110101000011111110010000010000 D -b1110110001111110110111111011 % -b1110110001111110110111111011 . -b1110110001111110110111111011 6 -b1110110001111110110111111011 @ -b1110110001111110110111111011 F -#189824000 -0& -#189840000 -b101110010110 , -#189856000 -1& -#189872000 -b11111111111111000100111101111010 0 -b11111111111111000100111101111010 H -b11000001010111 < -b11111100010011111010101010111010 : -b11111100010011110111101001100010 $ -b11111100010011110111101001100010 - -b11111100010011110111101001100010 5 -b11111100010011110111101001100010 ? -b11111100010011110111101001100010 D -b11111111111111111100111110101000 % -b11111111111111111100111110101000 . -b11111111111111111100111110101000 6 -b11111111111111111100111110101000 @ -b11111111111111111100111110101000 F -#189888000 -0& -#189904000 -b101110010111 , -#189920000 -1& -#189936000 -1! -b0 " -b0 4 -b1111111 0 -b1111111 H -19 -b0 2 -b0 = -08 -b1000001001111010000111 < -1# -b10000000000111000100001011001100 : -b1111111111110111010010001000100 $ -b1111111111110111010010001000100 - -b1111111111110111010010001000100 5 -b1111111111110111010010001000100 ? -b1111111111110111010010001000100 D -b11111111110111110110000101111000 % -b11111111110111110110000101111000 . -b11111111110111110110000101111000 6 -b11111111110111110110000101111000 @ -b11111111110111110110000101111000 F -b0 ) -b1 ( -#189952000 -0& -#189968000 -b101110011000 , -#189984000 -1& -#190000000 -0! -b1 " -b1 4 -b11 0 -b11 H -b1 2 -b1 = -09 -18 -b10000101000010001010101100100010 < -0# -b11110100111110000101100100110001 : -b1101111111011111010111000001110 $ -b1101111111011111010111000001110 - -b1101111111011111010111000001110 5 -b1101111111011111010111000001110 ? -b1101111111011111010111000001110 D -b1111010111101110101010011011101 % -b1111010111101110101010011011101 . -b1111010111101110101010011011101 6 -b1111010111101110101010011011101 @ -b1111010111101110101010011011101 F -b1 ) -b0 ( -#190016000 -0& -#190032000 -b101110011001 , -#190048000 -1& -#190064000 -0! -b1 " -b1 4 -b11111111111111111111111011111111 0 -b11111111111111111111111011111111 H -19 -b1 2 -b1 = -18 -b10000000010001101010100000101001 < -b1000000001010101001001010101001 : -b10111111111000111110101001111111 $ -b10111111111000111110101001111111 - -b10111111111000111110101001111111 5 -b10111111111000111110101001111111 ? -b10111111111000111110101001111111 D -b1111111101110010101011111010110 % -b1111111101110010101011111010110 . -b1111111101110010101011111010110 6 -b1111111101110010101011111010110 @ -b1111111101110010101011111010110 F -#190080000 -0& -#190096000 -b101110011010 , -#190112000 -1& -#190128000 -0! -b1 " -b1 4 -b11010011111110100111011010010101 0 -b11010011111110100111011010010101 H -b1 2 -b1 = -09 -18 -b11000101000000001100111001011111 < -b10011000111110110100010011110101 : -b11010011111110100111011010010101 $ -b11010011111110100111011010010101 - -b11010011111110100111011010010101 5 -b11010011111110100111011010010101 ? -b11010011111110100111011010010101 D -b111010111111110011000110100000 % -b111010111111110011000110100000 . -b111010111111110011000110100000 6 -b111010111111110011000110100000 @ -b111010111111110011000110100000 F -#190144000 -0& -#190160000 -b101110011011 , -#190176000 -1& -#190192000 -0! -b1 " -b1 4 -b111011011010110 0 -b111011011010110 H -b1 2 -b1 = -18 -09 -b10001000011000001101001101110001 < -b10100110000101100110010111000011 : -b11101101101011001001001010001 $ -b11101101101011001001001010001 - -b11101101101011001001001010001 5 -b11101101101011001001001010001 ? -b11101101101011001001001010001 D -b1110111100111110010110010001110 % -b1110111100111110010110010001110 . -b1110111100111110010110010001110 6 -b1110111100111110010110010001110 @ -b1110111100111110010110010001110 F -#190208000 -0& -#190224000 -b101110011100 , -#190240000 -1& -#190256000 -1! -b0 " -b0 4 -b1111110110100011 0 -b1111110110100011 H -b0 2 -b0 = -08 -b110100001010000100110000 < -1# -b1111111101000101001011110101110 : -b1111110110100011111011001111101 $ -b1111110110100011111011001111101 - -b1111110110100011111011001111101 5 -b1111110110100011111011001111101 ? -b1111110110100011111011001111101 D -b11111111001011110101111011001111 % -b11111111001011110101111011001111 . -b11111111001011110101111011001111 6 -b11111111001011110101111011001111 @ -b11111111001011110101111011001111 F -b0 ) -b1 ( -#190272000 -0& -#190288000 -b101110011101 , -#190304000 -1& -#190320000 -0! -b1 " -b1 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -19 -b1 2 -b1 = -18 -b11000000000001001011001001000001 < -0# -b1111111000111000111100000001011 : -b10111111000101111100010111001001 $ -b10111111000101111100010111001001 - -b10111111000101111100010111001001 5 -b10111111000101111100010111001001 ? -b10111111000101111100010111001001 D -b111111111110110100110110111110 % -b111111111110110100110110111110 . -b111111111110110100110110111110 6 -b111111111110110100110110111110 @ -b111111111110110100110110111110 F -b1 ) -b0 ( -#190336000 -0& -#190352000 -b101110011110 , -#190368000 -1& -#190384000 -1! -b0 " -b0 4 -b1110101111111111110001000110101 0 -b1110101111111111110001000110101 H -b0 2 -b0 = -08 -09 -b10001010010010011011110010111111 < -1# -b10010011001111011110101 : -b1110101111111111110001000110101 $ -b1110101111111111110001000110101 - -b1110101111111111110001000110101 5 -b1110101111111111110001000110101 ? -b1110101111111111110001000110101 D -b1110101101101100100001101000000 % -b1110101101101100100001101000000 . -b1110101101101100100001101000000 6 -b1110101101101100100001101000000 @ -b1110101101101100100001101000000 F -b0 ) -b1 ( -#190400000 -0& -#190416000 -b101110011111 , -#190432000 -1& -#190448000 -1! -b0 " -b0 4 -b11111100111100001011 0 -b11111100111100001011 H -19 -b0 2 -b0 = -08 -b1001000000001000110110000010101 < -b10000111010000001001100011011100 : -b111111001111000010110011000110 $ -b111111001111000010110011000110 - -b111111001111000010110011000110 5 -b111111001111000010110011000110 ? -b111111001111000010110011000110 D -b10110111111110111001001111101010 % -b10110111111110111001001111101010 . -b10110111111110111001001111101010 6 -b10110111111110111001001111101010 @ -b10110111111110111001001111101010 F -#190464000 -0& -#190480000 -b101110100000 , -#190496000 -1& -#190512000 -1! -b0 " -b0 4 -b10001010111110 0 -b10001010111110 H -b0 2 -b0 = -09 -08 -b11110101100000101011101110 < -b1001001010100110101000101101100 : -b1000101011111010100011001111101 $ -b1000101011111010100011001111101 - -b1000101011111010100011001111101 5 -b1000101011111010100011001111101 ? -b1000101011111010100011001111101 D -b11111100001010011111010100010001 % -b11111100001010011111010100010001 . -b11111100001010011111010100010001 6 -b11111100001010011111010100010001 @ -b11111100001010011111010100010001 F -#190528000 -0& -#190544000 -b101110100001 , -#190560000 -1& -#190576000 -b111111 0 -b111111 H -b10001010110100111110111000111 < -b1010001010100010010000001100000 : -b111111111101101010001010011000 $ -b111111111101101010001010011000 - -b111111111101101010001010011000 5 -b111111111101101010001010011000 ? -b111111111101101010001010011000 D -b11101110101001011000001000111000 % -b11101110101001011000001000111000 . -b11101110101001011000001000111000 6 -b11101110101001011000001000111000 @ -b11101110101001011000001000111000 F -#190592000 -0& -#190608000 -b101110100010 , -#190624000 -1& -#190640000 -1! -b0 " -b0 4 -b1111101 0 -b1111101 H -b0 2 -b0 = -19 -08 -b1000101000101000000111100111 < -b10000110101000100101011110111100 : -b1111101111111111101010111010100 $ -b1111101111111111101010111010100 - -b1111101111111111101010111010100 5 -b1111101111111111101010111010100 ? -b1111101111111111101010111010100 D -b11110111010111010111111000011000 % -b11110111010111010111111000011000 . -b11110111010111010111111000011000 6 -b11110111010111010111111000011000 @ -b11110111010111010111111000011000 F -#190656000 -0& -#190672000 -b101110100011 , -#190688000 -1& -#190704000 -0! -b1 " -b1 4 -b11111111111111111101111011100111 0 -b11111111111111111101111011100111 H -b1 2 -b1 = -18 -19 -b10000000100000001100101100101111 < -0# -b1011111011001111111110111111001 : -b11011110111001110011001011001001 $ -b11011110111001110011001011001001 - -b11011110111001110011001011001001 5 -b11011110111001110011001011001001 ? -b11011110111001110011001011001001 D -b1111111011111110011010011010000 % -b1111111011111110011010011010000 . -b1111111011111110011010011010000 6 -b1111111011111110011010011010000 @ -b1111111011111110011010011010000 F -b1 ) -b0 ( -#190720000 -0& -#190736000 -b101110100100 , -#190752000 -1& -#190768000 -1! -b0 " -b0 4 -b11110011111 0 -b11110011111 H -b0 2 -b0 = -08 -b1001100000001001101100111101011 < -1# -b11000110000000000110100000111101 : -b1111001111110111000111001010001 $ -b1111001111110111000111001010001 - -b1111001111110111000111001010001 5 -b1111001111110111000111001010001 ? -b1111001111110111000111001010001 D -b10110011111110110010011000010100 % -b10110011111110110010011000010100 . -b10110011111110110010011000010100 6 -b10110011111110110010011000010100 @ -b10110011111110110010011000010100 F -b0 ) -b1 ( -#190784000 -0& -#190800000 -b101110100101 , -#190816000 -1& -#190832000 -0! -b1 " -b1 4 -b0 0 -b0 H -b1 2 -b1 = -09 -18 -b10000001100001000101110100100010 < -0# -b10001000100000100101011100111000 : -b110111111011111101000010101 $ -b110111111011111101000010101 - -b110111111011111101000010101 5 -b110111111011111101000010101 ? -b110111111011111101000010101 D -b1111110011110111010001011011101 % -b1111110011110111010001011011101 . -b1111110011110111010001011011101 6 -b1111110011110111010001011011101 @ -b1111110011110111010001011011101 F -b1 ) -b0 ( -#190848000 -0& -#190864000 -b101110100110 , -#190880000 -1& -#190896000 -0! -b1 " -b1 4 -b11111111111111111111111101110111 0 -b11111111111111111111111101110111 H -b1 2 -b1 = -18 -b11000000110001100111100001000 < -b11010100000100101100101110011010 : -b10111011111110011111110010010001 $ -b10111011111110011111110010010001 - -b10111011111110011111110010010001 5 -b10111011111110011111110010010001 ? -b10111011111110011111110010010001 D -b11100111111001110011000011110111 % -b11100111111001110011000011110111 . -b11100111111001110011000011110111 6 -b11100111111001110011000011110111 @ -b11100111111001110011000011110111 F -#190912000 -0& -#190928000 -b101110100111 , -#190944000 -1& -#190960000 -0! -b1 " -b1 4 -b11111111111111111111111111101011 0 -b11111111111111111111111111101011 H -b1 2 -b1 = -18 -b11000100101101000101111110001010 < -b11000010001011110110010001010100 : -b11111101011110110000010011001001 $ -b11111101011110110000010011001001 - -b11111101011110110000010011001001 5 -b11111101011110110000010011001001 ? -b11111101011110110000010011001001 D -b111011010010111010000001110101 % -b111011010010111010000001110101 . -b111011010010111010000001110101 6 -b111011010010111010000001110101 @ -b111011010010111010000001110101 F -#190976000 -0& -#190992000 -b101110101000 , -#191008000 -1& -#191024000 -1! -b0 " -b0 4 -b11010101011111010110011 0 -b11010101011111010110011 H -19 -b0 2 -b0 = -08 -b11001100111110110000001010111 < -1# -b10000100010111100001001110011001 : -b1101010101111101011001101000001 $ -b1101010101111101011001101000001 - -b1101010101111101011001101000001 5 -b1101010101111101011001101000001 ? -b1101010101111101011001101000001 D -b11100110011000001001111110101000 % -b11100110011000001001111110101000 . -b11100110011000001001111110101000 6 -b11100110011000001001111110101000 @ -b11100110011000001001111110101000 F -b0 ) -b1 ( -#191040000 -0& -#191056000 -b101110101001 , -#191072000 -1& -#191088000 -0! -b1 " -b1 4 -b11111111111111111111111111101101 0 -b11111111111111111111111111101101 H -b1 2 -b1 = -18 -b10000011100000010100100000001000 < -0# -b1111010001100010100110110001010 : -b11110110101100000000010110000001 $ -b11110110101100000000010110000001 - -b11110110101100000000010110000001 5 -b11110110101100000000010110000001 ? -b11110110101100000000010110000001 D -b1111100011111101011011111110111 % -b1111100011111101011011111110111 . -b1111100011111101011011111110111 6 -b1111100011111101011011111110111 @ -b1111100011111101011011111110111 F -b1 ) -b0 ( -#191104000 -0& -#191120000 -b101110101010 , -#191136000 -1& -#191152000 -0! -b1 " -b1 4 -b11111111111111110111111101011110 0 -b11111111111111110111111101011110 H -b1 2 -b1 = -09 -18 -b101010000111000111011110001 < -b11100101000110110100000000111010 : -b11011111110101111011000101001000 $ -b11011111110101111011000101001000 - -b11011111110101111011000101001000 5 -b11011111110101111011000101001000 ? -b11011111110101111011000101001000 D -b11111010101111000111000100001110 % -b11111010101111000111000100001110 . -b11111010101111000111000100001110 6 -b11111010101111000111000100001110 @ -b11111010101111000111000100001110 F -#191168000 -0& -#191184000 -b101110101011 , -#191200000 -1& -#191216000 -b11111111111111111111000101111111 0 -b11111111111111111111000101111111 H -b10000010000000001101100101101 < -b11010110001111001101101001001101 : -b11000101111111001011111100011111 $ -b11000101111111001011111100011111 - -b11000101111111001011111100011111 5 -b11000101111111001011111100011111 ? -b11000101111111001011111100011111 D -b11101111101111111110010011010010 % -b11101111101111111110010011010010 . -b11101111101111111110010011010010 6 -b11101111101111111110010011010010 @ -b11101111101111111110010011010010 F -#191232000 -0& -#191248000 -b101110101100 , -#191264000 -1& -#191280000 -0! -b1 " -b1 4 -b11111011111111110110111010000010 0 -b11111011111111110110111010000010 H -19 -b1 2 -b1 = -18 -b10000000000000000010101011111111 < -b1111011111111111001100110000010 : -b11111011111111110110111010000010 $ -b11111011111111110110111010000010 - -b11111011111111110110111010000010 5 -b11111011111111110110111010000010 ? -b11111011111111110110111010000010 D -b1111111111111111101010100000000 % -b1111111111111111101010100000000 . -b1111111111111111101010100000000 6 -b1111111111111111101010100000000 @ -b1111111111111111101010100000000 F -#191296000 -0& -#191312000 -b101110101101 , -#191328000 -1& -#191344000 -0! -b1 " -b1 4 -b11111010111110101101 0 -b11111010111110101101 H -09 -b1 2 -b1 = -18 -b10100000001000101001001011110101 < -b11011110111000010100100000001110 : -b111110101111101011010100011000 $ -b111110101111101011010100011000 - -b111110101111101011010100011000 5 -b111110101111101011010100011000 ? -b111110101111101011010100011000 D -b1011111110111010110110100001010 % -b1011111110111010110110100001010 . -b1011111110111010110110100001010 6 -b1011111110111010110110100001010 @ -b1011111110111010110110100001010 F -#191360000 -0& -#191376000 -b101110101110 , -#191392000 -1& -#191408000 -b11111111111011011001010110111000 0 -b11111111111011011001010110111000 H -b10111000100000110001110011011110 < -b10111000010111100100100001001111 : -b11111111110110110010101101110000 $ -b11111111110110110010101101110000 - -b11111111110110110010101101110000 5 -b11111111110110110010101101110000 ? -b11111111110110110010101101110000 D -b1000111011111001110001100100001 % -b1000111011111001110001100100001 . -b1000111011111001110001100100001 6 -b1000111011111001110001100100001 @ -b1000111011111001110001100100001 F -#191424000 -0& -#191440000 -b101110101111 , -#191456000 -1& -#191472000 -0! -b1 " -b1 4 -b11111111111111111111111101111111 0 -b11111111111111111111111101111111 H -b1 2 -b1 = -19 -18 -b10001000100000000000010100001000 < -b1001000011110100101111001110011 : -b10111111111110100101100101101010 $ -b10111111111110100101100101101010 - -b10111111111110100101100101101010 5 -b10111111111110100101100101101010 ? -b10111111111110100101100101101010 D -b1110111011111111111101011110111 % -b1110111011111111111101011110111 . -b1110111011111111111101011110111 6 -b1110111011111111111101011110111 @ -b1110111011111111111101011110111 F -#191488000 -0& -#191504000 -b101110110000 , -#191520000 -1& -#191536000 -1! -b0 " -b0 4 -b11111111111111111111111111111100 0 -b11111111111111111111111111111100 H -b0 2 -b0 = -08 -09 -b100000000010101111100111100100 < -1# -b101000000000000010110011110 : -b11100100111101010000101110111001 $ -b11100100111101010000101110111001 - -b11100100111101010000101110111001 5 -b11100100111101010000101110111001 ? -b11100100111101010000101110111001 D -b11011111111101010000011000011011 % -b11011111111101010000011000011011 . -b11011111111101010000011000011011 6 -b11011111111101010000011000011011 @ -b11011111111101010000011000011011 F -b0 ) -b1 ( -#191552000 -0& -#191568000 -b101110110001 , -#191584000 -1& -#191600000 -0! -b1 " -b1 4 -b11111111111111111111110111011100 0 -b11111111111111111111110111011100 H -b1 2 -b1 = -18 -b10000001010000010010101100010010 < -0# -b10000000111111001100001100101111 : -b11111111101110111001100000011100 $ -b11111111101110111001100000011100 - -b11111111101110111001100000011100 5 -b11111111101110111001100000011100 ? -b11111111101110111001100000011100 D -b1111110101111101101010011101101 % -b1111110101111101101010011101101 . -b1111110101111101101010011101101 6 -b1111110101111101101010011101101 @ -b1111110101111101101010011101101 F -b1 ) -b0 ( -#191616000 -0& -#191632000 -b101110110010 , -#191648000 -1& -#191664000 -1! -b0 " -b0 4 -b11011110110110101101011 0 -b11011110110110101101011 H -b0 2 -b0 = -08 -09 -b111100010100100010010000111000 < -1# -b1110100000010001101100111001000 : -b110111101101101011010110001111 $ -b110111101101101011010110001111 - -b110111101101101011010110001111 5 -b110111101101101011010110001111 ? -b110111101101101011010110001111 D -b11000011101011011101101111000111 % -b11000011101011011101101111000111 . -b11000011101011011101101111000111 6 -b11000011101011011101101111000111 @ -b11000011101011011101101111000111 F -b0 ) -b1 ( -#191680000 -0& -#191696000 -b101110110011 , -#191712000 -1& -#191728000 -0! -b1 " -b1 4 -b11111111111111111111111111110110 0 -b11111111111111111111111111110110 H -b1 2 -b1 = -18 -09 -b10010000000000110000001010000111 < -0# -b10000110110111101000011000011000 : -b11110110110110111000001110010000 $ -b11110110110110111000001110010000 - -b11110110110110111000001110010000 5 -b11110110110110111000001110010000 ? -b11110110110110111000001110010000 D -b1101111111111001111110101111000 % -b1101111111111001111110101111000 . -b1101111111111001111110101111000 6 -b1101111111111001111110101111000 @ -b1101111111111001111110101111000 F -b1 ) -b0 ( -#191744000 -0& -#191760000 -b101110110100 , -#191776000 -1& -#191792000 -0! -b1 " -b1 4 -b11111111110111111111101000000010 0 -b11111111110111111111101000000010 H -b1 2 -b1 = -19 -18 -b10001101001000000101000111011000 < -b1111101000111010101001011101111 : -b11101111111111010000000100010110 $ -b11101111111111010000000100010110 - -b11101111111111010000000100010110 5 -b11101111111111010000000100010110 ? -b11101111111111010000000100010110 D -b1110010110111111010111000100111 % -b1110010110111111010111000100111 . -b1110010110111111010111000100111 6 -b1110010110111111010111000100111 @ -b1110010110111111010111000100111 F -#191808000 -0& -#191824000 -b101110110101 , -#191840000 -1& -#191856000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -09 -18 -b10000011100011100011 < -b10111111111010000001100010010000 : -b10111111110111111101111110101100 $ -b10111111110111111101111110101100 - -b10111111110111111101111110101100 5 -b10111111110111111101111110101100 ? -b10111111110111111101111110101100 D -b11111111111101111100011100011100 % -b11111111111101111100011100011100 . -b11111111111101111100011100011100 6 -b11111111111101111100011100011100 @ -b11111111111101111100011100011100 F -#191872000 -0& -#191888000 -b101110110110 , -#191904000 -1& -#191920000 -1! -b0 " -b0 4 -b1111011010101100 0 -b1111011010101100 H -b0 2 -b0 = -08 -b1010110111110000 < -1# -b1111011010101101100111101001000 : -b1111011010101100010000101010111 $ -b1111011010101100010000101010111 - -b1111011010101100010000101010111 5 -b1111011010101100010000101010111 ? -b1111011010101100010000101010111 D -b11111111111111110101001000001111 % -b11111111111111110101001000001111 . -b11111111111111110101001000001111 6 -b11111111111111110101001000001111 @ -b11111111111111110101001000001111 F -b0 ) -b1 ( -#191936000 -0& -#191952000 -b101110110111 , -#191968000 -1& -#191984000 -0! -b1 " -b1 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -19 -b1 2 -b1 = -18 -b10000010101100001011100101100011 < -0# -b111010000111011110111000110011 : -b10110111011011010011010011001111 $ -b10110111011011010011010011001111 - -b10110111011011010011010011001111 5 -b10110111011011010011010011001111 ? -b10110111011011010011010011001111 D -b1111101010011110100011010011100 % -b1111101010011110100011010011100 . -b1111101010011110100011010011100 6 -b1111101010011110100011010011100 @ -b1111101010011110100011010011100 F -b1 ) -b0 ( -#192000000 -0& -#192016000 -b101110111000 , -#192032000 -1& -#192048000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b11000101101001111001100011000111 < -b11000101101001010101101011011101 : -b11111111111111011100001000010101 $ -b11111111111111011100001000010101 - -b11111111111111011100001000010101 5 -b11111111111111011100001000010101 ? -b11111111111111011100001000010101 D -b111010010110000110011100111000 % -b111010010110000110011100111000 . -b111010010110000110011100111000 6 -b111010010110000110011100111000 @ -b111010010110000110011100111000 F -#192064000 -0& -#192080000 -b101110111001 , -#192096000 -1& -#192112000 -0! -b1 " -b1 4 -b11111110011010111111111101100001 0 -b11111110011010111111111101100001 H -b1 2 -b1 = -19 -18 -b11100000100101100010000001111001 < -b1111011100101011111100011011100 : -b10011010111111111101100001100010 $ -b10011010111111111101100001100010 - -b10011010111111111101100001100010 5 -b10011010111111111101100001100010 ? -b10011010111111111101100001100010 D -b11111011010011101111110000110 % -b11111011010011101111110000110 . -b11111011010011101111110000110 6 -b11111011010011101111110000110 @ -b11111011010011101111110000110 F -#192128000 -0& -#192144000 -b101110111010 , -#192160000 -1& -#192176000 -0! -b1 " -b1 4 -b11111111111111111111011110101011 0 -b11111111111111111111011110101011 H -b1 2 -b1 = -09 -18 -b10110000000010000101111111010000 < -b10101011110111100011001000001000 : -b11111011110101011101001000110111 $ -b11111011110101011101001000110111 - -b11111011110101011101001000110111 5 -b11111011110101011101001000110111 ? -b11111011110101011101001000110111 D -b1001111111101111010000000101111 % -b1001111111101111010000000101111 . -b1001111111101111010000000101111 6 -b1001111111101111010000000101111 @ -b1001111111101111010000000101111 F -#192192000 -0& -#192208000 -b101110111011 , -#192224000 -1& -#192240000 -1! -b0 " -b0 4 -b11101111111 0 -b11101111111 H -19 -b0 2 -b0 = -08 -b100000100010011110101001101011 < -1# -b10011000100000010101100110100000 : -b1110111111101110110111100110100 $ -b1110111111101110110111100110100 - -b1110111111101110110111100110100 5 -b1110111111101110110111100110100 ? -b1110111111101110110111100110100 D -b11011111011101100001010110010100 % -b11011111011101100001010110010100 . -b11011111011101100001010110010100 6 -b11011111011101100001010110010100 @ -b11011111011101100001010110010100 F -b0 ) -b1 ( -#192256000 -0& -#192272000 -b101110111100 , -#192288000 -1& -#192304000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -09 -b0 < -0# -b10010000000000000000000000000000 : -b10001111111111111111111111111111 $ -b10001111111111111111111111111111 - -b10001111111111111111111111111111 5 -b10001111111111111111111111111111 ? -b10001111111111111111111111111111 D -b11111111111111111111111111111111 % -b11111111111111111111111111111111 . -b11111111111111111111111111111111 6 -b11111111111111111111111111111111 @ -b11111111111111111111111111111111 F -b1 ) -b0 ( -#192320000 -0& -#192336000 -b101110111101 , -#192352000 -1& -#192368000 -0! -b1 " -b1 4 -b1 2 -b1 = -18 -b11111111111111111111111111111110 < -b11111111111111111111111111111110 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b1 % -b1 . -b1 6 -b1 @ -b1 F -#192384000 -0& -#192400000 -b101110111110 , -#192416000 -1& -#192432000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b0 < -1# -b10 : -b1 $ -b1 - -b1 5 -b1 ? -b1 D -b11111111111111111111111111111111 % -b11111111111111111111111111111111 . -b11111111111111111111111111111111 6 -b11111111111111111111111111111111 @ -b11111111111111111111111111111111 F -b0 ) -b1 ( -#192448000 -0& -#192464000 -b101110111111 , -#192480000 -1& -#192496000 -b11111111111110110111111100011011 1 -b11111111111110110111111100011011 C -0! -b11111111111110110111111100011011 " -b11111111111110110111111100011011 4 -b1111111110110011010111 0 -b1111111110110011010111 H -b11111111111001111110100100001111 2 -b11111111111001111110100100001111 = -18 -b1101001000101011110101 < -b1 7 -b1 A -b1 E -0# -b101 * -b101 / -b11111111111001111110100100001111 : -b11111111101100110101111000011001 $ -b11111111101100110101111000011001 - -b11111111101100110101111000011001 5 -b11111111101100110101111000011001 ? -b11111111101100110101111000011001 D -b11111111110010110111010100001010 % -b11111111110010110111010100001010 . -b11111111110010110111010100001010 6 -b11111111110010110111010100001010 @ -b11111111110010110111010100001010 F -b11111111111110110111111100011011 ) -b0 ( -#192512000 -0& -#192528000 -b101111000000 , -#192544000 -1& -#192560000 -b11111111111111111100111111011111 " -b11111111111111111100111111011111 4 -b11111111111111111100111111011111 1 -b11111111111111111100111111011111 C -b110011110011111 0 -b110011110011111 H -08 -b100011011111010010110000 < -b110100010111011100001110001100 2 -b110100010111011100001110001100 = -b110100010111011100001110001100 : -b110011110011111100111011011011 $ -b110011110011111100111011011011 - -b110011110011111100111011011011 5 -b110011110011111100111011011011 ? -b110011110011111100111011011011 D -b11111111011100100000101101001111 % -b11111111011100100000101101001111 . -b11111111011100100000101101001111 6 -b11111111011100100000101101001111 @ -b11111111011100100000101101001111 F -b11111111111111111100111111011111 ) -#192576000 -0& -#192592000 -b101111000001 , -#192608000 -1& -#192624000 -b11111111111111111111011011110100 " -b11111111111111111111011011110100 4 -b11111111111111111111011011110100 1 -b11111111111111111111011011110100 C -b1011111111111100101001100111 0 -b1011111111111100101001100111 H -19 -18 -b10100010000000001010101101011011 < -b1100001111111010101000111001100 2 -b1100001111111010101000111001100 = -b1100001111111010101000111001100 : -b10111111111111001010011001110000 $ -b10111111111111001010011001110000 - -b10111111111111001010011001110000 5 -b10111111111111001010011001110000 ? -b10111111111111001010011001110000 D -b1011101111111110101010010100100 % -b1011101111111110101010010100100 . -b1011101111111110101010010100100 6 -b1011101111111110101010010100100 @ -b1011101111111110101010010100100 F -b11111111111111111111011011110100 ) -#192640000 -0& -#192656000 -b101111000010 , -#192672000 -1& -#192688000 -b1110111111111100111111100111101 " -b1110111111111100111111100111101 4 -b1110111111111100111111100111101 1 -b1110111111111100111111100111101 C -b111010111101010010 0 -b111010111101010010 H -09 -b10001001000010011100010111010010 < -b11111110111101000000101011101011 2 -b11111110111101000000101011101011 = -b11111110111101000000101011101011 : -b1110101111010100100010100011000 $ -b1110101111010100100010100011000 - -b1110101111010100100010100011000 5 -b1110101111010100100010100011000 ? -b1110101111010100100010100011000 D -b1110110111101100011101000101101 % -b1110110111101100011101000101101 . -b1110110111101100011101000101101 6 -b1110110111101100011101000101101 @ -b1110110111101100011101000101101 F -b1110111111111100111111100111101 ) -#192704000 -0& -#192720000 -b101111000011 , -#192736000 -1& -#192752000 -b11111111111111111111011100111100 " -b11111111111111111111011100111100 4 -b11111111111111111111011100111100 1 -b11111111111111111111011100111100 C -b1010111111111011011100110001 0 -b1010111111111011011100110001 H -19 -18 -b10000010011000000111101011011011 < -b110010010110111110110111111000 2 -b110010010110111110110111111000 = -b110010010110111110110111111000 : -b10101111111110110111001100011100 $ -b10101111111110110111001100011100 - -b10101111111110110111001100011100 5 -b10101111111110110111001100011100 ? -b10101111111110110111001100011100 D -b1111101100111111000010100100100 % -b1111101100111111000010100100100 . -b1111101100111111000010100100100 6 -b1111101100111111000010100100100 @ -b1111101100111111000010100100100 F -b11111111111111111111011100111100 ) -#192768000 -0& -#192784000 -b101111000100 , -#192800000 -1& -#192816000 -b1011111111111010111001100110101 " -b1011111111111010111001100110101 4 -b1011111111111010111001100110101 1 -b1011111111111010111001100110101 C -b11101111111010100001100110101 0 -b11101111111010100001100110101 H -09 -18 -b10101000001100101000110011111111 < -b11000110001011111101000000110101 2 -b11000110001011111101000000110101 = -b11000110001011111101000000110101 : -b11101111111010100001100110101 $ -b11101111111010100001100110101 - -b11101111111010100001100110101 5 -b11101111111010100001100110101 ? -b11101111111010100001100110101 D -b1010111110011010111001100000000 % -b1010111110011010111001100000000 . -b1010111110011010111001100000000 6 -b1010111110011010111001100000000 @ -b1010111110011010111001100000000 F -b1011111111111010111001100110101 ) -#192832000 -0& -#192848000 -b101111000101 , -#192864000 -1& -#192880000 -b11111111111111101111111000111001 " -b11111111111111101111111000111001 4 -b11111111111111101111111000111001 1 -b11111111111111101111111000111001 C -b111101 0 -b111101 H -19 -08 -b100000000001010100000111000110 < -b10011011010000100010100111001000 2 -b10011011010000100010100111001000 = -b10011011010000100010100111001000 : -b1111011001111001110100000000001 $ -b1111011001111001110100000000001 - -b1111011001111001110100000000001 5 -b1111011001111001110100000000001 ? -b1111011001111001110100000000001 D -b11011111111110101011111000111001 % -b11011111111110101011111000111001 . -b11011111111110101011111000111001 6 -b11011111111110101011111000111001 @ -b11011111111110101011111000111001 F -b11111111111111101111111000111001 ) -#192896000 -0& -#192912000 -b101111000110 , -#192928000 -1& -#192944000 -b11111111011111110101111011011111 " -b11111111011111110101111011011111 4 -b11111111011111110101111011011111 1 -b11111111011111110101111011011111 C -b11 0 -b11 H -09 -18 -b10010001100000001011000101100001 < -b10001100101111111100011000110101 2 -b10001100101111111100011000110101 = -b10001100101111111100011000110101 : -b11111011001111110001010011010011 $ -b11111011001111110001010011010011 - -b11111011001111110001010011010011 5 -b11111011001111110001010011010011 ? -b11111011001111110001010011010011 D -b1101110011111110100111010011110 % -b1101110011111110100111010011110 . -b1101110011111110100111010011110 6 -b1101110011111110100111010011110 @ -b1101110011111110100111010011110 F -b11111111011111110101111011011111 ) -#192960000 -0& -#192976000 -b101111000111 , -#192992000 -1& -#193008000 -b11111111101111111110111010110111 " -b11111111101111111110111010110111 4 -b11111111101111111110111010110111 1 -b11111111101111111110111010110111 C -b100111111 0 -b100111111 H -19 -18 -b10010010010000001001001111101000 < -b110001110110000100001010011100 2 -b110001110110000100001010011100 = -b110001110110000100001010011100 : -b10011111100101111010111010110011 $ -b10011111100101111010111010110011 - -b10011111100101111010111010110011 5 -b10011111100101111010111010110011 ? -b10011111100101111010111010110011 D -b1101101101111110110110000010111 % -b1101101101111110110110000010111 . -b1101101101111110110110000010111 6 -b1101101101111110110110000010111 @ -b1101101101111110110110000010111 F -b11111111101111111110111010110111 ) -#193024000 -0& -#193040000 -b101111001000 , -#193056000 -1& -#193072000 -b1111111111111111001101011101010 " -b1111111111111111001101011101010 4 -b1111111111111111001101011101010 1 -b1111111111111111001101011101010 C -b111111011111111100110 0 -b111111011111111100110 H -08 -09 -b11001100000011101110111111110101 < -b1001011000011101000101011011110 2 -b1001011000011101000101011011110 = -b1001011000011101000101011011110 : -b1111110111111111001101011101000 $ -b1111110111111111001101011101000 - -b1111110111111111001101011101000 5 -b1111110111111111001101011101000 ? -b1111110111111111001101011101000 D -b110011111100010001000000001010 % -b110011111100010001000000001010 . -b110011111100010001000000001010 6 -b110011111100010001000000001010 @ -b110011111100010001000000001010 F -b1111111111111111001101011101010 ) -#193088000 -0& -#193104000 -b101111001001 , -#193120000 -1& -#193136000 -b11111111111011111111110001011110 " -b11111111111011111111110001011110 4 -b11111111111011111111110001011110 1 -b11111111111011111111110001011110 C -b11111111101100110001 0 -b11111111101100110001 H -b101010010100001100011110110101 < -b1101010001111011000110000010010 2 -b1101010001111011000110000010010 = -b1101010001111011000110000010010 : -b111111111011001100010001011100 $ -b111111111011001100010001011100 - -b111111111011001100010001011100 5 -b111111111011001100010001011100 ? -b111111111011001100010001011100 D -b11010101101011110011100001001010 % -b11010101101011110011100001001010 . -b11010101101011110011100001001010 6 -b11010101101011110011100001001010 @ -b11010101101011110011100001001010 F -b11111111111011111111110001011110 ) -#193152000 -0& -#193168000 -b101111001010 , -#193184000 -1& -#193200000 -b11111111111111111111101111011111 " -b11111111111111111111101111011111 4 -b11111111111111111111101111011111 1 -b11111111111111111111101111011111 C -b111001111110110110 0 -b111001111110110110 H -08 -09 -b1000001100000011000010000110001 < -b101001011011110000010001001001 2 -b101001011011110000010001001001 = -b101001011011110000010001001001 : -b11100111111011011000000000010111 $ -b11100111111011011000000000010111 - -b11100111111011011000000000010111 5 -b11100111111011011000000000010111 ? -b11100111111011011000000000010111 D -b10111110011111100111101111001110 % -b10111110011111100111101111001110 . -b10111110011111100111101111001110 6 -b10111110011111100111101111001110 @ -b10111110011111100111101111001110 F -b11111111111111111111101111011111 ) -#193216000 -0& -#193232000 -b101111001011 , -#193248000 -1& -#193264000 -b11111111111111111111111101111111 " -b11111111111111111111111101111111 4 -b11111111111111111111111101111111 1 -b11111111111111111111111101111111 C -b11010101111111011 0 -b11010101111111011 H -b1100010000000010010010 < -b110101101100000110101110101010 2 -b110101101100000110101110101010 = -b110101101100000110101110101010 : -b110101011111110110101100010111 $ -b110101011111110110101100010111 - -b110101011111110110101100010111 5 -b110101011111110110101100010111 ? -b110101011111110110101100010111 D -b11111111110011101111111101101101 % -b11111111110011101111111101101101 . -b11111111110011101111111101101101 6 -b11111111110011101111111101101101 @ -b11111111110011101111111101101101 F -b11111111111111111111111101111111 ) -#193280000 -0& -#193296000 -b101111001100 , -#193312000 -1& -#193328000 -b11111111111111110010111101111111 " -b11111111111111110010111101111111 4 -b11111111111111110010111101111111 1 -b11111111111111110010111101111111 C -b101010 0 -b101010 H -18 -b100010000000011101011010000101 < -b11001110000000001111110110010011 2 -b11001110000000001111110110010011 = -b11001110000000001111110110010011 : -b10101011111111110010011100001101 $ -b10101011111111110010011100001101 - -b10101011111111110010011100001101 5 -b10101011111111110010011100001101 ? -b10101011111111110010011100001101 D -b11011101111111100010100101111010 % -b11011101111111100010100101111010 . -b11011101111111100010100101111010 6 -b11011101111111100010100101111010 @ -b11011101111111100010100101111010 F -b11111111111111110010111101111111 ) -#193344000 -0& -#193360000 -b101111001101 , -#193376000 -1& -#193392000 -b111110111111111011011100111111 " -b111110111111111011011100111111 4 -b111110111111111011011100111111 1 -b111110111111111011011100111111 C -b11101011111111001101 0 -b11101011111111001101 H -08 -b11110001001000110101101111010101 < -b101100001000101001001100001101 2 -b101100001000101001001100001101 = -b101100001000101001001100001101 : -b111010111111110011011100110111 $ -b111010111111110011011100110111 - -b111010111111110011011100110111 5 -b111010111111110011011100110111 ? -b111010111111110011011100110111 D -b1110110111001010010000101010 % -b1110110111001010010000101010 . -b1110110111001010010000101010 6 -b1110110111001010010000101010 @ -b1110110111001010010000101010 F -b111110111111111011011100111111 ) -#193408000 -0& -#193424000 -b101111001110 , -#193440000 -1& -#193456000 -b11011111111111111000111110110111 " -b11011111111111111000111110110111 4 -b11011111111111111000111110110111 1 -b11011111111111111000111110110111 C -b110110001111111 0 -b110110001111111 H -08 -b111000000100001111010011001110 < -b10001000011110111100101100101 2 -b10001000011110111100101100101 = -b10001000011110111100101100101 : -b11011000111111101000010010010110 $ -b11011000111111101000010010010110 - -b11011000111111101000010010010110 5 -b11011000111111101000010010010110 ? -b11011000111111101000010010010110 D -b11000111111011110000101100110001 % -b11000111111011110000101100110001 . -b11000111111011110000101100110001 6 -b11000111111011110000101100110001 @ -b11000111111011110000101100110001 F -b11011111111111111000111110110111 ) -#193472000 -0& -#193488000 -b101111001111 , -#193504000 -1& -#193520000 -b11111011111111111111100111100111 " -b11111011111111111111100111100111 4 -b11111011111111111111100111100111 1 -b11111011111111111111100111100111 C -b1110001011111010011100000110011 0 -b1110001011111010011100000110011 H -18 -b11000110010000100110011000011110 < -b10101001001111001101011010000101 2 -b10101001001111001101011010000101 = -b10101001001111001101011010000101 : -b11100010111110100111000001100110 $ -b11100010111110100111000001100110 - -b11100010111110100111000001100110 5 -b11100010111110100111000001100110 ? -b11100010111110100111000001100110 D -b111001101111011001100111100001 % -b111001101111011001100111100001 . -b111001101111011001100111100001 6 -b111001101111011001100111100001 @ -b111001101111011001100111100001 F -b11111011111111111111100111100111 ) -#193536000 -0& -#193552000 -b101111010000 , -#193568000 -1& -#193584000 -b11111111111111110011101110010100 " -b11111111111111110011101110010100 4 -b11111111111111110011101110010100 1 -b11111111111111110011101110010100 C -b111001111101 0 -b111001111101 H -b10100001100101101110111001101011 < -b10001001011011100001100101111100 2 -b10001001011011100001100101111100 = -b10001001011011100001100101111100 : -b11100111110101110010101100010000 $ -b11100111110101110010101100010000 - -b11100111110101110010101100010000 5 -b11100111110101110010101100010000 ? -b11100111110101110010101100010000 D -b1011110011010010001000110010100 % -b1011110011010010001000110010100 . -b1011110011010010001000110010100 6 -b1011110011010010001000110010100 @ -b1011110011010010001000110010100 F -b11111111111111110011101110010100 ) -#193600000 -0& -#193616000 -b101111010001 , -#193632000 -1& -#193648000 -b11111010111111111101111111000101 " -b11111010111111111101111111000101 4 -b11111010111111111101111111000101 1 -b11111010111111111101111111000101 C -b110100101111111000011010 0 -b110100101111111000011010 H -08 -09 -b111010000000110001001111010 < -b100001101000000010010111000000 2 -b100001101000000010010111000000 = -b100001101000000010010111000000 : -b11010010111111100001101000101 $ -b11010010111111100001101000101 - -b11010010111111100001101000101 5 -b11010010111111100001101000101 ? -b11010010111111100001101000101 D -b11111000101111111001110110000101 % -b11111000101111111001110110000101 . -b11111000101111111001110110000101 6 -b11111000101111111001110110000101 @ -b11111000101111111001110110000101 F -b11111010111111111101111111000101 ) -#193664000 -0& -#193680000 -b101111010010 , -#193696000 -1& -#193712000 -b11111111111111110101011011111100 " -b11111111111111110101011011111100 4 -b11111111111111110101011011111100 1 -b11111111111111110101011011111100 C -b11011011 0 -b11011011 H -19 -18 -b10001000011101001110110100100111 < -b1100100011100110011001000011100 2 -b1100100011100110011001000011100 = -b1100100011100110011001000011100 : -b11011011111111100100010011110100 $ -b11011011111111100100010011110100 - -b11011011111111100100010011110100 5 -b11011011111111100100010011110100 ? -b11011011111111100100010011110100 D -b1110111100010110001001011011000 % -b1110111100010110001001011011000 . -b1110111100010110001001011011000 6 -b1110111100010110001001011011000 @ -b1110111100010110001001011011000 F -b11111111111111110101011011111100 ) -#193728000 -0& -#193744000 -b101111010011 , -#193760000 -1& -#193776000 -b11011111111111111110111101101111 " -b11011111111111111110111101101111 4 -b11011111111111111110111101101111 1 -b11011111111111111110111101101111 C -b101111110111110101011110110111 0 -b101111110111110101011110110111 H -08 -b110010000001001011100110011110 < -b10010001110000110110100100001101 2 -b10010001110000110110100100001101 = -b10010001110000110110100100001101 : -b1011111101111101010111101101110 $ -b1011111101111101010111101101110 - -b1011111101111101010111101101110 5 -b1011111101111101010111101101110 ? -b1011111101111101010111101101110 D -b11001101111110110100011001100001 % -b11001101111110110100011001100001 . -b11001101111110110100011001100001 6 -b11001101111110110100011001100001 @ -b11001101111110110100011001100001 F -b11011111111111111110111101101111 ) -#193792000 -0& -#193808000 -b101111010100 , -#193824000 -1& -#193840000 -b11111111111111111011011111101011 " -b11111111111111111011011111101011 4 -b11111111111111111011011111101011 1 -b11111111111111111011011111101011 C -b1011111111110111100100 0 -b1011111111110111100100 H -18 -09 -b100001001100100000110101 < -b11000000011111000101101011011111 2 -b11000000011111000101101011011111 = -b11000000011111000101101011011111 : -b10111111111101111001001010101001 $ -b10111111111101111001001010101001 - -b10111111111101111001001010101001 5 -b10111111111101111001001010101001 ? -b10111111111101111001001010101001 D -b11111111011110110011011111001010 % -b11111111011110110011011111001010 . -b11111111011110110011011111001010 6 -b11111111011110110011011111001010 @ -b11111111011110110011011111001010 F -b11111111111111111011011111101011 ) -#193856000 -0& -#193872000 -b101111010101 , -#193888000 -1& -#193904000 -b11111111111111111011111001111111 " -b11111111111111111011111001111111 4 -b11111111111111111011111001111111 1 -b11111111111111111011111001111111 C -b1 0 -b1 H -b10000000000001110000110000000 < -b11101111010111011001110110100000 2 -b11101111010111011001110110100000 = -b11101111010111011001110110100000 : -b11011111010111001011110000011111 $ -b11011111010111001011110000011111 - -b11011111010111001011110000011111 5 -b11011111010111001011110000011111 ? -b11011111010111001011110000011111 D -b11101111111111110001111001111111 % -b11101111111111110001111001111111 . -b11101111111111110001111001111111 6 -b11101111111111110001111001111111 @ -b11101111111111110001111001111111 F -b11111111111111111011111001111111 ) -#193920000 -0& -#193936000 -b101111010110 , -#193952000 -1& -#193968000 -b1111111111111111111110011001111 " -b1111111111111111111110011001111 4 -b1111111111111111111110011001111 1 -b1111111111111111111110011001111 C -b111111110110010111110 0 -b111111110110010111110 H -b10000000000100000010101100110101 < -b11111111110000110010001111111011 2 -b11111111110000110010001111111011 = -b11111111110000110010001111111011 : -b1111111101100101111100011000101 $ -b1111111101100101111100011000101 - -b1111111101100101111100011000101 5 -b1111111101100101111100011000101 ? -b1111111101100101111100011000101 D -b1111111111011111101010011001010 % -b1111111111011111101010011001010 . -b1111111111011111101010011001010 6 -b1111111111011111101010011001010 @ -b1111111111011111101010011001010 F -b1111111111111111111110011001111 ) -#193984000 -0& -#194000000 -b101111010111 , -#194016000 -1& -#194032000 -b11101111111111110111111101010101 " -b11101111111111110111111101010101 4 -b11101111111111110111111101010101 1 -b11101111111111110111111101010101 C -b101101111 0 -b101101111 H -08 -b110101000100101000101011101010 < -b1100011000100011011100100110000 2 -b1100011000100011011100100110000 = -b1100011000100011011100100110000 : -b101101111111110010111001000101 $ -b101101111111110010111001000101 - -b101101111111110010111001000101 5 -b101101111111110010111001000101 ? -b101101111111110010111001000101 D -b11001010111011010111010100010101 % -b11001010111011010111010100010101 . -b11001010111011010111010100010101 6 -b11001010111011010111010100010101 @ -b11001010111011010111010100010101 F -b11101111111111110111111101010101 ) -#194048000 -0& -#194064000 -b101111011000 , -#194080000 -1& -#194096000 -b11111111111111111001111110111001 " -b11111111111111111001111110111001 4 -b11111111111111111001111110111001 1 -b11111111111111111001111110111001 C -b1111111110110111 0 -b1111111110110111 H -18 -09 -b11010000000001000110010011001111 < -b11001111101110110111001010001001 2 -b11001111101110110111001010001001 = -b11001111101110110111001010001001 : -b11111111101101110000110110111001 $ -b11111111101101110000110110111001 - -b11111111101101110000110110111001 5 -b11111111101101110000110110111001 ? -b11111111101101110000110110111001 D -b101111111110111001101100110000 % -b101111111110111001101100110000 . -b101111111110111001101100110000 6 -b101111111110111001101100110000 @ -b101111111110111001101100110000 F -b11111111111111111001111110111001 ) -#194112000 -0& -#194128000 -b101111011001 , -#194144000 -1& -#194160000 -b11111111111111111100101111111111 " -b11111111111111111100101111111111 4 -b11111111111111111100101111111111 1 -b11111111111111111100101111111111 C -b111111011 0 -b111111011 H -08 -b1011010001001001 < -b1111110110101000011111100110111 2 -b1111110110101000011111100110111 = -b1111110110101000011111100110111 : -b1111110110100111000101011101101 $ -b1111110110100111000101011101101 - -b1111110110100111000101011101101 5 -b1111110110100111000101011101101 ? -b1111110110100111000101011101101 D -b11111111111111110100101110110110 % -b11111111111111110100101110110110 . -b11111111111111110100101110110110 6 -b11111111111111110100101110110110 @ -b11111111111111110100101110110110 F -b11111111111111111100101111111111 ) -#194176000 -0& -#194192000 -b101111011010 , -#194208000 -1& -#194224000 -b11111011111001111111111101111111 " -b11111011111001111111111101111111 4 -b11111011111001111111111101111111 1 -b11111011111001111111111101111111 C -b1 0 -b1 H -18 -b110100110101101110010000000 < -b11100001010111101101100111101100 2 -b11100001010111101101100111101100 = -b11100001010111101101100111101100 : -b11011010110000111111110101101011 $ -b11011010110000111111110101101011 - -b11011010110000111111110101101011 5 -b11011010110000111111110101101011 ? -b11011010110000111111110101101011 D -b11111001011001010010001101111111 % -b11111001011001010010001101111111 . -b11111001011001010010001101111111 6 -b11111001011001010010001101111111 @ -b11111001011001010010001101111111 F -b11111011111001111111111101111111 ) -#194240000 -0& -#194256000 -b101111011011 , -#194272000 -1& -#194288000 -b11111111111101110011111110011111 " -b11111111111101110011111110011111 4 -b11111111111101110011111110011111 1 -b11111111111101110011111110011111 C -b111010011001000100 0 -b111010011001000100 H -b100110001101011011110001 < -b11101010001010011110111010001101 2 -b11101010001010011110111010001101 = -b11101010001010011110111010001101 : -b11101001100100010001011110011011 $ -b11101001100100010001011110011011 - -b11101001100100010001011110011011 5 -b11101001100100010001011110011011 ? -b11101001100100010001011110011011 D -b11111111011001110010100100001110 % -b11111111011001110010100100001110 . -b11111111011001110010100100001110 6 -b11111111011001110010100100001110 @ -b11111111011001110010100100001110 F -b11111111111101110011111110011111 ) -#194304000 -0& -#194320000 -b101111011100 , -#194336000 -1& -#194352000 -b11111111111111111111001101111111 " -b11111111111111111111001101111111 4 -b11111111111111111111001101111111 1 -b11111111111111111111001101111111 C -b0 0 -b0 H -08 -b110010000010110010000000 < -b1111110110001110101111111010101 2 -b1111110110001110101111111010101 = -b1111110110001110101111111010101 : -b1111101111111110011001101010100 $ -b1111101111111110011001101010100 - -b1111101111111110011001101010100 5 -b1111101111111110011001101010100 ? -b1111101111111110011001101010100 D -b11111111001101111101001101111111 % -b11111111001101111101001101111111 . -b11111111001101111101001101111111 6 -b11111111001101111101001101111111 @ -b11111111001101111101001101111111 F -b11111111111111111111001101111111 ) -#194368000 -0& -#194384000 -b101111011101 , -#194400000 -1& -#194416000 -b11111111111111111110111101111100 " -b11111111111111111110111101111100 4 -b11111111111111111110111101111100 1 -b11111111111111111110111101111100 C -b100111101011111010000000111 0 -b100111101011111010000000111 H -19 -08 -b1000000000001000101000011111011 < -b10001111011000111001000101110100 2 -b10001111011000111001000101110100 = -b10001111011000111001000101110100 : -b1001111010111110100000001111000 $ -b1001111010111110100000001111000 - -b1001111010111110100000001111000 5 -b1001111010111110100000001111000 ? -b1001111010111110100000001111000 D -b10111111111110111010111100000100 % -b10111111111110111010111100000100 . -b10111111111110111010111100000100 6 -b10111111111110111010111100000100 @ -b10111111111110111010111100000100 F -b11111111111111111110111101111100 ) -#194432000 -0& -#194448000 -b101111011110 , -#194464000 -1& -#194480000 -b10111111110111111111000011110111 " -b10111111110111111111000011110111 4 -b10111111110111111111000011110111 1 -b10111111110111111111000011110111 C -b1110111 0 -b1110111 H -09 -08 -b1000000101001010011111110101000 < -b1111100100001001011000010011101 2 -b1111100100001001011000010011101 = -b1111100100001001011000010011101 : -b111011110111110111000011110100 $ -b111011110111110111000011110100 - -b111011110111110111000011110100 5 -b111011110111110111000011110100 ? -b111011110111110111000011110100 D -b10111111010110101100000001010111 % -b10111111010110101100000001010111 . -b10111111010110101100000001010111 6 -b10111111010110101100000001010111 @ -b10111111010110101100000001010111 F -b10111111110111111111000011110111 ) -#194496000 -0& -#194512000 -b101111011111 , -#194528000 -1& -#194544000 -b10111111111111111111111111011111 " -b10111111111111111111111111011111 4 -b10111111111111111111111111011111 1 -b10111111111111111111111111011111 C -b10101 0 -b10101 H -08 -b1101000010100010000100001100100 < -b10100001100000001001110110100 2 -b10100001100000001001110110100 = -b10100001100000001001110110100 : -b10101011110111110000101101001111 $ -b10101011110111110000101101001111 - -b10101011110111110000101101001111 5 -b10101011110111110000101101001111 ? -b10101011110111110000101101001111 D -b10010111101011101111011110011011 % -b10010111101011101111011110011011 . -b10010111101011101111011110011011 6 -b10010111101011101111011110011011 @ -b10010111101011101111011110011011 F -b10111111111111111111111111011111 ) -#194560000 -0& -#194576000 -b101111100000 , -#194592000 -1& -#194608000 -b11111111111111111111111011010111 " -b11111111111111111111111011010111 4 -b11111111111111111111111011010111 1 -b11111111111111111111111011010111 C -b1111111011 0 -b1111111011 H -b100001010000100110100101001 < -b11001001111010100111101111 2 -b11001001111010100111101111 = -b11001001111010100111101111 : -b11111110111111110101110011000101 $ -b11111110111111110101110011000101 - -b11111110111111110101110011000101 5 -b11111110111111110101110011000101 ? -b11111110111111110101110011000101 D -b11111011110101111011001011010110 % -b11111011110101111011001011010110 . -b11111011110101111011001011010110 6 -b11111011110101111011001011010110 @ -b11111011110101111011001011010110 F -b11111111111111111111111011010111 ) -#194624000 -0& -#194640000 -b101111100001 , -#194656000 -1& -#194672000 -b1111111111011111110010111010101 " -b1111111111011111110010111010101 4 -b1111111111011111110010111010101 1 -b1111111111011111110010111010101 C -08 -b11011101000100100101101000101010 < -b1011100011110100001101100000000 2 -b1011100011110100001101100000000 = -b1011100011110100001101100000000 : -b1111111011001111100000011010101 $ -b1111111011001111100000011010101 - -b1111111011001111100000011010101 5 -b1111111011001111100000011010101 ? -b1111111011001111100000011010101 D -b100010111011011010010111010101 % -b100010111011011010010111010101 . -b100010111011011010010111010101 6 -b100010111011011010010111010101 @ -b100010111011011010010111010101 F -b1111111111011111110010111010101 ) -#194688000 -0& -#194704000 -b101111100010 , -#194720000 -1& -#194736000 -b1111111111111110111001111111100 " -b1111111111111110111001111111100 4 -b1111111111111110111001111111100 1 -b1111111111111110111001111111100 C -b1010110111111110000 0 -b1010110111111110000 H -b11000000010000001000111000110011 < -b10111001111111001000100101000 2 -b10111001111111001000100101000 = -b10111001111111001000100101000 : -b1010110111111110000001011110100 $ -b1010110111111110000001011110100 - -b1010110111111110000001011110100 5 -b1010110111111110000001011110100 ? -b1010110111111110000001011110100 D -b111111101111110111000111001100 % -b111111101111110111000111001100 . -b111111101111110111000111001100 6 -b111111101111110111000111001100 @ -b111111101111110111000111001100 F -b1111111111111110111001111111100 ) -#194752000 -0& -#194768000 -b101111100011 , -#194784000 -1& -#194800000 -b11111111111101111111101101111111 " -b11111111111101111111101101111111 4 -b11111111111101111111101101111111 1 -b11111111111101111111101101111111 C -b11 0 -b11 H -19 -08 -b100000000010001000010110000010 < -b10011100111011000111000011010001 2 -b10011100111011000111000011010001 = -b10011100111011000111000011010001 : -b1111100111000111110101101001110 $ -b1111100111000111110101101001110 - -b1111100111000111110101101001110 5 -b1111100111000111110101101001110 ? -b1111100111000111110101101001110 D -b11011111111101110111101001111101 % -b11011111111101110111101001111101 . -b11011111111101110111101001111101 6 -b11011111111101110111101001111101 @ -b11011111111101110111101001111101 F -b11111111111101111111101101111111 ) -#194816000 -0& -#194832000 -b101111100100 , -#194848000 -1& -#194864000 -b11111111111111110111100111001110 " -b11111111111111110111100111001110 4 -b11111111111111110111100111001110 1 -b11111111111111110111100111001110 C -b110101111111111100101001 0 -b110101111111111100101001 H -09 -b1010110000001001010011111110111 < -b101110000000111101000110111110 2 -b101110000000111101000110111110 = -b101110000000111101000110111110 : -b11010111111111110010100111000110 $ -b11010111111111110010100111000110 - -b11010111111111110010100111000110 5 -b11010111111111110010100111000110 ? -b11010111111111110010100111000110 D -b10101001111110110101100000001000 % -b10101001111110110101100000001000 . -b10101001111110110101100000001000 6 -b10101001111110110101100000001000 @ -b10101001111110110101100000001000 F -b11111111111111110111100111001110 ) -#194880000 -0& -#194896000 -b101111100101 , -#194912000 -1& -#194928000 -b11111110111111111001111101110111 " -b11111110111111111001111101110111 4 -b11111110111111111001111101110111 1 -b11111110111111111001111101110111 C -b1111111010110010100110110 0 -b1111111010110010100110110 H -18 -b10000001100000101111100011011000 < -b10000000001101011001010000101110 2 -b10000000001101011001010000101110 = -b10000000001101011001010000101110 : -b11111110101100101001101101010101 $ -b11111110101100101001101101010101 - -b11111110101100101001101101010101 5 -b11111110101100101001101101010101 ? -b11111110101100101001101101010101 D -b1111110011111010000011100100111 % -b1111110011111010000011100100111 . -b1111110011111010000011100100111 6 -b1111110011111010000011100100111 @ -b1111110011111010000011100100111 F -b11111110111111111001111101110111 ) -#194944000 -0& -#194960000 -b101111100110 , -#194976000 -1& -#194992000 -b11111011111111111111010111110111 " -b11111011111111111111010111110111 4 -b11111011111111111111010111110111 1 -b11111011111111111111010111110111 C -b11110010110 0 -b11110010110 H -09 -08 -b1000100000000000110111110001010 < -b110110111000000101010101101101 2 -b110110111000000101010101101101 = -b110110111000000101010101101101 : -b11110010110111111110010111100010 $ -b11110010110111111110010111100010 - -b11110010110111111110010111100010 5 -b11110010110111111110010111100010 ? -b11110010110111111110010111100010 D -b10111011111111111001000001110101 % -b10111011111111111001000001110101 . -b10111011111111111001000001110101 6 -b10111011111111111001000001110101 @ -b10111011111111111001000001110101 F -b11111011111111111111010111110111 ) -#195008000 -0& -#195024000 -b101111100111 , -#195040000 -1& -#195056000 -b1111111111011111110111000011111 " -b1111111111011111110111000011111 4 -b1111111111011111110111000011111 1 -b1111111111011111110111000011111 C -b1011111111011111 0 -b1011111111011111 H -08 -b11000000101100010011000111110000 < -b100000101000010001111000001000 2 -b100000101000010001111000001000 = -b100000101000010001111000001000 : -b1011111111011111110110000010111 $ -b1011111111011111110110000010111 - -b1011111111011111110110000010111 5 -b1011111111011111110110000010111 ? -b1011111111011111110110000010111 D -b111111010011101100111000001111 % -b111111010011101100111000001111 . -b111111010011101100111000001111 6 -b111111010011101100111000001111 @ -b111111010011101100111000001111 F -b1111111111011111110111000011111 ) -#195072000 -0& -#195088000 -b101111101000 , -#195104000 -1& -#195120000 -b11111011111111111101001111110011 " -b11111011111111111101001111110011 4 -b11111011111111111101001111110011 1 -b11111011111111111101001111110011 C -b111101111111111000100111101001 0 -b111101111111111000100111101001 H -19 -08 -b1100100000100000011111110011110 < -b11100000000011110101001101110010 2 -b11100000000011110101001101110010 = -b11100000000011110101001101110010 : -b1111011111111110001001111010011 $ -b1111011111111110001001111010011 - -b1111011111111110001001111010011 5 -b1111011111111110001001111010011 ? -b1111011111111110001001111010011 D -b10011011111011111100000001100001 % -b10011011111011111100000001100001 . -b10011011111011111100000001100001 6 -b10011011111011111100000001100001 @ -b10011011111011111100000001100001 F -b11111011111111111101001111110011 ) -#195136000 -0& -#195152000 -b101111101001 , -#195168000 -1& -#195184000 -b1111111111111111011100101101111 " -b1111111111111111011100101101111 4 -b1111111111111111011100101101111 1 -b1111111111111111011100101101111 C -b110111001111110001 0 -b110111001111110001 H -18 -09 -b10001100000000100110011010010010 < -b11111010100000001000111100000001 2 -b11111010100000001000111100000001 = -b11111010100000001000111100000001 : -b1101110011111100010100001101110 $ -b1101110011111100010100001101110 - -b1101110011111100010100001101110 5 -b1101110011111100010100001101110 ? -b1101110011111100010100001101110 D -b1110011111111011001100101101101 % -b1110011111111011001100101101101 . -b1110011111111011001100101101101 6 -b1110011111111011001100101101101 @ -b1110011111111011001100101101101 F -b1111111111111111011100101101111 ) -#195200000 -0& -#195216000 -b101111101010 , -#195232000 -1& -#195248000 -b11111101111111111110011101101001 " -b11111101111111111110011101101001 4 -b11111101111111111110011101101001 1 -b11111101111111111110011101101001 C -b111101010111101110100111 0 -b111101010111101110100111 H -19 -18 -b10000111010000000001110010010111 < -b1111100101110111100001110100001 2 -b1111100101110111100001110100001 = -b1111100101110111100001110100001 : -b11110101011110111010011100001001 $ -b11110101011110111010011100001001 - -b11110101011110111010011100001001 5 -b11110101011110111010011100001001 ? -b11110101011110111010011100001001 D -b1111000101111111110001101101000 % -b1111000101111111110001101101000 . -b1111000101111111110001101101000 6 -b1111000101111111110001101101000 @ -b1111000101111111110001101101000 F -b11111101111111111110011101101001 ) -#195264000 -0& -#195280000 -b101111101011 , -#195296000 -1& -#195312000 -b11111111101111111110111010111111 " -b11111111101111111110111010111111 4 -b11111111101111111110111010111111 1 -b11111111101111111110111010111111 C -b1111 0 -b1111 H -09 -08 -b100000010100000011000111000011 < -b11100000011111010000001100011 2 -b11100000011111010000001100011 = -b11100000011111010000001100011 : -b11111011101111110110111010011111 $ -b11111011101111110110111010011111 - -b11111011101111110110111010011111 5 -b11111011101111110110111010011111 ? -b11111011101111110110111010011111 D -b11011111101011111100111000111100 % -b11011111101011111100111000111100 . -b11011111101011111100111000111100 6 -b11011111101011111100111000111100 @ -b11011111101011111100111000111100 F -b11111111101111111110111010111111 ) -#195328000 -0& -#195344000 -b101111101100 , -#195360000 -1& -#195376000 -b11111111111111111111011100101110 " -b11111111111111111111011100101110 4 -b11111111111111111111011100101110 1 -b11111111111111111111011100101110 C -b110101011101111111 0 -b110101011101111111 H -18 -b10000000001001000100011110001 < -b11100101111001000110101000011100 2 -b11100101111001000110101000011100 = -b11100101111001000110101000011100 : -b11010101110111111110000100101010 $ -b11010101110111111110000100101010 - -b11010101110111111110000100101010 5 -b11010101110111111110000100101010 ? -b11010101110111111110000100101010 D -b11101111111110110111011100001110 % -b11101111111110110111011100001110 . -b11101111111110110111011100001110 6 -b11101111111110110111011100001110 @ -b11101111111110110111011100001110 F -b11111111111111111111011100101110 ) -#195392000 -0& -#195408000 -b101111101101 , -#195424000 -1& -#195440000 -b11111111111111110110001111001001 " -b11111111111111110110001111001001 4 -b11111111111111110110001111001001 1 -b11111111111111110110001111001001 C -b11011111111111101100010 0 -b11011111111111101100010 H -08 -19 -b1001101011000001011111000110111 < -b10111101011000000010000011111001 2 -b10111101011000000010000011111001 = -b10111101011000000010000011111001 : -b1101111111111110110001011000001 $ -b1101111111111110110001011000001 - -b1101111111111110110001011000001 5 -b1101111111111110110001011000001 ? -b1101111111111110110001011000001 D -b10110010100111110100000111001000 % -b10110010100111110100000111001000 . -b10110010100111110100000111001000 6 -b10110010100111110100000111001000 @ -b10110010100111110100000111001000 F -b11111111111111110110001111001001 ) -#195456000 -0& -#195472000 -b101111101110 , -#195488000 -1& -#195504000 -b11111111111110111111111011101111 " -b11111111111110111111111011101111 4 -b11111111111110111111111011101111 1 -b11111111111110111111111011101111 C -b11111011011100111 0 -b11111011011100111 H -09 -18 -b11100000000001000001001101010000 < -b11011011011101111100101000111000 2 -b11011011011101111100101000111000 = -b11011011011101111100101000111000 : -b11111011011100111011011011100111 $ -b11111011011100111011011011100111 - -b11111011011100111011011011100111 5 -b11111011011100111011011011100111 ? -b11111011011100111011011011100111 D -b11111111110111110110010101111 % -b11111111110111110110010101111 . -b11111111110111110110010101111 6 -b11111111110111110110010101111 @ -b11111111110111110110010101111 F -b11111111111110111111111011101111 ) -#195520000 -0& -#195536000 -b101111101111 , -#195552000 -1& -#195568000 -b11111111111111111111111111011000 " -b11111111111111111111111111011000 4 -b11111111111111111111111111011000 1 -b11111111111111111111111111011000 C -b1101111111111111 0 -b1101111111111111 H -b10010001100110100101101111 < -b11100010010001100110100011001000 2 -b11100010010001100110100011001000 = -b11100010010001100110100011001000 : -b11011111111111111111111101011000 $ -b11011111111111111111111101011000 - -b11011111111111111111111101011000 5 -b11011111111111111111111101011000 ? -b11011111111111111111111101011000 D -b11111101101110011001011010010000 % -b11111101101110011001011010010000 . -b11111101101110011001011010010000 6 -b11111101101110011001011010010000 @ -b11111101101110011001011010010000 F -b11111111111111111111111111011000 ) -#195584000 -0& -#195600000 -b101111110000 , -#195616000 -1& -#195632000 -b11011111111110111110011101101111 " -b11011111111110111110011101101111 4 -b11011111111110111110011101101111 1 -b11011111111110111110011101101111 C -b11011111111110010110 0 -b11011111111110010110 H -08 -b1111110100001010111111111010011 < -b1011110011111101110011100100011 2 -b1011110011111101110011100100011 = -b1011110011111101110011100100011 : -b11011111111110010110011101001111 $ -b11011111111110010110011101001111 - -b11011111111110010110011101001111 5 -b11011111111110010110011101001111 ? -b11011111111110010110011101001111 D -b10000001011110101000000000101100 % -b10000001011110101000000000101100 . -b10000001011110101000000000101100 6 -b10000001011110101000000000101100 @ -b10000001011110101000000000101100 F -b11011111111110111110011101101111 ) -#195648000 -0& -#195664000 -b101111110001 , -#195680000 -1& -#195696000 -b11111011111111110101110111011010 " -b11111011111111110101110111011010 4 -b11111011111111110101110111011010 1 -b11111011111111110101110111011010 C -b11111011 0 -b11111011 H -18 -b11000100011010101111101010100111 < -b11000000011010100101100000110010 2 -b11000000011010100101100000110010 = -b11000000011010100101100000110010 : -b11111011111111110101110110001010 $ -b11111011111111110101110110001010 - -b11111011111111110101110110001010 5 -b11111011111111110101110110001010 ? -b11111011111111110101110110001010 D -b111011100101010000010101011000 % -b111011100101010000010101011000 . -b111011100101010000010101011000 6 -b111011100101010000010101011000 @ -b111011100101010000010101011000 F -b11111011111111110101110111011010 ) -#195712000 -0& -#195728000 -b101111110010 , -#195744000 -1& -#195760000 -b11111111111111111011111011111011 " -b11111111111111111011111011111011 4 -b11111111111111111011111011111011 1 -b11111111111111111011111011111011 C -b11111 0 -b11111 H -08 -b100000111100001101000100 < -b11110110111110110000101 2 -b11110110111110110000101 = -b11110110111110110000101 : -b11111111111101111011101001000000 $ -b11111111111101111011101001000000 - -b11111111111101111011101001000000 5 -b11111111111101111011101001000000 ? -b11111111111101111011101001000000 D -b11111111011111000011110010111011 % -b11111111011111000011110010111011 . -b11111111011111000011110010111011 6 -b11111111011111000011110010111011 @ -b11111111011111000011110010111011 F -b11111111111111111011111011111011 ) -#195776000 -0& -#195792000 -b101111110011 , -#195808000 -1& -#195824000 -b1111111111111111101101101101101 " -b1111111111111111101101101101101 4 -b1111111111111111101101101101101 1 -b1111111111111111101101101101101 C -b100111111111011100 0 -b100111111111011100 H -18 -b10000000011000001010111110110010 < -b11010000010111000011101011011000 2 -b11010000010111000011101011011000 = -b11010000010111000011101011011000 : -b1001111111110111000101100100101 $ -b1001111111110111000101100100101 - -b1001111111110111000101100100101 5 -b1001111111110111000101100100101 ? -b1001111111110111000101100100101 D -b1111111100111110101000001001101 % -b1111111100111110101000001001101 . -b1111111100111110101000001001101 6 -b1111111100111110101000001001101 @ -b1111111100111110101000001001101 F -b1111111111111111101101101101101 ) -#195840000 -0& -#195856000 -b101111110100 , -#195872000 -1& -#195888000 -b11111111110111111101100111111101 " -b11111111110111111101100111111101 4 -b11111111110111111101100111111101 1 -b11111111110111111101100111111101 C -b1111111110111111001 0 -b1111111110111111001 H -19 -08 -b10001101001011111010110011 < -b10000010000101000101100010100101 2 -b10000010000101000101100010100101 = -b10000010000101000101100010100101 : -b1111111110111111001100111110001 $ -b1111111110111111001100111110001 - -b1111111110111111001100111110001 5 -b1111111110111111001100111110001 ? -b1111111110111111001100111110001 D -b11111101110010110100000101001100 % -b11111101110010110100000101001100 . -b11111101110010110100000101001100 6 -b11111101110010110100000101001100 @ -b11111101110010110100000101001100 F -b11111111110111111101100111111101 ) -#195904000 -0& -#195920000 -b101111110101 , -#195936000 -1& -#195952000 -b11111111111111111101111101011101 " -b11111111111111111101111101011101 4 -b11111111111111111101111101011101 1 -b11111111111111111101111101011101 C -b1111111101111110100 0 -b1111111101111110100 H -09 -08 -b1000011000000001010000110110010 < -b1000010011111110010101011000111 2 -b1000010011111110010101011000111 = -b1000010011111110010101011000111 : -b11111111011111101000100100010100 $ -b11111111011111101000100100010100 - -b11111111011111101000100100010100 5 -b11111111011111101000100100010100 ? -b11111111011111101000100100010100 D -b10111100111111110101111001001101 % -b10111100111111110101111001001101 . -b10111100111111110101111001001101 6 -b10111100111111110101111001001101 @ -b10111100111111110101111001001101 F -b11111111111111111101111101011101 ) -#195968000 -0& -#195984000 -b101111110110 , -#196000000 -1& -#196016000 -b11111111110111110101111100001111 " -b11111111110111110101111100001111 4 -b11111111110111110101111100001111 1 -b11111111110111110101111100001111 C -b1111101110111000 0 -b1111101110111000 H -19 -08 -b1010000101000001110000011110000 < -b11001110011111010011101011111111 2 -b11001110011111010011101011111111 = -b11001110011111010011101011111111 : -b1111101110111000101101000001110 $ -b1111101110111000101101000001110 - -b1111101110111000101101000001110 5 -b1111101110111000101101000001110 ? -b1111101110111000101101000001110 D -b10101111010111110001111100001111 % -b10101111010111110001111100001111 . -b10101111010111110001111100001111 6 -b10101111010111110001111100001111 @ -b10101111010111110001111100001111 F -b11111111110111110101111100001111 ) -#196032000 -0& -#196048000 -b101111110111 , -#196064000 -1& -#196080000 -b11111011100111110110111111011111 " -b11111011100111110110111111011111 4 -b11111011100111110110111111011111 1 -b11111011100111110110111111011111 C -b111010100000010110 0 -b111010100000010110 H -09 -08 -b101100111000001001100000110011 < -b1100111011000100000010010001011 2 -b1100111011000100000010010001011 = -b1100111011000100000010010001011 : -b111010100000010110110001010111 $ -b111010100000010110110001010111 - -b111010100000010110110001010111 5 -b111010100000010110110001010111 ? -b111010100000010110110001010111 D -b11010011000111110110011111001100 % -b11010011000111110110011111001100 . -b11010011000111110110011111001100 6 -b11010011000111110110011111001100 @ -b11010011000111110110011111001100 F -b11111011100111110110111111011111 ) -#196096000 -0& -#196112000 -b101111111000 , -#196128000 -1& -#196144000 -b10111111111111110110111111111111 " -b10111111111111110110111111111111 4 -b10111111111111110110111111111111 1 -b10111111111111110110111111111111 C -b10111100111001110 0 -b10111100111001110 H -18 -b11100100011001011001000111010000 < -b10100001010011001101100110101010 2 -b10100001010011001101100110101010 = -b10100001010011001101100110101010 : -b10111100111001110100011111011001 $ -b10111100111001110100011111011001 - -b10111100111001110100011111011001 5 -b10111100111001110100011111011001 ? -b10111100111001110100011111011001 D -b11011100110100110111000101111 % -b11011100110100110111000101111 . -b11011100110100110111000101111 6 -b11011100110100110111000101111 @ -b11011100110100110111000101111 F -b10111111111111110110111111111111 ) -#196160000 -0& -#196176000 -b101111111001 , -#196192000 -1& -#196208000 -b11111111111111111001111110110110 " -b11111111111111111001111110110110 4 -b11111111111111111001111110110110 1 -b11111111111111111001111110110110 C -b1101111110 0 -b1101111110 H -b110000000100110100001101001 < -b11100101110000010111001100011010 2 -b11100101110000010111001100011010 = -b11100101110000010111001100011010 : -b11011111101111110000101010110000 $ -b11011111101111110000101010110000 - -b11011111101111110000101010110000 5 -b11011111101111110000101010110000 ? -b11011111101111110000101010110000 D -b11111001111111011001011110010110 % -b11111001111111011001011110010110 . -b11111001111111011001011110010110 6 -b11111001111111011001011110010110 @ -b11111001111111011001011110010110 F -b11111111111111111001111110110110 ) -#196224000 -0& -#196240000 -b101111111010 , -#196256000 -1& -#196272000 -b1111111111110110111111101110010 " -b1111111111110110111111101110010 4 -b1111111111110110111111101110010 1 -b1111111111110110111111101110010 C -b111111111100011 0 -b111111111100011 H -08 -b10000001011001001100010011101111 < -b1010010000001101101010010 2 -b1010010000001101101010010 = -b1010010000001101101010010 : -b1111111111000110101011001100010 $ -b1111111111000110101011001100010 - -b1111111111000110101011001100010 5 -b1111111111000110101011001100010 ? -b1111111111000110101011001100010 D -b1111110100110110011101100010000 % -b1111110100110110011101100010000 . -b1111110100110110011101100010000 6 -b1111110100110110011101100010000 @ -b1111110100110110011101100010000 F -b1111111111110110111111101110010 ) -#196288000 -0& -#196304000 -b101111111011 , -#196320000 -1& -#196336000 -b10111111111111111010110111011101 " -b10111111111111111010110111011101 4 -b10111111111111111010110111011101 1 -b10111111111111111010110111011101 C -b10111111100111110010 0 -b10111111100111110010 H -18 -b11000001000011100111011100110011 < -b10000000101011011010010010001101 2 -b10000000101011011010010010001101 = -b10000000101011011010010010001101 : -b10111111100111110010110101011001 $ -b10111111100111110010110101011001 - -b10111111100111110010110101011001 5 -b10111111100111110010110101011001 ? -b10111111100111110010110101011001 D -b111110111100011000100011001100 % -b111110111100011000100011001100 . -b111110111100011000100011001100 6 -b111110111100011000100011001100 @ -b111110111100011000100011001100 F -b10111111111111111010110111011101 ) -#196352000 -0& -#196368000 -b101111111100 , -#196384000 -1& -#196400000 -b11101111111111111111101111111111 " -b11101111111111111111101111111111 4 -b11101111111111111111101111111111 1 -b11101111111111111111101111111111 C -b1 0 -b1 H -08 -b10000000000000000111001000001 < -b1111010010111110110100100001101 2 -b1111010010111110110100100001101 = -b1111010010111110110100100001101 : -b1101010010111110101101011001011 $ -b1101010010111110101101011001011 - -b1101010010111110101101011001011 5 -b1101010010111110101101011001011 ? -b1101010010111110101101011001011 D -b11101111111111111111000110111110 % -b11101111111111111111000110111110 . -b11101111111111111111000110111110 6 -b11101111111111111111000110111110 @ -b11101111111111111111000110111110 F -b11101111111111111111101111111111 ) -#196416000 -0& -#196432000 -b101111111101 , -#196448000 -1& -#196464000 -b11111111111111111111111111101110 " -b11111111111111111111111111101110 4 -b11111111111111111111111111101110 1 -b11111111111111111111111111101110 C -b11111110111011010101001 0 -b11111110111011010101001 H -19 -08 -b1111000000000101010100111010111 < -b11110111011110010101001111000110 2 -b11110111011110010101001111000110 = -b11110111011110010101001111000110 : -b1111111011101101010100111101110 $ -b1111111011101101010100111101110 - -b1111111011101101010100111101110 5 -b1111111011101101010100111101110 ? -b1111111011101101010100111101110 D -b10000111111111010101011000101000 % -b10000111111111010101011000101000 . -b10000111111111010101011000101000 6 -b10000111111111010101011000101000 @ -b10000111111111010101011000101000 F -b11111111111111111111111111101110 ) -#196480000 -0& -#196496000 -b101111111110 , -#196512000 -1& -#196528000 -b1111111111111110111011010111111 " -b1111111111111110111011010111111 4 -b1111111111111110111011010111111 1 -b1111111111111110111011010111111 C -b0 0 -b0 H -18 -09 -b10000000000010001000111101000000 < -b11111111100000001110010101001010 2 -b11111111100000001110010101001010 = -b11111111100000001110010101001010 : -b1111111011110000101011000001001 $ -b1111111011110000101011000001001 - -b1111111011110000101011000001001 5 -b1111111011110000101011000001001 ? -b1111111011110000101011000001001 D -b1111111111101110111000010111111 % -b1111111111101110111000010111111 . -b1111111111101110111000010111111 6 -b1111111111101110111000010111111 @ -b1111111111101110111000010111111 F -b1111111111111110111011010111111 ) -#196544000 -0& -#196560000 -b101111111111 , -#196576000 -1& -#196592000 -b11110111011110010011101111111110 " -b11110111011110010011101111111110 4 -b11110111011110010011101111111110 1 -b11110111011110010011101111111110 C -b1 0 -b1 H -08 -b1000100011101110010111100001 < -b1101101000001110010000111100000 2 -b1101101000001110010000111100000 = -b1101101000001110010000111100000 : -b1100100011110000011101111111110 $ -b1100100011110000011101111111110 - -b1100100011110000011101111111110 5 -b1100100011110000011101111111110 ? -b1100100011110000011101111111110 D -b11110111011100010001101000011110 % -b11110111011100010001101000011110 . -b11110111011100010001101000011110 6 -b11110111011100010001101000011110 @ -b11110111011100010001101000011110 F -b11110111011110010011101111111110 ) -#196608000 -0& -#196624000 -b110000000000 , -#196640000 -1& -#196656000 -b11111011111111011111111111011011 " -b11111011111111011111111111011011 4 -b11111011111111011111111111011011 1 -b11111011111111011111111111011011 C -b1100001111101101 0 -b1100001111101101 H -19 -18 -b10000101000010101010011111101111 < -b1001000111110001010011110111011 2 -b1001000111110001010011110111011 = -b1001000111110001010011110111011 : -b11000011111011011111111111001011 $ -b11000011111011011111111111001011 - -b11000011111011011111111111001011 5 -b11000011111011011111111111001011 ? -b11000011111011011111111111001011 D -b1111010111101010101100000010000 % -b1111010111101010101100000010000 . -b1111010111101010101100000010000 6 -b1111010111101010101100000010000 @ -b1111010111101010101100000010000 F -b11111011111111011111111111011011 ) -#196672000 -0& -#196688000 -b110000000001 , -#196704000 -1& -#196720000 -b11111011111111111011011010111101 " -b11111011111111111011011010111101 4 -b11111011111111111011011010111101 1 -b11111011111111111011011010111101 C -b1100101 0 -b1100101 H -09 -18 -b11001101000000000110101111100110 < -b10011000111111111001001010001100 2 -b10011000111111111001001010001100 = -b10011000111111111001001010001100 : -b11001011111111110010011010100101 $ -b11001011111111110010011010100101 - -b11001011111111110010011010100101 5 -b11001011111111110010011010100101 ? -b11001011111111110010011010100101 D -b110010111111111001010000011001 % -b110010111111111001010000011001 . -b110010111111111001010000011001 6 -b110010111111111001010000011001 @ -b110010111111111001010000011001 F -b11111011111111111011011010111101 ) -#196736000 -0& -#196752000 -b110000000010 , -#196768000 -1& -#196784000 -b11111111111111111110011010011011 " -b11111111111111111110011010011011 4 -b11111111111111111110011010011011 1 -b11111111111111111110011010011011 C -b111111111110101001 0 -b111111111110101001 H -08 -09 -b1100101110101 < -b1111111111101100000000001001 2 -b1111111111101100000000001001 = -b1111111111101100000000001001 : -b1111111111101010011010010011 $ -b1111111111101010011010010011 - -b1111111111101010011010010011 5 -b1111111111101010011010010011 ? -b1111111111101010011010010011 D -b11111111111111111110011010001010 % -b11111111111111111110011010001010 . -b11111111111111111110011010001010 6 -b11111111111111111110011010001010 @ -b11111111111111111110011010001010 F -b11111111111111111110011010011011 ) -#196800000 -0& -#196816000 -b110000000011 , -#196832000 -1& -#196848000 -b1111111111111111111111100111111 " -b1111111111111111111111100111111 4 -b1111111111111111111111100111111 1 -b1111111111111111111111100111111 C -b1 0 -b1 H -b10001100000000001010010111100001 < -b1011011110000110010100000111 2 -b1011011110000110010100000111 = -b1011011110000110010100000111 : -b1111111011101111011111100100101 $ -b1111111011101111011111100100101 - -b1111111011101111011111100100101 5 -b1111111011101111011111100100101 ? -b1111111011101111011111100100101 D -b1110011111111110101101000011110 % -b1110011111111110101101000011110 . -b1110011111111110101101000011110 6 -b1110011111111110101101000011110 @ -b1110011111111110101101000011110 F -b1111111111111111111111100111111 ) -#196864000 -0& -#196880000 -b110000000100 , -#196896000 -1& -#196912000 -b11101111111011111011111101111111 " -b11101111111011111011111101111111 4 -b11101111111011111011111101111111 1 -b11101111111011111011111101111111 C -b111010 0 -b111010 H -18 -b10000000100110110001010100101 < -b11111001000000101010000011100101 2 -b11111001000000101010000011100101 = -b11111001000000101010000011100101 : -b11101000111011110011111000111111 $ -b11101000111011110011111000111111 - -b11101000111011110011111000111111 5 -b11101000111011110011111000111111 ? -b11101000111011110011111000111111 D -b11101111111011001001110101011010 % -b11101111111011001001110101011010 . -b11101111111011001001110101011010 6 -b11101111111011001001110101011010 @ -b11101111111011001001110101011010 F -b11101111111011111011111101111111 ) -#196928000 -0& -#196944000 -b110000000101 , -#196960000 -1& -#196976000 -b11011101111111011111111101010111 " -b11011101111111011111111101010111 4 -b11011101111111011111111101010111 1 -b11011101111111011111111101010111 C -b1001110111 0 -b1001110111 H -19 -18 -b10110010000100100001010011101001 < -b1010000000010110101001100111111 2 -b1010000000010110101001100111111 = -b1010000000010110101001100111111 : -b10011101111110010011111001010101 $ -b10011101111110010011111001010101 - -b10011101111110010011111001010101 5 -b10011101111110010011111001010101 ? -b10011101111110010011111001010101 D -b1001101111011011110101100010110 % -b1001101111011011110101100010110 . -b1001101111011011110101100010110 6 -b1001101111011011110101100010110 @ -b1001101111011011110101100010110 F -b11011101111111011111111101010111 ) -#196992000 -0& -#197008000 -b110000000110 , -#197024000 -1& -#197040000 -b11111110111111111111101111111110 " -b11111110111111111111101111111110 4 -b11111110111111111111101111111110 1 -b11111110111111111111101111111110 C -b1111111001101111 0 -b1111111001101111 H -09 -18 -b1001001000000010011001111 < -b11111111100100110110110110011110 2 -b11111111100100110110110110011110 = -b11111111100100110110110110011110 : -b11111110011011110110100011001110 $ -b11111110011011110110100011001110 - -b11111110011011110110100011001110 5 -b11111110011011110110100011001110 ? -b11111110011011110110100011001110 D -b11111110110110111111101100110000 % -b11111110110110111111101100110000 . -b11111110110110111111101100110000 6 -b11111110110110111111101100110000 @ -b11111110110110111111101100110000 F -b11111110111111111111101111111110 ) -#197056000 -0& -#197072000 -b110000000111 , -#197088000 -1& -#197104000 -b11111111111111111101111111111111 " -b11111111111111111101111111111111 4 -b11111111111111111101111111111111 1 -b11111111111111111101111111111111 C -b1111110110 0 -b1111110110 H -08 -b10111000000001010010001101011 < -b1010110011011110010101011010111 2 -b1010110011011110010101011010111 = -b1010110011011110010101011010111 : -b111111011011101000011001101011 $ -b111111011011101000011001101011 - -b111111011011101000011001101011 5 -b111111011011101000011001101011 ? -b111111011011101000011001101011 D -b11101000111111110101101110010100 % -b11101000111111110101101110010100 . -b11101000111111110101101110010100 6 -b11101000111111110101101110010100 @ -b11101000111111110101101110010100 F -b11111111111111111101111111111111 ) -#197120000 -0& -#197136000 -b110000001000 , -#197152000 -1& -#197168000 -b11110111100111111111010111111111 " -b11110111100111111111010111111111 4 -b11110111100111111111010111111111 1 -b11110111100111111111010111111111 C -b11000111000 0 -b11000111000 H -18 -b1000011000000001111001001010 < -b11001111011101110011010000110101 2 -b11001111011101110011010000110101 = -b11001111011101110011010000110101 : -b11000111000101110001010111101010 $ -b11000111000101110001010111101010 - -b11000111000101110001010111101010 5 -b11000111000101110001010111101010 ? -b11000111000101110001010111101010 D -b11110111100111111110000110110101 % -b11110111100111111110000110110101 . -b11110111100111111110000110110101 6 -b11110111100111111110000110110101 @ -b11110111100111111110000110110101 F -b11110111100111111111010111111111 ) -#197184000 -0& -#197200000 -b110000001001 , -#197216000 -1& -#197232000 -b1111111011111111001111111101011 " -b1111111011111111001111111101011 4 -b1111111011111111001111111101011 1 -b1111111011111111001111111101011 C -b11111110011111110010 0 -b11111110011111110010 H -b10000000101000010111011001110100 < -b11111111111000010000110011011111 2 -b11111111111000010000110011011111 = -b11111111111000010000110011011111 : -b1111111001111111001011001101010 $ -b1111111001111111001011001101010 - -b1111111001111111001011001101010 5 -b1111111001111111001011001101010 ? -b1111111001111111001011001101010 D -b1111111010111101000100110001011 % -b1111111010111101000100110001011 . -b1111111010111101000100110001011 6 -b1111111010111101000100110001011 @ -b1111111010111101000100110001011 F -b1111111011111111001111111101011 ) -#197248000 -0& -#197264000 -b110000001010 , -#197280000 -1& -#197296000 -b1111111111111111110011111111111 " -b1111111111111111110011111111111 4 -b1111111111111111110011111111111 1 -b1111111111111111110011111111111 C -b0 0 -b0 H -b10010100100000000111100100000001 < -b11001010010111111101101011001001 2 -b11001010010111111101101011001001 = -b11001010010111111101101011001001 : -b110101110111110110000111000111 $ -b110101110111110110000111000111 - -b110101110111110110000111000111 5 -b110101110111110110000111000111 ? -b110101110111110110000111000111 D -b1101011011111111000011011111110 % -b1101011011111111000011011111110 . -b1101011011111111000011011111110 6 -b1101011011111111000011011111110 @ -b1101011011111111000011011111110 F -b1111111111111111110011111111111 ) -#197312000 -0& -#197328000 -b110000001011 , -#197344000 -1& -#197360000 -b11111111111111110110001110010011 " -b11111111111111110110001110010011 4 -b11111111111111110110001110010011 1 -b11111111111111110110001110010011 C -b11111111111111 0 -b11111111111111 H -08 -b100101000001001110101101101 < -b100100111111011111011111111 2 -b100100111111011111011111111 = -b100100111111011111011111111 : -b11111111111111110010000110010001 $ -b11111111111111110010000110010001 - -b11111111111111110010000110010001 5 -b11111111111111110010000110010001 ? -b11111111111111110010000110010001 D -b11111011010111110110001010010010 % -b11111011010111110110001010010010 . -b11111011010111110110001010010010 6 -b11111011010111110110001010010010 @ -b11111011010111110110001010010010 F -b11111111111111110110001110010011 ) -#197376000 -0& -#197392000 -b110000001100 , -#197408000 -1& -#197424000 -b11111110111111110101110110101101 " -b11111110111111110101110110101101 4 -b11111110111111110101110110101101 1 -b11111110111111110101110110101101 C -b1100110011111111010101011010 0 -b1100110011111111010101011010 H -18 -b1001001010101110011001011011 < -b11010110001010100011110000001001 2 -b11010110001010100011110000001001 = -b11010110001010100011110000001001 : -b11001100111111110101010110101101 $ -b11001100111111110101010110101101 - -b11001100111111110101010110101101 5 -b11001100111111110101010110101101 ? -b11001100111111110101010110101101 D -b11110110110101010001100110100100 % -b11110110110101010001100110100100 . -b11110110110101010001100110100100 6 -b11110110110101010001100110100100 @ -b11110110110101010001100110100100 F -b11111110111111110101110110101101 ) -#197440000 -0& -#197456000 -b110000001101 , -#197472000 -1& -#197488000 -b11111111111111111111001111100110 " -b11111111111111111111001111100110 4 -b11111111111111111111001111100110 1 -b11111111111111111111001111100110 C -b101011110110111110110001011001 0 -b101011110110111110110001011001 H -b1010010000110000011101 < -b10101111100110001011110110000100 2 -b10101111100110001011110110000100 = -b10101111100110001011110110000100 : -b10101111011011111011000101100110 $ -b10101111011011111011000101100110 - -b10101111011011111011000101100110 5 -b10101111011011111011000101100110 ? -b10101111011011111011000101100110 D -b11111111110101101111001111100010 % -b11111111110101101111001111100010 . -b11111111110101101111001111100010 6 -b11111111110101101111001111100010 @ -b11111111110101101111001111100010 F -b11111111111111111111001111100110 ) -#197504000 -0& -#197520000 -b110000001110 , -#197536000 -1& -#197552000 -b11111111111111111100111111111011 " -b11111111111111111100111111111011 4 -b11111111111111111100111111111011 1 -b11111111111111111100111111111011 C -b1111111 0 -b1111111 H -08 -b10000000100001011000110100110 < -b1111000011000111001101010010 2 -b1111000011000111001101010010 = -b1111000011000111001101010010 : -b11111110111110111100000110101011 $ -b11111110111110111100000110101011 - -b11111110111110111100000110101011 5 -b11111110111110111100000110101011 ? -b11111110111110111100000110101011 D -b11101111111011110100111001011001 % -b11101111111011110100111001011001 . -b11101111111011110100111001011001 6 -b11101111111011110100111001011001 @ -b11101111111011110100111001011001 F -b11111111111111111100111111111011 ) -#197568000 -0& -#197584000 -b110000001111 , -#197600000 -1& -#197616000 -b11111111111111110011111111011111 " -b11111111111111110011111111011111 4 -b11111111111111110011111111011111 1 -b11111111111111110011111111011111 C -b11011111111 0 -b11011111111 H -18 -b10000001001100101010101010 < -b11100001111110100000010101110110 2 -b11100001111110100000010101110110 = -b11100001111110100000010101110110 : -b11011111111101010011101011001011 $ -b11011111111101010011101011001011 - -b11011111111101010011101011001011 5 -b11011111111101010011101011001011 ? -b11011111111101010011101011001011 D -b11111101111110110011010101010101 % -b11111101111110110011010101010101 . -b11111101111110110011010101010101 6 -b11111101111110110011010101010101 @ -b11111101111110110011010101010101 F -b11111111111111110011111111011111 ) -#197632000 -0& -#197648000 -b110000010000 , -#197664000 -1& -#197680000 -b11111111111011110111111111110111 " -b11111111111011110111111111110111 4 -b11111111111011110111111111110111 1 -b11111111111011110111111111110111 C -b11111111000111 0 -b11111111000111 H -08 -19 -b1010000110001010001001001110 < -b10001001101001111101010100100110 2 -b10001001101001111101010100100110 = -b10001001101001111101010100100110 : -b1111111100011110011001011010111 $ -b1111111100011110011001011010111 - -b1111111100011110011001011010111 5 -b1111111100011110011001011010111 ? -b1111111100011110011001011010111 D -b11110101111001110101110110110001 % -b11110101111001110101110110110001 . -b11110101111001110101110110110001 6 -b11110101111001110101110110110001 @ -b11110101111001110101110110110001 F -b11111111111011110111111111110111 ) -#197696000 -0& -#197712000 -b110000010001 , -#197728000 -1& -#197744000 -b11111011111111111001110110101111 " -b11111011111111111001110110101111 4 -b11111011111111111001110110101111 1 -b11111011111111111001110110101111 C -b1111011111111011 0 -b1111011111111011 H -b1010100000001001110101001010000 < -b11010000000000101000011011110100 2 -b11010000000000101000011011110100 = -b11010000000000101000011011110100 : -b1111011111111011001110010100011 $ -b1111011111111011001110010100011 - -b1111011111111011001110010100011 5 -b1111011111111011001110010100011 ? -b1111011111111011001110010100011 D -b10101011111110110001010110101111 % -b10101011111110110001010110101111 . -b10101011111110110001010110101111 6 -b10101011111110110001010110101111 @ -b10101011111110110001010110101111 F -b11111011111111111001110110101111 ) -#197760000 -0& -#197776000 -b110000010010 , -#197792000 -1& -#197808000 -b11111111111111111111010110000111 " -b11111111111111111111010110000111 4 -b11111111111111111111010110000111 1 -b11111111111111111111010110000111 C -b10111101110110011111010110 0 -b10111101110110011111010110 H -09 -18 -b10001000111011111001 < -b10111101111000101000010001111011 2 -b10111101111000101000010001111011 = -b10111101111000101000010001111011 : -b10111101110110011111010110000001 $ -b10111101110110011111010110000001 - -b10111101110110011111010110000001 5 -b10111101110110011111010110000001 ? -b10111101110110011111010110000001 D -b11111111111101110111000100000110 % -b11111111111101110111000100000110 . -b11111111111101110111000100000110 6 -b11111111111101110111000100000110 @ -b11111111111101110111000100000110 F -b11111111111111111111010110000111 ) -#197824000 -0& -#197840000 -b110000010011 , -#197856000 -1& -#197872000 -b1111111111111111001000111011110 " -b1111111111111111001000111011110 4 -b1111111111111111001000111011110 1 -b1111111111111111001000111011110 C -b110111111111011100100 0 -b110111111111011100100 H -08 -b11100000101100001111111000110101 < -b1010000101011001000111011010010 2 -b1010000101011001000111011010010 = -b1010000101011001000111011010010 : -b1101111111110111001000010011100 $ -b1101111111110111001000010011100 - -b1101111111110111001000010011100 5 -b1101111111110111001000010011100 ? -b1101111111110111001000010011100 D -b11111010011110000000111001010 % -b11111010011110000000111001010 . -b11111010011110000000111001010 6 -b11111010011110000000111001010 @ -b11111010011110000000111001010 F -b1111111111111111001000111011110 ) -#197888000 -0& -#197904000 -b110000010100 , -#197920000 -1& -#197936000 -b11111011011111010110100010011011 " -b11111011011111010110100010011011 4 -b11111011011111010110100010011011 1 -b11111011011111010110100010011011 C -b1110101000111 0 -b1110101000111 H -18 -b10100100100000101101111101101100 < -b10001110110000000010011110001000 2 -b10001110110000000010011110001000 = -b10001110110000000010011110001000 : -b11101010001111010100100000011011 $ -b11101010001111010100100000011011 - -b11101010001111010100100000011011 5 -b11101010001111010100100000011011 ? -b11101010001111010100100000011011 D -b1011011011111010010000010010011 % -b1011011011111010010000010010011 . -b1011011011111010010000010010011 6 -b1011011011111010010000010010011 @ -b1011011011111010010000010010011 F -b11111011011111010110100010011011 ) -#197952000 -0& -#197968000 -b110000010101 , -#197984000 -1& -#198000000 -b10111111111111111111111110101111 " -b10111111111111111111111110101111 4 -b10111111111111111111111110101111 1 -b10111111111111111111111110101111 C -b10111101111101101 0 -b10111101111101101 H -09 -18 -b11001000000000101100111001110000 < -b10000101111110011100110110011111 2 -b10000101111110011100110110011111 = -b10000101111110011100110110011111 : -b10111101111101101111111100101110 $ -b10111101111101101111111100101110 - -b10111101111101101111111100101110 5 -b10111101111101101111111100101110 ? -b10111101111101101111111100101110 D -b110111111111010011000110001111 % -b110111111111010011000110001111 . -b110111111111010011000110001111 6 -b110111111111010011000110001111 @ -b110111111111010011000110001111 F -b10111111111111111111111110101111 ) -#198016000 -0& -#198032000 -b110000010110 , -#198048000 -1& -#198064000 -b11111111111111100101111111111111 " -b11111111111111100101111111111111 4 -b11111111111111100101111111111111 1 -b11111111111111100101111111111111 C -b10111111111 0 -b10111111111 H -19 -18 -b10000010100000011010000100001010 < -b1000010011011111111111000101001 2 -b1000010011011111111111000101001 = -b1000010011011111111111000101001 : -b10111111111011100101110100011110 $ -b10111111111011100101110100011110 - -b10111111111011100101110100011110 5 -b10111111111011100101110100011110 ? -b10111111111011100101110100011110 D -b1111101011111100101111011110101 % -b1111101011111100101111011110101 . -b1111101011111100101111011110101 6 -b1111101011111100101111011110101 @ -b1111101011111100101111011110101 F -b11111111111111100101111111111111 ) -#198080000 -0& -#198096000 -b110000010111 , -#198112000 -1& -#198128000 -b11111111111111111111011110111111 " -b11111111111111111111011110111111 4 -b11111111111111111111011110111111 1 -b11111111111111111111011110111111 C -b1110 0 -b1110 H -09 -08 -b110000010000001111011000100 < -b1111101000001111111011001010011 2 -b1111101000001111111011001010011 = -b1111101000001111111011001010011 : -b1110110111111111101011110001110 $ -b1110110111111111101011110001110 - -b1110110111111111101011110001110 5 -b1110110111111111101011110001110 ? -b1110110111111111101011110001110 D -b11111001111101111110000100111011 % -b11111001111101111110000100111011 . -b11111001111101111110000100111011 6 -b11111001111101111110000100111011 @ -b11111001111101111110000100111011 F -b11111111111111111111011110111111 ) -#198144000 -0& -#198160000 -b110000011000 , -#198176000 -1& -#198192000 -b11111111111111111111101101111011 " -b11111111111111111111101101111011 4 -b11111111111111111111101101111011 1 -b11111111111111111111101101111011 C -b1110001111111011100 0 -b1110001111111011100 H -b1000000010100011110110010110 < -b10110010010011111011011110001 2 -b10110010010011111011011110001 = -b10110010010011111011011110001 : -b1110001111111011100101011010 $ -b1110001111111011100101011010 - -b1110001111111011100101011010 5 -b1110001111111011100101011010 ? -b1110001111111011100101011010 D -b11110111111101011100001001101001 % -b11110111111101011100001001101001 . -b11110111111101011100001001101001 6 -b11110111111101011100001001101001 @ -b11110111111101011100001001101001 F -b11111111111111111111101101111011 ) -#198208000 -0& -#198224000 -b110000011001 , -#198240000 -1& -#198256000 -b11111111111111110001011011000111 " -b11111111111111110001011011000111 4 -b11111111111111110001011011000111 1 -b11111111111111110001011011000111 C -b1011111111111011000101001000 0 -b1011111111111011000101001000 H -18 -b100100001000101111110100111011 < -b11100100000111100001000111000011 2 -b11100100000111100001000111000011 = -b11100100000111100001000111000011 : -b10111111111110110001010010000111 $ -b10111111111110110001010010000111 - -b10111111111110110001010010000111 5 -b10111111111110110001010010000111 ? -b10111111111110110001010010000111 D -b11011011110111010000001011000100 % -b11011011110111010000001011000100 . -b11011011110111010000001011000100 6 -b11011011110111010000001011000100 @ -b11011011110111010000001011000100 F -b11111111111111110001011011000111 ) -#198272000 -0& -#198288000 -b110000011010 , -#198304000 -1& -#198320000 -b10111111111111111111110100011111 " -b10111111111111111111110100011111 4 -b10111111111111111111110100011111 1 -b10111111111111111111110100011111 C -b1 0 -b1 H -b1001000000000001001011111100000 < -b11101000000000000100110011111110 2 -b11101000000000000100110011111110 = -b11101000000000000100110011111110 : -b10011111111111111011010100011101 $ -b10011111111111111011010100011101 - -b10011111111111111011010100011101 5 -b10011111111111111011010100011101 ? -b10011111111111111011010100011101 D -b10110111111111110110100000011111 % -b10110111111111110110100000011111 . -b10110111111111110110100000011111 6 -b10110111111111110110100000011111 @ -b10110111111111110110100000011111 F -b10111111111111111111110100011111 ) -#198336000 -0& -#198352000 -b110000011011 , -#198368000 -1& -#198384000 -b11111111111111111101111011111001 " -b11111111111111111101111011111001 4 -b11111111111111111101111011111001 1 -b11111111111111111101111011111001 C -b1111111 0 -b1111111 H -18 -b11010001010010000010000110000110 < -b11010000101100110011010000111111 2 -b11010000101100110011010000111111 = -b11010000101100110011010000111111 : -b11111111011010110001001010111000 $ -b11111111011010110001001010111000 - -b11111111011010110001001010111000 5 -b11111111011010110001001010111000 ? -b11111111011010110001001010111000 D -b101110101101111101111001111001 % -b101110101101111101111001111001 . -b101110101101111101111001111001 6 -b101110101101111101111001111001 @ -b101110101101111101111001111001 F -b11111111111111111101111011111001 ) -#198400000 -0& -#198416000 -b110000011100 , -#198432000 -1& -#198448000 -b11111111111111111011111101010101 " -b11111111111111111011111101010101 4 -b11111111111111111011111101010101 1 -b11111111111111111011111101010101 C -b111110111100110110101011010 0 -b111110111100110110101011010 H -b11001000100000001100000010111010 < -b11000100010011100110110000001111 2 -b11000100010011100110110000001111 = -b11000100010011100110110000001111 : -b11111011110011011010101101010100 $ -b11111011110011011010101101010100 - -b11111011110011011010101101010100 5 -b11111011110011011010101101010100 ? -b11111011110011011010101101010100 D -b110111011111110011111101000101 % -b110111011111110011111101000101 . -b110111011111110011111101000101 6 -b110111011111110011111101000101 @ -b110111011111110011111101000101 F -b11111111111111111011111101010101 ) -#198464000 -0& -#198480000 -b110000011101 , -#198496000 -1& -#198512000 -b11110111101111111011111101010100 " -b11110111101111111011111101010100 4 -b11110111101111111011111101010100 1 -b11110111101111111011111101010100 C -b111101110000 0 -b111101110000 H -08 -b1011100010001000110100010101011 < -b1010011010100111001001011000000 2 -b1010011010100111001001011000000 = -b1010011010100111001001011000000 : -b11110111000011110010101000010100 $ -b11110111000011110010101000010100 - -b11110111000011110010101000010100 5 -b11110111000011110010101000010100 ? -b11110111000011110010101000010100 D -b10100011101110111001011101010100 % -b10100011101110111001011101010100 . -b10100011101110111001011101010100 6 -b10100011101110111001011101010100 @ -b10100011101110111001011101010100 F -b11110111101111111011111101010100 ) -#198528000 -0& -#198544000 -b110000011110 , -#198560000 -1& -#198576000 -b10011111011111111111011111100101 " -b10011111011111111111011111100101 4 -b10011111011111111111011111100101 1 -b10011111011111111111011111100101 C -b1111101111101110101111100010 0 -b1111101111101110101111100010 H -19 -08 -b1110011100101001001111000011110 < -b10010011000100100111010111100011 2 -b10010011000100100111010111100011 = -b10010011000100100111010111100011 : -b11111011111011101011111000100 $ -b11111011111011101011111000100 - -b11111011111011101011111000100 5 -b11111011111011101011111000100 ? -b11111011111011101011111000100 D -b10001100011010110110000111100001 % -b10001100011010110110000111100001 . -b10001100011010110110000111100001 6 -b10001100011010110110000111100001 @ -b10001100011010110110000111100001 F -b10011111011111111111011111100101 ) -#198592000 -0& -#198608000 -b110000011111 , -#198624000 -1& -#198640000 -b10110111111111111010111111110110 " -b10110111111111111010111111110110 4 -b10110111111111111010111111110110 1 -b10110111111111111010111111110110 C -b11011111010011 0 -b11011111010011 H -b1011100010000000111000100001111 < -b10010100000101000001011011100110 2 -b10010100000101000001011011100110 = -b10010100000101000001011011100110 : -b110111110100111010010111010110 $ -b110111110100111010010111010110 - -b110111110100111010010111010110 5 -b110111110100111010010111010110 ? -b110111110100111010010111010110 D -b10100011101111111000111011110000 % -b10100011101111111000111011110000 . -b10100011101111111000111011110000 6 -b10100011101111111000111011110000 @ -b10100011101111111000111011110000 F -b10110111111111111010111111110110 ) -#198656000 -0& -#198672000 -b110000100000 , -#198688000 -1& -#198704000 -b11111111100111111101011111111111 " -b11111111100111111101011111111111 4 -b11111111100111111101011111111111 1 -b11111111100111111101011111111111 C -b11 0 -b11 H -09 -08 -b100011000010110100100000010 < -b1101011111111110010111011101110 2 -b1101011111111110010111011101110 = -b1101011111111110010111011101110 : -b1100111100111011100010111101011 $ -b1100111100111011100010111101011 - -b1100111100111011100010111101011 5 -b1100111100111011100010111101011 ? -b1100111100111011100010111101011 D -b11111011100111101001011011111101 % -b11111011100111101001011011111101 . -b11111011100111101001011011111101 6 -b11111011100111101001011011111101 @ -b11111011100111101001011011111101 F -b11111111100111111101011111111111 ) -#198720000 -0& -#198736000 -b110000100001 , -#198752000 -1& -#198768000 -b11111111111111110101111111111111 " -b11111111111111110101111111111111 4 -b11111111111111110101111111111111 1 -b11111111111111110101111111111111 C -b1 0 -b1 H -08 -b1000000000000001010000000000000 < -b110101111111111010001100011011 2 -b110101111111111010001100011011 = -b110101111111111010001100011011 : -b11110101111111110000001100011010 $ -b11110101111111110000001100011010 - -b11110101111111110000001100011010 5 -b11110101111111110000001100011010 ? -b11110101111111110000001100011010 D -b10111111111111110101111111111111 % -b10111111111111110101111111111111 . -b10111111111111110101111111111111 6 -b10111111111111110101111111111111 @ -b10111111111111110101111111111111 F -b11111111111111110101111111111111 ) -#198784000 -0& -#198800000 -b110000100010 , -#198816000 -1& -#198832000 -b1111111111111111010011101111111 " -b1111111111111111010011101111111 4 -b1111111111111111010011101111111 1 -b1111111111111111010011101111111 C -b111011111111111100001 0 -b111011111111111100001 H -08 -b11000001010000000101101011010101 < -b111001001111111110000101010101 2 -b111001001111111110000101010101 = -b111001001111111110000101010101 : -b1110111111111111000011001111111 $ -b1110111111111111000011001111111 - -b1110111111111111000011001111111 5 -b1110111111111111000011001111111 ? -b1110111111111111000011001111111 D -b111110101111111010010100101010 % -b111110101111111010010100101010 . -b111110101111111010010100101010 6 -b111110101111111010010100101010 @ -b111110101111111010010100101010 F -b1111111111111111010011101111111 ) -#198848000 -0& -#198864000 -b110000100011 , -#198880000 -1& -#198896000 -b1111111111111111111100111011010 " -b1111111111111111111100111011010 4 -b1111111111111111111100111011010 1 -b1111111111111111111100111011010 C -b1101111101011 0 -b1101111101011 H -b11000001001001001001111000101101 < -b110000110100100011011011000110 2 -b110000110100100011011011000110 = -b110000110100100011011011000110 : -b1101111101011011001100010011000 $ -b1101111101011011001100010011000 - -b1101111101011011001100010011000 5 -b1101111101011011001100010011000 ? -b1101111101011011001100010011000 D -b111110110110110110000111010010 % -b111110110110110110000111010010 . -b111110110110110110000111010010 6 -b111110110110110110000111010010 @ -b111110110110110110000111010010 F -b1111111111111111111100111011010 ) -#198912000 -0& -#198928000 -b110000100100 , -#198944000 -1& -#198960000 -b11110101011111111111101011011100 " -b11110101011111111111101011011100 4 -b11110101011111111111101011011100 1 -b11110101011111111111101011011100 C -b111 0 -b111 H -19 -08 -b111011100000100001110110100011 < -b10110001000000011001100000110100 2 -b10110001000000011001100000110100 = -b10110001000000011001100000110100 : -b1110101011111110111101010010000 $ -b1110101011111110111101010010000 - -b1110101011111110111101010010000 5 -b1110101011111110111101010010000 ? -b1110101011111110111101010010000 D -b11000100011111011110001001011100 % -b11000100011111011110001001011100 . -b11000100011111011110001001011100 6 -b11000100011111011110001001011100 @ -b11000100011111011110001001011100 F -b11110101011111111111101011011100 ) -#198976000 -0& -#198992000 -b110000100101 , -#199008000 -1& -#199024000 -b11111110111101011111111101111111 " -b11111110111101011111111101111111 4 -b11111110111101011111111101111111 1 -b11111110111101011111111101111111 C -b1010000111010011011 0 -b1010000111010011011 H -09 -08 -b1000010100100101010010100 < -b101001011111110010010011001001 2 -b101001011111110010010011001001 = -b101001011111110010010011001001 : -b101000011101001101101000110100 $ -b101000011101001101101000110100 - -b101000011101001101101000110100 5 -b101000011101001101101000110100 ? -b101000011101001101101000110100 D -b11111110111101011011010101101011 % -b11111110111101011011010101101011 . -b11111110111101011011010101101011 6 -b11111110111101011011010101101011 @ -b11111110111101011011010101101011 F -b11111110111101011111111101111111 ) -#199040000 -0& -#199056000 -b110000100110 , -#199072000 -1& -#199088000 -b11111111111111111011110111110011 " -b11111111111111111011110111110011 4 -b11111111111111111011110111110011 1 -b11111111111111111011110111110011 C -b1110000011101111101111010011001 0 -b1110000011101111101111010011001 H -18 -b1001111011000011110 < -b11100000111101001011001101010010 2 -b11100000111101001011001101010010 = -b11100000111101001011001101010010 : -b11100000111011111011110100110011 $ -b11100000111011111011110100110011 - -b11100000111011111011110100110011 5 -b11100000111011111011110100110011 ? -b11100000111011111011110100110011 D -b11111111111110110000100111100001 % -b11111111111110110000100111100001 . -b11111111111110110000100111100001 6 -b11111111111110110000100111100001 @ -b11111111111110110000100111100001 F -b11111111111111111011110111110011 ) -#199104000 -0& -#199120000 -b110000100111 , -#199136000 -1& -#199152000 -b11011110111111111111111101001101 " -b11011110111111111111111101001101 4 -b11011110111111111111111101001101 1 -b11011110111111111111111101001101 C -b1101111001111111011 0 -b1101111001111111011 H -19 -18 -b10100001000001100010011010110010 < -b1111111100001011001110111111000 2 -b1111111100001011001110111111000 = -b1111111100001011001110111111000 : -b11011110011111110111011101000101 $ -b11011110011111110111011101000101 - -b11011110011111110111011101000101 5 -b11011110011111110111011101000101 ? -b11011110011111110111011101000101 D -b1011110111110011101100101001101 % -b1011110111110011101100101001101 . -b1011110111110011101100101001101 6 -b1011110111110011101100101001101 @ -b1011110111110011101100101001101 F -b11011110111111111111111101001101 ) -#199168000 -0& -#199184000 -b110000101000 , -#199200000 -1& -#199216000 -b1111111111111110010111010101101 " -b1111111111111110010111010101101 4 -b1111111111111110010111010101101 1 -b1111111111111110010111010101101 C -b11111111111101100100110001 0 -b11111111111101100100110001 H -08 -09 -b11010011100010111101011101011010 < -b1010011100001101111110110000011 2 -b1010011100001101111110110000011 = -b1010011100001101111110110000011 : -b1111111111110110010011000101000 $ -b1111111111110110010011000101000 - -b1111111111110110010011000101000 5 -b1111111111110110010011000101000 ? -b1111111111110110010011000101000 D -b101100011101000010100010100101 % -b101100011101000010100010100101 . -b101100011101000010100010100101 6 -b101100011101000010100010100101 @ -b101100011101000010100010100101 F -b1111111111111110010111010101101 ) -#199232000 -0& -#199248000 -b110000101001 , -#199264000 -1& -#199280000 -b11111111111111111111110111110001 " -b11111111111111111111110111110001 4 -b11111111111111111111110111110001 1 -b11111111111111111111110111110001 C -b1111010111111011111110100110001 0 -b1111010111111011111110100110001 H -b1001101011000011111 < -b1111011000000101101001101010001 2 -b1111011000000101101001101010001 = -b1111011000000101101001101010001 : -b1111010111111011111110100110001 $ -b1111010111111011111110100110001 - -b1111010111111011111110100110001 5 -b1111010111111011111110100110001 ? -b1111010111111011111110100110001 D -b11111111111110110010100111100000 % -b11111111111110110010100111100000 . -b11111111111110110010100111100000 6 -b11111111111110110010100111100000 @ -b11111111111110110010100111100000 F -b11111111111111111111110111110001 ) -#199296000 -0& -#199312000 -b110000101010 , -#199328000 -1& -#199344000 -b11011111111111111001011111101101 " -b11011111111111111001011111101101 4 -b11011111111111111001011111101101 1 -b11011111111111111001011111101101 C -b10111111111111110000111110 0 -b10111111111111110000111110 H -19 -08 -b1111000000110000110111110011010 < -b11011000000101111111011101100011 2 -b11011000000101111111011101100011 = -b11011000000101111111011101100011 : -b1011111111111111000011111001000 $ -b1011111111111111000011111001000 - -b1011111111111111000011111001000 5 -b1011111111111111000011111001000 ? -b1011111111111111000011111001000 D -b10000111111001111001000001100101 % -b10000111111001111001000001100101 . -b10000111111001111001000001100101 6 -b10000111111001111001000001100101 @ -b10000111111001111001000001100101 F -b11011111111111111001011111101101 ) -#199360000 -0& -#199376000 -b110000101011 , -#199392000 -1& -#199408000 -b11111111111111011111111011110101 " -b11111111111111011111111011110101 4 -b11111111111111011111111011110101 1 -b11111111111111011111111011110101 C -b11011011111 0 -b11011011111 H -09 -08 -b1000000000110100000000100001010 < -b11100000100111100111111001111 2 -b11100000100111100111111001111 = -b11100000100111100111111001111 : -b11011011111110011100111011000100 $ -b11011011111110011100111011000100 - -b11011011111110011100111011000100 5 -b11011011111110011100111011000100 ? -b11011011111110011100111011000100 D -b10111111111001011111111011110101 % -b10111111111001011111111011110101 . -b10111111111001011111111011110101 6 -b10111111111001011111111011110101 @ -b10111111111001011111111011110101 F -b11111111111111011111111011110101 ) -#199424000 -0& -#199440000 -b110000101100 , -#199456000 -1& -#199472000 -b11111111111111111101001111111111 " -b11111111111111111101001111111111 4 -b11111111111111111101001111111111 1 -b11111111111111111101001111111111 C -b111111010110111111 0 -b111111010110111111 H -18 -b1001001101110111000010001 < -b11111110100101101011000010100111 2 -b11111110100101101011000010100111 = -b11111110100101101011000010100111 : -b11111101011011111100001010010101 $ -b11111101011011111100001010010101 - -b11111101011011111100001010010101 5 -b11111101011011111100001010010101 ? -b11111101011011111100001010010101 D -b11111110110110010001000111101110 % -b11111110110110010001000111101110 . -b11111110110110010001000111101110 6 -b11111110110110010001000111101110 @ -b11111110110110010001000111101110 F -b11111111111111111101001111111111 ) -#199488000 -0& -#199504000 -b110000101101 , -#199520000 -1& -#199536000 -b1111111111111010111011111111111 " -b1111111111111010111011111111111 4 -b1111111111111010111011111111111 1 -b1111111111111010111011111111111 C -b1 0 -b1 H -18 -b10110000000000101011110100100010 < -b11101111001011111111010010100001 2 -b11101111001011111111010010100001 = -b11101111001011111111010010100001 : -b111111001011010011011101111110 $ -b111111001011010011011101111110 - -b111111001011010011011101111110 5 -b111111001011010011011101111110 ? -b111111001011010011011101111110 D -b1001111111111010100001011011101 % -b1001111111111010100001011011101 . -b1001111111111010100001011011101 6 -b1001111111111010100001011011101 @ -b1001111111111010100001011011101 F -b1111111111111010111011111111111 ) -#199552000 -0& -#199568000 -b110000101110 , -#199584000 -1& -#199600000 -b11011110111111111011111111011111 " -b11011110111111111011111111011111 4 -b11011110111111111011111111011111 1 -b11011110111111111011111111011111 C -b1101111011111111101101 0 -b1101111011111111101101 H -08 -b101101100000000110000100110101 < -b1100100000000001011010001101 2 -b1100100000000001011010001101 = -b1100100000000001011010001101 : -b11011110111111111011010101010111 $ -b11011110111111111011010101010111 - -b11011110111111111011010101010111 5 -b11011110111111111011010101010111 ? -b11011110111111111011010101010111 D -b11010010011111111001111011001010 % -b11010010011111111001111011001010 . -b11010010011111111001111011001010 6 -b11010010011111111001111011001010 @ -b11010010011111111001111011001010 F -b11011110111111111011111111011111 ) -#199616000 -0& -#199632000 -b110000101111 , -#199648000 -1& -#199664000 -b1101111111111101110101101111111 " -b1101111111111101110101101111111 4 -b1101111111111101110101101111111 1 -b1101111111111101110101101111111 C -b100101100010100111000100 0 -b100101100010100111000100 H -b11010000000101010101011011011000 < -b11011001010100011100100110001 2 -b11011001010100011100100110001 = -b11011001010100011100100110001 : -b1001011000101001110001001011000 $ -b1001011000101001110001001011000 - -b1001011000101001110001001011000 5 -b1001011000101001110001001011000 ? -b1001011000101001110001001011000 D -b101111111010101010100100100111 % -b101111111010101010100100100111 . -b101111111010101010100100100111 6 -b101111111010101010100100100111 @ -b101111111010101010100100100111 F -b1101111111111101110101101111111 ) -#199680000 -0& -#199696000 -b110000110000 , -#199712000 -1& -#199728000 -b1110111111111111111111111100000 " -b1110111111111111111111111100000 4 -b1110111111111111111111111100000 1 -b1110111111111111111111111100000 C -b1110111111111111011111101100000 0 -b1110111111111111011111101100000 H -b10001000000100000000010101111111 < -b11111100010011100000 2 -b11111100010011100000 = -b11111100010011100000 : -b1110111111111111011111101100000 $ -b1110111111111111011111101100000 - -b1110111111111111011111101100000 5 -b1110111111111111011111101100000 ? -b1110111111111111011111101100000 D -b1110111111011111111101010000000 % -b1110111111011111111101010000000 . -b1110111111011111111101010000000 6 -b1110111111011111111101010000000 @ -b1110111111011111111101010000000 F -b1110111111111111111111111100000 ) -#199744000 -0& -#199760000 -b110000110001 , -#199776000 -1& -#199792000 -b1111111111110100111101011011111 " -b1111111111110100111101011011111 4 -b1111111111110100111101011011111 1 -b1111111111110100111101011011111 C -b11111011111000011 0 -b11111011111000011 H -18 -b10000010100001011001110101110010 < -b11000001011111100001011001000110 2 -b11000001011111100001011001000110 = -b11000001011111100001011001000110 : -b111110111110000111100011010011 $ -b111110111110000111100011010011 - -b111110111110000111100011010011 5 -b111110111110000111100011010011 ? -b111110111110000111100011010011 D -b1111101011110100110001010001101 % -b1111101011110100110001010001101 . -b1111101011110100110001010001101 6 -b1111101011110100110001010001101 @ -b1111101011110100110001010001101 F -b1111111111110100111101011011111 ) -#199808000 -0& -#199824000 -b110000110010 , -#199840000 -1& -#199856000 -b1111101111111111110101001111011 " -b1111101111111111110101001111011 4 -b1111101111111111110101001111011 1 -b1111101111111111110101001111011 C -b11110 0 -b11110 H -b10000010110011000001010110100110 < -b11000000110010110001110111001010 2 -b11000000110010110001110111001010 = -b11000000110010110001110111001010 : -b111101111111110000100000100011 $ -b111101111111110000100000100011 - -b111101111111110000100000100011 5 -b111101111111110000100000100011 ? -b111101111111110000100000100011 D -b1111101001100111110101001011001 % -b1111101001100111110101001011001 . -b1111101001100111110101001011001 6 -b1111101001100111110101001011001 @ -b1111101001100111110101001011001 F -b1111101111111111110101001111011 ) -#199872000 -0& -#199888000 -b110000110011 , -#199904000 -1& -#199920000 -b11111111111111110110111110110110 " -b11111111111111110110111110110110 4 -b11111111111111110110111110110110 1 -b11111111111111110110111110110110 C -b1110111001 0 -b1110111001 H -08 -b1101000000000001101000001001001 < -b1010110010100110011010011111010 2 -b1010110010100110011010011111010 = -b1010110010100110011010011111010 : -b11101110010100100110010010110000 $ -b11101110010100100110010010110000 - -b11101110010100100110010010110000 5 -b11101110010100100110010010110000 ? -b11101110010100100110010010110000 D -b10010111111111110010111110110110 % -b10010111111111110010111110110110 . -b10010111111111110010111110110110 6 -b10010111111111110010111110110110 @ -b10010111111111110010111110110110 F -b11111111111111110110111110110110 ) -#199936000 -0& -#199952000 -b110000110100 , -#199968000 -1& -#199984000 -b1111111111111111111111011111110 " -b1111111111111111111111011111110 4 -b1111111111111111111111011111110 1 -b1111111111111111111111011111110 C -b1111111101010111100101011 0 -b1111111101010111100101011 H -18 -b10000000000010011000101101111001 < -b11111111101101010101011001110010 2 -b11111111101101010101011001110010 = -b11111111101101010101011001110010 : -b1111111101010111100101011111000 $ -b1111111101010111100101011111000 - -b1111111101010111100101011111000 5 -b1111111101010111100101011111000 ? -b1111111101010111100101011111000 D -b1111111111101100111010010000110 % -b1111111111101100111010010000110 . -b1111111111101100111010010000110 6 -b1111111111101100111010010000110 @ -b1111111111101100111010010000110 F -b1111111111111111111111011111110 ) -#200000000 -0& -#200016000 -b110000110101 , -#200032000 -1& -#200048000 -b1111111111111110110111111010110 " -b1111111111111110110111111010110 4 -b1111111111111110110111111010110 1 -b1111111111111110110111111010110 C -b111111111110111 0 -b111111111110111 H -08 -b11000010000000001101001000101111 < -b1000001111110000011100111110110 2 -b1000001111110000011100111110110 = -b1000001111110000011100111110110 : -b1111111111101110110011111000110 $ -b1111111111101110110011111000110 - -b1111111111101110110011111000110 5 -b1111111111101110110011111000110 ? -b1111111111101110110011111000110 D -b111101111111110010110111010000 % -b111101111111110010110111010000 . -b111101111111110010110111010000 6 -b111101111111110010110111010000 @ -b111101111111110010110111010000 F -b1111111111111110110111111010110 ) -#200064000 -0& -#200080000 -b110000110110 , -#200096000 -1& -#200112000 -b11111111111111111010001100101110 " -b11111111111111111010001100101110 4 -b11111111111111111010001100101110 1 -b11111111111111111010001100101110 C -b11111011011011010000000000001110 0 -b11111011011011010000000000001110 H -08 -b1001001000000000101110011011111 < -b1000100011011010101110011101110 2 -b1000100011011010101110011101110 = -b1000100011011010101110011101110 : -b11111011011011010000000000001110 $ -b11111011011011010000000000001110 - -b11111011011011010000000000001110 5 -b11111011011011010000000000001110 ? -b11111011011011010000000000001110 D -b10110110111111111010001100100000 % -b10110110111111111010001100100000 . -b10110110111111111010001100100000 6 -b10110110111111111010001100100000 @ -b10110110111111111010001100100000 F -b11111111111111111010001100101110 ) -#200128000 -0& -#200144000 -b110000110111 , -#200160000 -1& -#200176000 -b11111101111111111101111111011010 " -b11111101111111111101111111011010 4 -b11111101111111111101111111011010 1 -b11111101111111111101111111011010 C -b111111 0 -b111111 H -18 -b11000010000001001011010000100101 < -b11000000000000101000101010101000 2 -b11000000000000101000101010101000 = -b11000000000000101000101010101000 : -b11111101111111011101011010000010 $ -b11111101111111011101011010000010 - -b11111101111111011101011010000010 5 -b11111101111111011101011010000010 ? -b11111101111111011101011010000010 D -b111101111110110100101111011010 % -b111101111110110100101111011010 . -b111101111110110100101111011010 6 -b111101111110110100101111011010 @ -b111101111110110100101111011010 F -b11111101111111111101111111011010 ) -#200192000 -0& -#200208000 -b110000111000 , -#200224000 -1& -#200240000 -b11111101111110111111111111111111 " -b11111101111110111111111111111111 4 -b11111101111110111111111111111111 1 -b11111101111110111111111111111111 C -b1 0 -b1 H -b10001110000001011001100110000000 < -b10001100000000010101010100000010 2 -b10001100000000010101010100000010 = -b10001100000000010101010100000010 : -b11111101111110111011101110000001 $ -b11111101111110111011101110000001 - -b11111101111110111011101110000001 5 -b11111101111110111011101110000001 ? -b11111101111110111011101110000001 D -b1110001111110100110011001111111 % -b1110001111110100110011001111111 . -b1110001111110100110011001111111 6 -b1110001111110100110011001111111 @ -b1110001111110100110011001111111 F -b11111101111110111111111111111111 ) -#200256000 -0& -#200272000 -b110000111001 , -#200288000 -1& -#200304000 -b11111101111111111111111000001111 " -b11111101111111111111111000001111 4 -b11111101111111111111111000001111 1 -b11111101111111111111111000001111 C -b1111101111111011 0 -b1111101111111011 H -19 -08 -b100011111001011000000111110000 < -b10100001111000110011110111111100 2 -b10100001111000110011110111111100 = -b10100001111000110011110111111100 : -b1111101111111011011110000001011 $ -b1111101111111011011110000001011 - -b1111101111111011011110000001011 5 -b1111101111111011011110000001011 ? -b1111101111111011011110000001011 D -b11011100000110100111111000001111 % -b11011100000110100111111000001111 . -b11011100000110100111111000001111 6 -b11011100000110100111111000001111 @ -b11011100000110100111111000001111 F -b11111101111111111111111000001111 ) -#200320000 -0& -#200336000 -b110000111010 , -#200352000 -1& -#200368000 -b1111111111111110111110111111110 " -b1111111111111110111110111111110 4 -b1111111111111110111110111111110 1 -b1111111111111110111110111111110 C -b111011111110 0 -b111011111110 H -09 -18 -b10000000100100001110001010001101 < -b10111100100010110110000001101100 2 -b10111100100010110110000001101100 = -b10111100100010110110000001101100 : -b111011111110100111110111011110 $ -b111011111110100111110111011110 - -b111011111110100111110111011110 5 -b111011111110100111110111011110 ? -b111011111110100111110111011110 D -b1111111011011110001110101110010 % -b1111111011011110001110101110010 . -b1111111011011110001110101110010 6 -b1111111011011110001110101110010 @ -b1111111011011110001110101110010 F -b1111111111111110111110111111110 ) -#200384000 -0& -#200400000 -b110000111011 , -#200416000 -1& -#200432000 -b1111111111111111011100011010111 " -b1111111111111111011100011010111 4 -b1111111111111111011100011010111 1 -b1111111111111111011100011010111 C -b111111101 0 -b111111101 H -18 -b10000000000000001100011110101001 < -b11111111011111110111100000101011 2 -b11111111011111110111100000101011 = -b11111111011111110111100000101011 : -b1111111011111101011000010000001 $ -b1111111011111101011000010000001 - -b1111111011111101011000010000001 5 -b1111111011111101011000010000001 ? -b1111111011111101011000010000001 D -b1111111111111110011100001010110 % -b1111111111111110011100001010110 . -b1111111111111110011100001010110 6 -b1111111111111110011100001010110 @ -b1111111111111110011100001010110 F -b1111111111111111011100011010111 ) -#200448000 -0& -#200464000 -b110000111100 , -#200480000 -1& -#200496000 -b11010111011101111111111110110111 " -b11010111011101111111111110110111 4 -b11010111011101111111111110110111 1 -b11010111011101111111111110110111 C -b1100011100110 0 -b1100011100110 H -08 -b1101001100010000010010001101100 < -b110000101111111001001000010010 2 -b110000101111111001001000010010 = -b110000101111111001001000010010 : -b11000111001101110110110110100101 $ -b11000111001101110110110110100101 - -b11000111001101110110110110100101 5 -b11000111001101110110110110100101 ? -b11000111001101110110110110100101 D -b10010110011101111101101110010011 % -b10010110011101111101101110010011 . -b10010110011101111101101110010011 6 -b10010110011101111101101110010011 @ -b10010110011101111101101110010011 F -b11010111011101111111111110110111 ) -#200512000 -0& -#200528000 -b110000111101 , -#200544000 -1& -#200560000 -b11111111111111111001100110111011 " -b11111111111111111001100110111011 4 -b11111111111111111001100110111011 1 -b11111111111111111001100110111011 C -b10011 0 -b10011 H -18 -19 -b10011010000000011111111011000100 < -b111001011011011001100001010110 2 -b111001011011011001100001010110 = -b111001011011011001100001010110 : -b10011111011010111001100110010001 $ -b10011111011010111001100110010001 - -b10011111011010111001100110010001 5 -b10011111011010111001100110010001 ? -b10011111011010111001100110010001 D -b1100101111111100000000100111011 % -b1100101111111100000000100111011 . -b1100101111111100000000100111011 6 -b1100101111111100000000100111011 @ -b1100101111111100000000100111011 F -b11111111111111111001100110111011 ) -#200576000 -0& -#200592000 -b110000111110 , -#200608000 -1& -#200624000 -b11111111111111111110011011110101 " -b11111111111111111110011011110101 4 -b11111111111111111110011011110101 1 -b11111111111111111110011011110101 C -b1111110011111100 0 -b1111110011111100 H -19 -18 -b10000000100010000011110101101111 < -b1111101100001000110001001010101 2 -b1111101100001000110001001010101 = -b1111101100001000110001001010101 : -b11111100111111000010010011100101 $ -b11111100111111000010010011100101 - -b11111100111111000010010011100101 5 -b11111100111111000010010011100101 ? -b11111100111111000010010011100101 D -b1111111011101111100001010010000 % -b1111111011101111100001010010000 . -b1111111011101111100001010010000 6 -b1111111011101111100001010010000 @ -b1111111011101111100001010010000 F -b11111111111111111110011011110101 ) -#200640000 -0& -#200656000 -b110000111111 , -#200672000 -1& -#200688000 -b11111111111111111111111110111110 " -b11111111111111111111111110111110 4 -b11111111111111111111111110111110 1 -b11111111111111111111111110111110 C -b101111 0 -b101111 H -09 -18 -b100101010001100000100001000101 < -b11100101001111011001011111110010 2 -b11100101001111011001011111110010 = -b11100101001111011001011111110010 : -b10111111111101111000111110101100 $ -b10111111111101111000111110101100 - -b10111111111101111000111110101100 5 -b10111111111101111000111110101100 ? -b10111111111101111000111110101100 D -b11011010101110011111011110111010 % -b11011010101110011111011110111010 . -b11011010101110011111011110111010 6 -b11011010101110011111011110111010 @ -b11011010101110011111011110111010 F -b11111111111111111111111110111110 ) -#200704000 -0& -#200720000 -b110001000000 , -#200736000 -1& -#200752000 -b11011111111111010111111101011101 " -b11011111111111010111111101011101 4 -b11011111111111010111111101011101 1 -b11011111111111010111111101011101 C -b10 0 -b10 H -08 -b101010010000111100001010100010 < -b1111010001101010011010111111111 2 -b1111010001101010011010111111111 = -b1111010001101010011010111111111 : -b1001111111100010111001101011100 $ -b1001111111100010111001101011100 - -b1001111111100010111001101011100 5 -b1001111111100010111001101011100 ? -b1001111111100010111001101011100 D -b11010101101111000011110101011101 % -b11010101101111000011110101011101 . -b11010101101111000011110101011101 6 -b11010101101111000011110101011101 @ -b11010101101111000011110101011101 F -b11011111111111010111111101011101 ) -#200768000 -0& -#200784000 -b110001000001 , -#200800000 -1& -#200816000 -b11110011111111110110111111101111 " -b11110011111111110110111111101111 4 -b11110011111111110110111111101111 1 -b11110011111111110110111111101111 C -b110100011101111000101 0 -b110100011101111000101 H -18 -b1100000000001001000100110100 < -b11011101110111101100000001011100 2 -b11011101110111101100000001011100 = -b11011101110111101100000001011100 : -b11010001110111100010111100100111 $ -b11010001110111100010111100100111 - -b11010001110111100010111100100111 5 -b11010001110111100010111100100111 ? -b11010001110111100010111100100111 D -b11110011111111110110111011001011 % -b11110011111111110110111011001011 . -b11110011111111110110111011001011 6 -b11110011111111110110111011001011 @ -b11110011111111110110111011001011 F -b11110011111111110110111111101111 ) -#200832000 -0& -#200848000 -b110001000010 , -#200864000 -1& -#200880000 -b1111111111111111111111111101110 " -b1111111111111111111111111101110 4 -b1111111111111111111111111101110 1 -b1111111111111111111111111101110 C -b1010111111111101111101110011 0 -b1010111111111101111101110011 H -18 -b10001011010000000010100000011101 < -b10110111001111111010010111101100 2 -b10110111001111111010010111101100 = -b10110111001111111010010111101100 : -b101011111111110111110111001110 $ -b101011111111110111110111001110 - -b101011111111110111110111001110 5 -b101011111111110111110111001110 ? -b101011111111110111110111001110 D -b1110100101111111101011111100010 % -b1110100101111111101011111100010 . -b1110100101111111101011111100010 6 -b1110100101111111101011111100010 @ -b1110100101111111101011111100010 F -b1111111111111111111111111101110 ) -#200896000 -0& -#200912000 -b110001000011 , -#200928000 -1& -#200944000 -b11111111111111111111011111110101 " -b11111111111111111111011111110101 4 -b11111111111111111111011111110101 1 -b11111111111111111111011111110101 C -b111110111 0 -b111110111 H -08 -b100110100000000000110001101010 < -b1100101011111111001001111011011 2 -b1100101011111111001001111011011 = -b1100101011111111001001111011011 : -b111110111111111000011101110000 $ -b111110111111111000011101110000 - -b111110111111111000011101110000 5 -b111110111111111000011101110000 ? -b111110111111111000011101110000 D -b11011001011111111111001110010101 % -b11011001011111111111001110010101 . -b11011001011111111111001110010101 6 -b11011001011111111111001110010101 @ -b11011001011111111111001110010101 F -b11111111111111111111011111110101 ) -#200960000 -0& -#200976000 -b110001000100 , -#200992000 -1& -#201008000 -b11111111111111111110111111011111 " -b11111111111111111110111111011111 4 -b11111111111111111110111111011111 1 -b11111111111111111110111111011111 C -b11111111111 0 -b11111111111 H -08 -09 -b1001110000001000101110000101010 < -b1001110000000011010101000110101 2 -b1001110000000011010101000110101 = -b1001110000000011010101000110101 : -b11111111111111010100111000001010 $ -b11111111111111010100111000001010 - -b11111111111111010100111000001010 5 -b11111111111111010100111000001010 ? -b11111111111111010100111000001010 D -b10110001111110111010001111010101 % -b10110001111110111010001111010101 . -b10110001111110111010001111010101 6 -b10110001111110111010001111010101 @ -b10110001111110111010001111010101 F -b11111111111111111110111111011111 ) -#201024000 -0& -#201040000 -b110001000101 , -#201056000 -1& -#201072000 -b11111111111111111111001110111111 " -b11111111111111111111001110111111 4 -b11111111111111111111001110111111 1 -b11111111111111111111001110111111 C -b111101011111 0 -b111101011111 H -b1000000000000010100111011001011 < -b110101111110001001001001101011 2 -b110101111110001001001001101011 = -b110101111110001001001001101011 : -b11110101111101110100001110011111 $ -b11110101111101110100001110011111 - -b11110101111101110100001110011111 5 -b11110101111101110100001110011111 ? -b11110101111101110100001110011111 D -b10111111111111101011000100110100 % -b10111111111111101011000100110100 . -b10111111111111101011000100110100 6 -b10111111111111101011000100110100 @ -b10111111111111101011000100110100 F -b11111111111111111111001110111111 ) -#201088000 -0& -#201104000 -b110001000110 , -#201120000 -1& -#201136000 -b11111111111111111010111111101111 " -b11111111111111111010111111101111 4 -b11111111111111111010111111101111 1 -b11111111111111111010111111101111 C -b11111001110111111000011101101 0 -b11111001110111111000011101101 H -18 -b10010000100011110101011100111100 < -b10001010011011101101111010101010 2 -b10001010011011101101111010101010 = -b10001010011011101101111010101010 : -b11111001110111111000011101101101 $ -b11111001110111111000011101101101 - -b11111001110111111000011101101101 5 -b11111001110111111000011101101101 ? -b11111001110111111000011101101101 D -b1101111011100001010100011000011 % -b1101111011100001010100011000011 . -b1101111011100001010100011000011 6 -b1101111011100001010100011000011 @ -b1101111011100001010100011000011 F -b11111111111111111010111111101111 ) -#201152000 -0& -#201168000 -b110001000111 , -#201184000 -1& -#201200000 -b11111111111011101111111111111100 " -b11111111111011101111111111111100 4 -b11111111111011101111111111111100 1 -b11111111111011101111111111111100 C -b110100101100111000010111 0 -b110100101100111000010111 H -19 -18 -b10010010000100010000000100010111 < -b1100100110111110001100011110100 2 -b1100100110111110001100011110100 = -b1100100110111110001100011110100 : -b11010010110011100001011111011100 $ -b11010010110011100001011111011100 - -b11010010110011100001011111011100 5 -b11010010110011100001011111011100 ? -b11010010110011100001011111011100 D -b1101101111011101111111011101000 % -b1101101111011101111111011101000 . -b1101101111011101111111011101000 6 -b1101101111011101111111011101000 @ -b1101101111011101111111011101000 F -b11111111111011101111111111111100 ) -#201216000 -0& -#201232000 -b110001001000 , -#201248000 -1& -#201264000 -b1111111111111110111110111110110 " -b1111111111111110111110111110110 4 -b1111111111111110111110111110110 1 -b1111111111111110111110111110110 C -b11101110111 0 -b11101110111 H -08 -09 -b11100000011000001110011101001011 < -b1010111111000000100110100101110 2 -b1010111111000000100110100101110 = -b1010111111000000100110100101110 : -b1110111011111110110010111100010 $ -b1110111011111110110010111100010 - -b1110111011111110110010111100010 5 -b1110111011111110110010111100010 ? -b1110111011111110110010111100010 D -b11111100111110001100010110100 % -b11111100111110001100010110100 . -b11111100111110001100010110100 6 -b11111100111110001100010110100 @ -b11111100111110001100010110100 F -b1111111111111110111110111110110 ) -#201280000 -0& -#201296000 -b110001001001 , -#201312000 -1& -#201328000 -b11111011111111111111111111111101 " -b11111011111111111111111111111101 4 -b11111011111111111111111111111101 1 -b11111011111111111111111111111101 C -b1101101111111111110 0 -b1101101111111111110 H -19 -18 -b10000111011011101001001010010010 < -b1100011011011100111000100100100 2 -b1100011011011100111000100100100 = -b1100011011011100111000100100100 : -b11011011111111111101111010010001 $ -b11011011111111111101111010010001 - -b11011011111111111101111010010001 5 -b11011011111111111101111010010001 ? -b11011011111111111101111010010001 D -b1111000100100010110110101101101 % -b1111000100100010110110101101101 . -b1111000100100010110110101101101 6 -b1111000100100010110110101101101 @ -b1111000100100010110110101101101 F -b11111011111111111111111111111101 ) -#201344000 -0& -#201360000 -b110001001010 , -#201376000 -1& -#201392000 -b11011111111111110110111111001111 " -b11011111111111110110111111001111 4 -b11011111111111110110111111001111 1 -b11011111111111110110111111001111 C -b1001011110111110 0 -b1001011110111110 H -08 -b1100000000100001101001000110000 < -b10101011111100000001110001110100 2 -b10101011111100000001110001110100 = -b10101011111100000001110001110100 : -b1001011110111110100101001000011 $ -b1001011110111110100101001000011 - -b1001011110111110100101001000011 5 -b1001011110111110100101001000011 ? -b1001011110111110100101001000011 D -b10011111111011110010110111001111 % -b10011111111011110010110111001111 . -b10011111111011110010110111001111 6 -b10011111111011110010110111001111 @ -b10011111111011110010110111001111 F -b11011111111111110110111111001111 ) -#201408000 -0& -#201424000 -b110001001011 , -#201440000 -1& -#201456000 -b1111111111011111010111111110011 " -b1111111111011111010111111110011 4 -b1111111111011111010111111110011 1 -b1111111111011111010111111110011 C -b1111111100010100010011001010 0 -b1111111100010100010011001010 H -09 -08 -b10000001100100000111011001011100 < -b1000110101001110010101101 2 -b1000110101001110010101101 = -b1000110101001110010101101 : -b1111111100010100010011001010000 $ -b1111111100010100010011001010000 - -b1111111100010100010011001010000 5 -b1111111100010100010011001010000 ? -b1111111100010100010011001010000 D -b1111110011011111000100110100011 % -b1111110011011111000100110100011 . -b1111110011011111000100110100011 6 -b1111110011011111000100110100011 @ -b1111110011011111000100110100011 F -b1111111111011111010111111110011 ) -#201472000 -0& -#201488000 -b110001001100 , -#201504000 -1& -#201520000 -b1111111111111110001111110101111 " -b1111111111111110001111110101111 4 -b1111111111111110001111110101111 1 -b1111111111111110001111110101111 C -b11111111111111100 0 -b11111111111111100 H -b10000100000000001110000001110001 < -b11111111111111000000010011 2 -b11111111111111000000010011 = -b11111111111111000000010011 : -b1111111111111110000111110100001 $ -b1111111111111110000111110100001 - -b1111111111111110000111110100001 5 -b1111111111111110000111110100001 ? -b1111111111111110000111110100001 D -b1111011111111110001111110001110 % -b1111011111111110001111110001110 . -b1111011111111110001111110001110 6 -b1111011111111110001111110001110 @ -b1111011111111110001111110001110 F -b1111111111111110001111110101111 ) -#201536000 -0& -#201552000 -b110001001101 , -#201568000 -1& -#201584000 -b11111111111111110111110110111001 " -b11111111111111110111110110111001 4 -b11111111111111110111110110111001 1 -b11111111111111110111110110111001 C -b111010101111111100100000 0 -b111010101111111100100000 H -18 -19 -b10000000000100101010001011110111 < -b1101011000100011100001110110001 2 -b1101011000100011100001110110001 = -b1101011000100011100001110110001 : -b11101010111111110010000010111001 $ -b11101010111111110010000010111001 - -b11101010111111110010000010111001 5 -b11101010111111110010000010111001 ? -b11101010111111110010000010111001 D -b1111111111011010101110100001000 % -b1111111111011010101110100001000 . -b1111111111011010101110100001000 6 -b1111111111011010101110100001000 @ -b1111111111011010101110100001000 F -b11111111111111110111110110111001 ) -#201600000 -0& -#201616000 -b110001001110 , -#201632000 -1& -#201648000 -b11111111111111111111110111101101 " -b11111111111111111111110111101101 4 -b11111111111111111111110111101101 1 -b11111111111111111111110111101101 C -b1111111011011011110 0 -b1111111011011011110 H -09 -18 -b11110010000000101100101010010010 < -b11110000110111101001001101011000 2 -b11110000110111101001001101011000 = -b11110000110111101001001101011000 : -b11111110110110111100100011000101 $ -b11111110110110111100100011000101 - -b11111110110110111100100011000101 5 -b11111110110110111100100011000101 ? -b11111110110110111100100011000101 D -b1101111111010011010101101101 % -b1101111111010011010101101101 . -b1101111111010011010101101101 6 -b1101111111010011010101101101 @ -b1101111111010011010101101101 F -b11111111111111111111110111101101 ) -#201664000 -0& -#201680000 -b110001001111 , -#201696000 -1& -#201712000 -b11111111111111111001101101110011 " -b11111111111111111001101101110011 4 -b11111111111111111001101101110011 1 -b11111111111111111001101101110011 C -b11101110001011111001100100010 0 -b11101110001011111001100100010 H -b10011110010010011100 < -b11101110001110010111110110110000 2 -b11101110001110010111110110110000 = -b11101110001110010111110110110000 : -b11101110001011111001100100010011 $ -b11101110001011111001100100010011 - -b11101110001011111001100100010011 5 -b11101110001011111001100100010011 ? -b11101110001011111001100100010011 D -b11111111111101100001101101100011 % -b11111111111101100001101101100011 . -b11111111111101100001101101100011 6 -b11111111111101100001101101100011 @ -b11111111111101100001101101100011 F -b11111111111111111001101101110011 ) -#201728000 -0& -#201744000 -b110001010000 , -#201760000 -1& -#201776000 -b11111111111111011111011111101001 " -b11111111111111011111011111101001 4 -b11111111111111011111011111101001 1 -b11111111111111011111011111101001 C -b1101001111101011110001010101001 0 -b1101001111101011110001010101001 H -08 -b11001100110101010111111 < -b1101010010111000100110101101001 2 -b1101010010111000100110101101001 = -b1101010010111000100110101101001 : -b1101001111101011110001010101001 $ -b1101001111101011110001010101001 - -b1101001111101011110001010101001 5 -b1101001111101011110001010101001 ? -b1101001111101011110001010101001 D -b11111111100110011001010101000000 % -b11111111100110011001010101000000 . -b11111111100110011001010101000000 6 -b11111111100110011001010101000000 @ -b11111111100110011001010101000000 F -b11111111111111011111011111101001 ) -#201792000 -0& -#201808000 -b110001010001 , -#201824000 -1& -#201840000 -b11111111111111101111101101011110 " -b11111111111111101111101101011110 4 -b11111111111111101111101101011110 1 -b11111111111111101111101101011110 C -b1101 0 -b1101 H -18 -b11001001000010110101110110100011 < -b10100110111110011011011011110010 2 -b10100110111110011011011011110010 = -b10100110111110011011011011110010 : -b11011101111011100101100101001110 $ -b11011101111011100101100101001110 - -b11011101111011100101100101001110 5 -b11011101111011100101100101001110 ? -b11011101111011100101100101001110 D -b110110111101001010001001011100 % -b110110111101001010001001011100 . -b110110111101001010001001011100 6 -b110110111101001010001001011100 @ -b110110111101001010001001011100 F -b11111111111111101111101101011110 ) -#201856000 -0& -#201872000 -b110001010010 , -#201888000 -1& -#201904000 -b1110111011111111011111111011111 " -b1110111011111111011111111011111 4 -b1110111011111111011111111011111 1 -b1110111011111111011111111011111 C -b1 0 -b1 H -18 -b10001000100001100101010110100001 < -b11101011100011010110110101111001 2 -b11101011100011010110110101111001 = -b11101011100011010110110101111001 : -b1100011000001110001011111010111 $ -b1100011000001110001011111010111 - -b1100011000001110001011111010111 5 -b1100011000001110001011111010111 ? -b1100011000001110001011111010111 D -b1110111011110011010101001011110 % -b1110111011110011010101001011110 . -b1110111011110011010101001011110 6 -b1110111011110011010101001011110 @ -b1110111011110011010101001011110 F -b1110111011111111011111111011111 ) -#201920000 -0& -#201936000 -b110001010011 , -#201952000 -1& -#201968000 -b111111110111111011111101101111 " -b111111110111111011111101101111 4 -b111111110111111011111101101111 1 -b111111110111111011111101101111 C -b111111110110111011111101101 0 -b111111110110111011111101101 H -08 -b11010100001100001101110010011100 < -b10100000011001001110000001100 2 -b10100000011001001110000001100 = -b10100000011001001110000001100 : -b111111110110111011111101101111 $ -b111111110110111011111101101111 - -b111111110110111011111101101111 5 -b111111110110111011111101101111 ? -b111111110110111011111101101111 D -b101011110011110010001101100011 % -b101011110011110010001101100011 . -b101011110011110010001101100011 6 -b101011110011110010001101100011 @ -b101011110011110010001101100011 F -b111111110111111011111101101111 ) -#201984000 -0& -#202000000 -b110001010100 , -#202016000 -1& -#202032000 -b1111111111111111010011111011011 " -b1111111111111111010011111011011 4 -b1111111111111111010011111011011 1 -b1111111111111111010011111011011 C -b111101 0 -b111101 H -18 -b10000001000000001111110111100111 < -b10111110111111111010010110101011 2 -b10111110111111111010010110101011 = -b10111110111111111010010110101011 : -b111101111111101010011111000011 $ -b111101111111101010011111000011 - -b111101111111101010011111000011 5 -b111101111111101010011111000011 ? -b111101111111101010011111000011 D -b1111110111111110000001000011000 % -b1111110111111110000001000011000 . -b1111110111111110000001000011000 6 -b1111110111111110000001000011000 @ -b1111110111111110000001000011000 F -b1111111111111111010011111011011 ) -#202048000 -0& -#202064000 -b110001010101 , -#202080000 -1& -#202096000 -b11111111110111111111111110111111 " -b11111111110111111111111110111111 4 -b11111111110111111111111110111111 1 -b11111111110111111111111110111111 C -b111101111100 0 -b111101111100 H -19 -18 -b10000000011000100000010001001011 < -b1111000001010001111101001011011 2 -b1111000001010001111101001011011 = -b1111000001010001111101001011011 : -b11110111110001101111011000001111 $ -b11110111110001101111011000001111 - -b11110111110001101111011000001111 5 -b11110111110001101111011000001111 ? -b11110111110001101111011000001111 D -b1111111100111011111101110110100 % -b1111111100111011111101110110100 . -b1111111100111011111101110110100 6 -b1111111100111011111101110110100 @ -b1111111100111011111101110110100 F -b11111111110111111111111110111111 ) -#202112000 -0& -#202128000 -b110001010110 , -#202144000 -1& -#202160000 -b1111111111111111011110010011100 " -b1111111111111111011110010011100 4 -b1111111111111111011110010011100 1 -b1111111111111111011110010011100 C -b111111110111111010 0 -b111111110111111010 H -09 -18 -b10100010000100001100101111110011 < -b11100001111100000111100010001100 2 -b11100001111100000111100010001100 = -b11100001111100000111100010001100 : -b111111110111111010110010011000 $ -b111111110111111010110010011000 - -b111111110111111010110010011000 5 -b111111110111111010110010011000 ? -b111111110111111010110010011000 D -b1011101111011110011010000001100 % -b1011101111011110011010000001100 . -b1011101111011110011010000001100 6 -b1011101111011110011010000001100 @ -b1011101111011110011010000001100 F -b1111111111111111011110010011100 ) -#202176000 -0& -#202192000 -b110001010111 , -#202208000 -1& -#202224000 -b11111111111111011000111111111111 " -b11111111111111011000111111111111 4 -b11111111111111011000111111111111 1 -b11111111111111011000111111111111 C -b0 0 -b0 H -19 -08 -b10010100011111111001011100000 < -b10010010100011010111010111011001 2 -b10010010100011010111010111011001 = -b10010010100011010111010111011001 : -b1111111111111011000001011111000 $ -b1111111111111011000001011111000 - -b1111111111111011000001011111000 5 -b1111111111111011000001011111000 ? -b1111111111111011000001011111000 D -b11101101011100000000110100011111 % -b11101101011100000000110100011111 . -b11101101011100000000110100011111 6 -b11101101011100000000110100011111 @ -b11101101011100000000110100011111 F -b11111111111111011000111111111111 ) -#202240000 -0& -#202256000 -b110001011000 , -#202272000 -1& -#202288000 -b11011111111111011111111110111111 " -b11011111111111011111111110111111 4 -b11011111111111011111111110111111 1 -b11011111111111011111111110111111 C -b11010 0 -b11010 H -18 -09 -b100001100000101000000001000100 < -b11111001010111110000010000000001 2 -b11111001010111110000010000000001 = -b11111001010111110000010000000001 : -b11010111110111001000001110111100 $ -b11010111110111001000001110111100 - -b11010111110111001000001110111100 5 -b11010111110111001000001110111100 ? -b11010111110111001000001110111100 D -b11011110011111010111111110111011 % -b11011110011111010111111110111011 . -b11011110011111010111111110111011 6 -b11011110011111010111111110111011 @ -b11011110011111010111111110111011 F -b11011111111111011111111110111111 ) -#202304000 -0& -#202320000 -b110001011001 , -#202336000 -1& -#202352000 -b11111111111111111010111101011101 " -b11111111111111111010111101011101 4 -b11111111111111111010111101011101 1 -b11111111111111111010111101011101 C -b111111101111111 0 -b111111101111111 H -08 -b10000000101011101100111101110 < -b1111000101010110001100111011 2 -b1111000101010110001100111011 = -b1111000101010110001100111011 : -b11111110111111111000100101001100 $ -b11111110111111111000100101001100 - -b11111110111111111000100101001100 5 -b11111110111111111000100101001100 ? -b11111110111111111000100101001100 D -b11101111111010100010011000010001 % -b11101111111010100010011000010001 . -b11101111111010100010011000010001 6 -b11101111111010100010011000010001 @ -b11101111111010100010011000010001 F -b11111111111111111010111101011101 ) -#202368000 -0& -#202384000 -b110001011010 , -#202400000 -1& -#202416000 -b11111111101110110111110100111101 " -b11111111101110110111110100111101 4 -b11111111101110110111110100111101 1 -b11111111101110110111110100111101 C -b111101 0 -b111101 H -19 -08 -b1000000010001001001101011000110 < -b10111011110111110001001011001100 2 -b10111011110111110001001011001100 = -b10111011110111110001001011001100 : -b1111011100110100111100000000101 $ -b1111011100110100111100000000101 - -b1111011100110100111100000000101 5 -b1111011100110100111100000000101 ? -b1111011100110100111100000000101 D -b10111111101110110110010100111001 % -b10111111101110110110010100111001 . -b10111111101110110110010100111001 6 -b10111111101110110110010100111001 @ -b10111111101110110110010100111001 F -b11111111101110110111110100111101 ) -#202432000 -0& -#202448000 -b110001011011 , -#202464000 -1& -#202480000 -b11111111111111111011110010101111 " -b11111111111111111011110010101111 4 -b11111111111111111011110010101111 1 -b11111111111111111011110010101111 C -b1001011110011001101 0 -b1001011110011001101 H -18 -19 -b10000101000000010110001101110010 < -b11100100110110000101110011001 2 -b11100100110110000101110011001 = -b11100100110110000101110011001 : -b10010111100110011010100000100110 $ -b10010111100110011010100000100110 - -b10010111100110011010100000100110 5 -b10010111100110011010100000100110 ? -b10010111100110011010100000100110 D -b1111010111111101001110010001101 % -b1111010111111101001110010001101 . -b1111010111111101001110010001101 6 -b1111010111111101001110010001101 @ -b1111010111111101001110010001101 F -b11111111111111111011110010101111 ) -#202496000 -0& -#202512000 -b110001011100 , -#202528000 -1& -#202544000 -b1111111110111111101111111110011 " -b1111111110111111101111111110011 4 -b1111111110111111101111111110011 1 -b1111111110111111101111111110011 C -b1111111101111101011111111100 0 -b1111111101111101011111111100 H -09 -18 -b10000000101101010110010111111101 < -b11000000100101001100010111110001 2 -b11000000100101001100010111110001 = -b11000000100101001100010111110001 : -b111111110111110101111111110011 $ -b111111110111110101111111110011 - -b111111110111110101111111110011 5 -b111111110111110101111111110011 ? -b111111110111110101111111110011 D -b1111111010010101001101000000010 % -b1111111010010101001101000000010 . -b1111111010010101001101000000010 6 -b1111111010010101001101000000010 @ -b1111111010010101001101000000010 F -b1111111110111111101111111110011 ) -#202560000 -0& -#202576000 -b110001011101 , -#202592000 -1& -#202608000 -b1111111011111111110001101101111 " -b1111111011111111110001101101111 4 -b1111111011111111110001101101111 1 -b1111111011111111110001101101111 C -b1011110110100110100 0 -b1011110110100110100 H -b10000000100000001011110010010100 < -b10101111111010100110000000000001 2 -b10101111111010100110000000000001 = -b10101111111010100110000000000001 : -b101111011010011010001101101100 $ -b101111011010011010001101101100 - -b101111011010011010001101101100 5 -b101111011010011010001101101100 ? -b101111011010011010001101101100 D -b1111111011111110100001101101011 % -b1111111011111110100001101101011 . -b1111111011111110100001101101011 6 -b1111111011111110100001101101011 @ -b1111111011111110100001101101011 F -b1111111011111111110001101101111 ) -#202624000 -0& -#202640000 -b110001011110 , -#202656000 -1& -#202672000 -b1111111011111111101111111111101 " -b1111111011111111101111111111101 4 -b1111111011111111101111111111101 1 -b1111111011111111101111111111101 C -b11 0 -b11 H -08 -b10100110101010000010110100000010 < -b1110001010000000110001001111 2 -b1110001010000000110001001111 = -b1110001010000000110001001111 : -b1100111011111111101111101001100 $ -b1100111011111111101111101001100 - -b1100111011111111101111101001100 5 -b1100111011111111101111101001100 ? -b1100111011111111101111101001100 D -b1011001010101111101001011111101 % -b1011001010101111101001011111101 . -b1011001010101111101001011111101 6 -b1011001010101111101001011111101 @ -b1011001010101111101001011111101 F -b1111111011111111101111111111101 ) -#202688000 -0& -#202704000 -b110001011111 , -#202720000 -1& -#202736000 -b1111111111111110101001111011110 " -b1111111111111110101001111011110 4 -b1111111111111110101001111011110 1 -b1111111111111110101001111011110 C -b1110111110111100010000 0 -b1110111110111100010000 H -18 -b10000001100000011010110011110111 < -b10111101011100001011110111001110 2 -b10111101011100001011110111001110 = -b10111101011100001011110111001110 : -b111011111011110001000011010110 $ -b111011111011110001000011010110 - -b111011111011110001000011010110 5 -b111011111011110001000011010110 ? -b111011111011110001000011010110 D -b1111110011111100101001100001000 % -b1111110011111100101001100001000 . -b1111110011111100101001100001000 6 -b1111110011111100101001100001000 @ -b1111110011111100101001100001000 F -b1111111111111110101001111011110 ) -#202752000 -0& -#202768000 -b110001100000 , -#202784000 -1& -#202800000 -b111111110111111101101111110111 " -b111111110111111101101111110111 4 -b111111110111111101101111110111 1 -b111111110111111101101111110111 C -b11111111001101110110001111011 0 -b11111111001101110110001111011 H -08 -b11000010011010000011010010111110 < -b10001101100000110110110101 2 -b10001101100000110110110101 = -b10001101100000110110110101 : -b111111110011011101100011110110 $ -b111111110011011101100011110110 - -b111111110011011101100011110110 5 -b111111110011011101100011110110 ? -b111111110011011101100011110110 D -b111101100101111100101101000001 % -b111101100101111100101101000001 . -b111101100101111100101101000001 6 -b111101100101111100101101000001 @ -b111101100101111100101101000001 F -b111111110111111101101111110111 ) -#202816000 -0& -#202832000 -b110001100001 , -#202848000 -1& -#202864000 -b1111111111111101101111110110111 " -b1111111111111101101111110110111 4 -b1111111111111101101111110110111 1 -b1111111111111101101111110110111 C -b11101111 0 -b11101111 H -18 -b10000000100100010110100111001000 < -b11111000100011111011010101001011 2 -b11111000100011111011010101001011 = -b11111000100011111011010101001011 : -b1110111111111100100101110000010 $ -b1110111111111100100101110000010 - -b1110111111111100100101110000010 5 -b1110111111111100100101110000010 ? -b1110111111111100100101110000010 D -b1111111011011101001011000110111 % -b1111111011011101001011000110111 . -b1111111011011101001011000110111 6 -b1111111011011101001011000110111 @ -b1111111011011101001011000110111 F -b1111111111111101101111110110111 ) -#202880000 -0& -#202896000 -b110001100010 , -#202912000 -1& -#202928000 -b1111111111111111101111111100111 " -b1111111111111111101111111100111 4 -b1111111111111111101111111100111 1 -b1111111111111111101111111100111 C -b110111010111110100111101100 0 -b110111010111110100111101100 H -08 -b10101010100000001011111010011011 < -b11001001111110101110101100011 2 -b11001001111110101110101100011 = -b11001001111110101110101100011 : -b1101110101111101001111011000111 $ -b1101110101111101001111011000111 - -b1101110101111101001111011000111 5 -b1101110101111101001111011000111 ? -b1101110101111101001111011000111 D -b1010101011111110100000101100100 % -b1010101011111110100000101100100 . -b1010101011111110100000101100100 6 -b1010101011111110100000101100100 @ -b1010101011111110100000101100100 F -b1111111111111111101111111100111 ) -#202944000 -0& -#202960000 -b110001100011 , -#202976000 -1& -#202992000 -b1111111110111111100111100111111 " -b1111111110111111100111100111111 4 -b1111111110111111100111100111111 1 -b1111111110111111100111100111111 C -b1101 0 -b1101 H -b11000100001011001011011011000100 < -b110011100011000100010011010010 2 -b110011100011000100010011010010 = -b110011100011000100010011010010 : -b1101111010111111000111000001101 $ -b1101111010111111000111000001101 - -b1101111010111111000111000001101 5 -b1101111010111111000111000001101 ? -b1101111010111111000111000001101 D -b111011110100110100100100111011 % -b111011110100110100100100111011 . -b111011110100110100100100111011 6 -b111011110100110100100100111011 @ -b111011110100110100100100111011 F -b1111111110111111100111100111111 ) -#203008000 -0& -#203024000 -b110001100100 , -#203040000 -1& -#203056000 -b11111111111111111011110111101010 " -b11111111111111111011110111101010 4 -b11111111111111111011110111101010 1 -b11111111111111111011110111101010 C -b110111110110101010111101 0 -b110111110110101010111101 H -19 -18 -b10001000000000000100001100010111 < -b1100111011010110000000011011010 2 -b1100111011010110000000011011010 = -b1100111011010110000000011011010 : -b11011111011010101011110111000010 $ -b11011111011010101011110111000010 - -b11011111011010101011110111000010 5 -b11011111011010101011110111000010 ? -b11011111011010101011110111000010 D -b1110111111111111011110011101000 % -b1110111111111111011110011101000 . -b1110111111111111011110011101000 6 -b1110111111111111011110011101000 @ -b1110111111111111011110011101000 F -b11111111111111111011110111101010 ) -#203072000 -0& -#203088000 -b110001100101 , -#203104000 -1& -#203120000 -b11111111111111111110011001111111 " -b11111111111111111110011001111111 4 -b11111111111111111110011001111111 1 -b11111111111111111110011001111111 C -b111111110110100011 0 -b111111110110100011 H -08 -19 -b1000001000100000101111110010010 < -b11000000110001001100010111001010 2 -b11000000110001001100010111001010 = -b11000000110001001100010111001010 : -b1111111101101000110011000110111 $ -b1111111101101000110011000110111 - -b1111111101101000110011000110111 5 -b1111111101101000110011000110111 ? -b1111111101101000110011000110111 D -b10111110111011111010000001101101 % -b10111110111011111010000001101101 . -b10111110111011111010000001101101 6 -b10111110111011111010000001101101 @ -b10111110111011111010000001101101 F -b11111111111111111110011001111111 ) -#203136000 -0& -#203152000 -b110001100110 , -#203168000 -1& -#203184000 -b1111011111111110111111100101110 " -b1111011111111110111111100101110 4 -b1111011111111110111111100101110 1 -b1111011111111110111111100101110 C -b1100011111101010110 0 -b1100011111101010110 H -09 -08 -b10100100001001001110011011110011 < -b1000000110100100110100011010 2 -b1000000110100100110100011010 = -b1000000110100100110100011010 : -b1100011111101010110011000100110 $ -b1100011111101010110011000100110 - -b1100011111101010110011000100110 5 -b1100011111101010110011000100110 ? -b1100011111101010110011000100110 D -b1011011110110110001100100001100 % -b1011011110110110001100100001100 . -b1011011110110110001100100001100 6 -b1011011110110110001100100001100 @ -b1011011110110110001100100001100 F -b1111011111111110111111100101110 ) -#203200000 -0& -#203216000 -b110001100111 , -#203232000 -1& -#203248000 -b1111111111111111111110111111110 " -b1111111111111111111110111111110 4 -b1111111111111111111110111111110 1 -b1111111111111111111110111111110 C -b1 0 -b1 H -b11111000000010010001001001000001 < -b1110000111101101000110000110000 2 -b1110000111101101000110000110000 = -b1110000111101101000110000110000 : -b1111000111011010111100111101110 $ -b1111000111011010111100111101110 - -b1111000111011010111100111101110 5 -b1111000111011010111100111101110 ? -b1111000111011010111100111101110 D -b111111101101110110110111110 % -b111111101101110110110111110 . -b111111101101110110110111110 6 -b111111101101110110110111110 @ -b111111101101110110110111110 F -b1111111111111111111110111111110 ) -#203264000 -0& -#203280000 -b110001101000 , -#203296000 -1& -#203312000 -b1111111111111111111110111111101 " -b1111111111111111111110111111101 4 -b1111111111111111111110111111101 1 -b1111111111111111111110111111101 C -b11 0 -b11 H -18 -b10000100001001001100001000100010 < -b11111100000111001010011111100000 2 -b11111100000111001010011111100000 = -b11111100000111001010011111100000 : -b1110111111101111110010110111101 $ -b1110111111101111110010110111101 - -b1110111111101111110010110111101 5 -b1110111111101111110010110111101 ? -b1110111111101111110010110111101 D -b1111011110110110011110111011101 % -b1111011110110110011110111011101 . -b1111011110110110011110111011101 6 -b1111011110110110011110111011101 @ -b1111011110110110011110111011101 F -b1111111111111111111110111111101 ) -#203328000 -0& -#203344000 -b110001101001 , -#203360000 -1& -#203376000 -b11111111111111111111011111000011 " -b11111111111111111111011111000011 4 -b11111111111111111111011111000011 1 -b11111111111111111111011111000011 C -b11111111111111110110010011000 0 -b11111111111111110110010011000 H -b10010011100000010100110001111100 < -b10010011100000001011000101000000 2 -b10010011100000001011000101000000 = -b10010011100000001011000101000000 : -b11111111111111110110010011000011 $ -b11111111111111110110010011000011 - -b11111111111111110110010011000011 5 -b11111111111111110110010011000011 ? -b11111111111111110110010011000011 D -b1101100011111101011001110000011 % -b1101100011111101011001110000011 . -b1101100011111101011001110000011 6 -b1101100011111101011001110000011 @ -b1101100011111101011001110000011 F -b11111111111111111111011111000011 ) -#203392000 -0& -#203408000 -b110001101010 , -#203424000 -1& -#203440000 -b11111111111111111011111111110111 " -b11111111111111111011111111110111 4 -b11111111111111111011111111110111 1 -b11111111111111111011111111110111 C -b11011000111 0 -b11011000111 H -09 -08 -b1000000000000000111001010001010 < -b11000111011111010111010000001 2 -b11000111011111010111010000001 = -b11000111011111010111010000001 : -b11011000111011110011101111110110 $ -b11011000111011110011101111110110 - -b11011000111011110011101111110110 5 -b11011000111011110011101111110110 ? -b11011000111011110011101111110110 D -b10111111111111111000110101110101 % -b10111111111111111000110101110101 . -b10111111111111111000110101110101 6 -b10111111111111111000110101110101 @ -b10111111111111111000110101110101 F -b11111111111111111011111111110111 ) -#203456000 -0& -#203472000 -b110001101011 , -#203488000 -1& -#203504000 -b11111100110111111111011101111111 " -b11111100110111111111011101111111 4 -b11111100110111111111011101111111 1 -b11111100110111111111011101111111 C -b11110000101011101110011011 0 -b11110000101011101110011011 H -19 -08 -b1011011001000110101010111010 < -b10000011101110111101111000111010 2 -b10000011101110111101111000111010 = -b10000011101110111101111000111010 : -b1111000010101110111001101111111 $ -b1111000010101110111001101111111 - -b1111000010101110111001101111111 5 -b1111000010101110111001101111111 ? -b1111000010101110111001101111111 D -b11110100100110111001010101000101 % -b11110100100110111001010101000101 . -b11110100100110111001010101000101 6 -b11110100100110111001010101000101 @ -b11110100100110111001010101000101 F -b11111100110111111111011101111111 ) -#203520000 -0& -#203536000 -b110001101100 , -#203552000 -1& -#203568000 -b11111111111111111101011101111010 " -b11111111111111111101011101111010 4 -b11111111111111111101011101111010 1 -b11111111111111111101011101111010 C -b1111110111111010110100 0 -b1111110111111010110100 H -09 -08 -b101100000000111101111010101 < -b11011110110100111101000110 2 -b11011110110100111101000110 = -b11011110110100111101000110 : -b11111101111110101101001101110000 $ -b11111101111110101101001101110000 - -b11111101111110101101001101110000 5 -b11111101111110101101001101110000 ? -b11111101111110101101001101110000 D -b11111010011111111000010000101010 % -b11111010011111111000010000101010 . -b11111010011111111000010000101010 6 -b11111010011111111000010000101010 @ -b11111010011111111000010000101010 F -b11111111111111111101011101111010 ) -#203584000 -0& -#203600000 -b110001101101 , -#203616000 -1& -#203632000 -b11111111011111111101111011111011 " -b11111111011111111101111011111011 4 -b11111111011111111101111011111011 1 -b11111111011111111101111011111011 C -b1100 0 -b1100 H -b100000001010001111100101 < -b110010101101000110111011000111 2 -b110010101101000110111011000111 = -b110010101101000110111011000111 : -b110010001100111100101011100001 $ -b110010001100111100101011100001 - -b110010001100111100101011100001 5 -b110010001100111100101011100001 ? -b110010001100111100101011100001 D -b11111111011111110101110000011010 % -b11111111011111110101110000011010 . -b11111111011111110101110000011010 6 -b11111111011111110101110000011010 @ -b11111111011111110101110000011010 F -b11111111011111111101111011111011 ) -#203648000 -0& -#203664000 -b110001101110 , -#203680000 -1& -#203696000 -b11111111111111111111110110111010 " -b11111111111111111111110110111010 4 -b11111111111111111111110110111010 1 -b11111111111111111111110110111010 C -b1110111111110111111110 0 -b1110111111110111111110 H -18 -b10100001000000000110001001110101 < -b10010000111110000101101010101110 2 -b10010000111110000101101010101110 = -b10010000111110000101101010101110 : -b11101111111101111111100000111000 $ -b11101111111101111111100000111000 - -b11101111111101111111100000111000 5 -b11101111111101111111100000111000 ? -b11101111111101111111100000111000 D -b1011110111111111001110110001010 % -b1011110111111111001110110001010 . -b1011110111111111001110110001010 6 -b1011110111111111001110110001010 @ -b1011110111111111001110110001010 F -b11111111111111111111110110111010 ) -#203712000 -0& -#203728000 -b110001101111 , -#203744000 -1& -#203760000 -b11110111111111111111111111010101 " -b11110111111111111111111111010101 4 -b11110111111111111111111111010101 1 -b11110111111111111111111111010101 C -b11110110111 0 -b11110110111 H -b1000000001101111000000101010 < -b11111110111111101110100010101011 2 -b11111110111111101110100010101011 = -b11111110111111101110100010101011 : -b11110110111101111111100010000000 $ -b11110110111101111111100010000000 - -b11110110111101111111100010000000 5 -b11110110111101111111100010000000 ? -b11110110111101111111100010000000 D -b11110111111110010000111111010101 % -b11110111111110010000111111010101 . -b11110111111110010000111111010101 6 -b11110111111110010000111111010101 @ -b11110111111110010000111111010101 F -b11110111111111111111111111010101 ) -#203776000 -0& -#203792000 -b110001110000 , -#203808000 -1& -#203824000 -b11111111111111110111111111011111 " -b11111111111111110111111111011111 4 -b11111111111111110111111111011111 1 -b11111111111111110111111111011111 C -b1111101101111111001 0 -b1111101101111111001 H -18 -b1001000001010011110110010 < -b11111100100111111101111101010010 2 -b11111100100111111101111101010010 = -b11111100100111111101111101010010 : -b11111011011111110011011110011111 $ -b11111011011111110011011110011111 - -b11111011011111110011011110011111 5 -b11111011011111110011011110011111 ? -b11111011011111110011011110011111 D -b11111110110111110101100001001101 % -b11111110110111110101100001001101 . -b11111110110111110101100001001101 6 -b11111110110111110101100001001101 @ -b11111110110111110101100001001101 F -b11111111111111110111111111011111 ) -#203840000 -0& -#203856000 -b110001110001 , -#203872000 -1& -#203888000 -b1101111111111111111101111110111 " -b1101111111111111111101111110111 4 -b1101111111111111111101111110111 1 -b1101111111111111111101111110111 C -b11011000111111110111010100 0 -b11011000111111110111010100 H -08 -b11010000000100001001011000011010 < -b111100100100000101000010101110 2 -b111100100100000101000010101110 = -b111100100100000101000010101110 : -b1101100011111111011101010010011 $ -b1101100011111111011101010010011 - -b1101100011111111011101010010011 5 -b1101100011111111011101010010011 ? -b1101100011111111011101010010011 D -b101111111011110110100111100101 % -b101111111011110110100111100101 . -b101111111011110110100111100101 6 -b101111111011110110100111100101 @ -b101111111011110110100111100101 F -b1101111111111111111101111110111 ) -#203904000 -0& -#203920000 -b110001110010 , -#203936000 -1& -#203952000 -b1111110111110111011101111110100 " -b1111110111110111011101111110100 4 -b1111110111110111011101111110100 1 -b1111110111110111011101111110100 C -b11111101111 0 -b11111101111 H -b10000011000001000111011001101011 < -b1111101010010101001011100 2 -b1111101010010101001011100 = -b1111101010010101001011100 : -b1111110111100001011001111110000 $ -b1111110111100001011001111110000 - -b1111110111100001011001111110000 5 -b1111110111100001011001111110000 ? -b1111110111100001011001111110000 D -b1111100111110111000100110010100 % -b1111100111110111000100110010100 . -b1111100111110111000100110010100 6 -b1111100111110111000100110010100 @ -b1111100111110111000100110010100 F -b1111110111110111011101111110100 ) -#203968000 -0& -#203984000 -b110001110011 , -#204000000 -1& -#204016000 -b11111110111111110101111110111101 " -b11111110111111110101111110111101 4 -b11111110111111110101111110111101 1 -b11111110111111110101111110111101 C -b111 0 -b111 H -19 -08 -b11000010101010010001000011 < -b10000010000010011010100101110001 2 -b10000010000010011010100101110001 = -b10000010000010011010100101110001 : -b1111110111111110000010100101101 $ -b1111110111111110000010100101101 - -b1111110111111110000010100101101 5 -b1111110111111110000010100101101 ? -b1111110111111110000010100101101 D -b11111100111101010101101110111100 % -b11111100111101010101101110111100 . -b11111100111101010101101110111100 6 -b11111100111101010101101110111100 @ -b11111100111101010101101110111100 F -b11111110111111110101111110111101 ) -#204032000 -0& -#204048000 -b110001110100 , -#204064000 -1& -#204080000 -b11011111111111111111111111101111 " -b11011111111111111111111111101111 4 -b11011111111111111111111111101111 1 -b11011111111111111111111111101111 C -b1101111001110110001001 0 -b1101111001110110001001 H -18 -19 -b10100000000000000010000010010101 < -b1111110011101100100011100011011 2 -b1111110011101100100011100011011 = -b1111110011101100100011100011011 : -b11011110011101100010011010000101 $ -b11011110011101100010011010000101 - -b11011110011101100010011010000101 5 -b11011110011101100010011010000101 ? -b11011110011101100010011010000101 D -b1011111111111111101111101101010 % -b1011111111111111101111101101010 . -b1011111111111111101111101101010 6 -b1011111111111111101111101101010 @ -b1011111111111111101111101101010 F -b11011111111111111111111111101111 ) -#204096000 -0& -#204112000 -b110001110101 , -#204128000 -1& -#204144000 -b11111111111111111101101011111100 " -b11111111111111111101101011111100 4 -b11111111111111111101101011111100 1 -b11111111111111111101101011111100 C -b111111011111 0 -b111111011111 H -09 -18 -b11010000100010000010010110001011 < -b11001110100001110111100001010100 2 -b11001110100001110111100001010100 = -b11001110100001110111100001010100 : -b11111101111111110101001011001000 $ -b11111101111111110101001011001000 - -b11111101111111110101001011001000 5 -b11111101111111110101001011001000 ? -b11111101111111110101001011001000 D -b101111011101111101101001110100 % -b101111011101111101101001110100 . -b101111011101111101101001110100 6 -b101111011101111101101001110100 @ -b101111011101111101101001110100 F -b11111111111111111101101011111100 ) -#204160000 -0& -#204176000 -b110001110110 , -#204192000 -1& -#204208000 -b11011111111111111011110110111100 " -b11011111111111111011110110111100 4 -b11011111111111111011110110111100 1 -b11011111111111111011110110111100 C -b10011111111 0 -b10011111111 H -08 -b100000100000000110001011001011 < -b1110000011110100001111101101000 2 -b1110000011110100001111101101000 = -b1110000011110100001111101101000 : -b1001111111110011011110010011100 $ -b1001111111110011011110010011100 - -b1001111111110011011110010011100 5 -b1001111111110011011110010011100 ? -b1001111111110011011110010011100 D -b11011111011111111001110100110100 % -b11011111011111111001110100110100 . -b11011111011111111001110100110100 6 -b11011111011111111001110100110100 @ -b11011111011111111001110100110100 F -b11011111111111111011110110111100 ) -#204224000 -0& -#204240000 -b110001110111 , -#204256000 -1& -#204272000 -b11111111111111100110111011110100 " -b11111111111111100110111011110100 4 -b11111111111111100110111011110100 1 -b11111111111111100110111011110100 C -b111111011111 0 -b111111011111 H -18 -09 -b11001101110000011001000100001011 < -b11001011101110111001111110100000 2 -b11001011101110111001111110100000 = -b11001011101110111001111110100000 : -b11111101111110100000111010010100 $ -b11111101111110100000111010010100 - -b11111101111110100000111010010100 5 -b11111101111110100000111010010100 ? -b11111101111110100000111010010100 D -b110010001111100110111011110100 % -b110010001111100110111011110100 . -b110010001111100110111011110100 6 -b110010001111100110111011110100 @ -b110010001111100110111011110100 F -b11111111111111100110111011110100 ) -#204288000 -0& -#204304000 -b110001111000 , -#204320000 -1& -#204336000 -b11111111111111111111111101011111 " -b11111111111111111111111101011111 4 -b11111111111111111111111101011111 1 -b11111111111111111111111101011111 C -b11 0 -b11 H -19 -08 -b10110110010000000010100010 < -b10000001110101101010000110111001 2 -b10000001110101101010000110111001 = -b10000001110101101010000110111001 : -b1111110111111011010000100010110 $ -b1111110111111011010000100010110 - -b1111110111111011010000100010110 5 -b1111110111111011010000100010110 ? -b1111110111111011010000100010110 D -b11111101001001101111111101011101 % -b11111101001001101111111101011101 . -b11111101001001101111111101011101 6 -b11111101001001101111111101011101 @ -b11111101001001101111111101011101 F -b11111111111111111111111101011111 ) -#204352000 -0& -#204368000 -b110001111001 , -#204384000 -1& -#204400000 -b1111111111111111100111111110011 " -b1111111111111111100111111110011 4 -b1111111111111111100111111110011 1 -b1111111111111111100111111110011 C -b11111111111101011001111101100 0 -b11111111111101011001111101100 H -09 -08 -b10001000010000100111101110111101 < -b1000001111010100101101110001 2 -b1000001111010100101101110001 = -b1000001111010100101101110001 : -b1111111111110101100111110110011 $ -b1111111111110101100111110110011 - -b1111111111110101100111110110011 5 -b1111111111110101100111110110011 ? -b1111111111110101100111110110011 D -b1110111101111011000010001000010 % -b1110111101111011000010001000010 . -b1110111101111011000010001000010 6 -b1110111101111011000010001000010 @ -b1110111101111011000010001000010 F -b1111111111111111100111111110011 ) -#204416000 -0& -#204432000 -b110001111010 , -#204448000 -1& -#204464000 -b11111101111111111111110001111101 " -b11111101111111111111110001111101 4 -b11111101111111111111110001111101 1 -b11111101111111111111110001111101 C -b111110111111101100111000011 0 -b111110111111101100111000011 H -19 -08 -b1101010000000010000001110011011 < -b11100111111111101001111111010101 2 -b11100111111111101001111111010101 = -b11100111111111101001111111010101 : -b1111101111111011001110000111001 $ -b1111101111111011001110000111001 - -b1111101111111011001110000111001 5 -b1111101111111011001110000111001 ? -b1111101111111011001110000111001 D -b10010101111111101111110001100100 % -b10010101111111101111110001100100 . -b10010101111111101111110001100100 6 -b10010101111111101111110001100100 @ -b10010101111111101111110001100100 F -b11111101111111111111110001111101 ) -#204480000 -0& -#204496000 -b110001111011 , -#204512000 -1& -#204528000 -b1111111111111110111110111111100 " -b1111111111111110111110111111100 4 -b1111111111111110111110111111100 1 -b1111111111111110111110111111100 C -b111010111110111 0 -b111010111110111 H -09 -08 -b10010100110101001001001010001111 < -b1010110010111111000001101100 2 -b1010110010111111000001101100 = -b1010110010111111000001101100 : -b1110101111101110101110111011100 $ -b1110101111101110101110111011100 - -b1110101111101110101110111011100 5 -b1110101111101110101110111011100 ? -b1110101111101110101110111011100 D -b1101011001010110110110101110000 % -b1101011001010110110110101110000 . -b1101011001010110110110101110000 6 -b1101011001010110110110101110000 @ -b1101011001010110110110101110000 F -b1111111111111110111110111111100 ) -#204544000 -0& -#204560000 -b110001111100 , -#204576000 -1& -#204592000 -b11111101111111111111111110101010 " -b11111101111111111111111110101010 4 -b11111101111111111111111110101010 1 -b11111101111111111111111110101010 C -b1110100111101100010101 0 -b1110100111101100010101 H -18 -19 -b10000010000010000100001011110101 < -b1101011111101001001100110011110 2 -b1101011111101001001100110011110 = -b1101011111101001001100110011110 : -b11101001111011000101011010101000 $ -b11101001111011000101011010101000 - -b11101001111011000101011010101000 5 -b11101001111011000101011010101000 ? -b11101001111011000101011010101000 D -b1111101111101111011110100001010 % -b1111101111101111011110100001010 . -b1111101111101111011110100001010 6 -b1111101111101111011110100001010 @ -b1111101111101111011110100001010 F -b11111101111111111111111110101010 ) -#204608000 -0& -#204624000 -b110001111101 , -#204640000 -1& -#204656000 -b1111111011111111111111110111111 " -b1111111011111111111111110111111 4 -b1111111011111111111111110111111 1 -b1111111011111111111111110111111 C -b11111110111111 0 -b11111110111111 H -08 -09 -b10011000110010001010101111001110 < -b11000010010001001011101111101 2 -b11000010010001001011101111101 = -b11000010010001001011101111101 : -b1111111011111111110101110101110 $ -b1111111011111111110101110101110 - -b1111111011111111110101110101110 5 -b1111111011111111110101110101110 ? -b1111111011111111110101110101110 D -b1100111001101110101010000110001 % -b1100111001101110101010000110001 . -b1100111001101110101010000110001 6 -b1100111001101110101010000110001 @ -b1100111001101110101010000110001 F -b1111111011111111111111110111111 ) -#204672000 -0& -#204688000 -b110001111110 , -#204704000 -1& -#204720000 -b11111111111111111111110110111110 " -b11111111111111111111110110111110 4 -b11111111111111111111110110111110 1 -b11111111111111111111110110111110 C -b110111111101111111 0 -b110111111101111111 H -18 -b11000100000001001001111011010001 < -b10100011111001001001110001101110 2 -b10100011111001001001110001101110 = -b10100011111001001001110001101110 : -b11011111110111111111110110011100 $ -b11011111110111111111110110011100 - -b11011111110111111111110110011100 5 -b11011111110111111111110110011100 ? -b11011111110111111111110110011100 D -b111011111110110110000100101110 % -b111011111110110110000100101110 . -b111011111110110110000100101110 6 -b111011111110110110000100101110 @ -b111011111110110110000100101110 F -b11111111111111111111110110111110 ) -#204736000 -0& -#204752000 -b110001111111 , -#204768000 -1& -#204784000 -b11110111111111111011111111011111 " -b11110111111111111011111111011111 4 -b11110111111111111011111111011111 1 -b11110111111111111011111111011111 C -b1 0 -b1 H -19 -08 -b1001101010000000111000000100001 < -b11000101010000000010000100111101 2 -b11000101010000000010000100111101 = -b11000101010000000010000100111101 : -b1110111111111111011000100011011 $ -b1110111111111111011000100011011 - -b1110111111111111011000100011011 5 -b1110111111111111011000100011011 ? -b1110111111111111011000100011011 D -b10110010101111111000111111011110 % -b10110010101111111000111111011110 . -b10110010101111111000111111011110 6 -b10110010101111111000111111011110 @ -b10110010101111111000111111011110 F -b11110111111111111011111111011111 ) -#204800000 -0& -#204816000 -b110010000000 , -#204832000 -1& -#204848000 -b1111111010111111111000011110111 " -b1111111010111111111000011110111 4 -b1111111010111111111000011110111 1 -b1111111010111111111000011110111 C -b111101101011111110100001111 0 -b111101101011111110100001111 H -09 -08 -b11000000101001101101111111111011 < -b111100000001101011000011101111 2 -b111100000001101011000011101111 = -b111100000001101011000011101111 : -b1111011010111111101000011110011 $ -b1111011010111111101000011110011 - -b1111011010111111101000011110011 5 -b1111011010111111101000011110011 ? -b1111011010111111101000011110011 D -b111111010110010010000000000100 % -b111111010110010010000000000100 . -b111111010110010010000000000100 6 -b111111010110010010000000000100 @ -b111111010110010010000000000100 F -b1111111010111111111000011110111 ) -#204864000 -0& -#204880000 -b110010000001 , -#204896000 -1& -#204912000 -b11111111110111110111110111110111 " -b11111111110111110111110111110111 4 -b11111111110111110111110111110111 1 -b11111111110111110111110111110111 C -b101111111 0 -b101111111 H -19 -08 -b1000101101000001001001011001001 < -b10100101011111011100100010111011 2 -b10100101011111011100100010111011 = -b10100101011111011100100010111011 : -b1011111110111010011010111110001 $ -b1011111110111010011010111110001 - -b1011111110111010011010111110001 5 -b1011111110111010011010111110001 ? -b1011111110111010011010111110001 D -b10111010010111110110110100110110 % -b10111010010111110110110100110110 . -b10111010010111110110110100110110 6 -b10111010010111110110110100110110 @ -b10111010010111110110110100110110 F -b11111111110111110111110111110111 ) -#204928000 -0& -#204944000 -b110010000010 , -#204960000 -1& -#204976000 -b111011111111110110111111110101 " -b111011111111110110111111110101 4 -b111011111111110110111111110101 1 -b111011111111110110111111110101 C -b110001111110110100111010010101 0 -b110001111110110100111010010101 H -09 -08 -b11010100110100001101110000011111 < -b110110011000010101010110101 2 -b110110011000010101010110101 = -b110110011000010101010110101 : -b110001111110110100111010010101 $ -b110001111110110100111010010101 - -b110001111110110100111010010101 5 -b110001111110110100111010010101 ? -b110001111110110100111010010101 D -b101011001011110010001111100000 % -b101011001011110010001111100000 . -b101011001011110010001111100000 6 -b101011001011110010001111100000 @ -b101011001011110010001111100000 F -b111011111111110110111111110101 ) -#204992000 -0& -#205008000 -b110010000011 , -#205024000 -1& -#205040000 -b11111111111111011011101101001011 " -b11111111111111011011101101001011 4 -b11111111111111011011101101001011 1 -b11111111111111011011101101001011 C -b1011101001101011011100 0 -b1011101001101011011100 H -19 -08 -b1000000000001111111110111110110 < -b10011101001111011011011101000001 2 -b10011101001111011011011101000001 = -b10011101001111011011011101000001 : -b1011101001101011011100101001010 $ -b1011101001101011011100101001010 - -b1011101001101011011100101001010 5 -b1011101001101011011100101001010 ? -b1011101001101011011100101001010 D -b10111111111110000000001000001001 % -b10111111111110000000001000001001 . -b10111111111110000000001000001001 6 -b10111111111110000000001000001001 @ -b10111111111110000000001000001001 F -b11111111111111011011101101001011 ) -#205056000 -0& -#205072000 -b110010000100 , -#205088000 -1& -#205104000 -b10111111111111101111101111111111 " -b10111111111111101111101111111111 4 -b10111111111111101111101111111111 1 -b10111111111111101111101111111111 C -b101111101 0 -b101111101 H -09 -b1000110101000010000110000001000 < -b101100100110110010010010110 2 -b101100100110110010010010110 = -b101100100110110010010010110 : -b10111110111100100101100010001101 $ -b10111110111100100101100010001101 - -b10111110111100100101100010001101 5 -b10111110111100100101100010001101 ? -b10111110111100100101100010001101 D -b10111001010111101111001111110111 % -b10111001010111101111001111110111 . -b10111001010111101111001111110111 6 -b10111001010111101111001111110111 @ -b10111001010111101111001111110111 F -b10111111111111101111101111111111 ) -#205120000 -0& -#205136000 -b110010000101 , -#205152000 -1& -#205168000 -b11111111111110111111101111111110 " -b11111111111110111111101111111110 4 -b11111111111110111111101111111110 1 -b11111111111110111111101111111110 C -b111110 0 -b111110 H -18 -b10000001000101110110000101 < -b11111101011111110101100101110100 2 -b11111101011111110101100101110100 = -b11111101011111110101100101110100 : -b11111011011110101111101111101110 $ -b11111011011110101111101111101110 - -b11111011011110101111101111101110 5 -b11111011011110101111101111101110 ? -b11111011011110101111101111101110 D -b11111101111110111010001001111010 % -b11111101111110111010001001111010 . -b11111101111110111010001001111010 6 -b11111101111110111010001001111010 @ -b11111101111110111010001001111010 F -b11111111111110111111101111111110 ) -#205184000 -0& -#205200000 -b110010000110 , -#205216000 -1& -#205232000 -b1111110111111011100111111111011 " -b1111110111111011100111111111011 4 -b1111110111111011100111111111011 1 -b1111110111111011100111111111011 C -b111 0 -b111 H -18 -b10000101000001110011001010100100 < -b11000010000001000111100110000110 2 -b11000010000001000111100110000110 = -b11000010000001000111100110000110 : -b111100111111010100011011100001 $ -b111100111111010100011011100001 - -b111100111111010100011011100001 5 -b111100111111010100011011100001 ? -b111100111111010100011011100001 D -b1111010111110001100110101011011 % -b1111010111110001100110101011011 . -b1111010111110001100110101011011 6 -b1111010111110001100110101011011 @ -b1111010111110001100110101011011 F -b1111110111111011100111111111011 ) -#205248000 -0& -#205264000 -b110010000111 , -#205280000 -1& -#205296000 -b11111111111111111110011011111011 " -b11111111111111111110011011111011 4 -b11111111111111111110011011111011 1 -b11111111111111111110011011111011 C -b1111 0 -b1111 H -08 -b10000000000001100100100101 < -b1000000011111111001101000010001 2 -b1000000011111111001101000010001 = -b1000000011111111001101000010001 : -b111110011111111000000011101011 $ -b111110011111111000000011101011 - -b111110011111111000000011101011 5 -b111110011111111000000011101011 ? -b111110011111111000000011101011 D -b11111101111111111110011011011010 % -b11111101111111111110011011011010 . -b11111101111111111110011011011010 6 -b11111101111111111110011011011010 @ -b11111101111111111110011011011010 F -b11111111111111111110011011111011 ) -#205312000 -0& -#205328000 -b110010001000 , -#205344000 -1& -#205360000 -b1111111111111111011111111111111 " -b1111111111111111011111111111111 4 -b1111111111111111011111111111111 1 -b1111111111111111011111111111111 C -b11111 0 -b11111 H -09 -08 -b10000100001000000100010010000101 < -b11100111111110010001001011 2 -b11100111111110010001001011 = -b11100111111110010001001011 : -b1111111011111111001111111000101 $ -b1111111011111111001111111000101 - -b1111111011111111001111111000101 5 -b1111111011111111001111111000101 ? -b1111111011111111001111111000101 D -b1111011110111111011101101111010 % -b1111011110111111011101101111010 . -b1111011110111111011101101111010 6 -b1111011110111111011101101111010 @ -b1111011110111111011101101111010 F -b1111111111111111011111111111111 ) -#205376000 -0& -#205392000 -b110010001001 , -#205408000 -1& -#205424000 -b1111111111111110111010111111111 " -b1111111111111110111010111111111 4 -b1111111111111110111010111111111 1 -b1111111111111110111010111111111 C -b1111 0 -b1111 H -b11000100000001001000101100000100 < -b1000001111110111110110010111011 2 -b1000001111110111110110010111011 = -b1000001111110111110110010111011 : -b1111101111101110110000110110110 $ -b1111101111101110110000110110110 - -b1111101111101110110000110110110 5 -b1111101111101110110000110110110 ? -b1111101111101110110000110110110 D -b111011111110110111010011111011 % -b111011111110110111010011111011 . -b111011111110110111010011111011 6 -b111011111110110111010011111011 @ -b111011111110110111010011111011 F -b1111111111111110111010111111111 ) -#205440000 -0& -#205456000 -b110010001010 , -#205472000 -1& -#205488000 -b1100111111111111010100111100011 " -b1100111111111111010100111100011 4 -b1100111111111111010100111100011 1 -b1100111111111111010100111100011 C -b1100111111111111010100111100 0 -b1100111111111111010100111100 H -b10011000010100001111011000111100 < -b10100001010000000011111 2 -b10100001010000000011111 = -b10100001010000000011111 : -b1100111111111111010100111100010 $ -b1100111111111111010100111100010 - -b1100111111111111010100111100010 5 -b1100111111111111010100111100010 ? -b1100111111111111010100111100010 D -b1100111101011110000100111000011 % -b1100111101011110000100111000011 . -b1100111101011110000100111000011 6 -b1100111101011110000100111000011 @ -b1100111101011110000100111000011 F -b1100111111111111010100111100011 ) -#205504000 -0& -#205520000 -b110010001011 , -#205536000 -1& -#205552000 -b1111111111111101111111111111010 " -b1111111111111101111111111111010 4 -b1111111111111101111111111111010 1 -b1111111111111101111111111111010 C -b110110101100111110 0 -b110110101100111110 H -18 -b10001000000011011110000011010101 < -b10010101101110101101100110100110 2 -b10010101101110101101100110100110 = -b10010101101110101101100110100110 : -b1101101011001111100011010000 $ -b1101101011001111100011010000 - -b1101101011001111100011010000 5 -b1101101011001111100011010000 ? -b1101101011001111100011010000 D -b1110111111100100001111100101010 % -b1110111111100100001111100101010 . -b1110111111100100001111100101010 6 -b1110111111100100001111100101010 @ -b1110111111100100001111100101010 F -b1111111111111101111111111111010 ) -#205568000 -0& -#205584000 -b110010001100 , -#205600000 -1& -#205616000 -b1111111111111111111111100110101 " -b1111111111111111111111100110101 4 -b1111111111111111111111100110101 1 -b1111111111111111111111100110101 C -b11110011111111111110111000 0 -b11110011111111111110111000 H -18 -b10000000001101101110001011011010 < -b11111010001101101101100111101011 2 -b11111010001101101101100111101011 = -b11111010001101101101100111101011 : -b1111001111111111111011100010000 $ -b1111001111111111111011100010000 - -b1111001111111111111011100010000 5 -b1111001111111111111011100010000 ? -b1111001111111111111011100010000 D -b1111111110010010001110100100101 % -b1111111110010010001110100100101 . -b1111111110010010001110100100101 6 -b1111111110010010001110100100101 @ -b1111111110010010001110100100101 F -b1111111111111111111111100110101 ) -#205632000 -0& -#205648000 -b110010001101 , -#205664000 -1& -#205680000 -b1111111110111111101011111110011 " -b1111111110111111101011111110011 4 -b1111111110111111101011111110011 1 -b1111111110111111101011111110011 C -b111011101011 0 -b111011101011 H -b10000111001000000010111001101100 < -b11111110011111100111010111011110 2 -b11111110011111100111010111011110 = -b11111110011111100111010111011110 : -b1110111010111100100011101110001 $ -b1110111010111100100011101110001 - -b1110111010111100100011101110001 5 -b1110111010111100100011101110001 ? -b1110111010111100100011101110001 D -b1111000110111111101000110010011 % -b1111000110111111101000110010011 . -b1111000110111111101000110010011 6 -b1111000110111111101000110010011 @ -b1111000110111111101000110010011 F -b1111111110111111101011111110011 ) -#205696000 -0& -#205712000 -b110010001110 , -#205728000 -1& -#205744000 -b1011111110111111111101110111001 " -b1011111110111111111101110111001 4 -b1011111110111111111101110111001 1 -b1011111110111111111101110111001 C -b10111110110011100100 0 -b10111110110011100100 H -b10100000011000010000110011010110 < -b10111000001110101101011010001111 2 -b10111000001110101101011010001111 = -b10111000001110101101011010001111 : -b10111110110011100100110111000 $ -b10111110110011100100110111000 - -b10111110110011100100110111000 5 -b10111110110011100100110111000 ? -b10111110110011100100110111000 D -b1011111100111101111001100101001 % -b1011111100111101111001100101001 . -b1011111100111101111001100101001 6 -b1011111100111101111001100101001 @ -b1011111100111101111001100101001 F -b1011111110111111111101110111001 ) -#205760000 -0& -#205776000 -b110010001111 , -#205792000 -1& -#205808000 -b11111111111111111101011111001101 " -b11111111111111111101011111001101 4 -b11111111111111111101011111001101 1 -b11111111111111111101011111001101 C -b1111001111110111000001001001101 0 -b1111001111110111000001001001101 H -19 -08 -b1000000000011010100001111111 < -b10000001111111010010101011001101 2 -b10000001111111010010101011001101 = -b10000001111111010010101011001101 : -b1111001111110111000001001001101 $ -b1111001111110111000001001001101 - -b1111001111110111000001001001101 5 -b1111001111110111000001001001101 ? -b1111001111110111000001001001101 D -b11110111111111100101011110000000 % -b11110111111111100101011110000000 . -b11110111111111100101011110000000 6 -b11110111111111100101011110000000 @ -b11110111111111100101011110000000 F -b11111111111111111101011111001101 ) -#205824000 -0& -#205840000 -b110010010000 , -#205856000 -1& -#205872000 -b11111111111111111111110101111111 " -b11111111111111111111110101111111 4 -b11111111111111111111110101111111 1 -b11111111111111111111110101111111 C -b11111111111110011 0 -b11111111111110011 H -09 -08 -b100010001000001110101011010000 < -b100010000110101110011100101000 2 -b100010000110101110011100101000 = -b100010000110101110011100101000 : -b11111111111110011111110001010111 $ -b11111111111110011111110001010111 - -b11111111111110011111110001010111 5 -b11111111111110011111110001010111 ? -b11111111111110011111110001010111 D -b11011101110111110001010100101111 % -b11011101110111110001010100101111 . -b11011101110111110001010100101111 6 -b11011101110111110001010100101111 @ -b11011101110111110001010100101111 F -b11111111111111111111110101111111 ) -#205888000 -0& -#205904000 -b110010010001 , -#205920000 -1& -#205936000 -b11111111111111111111011111111101 " -b11111111111111111111011111111101 4 -b11111111111111111111011111111101 1 -b11111111111111111111011111111101 C -b1100 0 -b1100 H -19 -18 -b10001000010000100000100000000011 < -b1011000000011010000110011001101 2 -b1011000000011010000110011001101 = -b1011000000011010000110011001101 : -b11001111110010110000010011001001 $ -b11001111110010110000010011001001 - -b11001111110010110000010011001001 5 -b11001111110010110000010011001001 ? -b11001111110010110000010011001001 D -b1110111101111011111011111111100 % -b1110111101111011111011111111100 . -b1110111101111011111011111111100 6 -b1110111101111011111011111111100 @ -b1110111101111011111011111111100 F -b11111111111111111111011111111101 ) -#205952000 -0& -#205968000 -b110010010010 , -#205984000 -1& -#206000000 -b11111111111111111001111111111111 " -b11111111111111111001111111111111 4 -b11111111111111111001111111111111 1 -b11111111111111111001111111111111 C -b111111 0 -b111111 H -09 -08 -b1000000000000110101000000101 < -b101111111110111100111010101 2 -b101111111110111100111010101 = -b101111111110111100111010101 : -b11111101111111110000111111001111 $ -b11111101111111110000111111001111 - -b11111101111111110000111111001111 5 -b11111101111111110000111111001111 ? -b11111101111111110000111111001111 D -b11110111111111111001010111111010 % -b11110111111111111001010111111010 . -b11110111111111111001010111111010 6 -b11110111111111111001010111111010 @ -b11110111111111111001010111111010 F -b11111111111111111001111111111111 ) -#206016000 -0& -#206032000 -b110010010011 , -#206048000 -1& -#206064000 -b11110111111111111111111110110110 " -b11110111111111111111111110110110 4 -b11110111111111111111111110110110 1 -b11110111111111111111111110110110 C -b110100101101 0 -b110100101101 H -19 -18 -b10001000000000000110111001001011 < -b1011010110111000110110101100010 2 -b1011010110111000110110101100010 = -b1011010110111000110110101100010 : -b11010010110110111111111100010110 $ -b11010010110110111111111100010110 - -b11010010110110111111111100010110 5 -b11010010110110111111111100010110 ? -b11010010110110111111111100010110 D -b1110111111111111001000110110100 % -b1110111111111111001000110110100 . -b1110111111111111001000110110100 6 -b1110111111111111001000110110100 @ -b1110111111111111001000110110100 F -b11110111111111111111111110110110 ) -#206080000 -0& -#206096000 -b110010010100 , -#206112000 -1& -#206128000 -b11111101111101011111001111111111 " -b11111101111101011111001111111111 4 -b11111101111101011111001111111111 1 -b11111101111101011111001111111111 C -b1111110101 0 -b1111110101 H -09 -08 -b10010000010111001111100001001 < -b1111100000010111001010000111 2 -b1111100000010111001010000111 = -b1111100000010111001010000111 : -b11111101011101011101001101111101 $ -b11111101011101011101001101111101 - -b11111101011101011101001101111101 5 -b11111101011101011101001101111101 ? -b11111101011101011101001101111101 D -b11101101111101000110000011110110 % -b11101101111101000110000011110110 . -b11101101111101000110000011110110 6 -b11101101111101000110000011110110 @ -b11101101111101000110000011110110 F -b11111101111101011111001111111111 ) -#206144000 -0& -#206160000 -b110010010101 , -#206176000 -1& -#206192000 -b11011111111111111011111011011011 " -b11011111111111111011111011011011 4 -b11011111111111111011111011011011 1 -b11011111111111111011111011011011 C -b110111 0 -b110111 H -08 -b110000000100000110010101100101 < -b1101111011110001101001000001 2 -b1101111011110001101001000001 = -b1101111011110001101001000001 : -b11011101110111101011010011011011 $ -b11011101110111101011010011011011 - -b11011101110111101011010011011011 5 -b11011101110111101011010011011011 ? -b11011101110111101011010011011011 D -b11001111111011111001101010011010 % -b11001111111011111001101010011010 . -b11001111111011111001101010011010 6 -b11001111111011111001101010011010 @ -b11001111111011111001101010011010 F -b11011111111111111011111011011011 ) -#206208000 -0& -#206224000 -b110010010110 , -#206240000 -1& -#206256000 -b11111111111111111100111001111011 " -b11111111111111111100111001111011 4 -b11111111111111111100111001111011 1 -b11111111111111111100111001111011 C -b111111111111111101000 0 -b111111111111111101000 H -b100000100011001110010100 < -b100000010111100111000101 2 -b100000010111100111000101 = -b100000010111100111000101 : -b11111111111111110100011000110000 $ -b11111111111111110100011000110000 - -b11111111111111110100011000110000 5 -b11111111111111110100011000110000 ? -b11111111111111110100011000110000 D -b11111111011111011100110001101011 % -b11111111011111011100110001101011 . -b11111111011111011100110001101011 6 -b11111111011111011100110001101011 @ -b11111111011111011100110001101011 F -b11111111111111111100111001111011 ) -#206272000 -0& -#206288000 -b110010010111 , -#206304000 -1& -#206320000 -b11110111111101110111111111011111 " -b11110111111101110111111111011111 4 -b11110111111101110111111111011111 1 -b11110111111101110111111111011111 C -b111 0 -b111 H -08 -b1001000001011101100000000100010 < -b111111000101010000011000111101 2 -b111111000101010000011000111101 = -b111111000101010000011000111101 : -b11110110111001100100011000011010 $ -b11110110111001100100011000011010 - -b11110110111001100100011000011010 5 -b11110110111001100100011000011010 ? -b11110110111001100100011000011010 D -b10110111110100010011111111011101 % -b10110111110100010011111111011101 . -b10110111110100010011111111011101 6 -b10110111110100010011111111011101 @ -b10110111110100010011111111011101 F -b11110111111101110111111111011111 ) -#206336000 -0& -#206352000 -b110010011000 , -#206368000 -1& -#206384000 -b11111111111111111110001001111101 " -b11111111111111111110001001111101 4 -b11111111111111111110001001111101 1 -b11111111111111111110001001111101 C -b101110000000101110111000010 < -b101101000000100000000110000 2 -b101101000000100000000110000 = -b101101000000100000000110000 : -b11111111110111111110001001101101 $ -b11111111110111111110001001101101 - -b11111111110111111110001001101101 5 -b11111111110111111110001001101101 ? -b11111111110111111110001001101101 D -b11111010001111111010001000111101 % -b11111010001111111010001000111101 . -b11111010001111111010001000111101 6 -b11111010001111111010001000111101 @ -b11111010001111111010001000111101 F -b11111111111111111110001001111101 ) -#206400000 -0& -#206416000 -b110010011001 , -#206432000 -1& -#206448000 -b11110111111111100111110110100111 " -b11110111111111100111110110100111 4 -b11110111111111100111110110100111 1 -b11110111111111100111110110100111 C -b110101110011111001111101101 0 -b110101110011111001111101101 H -19 -18 -b10001000000101011110001111111010 < -b1011111010101000110000110011101 2 -b1011111010101000110000110011101 = -b1011111010101000110000110011101 : -b11010111001111100111110110100010 $ -b11010111001111100111110110100010 - -b11010111001111100111110110100010 5 -b11010111001111100111110110100010 ? -b11010111001111100111110110100010 D -b1110111111010100001110000000101 % -b1110111111010100001110000000101 . -b1110111111010100001110000000101 6 -b1110111111010100001110000000101 @ -b1110111111010100001110000000101 F -b11110111111111100111110110100111 ) -#206464000 -0& -#206480000 -b110010011010 , -#206496000 -1& -#206512000 -b11111011110111100111101111001001 " -b11111011110111100111101111001001 4 -b11111011110111100111101111001001 1 -b11111011110111100111101111001001 C -b1110101111011100010000111100100 0 -b1110101111011100010000111100100 H -b10000100001010011100010010111110 < -b1110000000001100000100010000111 2 -b1110000000001100000100010000111 = -b1110000000001100000100010000111 : -b11101011110111000100001111001000 $ -b11101011110111000100001111001000 - -b11101011110111000100001111001000 5 -b11101011110111000100001111001000 ? -b11101011110111000100001111001000 D -b1111011110101100011101101000001 % -b1111011110101100011101101000001 . -b1111011110101100011101101000001 6 -b1111011110101100011101101000001 @ -b1111011110101100011101101000001 F -b11111011110111100111101111001001 ) -#206528000 -0& -#206544000 -b110010011011 , -#206560000 -1& -#206576000 -b11111111111111110101110101110101 " -b11111111111111110101110101110101 4 -b11111111111111110101110101110101 1 -b11111111111111110101110101110101 C -b111011111111010010010010111 0 -b111011111111010010010010111 H -08 -b100100100000001110101010011011 < -b10011100011110110011010000001101 2 -b10011100011110110011010000001101 = -b10011100011110110011010000001101 : -b1110111111110100100100101110001 $ -b1110111111110100100100101110001 - -b1110111111110100100100101110001 5 -b1110111111110100100100101110001 ? -b1110111111110100100100101110001 D -b11011011011111110001010101100100 % -b11011011011111110001010101100100 . -b11011011011111110001010101100100 6 -b11011011011111110001010101100100 @ -b11011011011111110001010101100100 F -b11111111111111110101110101110101 ) -#206592000 -0& -#206608000 -b110010011100 , -#206624000 -1& -#206640000 -b1111111111111111011111111111010 " -b1111111111111111011111111111010 4 -b1111111111111111011111111111010 1 -b1111111111111111011111111111010 C -b111011011100110101100 0 -b111011011100110101100 H -18 -09 -b10000010001000101100000101010101 < -b11111001000010010111010010101110 2 -b11111001000010010111010010101110 = -b11111001000010010111010010101110 : -b1110110111001101011001101011000 $ -b1110110111001101011001101011000 - -b1110110111001101011001101011000 5 -b1110110111001101011001101011000 ? -b1110110111001101011001101011000 D -b1111101110111010011111010101010 % -b1111101110111010011111010101010 . -b1111101110111010011111010101010 6 -b1111101110111010011111010101010 @ -b1111101110111010011111010101010 F -b1111111111111111011111111111010 ) -#206656000 -0& -#206672000 -b110010011101 , -#206688000 -1& -#206704000 -b11111011011111001101011110111011 " -b11111011011111001101011110111011 4 -b11111011011111001101011110111011 1 -b11111011011111001101011110111011 C -b11110 0 -b11110 H -08 -19 -b1110100101110111100011100101 < -b10001010000011000100101010011111 2 -b10001010000011000100101010011111 = -b10001010000011000100101010011111 : -b1111011011101001101000110111001 $ -b1111011011101001101000110111001 - -b1111011011101001101000110111001 5 -b1111011011101001101000110111001 ? -b1111011011101001101000110111001 D -b11110001011010001000011100011010 % -b11110001011010001000011100011010 . -b11110001011010001000011100011010 6 -b11110001011010001000011100011010 @ -b11110001011010001000011100011010 F -b11111011011111001101011110111011 ) -#206720000 -0& -#206736000 -b110010011110 , -#206752000 -1& -#206768000 -b11101111111111101101110001101101 " -b11101111111111101101110001101101 4 -b11101111111111101101110001101101 1 -b11101111111111101101110001101101 C -b11100111110000101101 0 -b11100111110000101101 H -18 -09 -b11010110100000011111101110010011 < -b10111110010001001101011110011001 2 -b10111110010001001101011110011001 = -b10111110010001001101011110011001 : -b11100111110000101101110000000101 $ -b11100111110000101101110000000101 - -b11100111110000101101110000000101 5 -b11100111110000101101110000000101 ? -b11100111110000101101110000000101 D -b101001011111100000010001101100 % -b101001011111100000010001101100 . -b101001011111100000010001101100 6 -b101001011111100000010001101100 @ -b101001011111100000010001101100 F -b11101111111111101101110001101101 ) -#206784000 -0& -#206800000 -b110010011111 , -#206816000 -1& -#206832000 -b1111111110111111111110101111111 " -b1111111110111111111110101111111 4 -b1111111110111111111110101111111 1 -b1111111110111111111110101111111 C -b111001100010111011110 0 -b111001100010111011110 H -08 -b11100000001000100001101110010101 < -b1010011001110011001010010101101 2 -b1010011001110011001010010101101 = -b1010011001110011001010010101101 : -b1110011000101110111100100010111 $ -b1110011000101110111100100010111 - -b1110011000101110111100100010111 5 -b1110011000101110111100100010111 ? -b1110011000101110111100100010111 D -b11111110111011110010001101010 % -b11111110111011110010001101010 . -b11111110111011110010001101010 6 -b11111110111011110010001101010 @ -b11111110111011110010001101010 F -b1111111110111111111110101111111 ) -#206848000 -0& -#206864000 -b110010100000 , -#206880000 -1& -#206896000 -b11111111111111111011010011101100 " -b11111111111111111011010011101100 4 -b11111111111111111011010011101100 1 -b11111111111111111011010011101100 C -b1111110111111111101101001110 0 -b1111110111111111101101001110 H -19 -18 -b10000000010011000111111101011011 < -b1111110010011000011010001001000 2 -b1111110010011000011010001001000 = -b1111110010011000011010001001000 : -b11111101111111111011010011101100 $ -b11111101111111111011010011101100 - -b11111101111111111011010011101100 5 -b11111101111111111011010011101100 ? -b11111101111111111011010011101100 D -b1111111101100111000000010100100 % -b1111111101100111000000010100100 . -b1111111101100111000000010100100 6 -b1111111101100111000000010100100 @ -b1111111101100111000000010100100 F -b11111111111111111011010011101100 ) -#206912000 -0& -#206928000 -b110010100001 , -#206944000 -1& -#206960000 -b11111110111111111101111111111110 " -b11111110111111111101111111111110 4 -b11111110111111111101111111111110 1 -b11111110111111111101111111111110 C -b11 0 -b11 H -09 -18 -b1110000100011100011000001 < -b11111010101011001001011110011010 2 -b11111010101011001001011110011010 = -b11111010101011001001011110011010 : -b11111000111010100101111011011000 $ -b11111000111010100101111011011000 - -b11111000111010100101111011011000 5 -b11111000111010100101111011011000 ? -b11111000111010100101111011011000 D -b11111110001111011100011100111110 % -b11111110001111011100011100111110 . -b11111110001111011100011100111110 6 -b11111110001111011100011100111110 @ -b11111110001111011100011100111110 F -b11111110111111111101111111111110 ) -#206976000 -0& -#206992000 -b110010100010 , -#207008000 -1& -#207024000 -b11111111111111010111101111111111 " -b11111111111111010111101111111111 4 -b11111111111111010111101111111111 1 -b11111111111111010111101111111111 C -b11111011101110010110001100111 0 -b11111011101110010110001100111 H -19 -18 -b10000000100110111010011000111100 < -b1111100010101010000100101111001 2 -b1111100010101010000100101111001 = -b1111100010101010000100101111001 : -b11111011101110010110001100111100 $ -b11111011101110010110001100111100 - -b11111011101110010110001100111100 5 -b11111011101110010110001100111100 ? -b11111011101110010110001100111100 D -b1111111011001000101100111000011 % -b1111111011001000101100111000011 . -b1111111011001000101100111000011 6 -b1111111011001000101100111000011 @ -b1111111011001000101100111000011 F -b11111111111111010111101111111111 ) -#207040000 -0& -#207056000 -b110010100011 , -#207072000 -1& -#207088000 -b1111111111111111011100111011000 " -b1111111111111111011100111011000 4 -b1111111111111111011100111011000 1 -b1111111111111111011100111011000 C -b1001011 0 -b1001011 H -09 -08 -b11001000010000100100011010100111 < -b10100010000010100100001110000 2 -b10100010000010100100001110000 = -b10100010000010100100001110000 : -b1001011111111110000000111001000 $ -b1001011111111110000000111001000 - -b1001011111111110000000111001000 5 -b1001011111111110000000111001000 ? -b1001011111111110000000111001000 D -b110111101111011011100101011000 % -b110111101111011011100101011000 . -b110111101111011011100101011000 6 -b110111101111011011100101011000 @ -b110111101111011011100101011000 F -b1111111111111111011100111011000 ) -#207104000 -0& -#207120000 -b110010100100 , -#207136000 -1& -#207152000 -b11110111101111110011110101111111 " -b11110111101111110011110101111111 4 -b11110111101111110011110101111111 1 -b11110111101111110011110101111111 C -b1010110101111010011 0 -b1010110101111010011 H -19 -08 -b1011000110011001100001011010011 < -b10101111100010011111011101010011 2 -b10101111100010011111011101010011 = -b10101111100010011111011101010011 : -b1010110101111010011010001111111 $ -b1010110101111010011010001111111 - -b1010110101111010011010001111111 5 -b1010110101111010011010001111111 ? -b1010110101111010011010001111111 D -b10100111001100110011110100101100 % -b10100111001100110011110100101100 . -b10100111001100110011110100101100 6 -b10100111001100110011110100101100 @ -b10100111001100110011110100101100 F -b11110111101111110011110101111111 ) -#207168000 -0& -#207184000 -b110010100101 , -#207200000 -1& -#207216000 -b10111111111111111110111111111101 " -b10111111111111111110111111111101 4 -b10111111111111111110111111111101 1 -b10111111111111111110111111111101 C -b1111011111111 0 -b1111011111111 H -b1011001010010001011000101001110 < -b10010111010010000101010011001100 2 -b10010111010010000101010011001100 = -b10010111010010000101010011001100 : -b111101111111111010001101111101 $ -b111101111111111010001101111101 - -b111101111111111010001101111101 5 -b111101111111111010001101111101 ? -b111101111111111010001101111101 D -b10100110101101110100111010110001 % -b10100110101101110100111010110001 . -b10100110101101110100111010110001 6 -b10100110101101110100111010110001 @ -b10100110101101110100111010110001 F -b10111111111111111110111111111101 ) -#207232000 -0& -#207248000 -b110010100110 , -#207264000 -1& -#207280000 -b1111111110111011011110111101001 " -b1111111110111011011110111101001 4 -b1111111110111011011110111101001 1 -b1111111110111011011110111101001 C -b111101111010001101000010110100 0 -b111101111010001101000010110100 H -09 -08 -b11010001001000101110001100111110 < -b1001100111101001000010010101000 2 -b1001100111101001000010010101000 = -b1001100111101001000010010101000 : -b1111011110100011010000101101001 $ -b1111011110100011010000101101001 - -b1111011110100011010000101101001 5 -b1111011110100011010000101101001 ? -b1111011110100011010000101101001 D -b101110110111010001110011000001 % -b101110110111010001110011000001 . -b101110110111010001110011000001 6 -b101110110111010001110011000001 @ -b101110110111010001110011000001 F -b1111111110111011011110111101001 ) -#207296000 -0& -#207312000 -b110010100111 , -#207328000 -1& -#207344000 -b11111111110111111111000011100111 " -b11111111110111111111000011100111 4 -b11111111110111111111000011100111 1 -b11111111110111111111000011100111 C -b1101111111010111111100000010011 0 -b1101111111010111111100000010011 H -18 -b10101100001001111100011110 < -b11100010100010001000111101000110 2 -b11100010100010001000111101000110 = -b11100010100010001000111101000110 : -b11011111110101111111000000100111 $ -b11011111110101111111000000100111 - -b11011111110101111111000000100111 5 -b11011111110101111111000000100111 ? -b11011111110101111111000000100111 D -b11111101010011110110000011100001 % -b11111101010011110110000011100001 . -b11111101010011110110000011100001 6 -b11111101010011110110000011100001 @ -b11111101010011110110000011100001 F -b11111111110111111111000011100111 ) -#207360000 -0& -#207376000 -b110010101000 , -#207392000 -1& -#207408000 -b1111110111111111011111111111111 " -b1111110111111111011111111111111 4 -b1111110111111111011111111111111 1 -b1111110111111111011111111111111 C -b1111 0 -b1111 H -08 -b11010001000000000101010011000100 < -b1001111111101011110110011000100 2 -b1001111111101011110110011000100 = -b1001111111101011110110011000100 : -b1111110111101011001011111111111 $ -b1111110111101011001011111111111 - -b1111110111101011001011111111111 5 -b1111110111101011001011111111111 ? -b1111110111101011001011111111111 D -b101110111111111010101100111011 % -b101110111111111010101100111011 . -b101110111111111010101100111011 6 -b101110111111111010101100111011 @ -b101110111111111010101100111011 F -b1111110111111111011111111111111 ) -#207424000 -0& -#207440000 -b110010101001 , -#207456000 -1& -#207472000 -b11111111011011111111100101111111 " -b11111111011011111111100101111111 4 -b11111111011011111111100101111111 1 -b11111111011011111111100101111111 C -b1 0 -b1 H -18 -b10100000100100000010111110100000 < -b10011111111111111010100011010001 2 -b10011111111111111010100011010001 = -b10011111111111111010100011010001 : -b11111111011011110111100100110000 $ -b11111111011011110111100100110000 - -b11111111011011110111100100110000 5 -b11111111011011110111100100110000 ? -b11111111011011110111100100110000 D -b1011111011011111101000001011111 % -b1011111011011111101000001011111 . -b1011111011011111101000001011111 6 -b1011111011011111101000001011111 @ -b1011111011011111101000001011111 F -b11111111011011111111100101111111 ) -#207488000 -0& -#207504000 -b110010101010 , -#207520000 -1& -#207536000 -b11111111111111111111011101101111 " -b11111111111111111111011101101111 4 -b11111111111111111111011101101111 1 -b11111111111111111111011101101111 C -b11011100011110 0 -b11011100011110 H -08 -09 -b10000101100010110000 < -b11011100101111100110000010010 2 -b11011100101111100110000010010 = -b11011100101111100110000010010 : -b11011100011110111001101100001 $ -b11011100011110111001101100001 - -b11011100011110111001101100001 5 -b11011100011110111001101100001 ? -b11011100011110111001101100001 D -b11111111111101111010011101001111 % -b11111111111101111010011101001111 . -b11111111111101111010011101001111 6 -b11111111111101111010011101001111 @ -b11111111111101111010011101001111 F -b11111111111111111111011101101111 ) -#207552000 -0& -#207568000 -b110010101011 , -#207584000 -1& -#207600000 -b11111111111111111111111111100110 " -b11111111111111111111111111100110 4 -b11111111111111111111111111100110 1 -b11111111111111111111111111100110 C -b111111101111110110010111 0 -b111111101111110110010111 H -b1000000100110000001011001 < -b1000000110000011100011000111100 2 -b1000000110000011100011000111100 = -b1000000110000011100011000111100 : -b111111101111110110010111100010 $ -b111111101111110110010111100010 - -b111111101111110110010111100010 5 -b111111101111110110010111100010 ? -b111111101111110110010111100010 D -b11111110111111011001111110100110 % -b11111110111111011001111110100110 . -b11111110111111011001111110100110 6 -b11111110111111011001111110100110 @ -b11111110111111011001111110100110 F -b11111111111111111111111111100110 ) -#207616000 -0& -#207632000 -b110010101100 , -#207648000 -1& -#207664000 -b11111111111111110111011101110110 " -b11111111111111110111011101110110 4 -b11111111111111110111011101110110 1 -b11111111111111110111011101110110 C -b1011111101011111010101010011 0 -b1011111101011111010101010011 H -19 -18 -b10010000000100101100100010111011 < -b1001111011100100001110111101110 2 -b1001111011100100001110111101110 = -b1001111011100100001110111101110 : -b10111111010111110101010100110010 $ -b10111111010111110101010100110010 - -b10111111010111110101010100110010 5 -b10111111010111110101010100110010 ? -b10111111010111110101010100110010 D -b1101111111011010011011101000100 % -b1101111111011010011011101000100 . -b1101111111011010011011101000100 6 -b1101111111011010011011101000100 @ -b1101111111011010011011101000100 F -b11111111111111110111011101110110 ) -#207680000 -0& -#207696000 -b110010101101 , -#207712000 -1& -#207728000 -b11111011111111110010111110111101 " -b11111011111111110010111110111101 4 -b11111011111111110010111110111101 1 -b11111011111111110010111110111101 C -b11 0 -b11 H -08 -19 -b1100000001001101000001000010 < -b10000110000000111101010001000011 2 -b10000110000000111101010001000011 = -b10000110000000111101010001000011 : -b1111001111111110000010000000000 $ -b1111001111111110000010000000000 - -b1111001111111110000010000000000 5 -b1111001111111110000010000000000 ? -b1111001111111110000010000000000 D -b11110011111110110010111110111101 % -b11110011111110110010111110111101 . -b11110011111110110010111110111101 6 -b11110011111110110010111110111101 @ -b11110011111110110010111110111101 F -b11111011111111110010111110111101 ) -#207744000 -0& -#207760000 -b110010101110 , -#207776000 -1& -#207792000 -b10111111111111101110010111111011 " -b10111111111111101110010111111011 4 -b10111111111111101110010111111011 1 -b10111111111111101110010111111011 C -b111010 0 -b111010 H -09 -08 -b1000010001100010001111000100111 < -b1111101001011110010001110010011 2 -b1111101001011110010001110010011 = -b1111101001011110010001110010011 : -b111010111111100000010101101011 $ -b111010111111100000010101101011 - -b111010111111100000010101101011 5 -b111010111111100000010101101011 ? -b111010111111100000010101101011 D -b10111101110011101110000111011000 % -b10111101110011101110000111011000 . -b10111101110011101110000111011000 6 -b10111101110011101110000111011000 @ -b10111101110011101110000111011000 F -b10111111111111101110010111111011 ) -#207808000 -0& -#207824000 -b110010101111 , -#207840000 -1& -#207856000 -b11111111111111111111111100100101 " -b11111111111111111111111100100101 4 -b11111111111111111111111100100101 1 -b11111111111111111111111100100101 C -b11000011101111011011111000 0 -b11000011101111011011111000 H -09 -08 -b10000000001000011011010 < -b1100010000111101110111111011111 2 -b1100010000111101110111111011111 = -b1100010000111101110111111011111 : -b1100001110111101101111100000100 $ -b1100001110111101101111100000100 - -b1100001110111101101111100000100 5 -b1100001110111101101111100000100 ? -b1100001110111101101111100000100 D -b11111111101111111110111100100101 % -b11111111101111111110111100100101 . -b11111111101111111110111100100101 6 -b11111111101111111110111100100101 @ -b11111111101111111110111100100101 F -b11111111111111111111111100100101 ) -#207872000 -0& -#207888000 -b110010110000 , -#207904000 -1& -#207920000 -b11111111111111111100111011111111 " -b11111111111111111100111011111111 4 -b11111111111111111100111011111111 1 -b11111111111111111100111011111111 C -b10111111111101 0 -b10111111111101 H -18 -b1010000100000011001110101101 < -b11001010000001111111111001101101 2 -b11001010000001111111111001101101 = -b11001010000001111111111001101101 : -b10111111111101111100101010111111 $ -b10111111111101111100101010111111 - -b10111111111101111100101010111111 5 -b10111111111101111100101010111111 ? -b10111111111101111100101010111111 D -b11110101111011111100110001010010 % -b11110101111011111100110001010010 . -b11110101111011111100110001010010 6 -b11110101111011111100110001010010 @ -b11110101111011111100110001010010 F -b11111111111111111100111011111111 ) -#207936000 -0& -#207952000 -b110010110001 , -#207968000 -1& -#207984000 -b11111111111111110110101011011111 " -b11111111111111110110101011011111 4 -b11111111111111110110101011011111 1 -b11111111111111110110101011011111 C -b101011111 0 -b101011111 H -19 -08 -b1010111000000001001011101101001 < -b10101110111011101110000111000101 2 -b10101110111011101110000111000101 = -b10101110111011101110000111000101 : -b1010111111011100100101001011011 $ -b1010111111011100100101001011011 - -b1010111111011100100101001011011 5 -b1010111111011100100101001011011 ? -b1010111111011100100101001011011 D -b10101000111111110110100010010110 % -b10101000111111110110100010010110 . -b10101000111111110110100010010110 6 -b10101000111111110110100010010110 @ -b10101000111111110110100010010110 F -b11111111111111110110101011011111 ) -#208000000 -0& -#208016000 -b110010110010 , -#208032000 -1& -#208048000 -b1111111111111111111011111011111 " -b1111111111111111111011111011111 4 -b1111111111111111111011111011111 1 -b1111111111111111111011111011111 C -b11 0 -b11 H -09 -08 -b10001000010000000000101100100010 < -b101100111111111001010101110 2 -b101100111111111001010101110 = -b101100111111111001010101110 : -b1111101010111111110011110001011 $ -b1111101010111111110011110001011 - -b1111101010111111110011110001011 5 -b1111101010111111110011110001011 ? -b1111101010111111110011110001011 D -b1110111101111111111010011011101 % -b1110111101111111111010011011101 . -b1110111101111111111010011011101 6 -b1110111101111111111010011011101 @ -b1110111101111111111010011011101 F -b1111111111111111111011111011111 ) -#208064000 -0& -#208080000 -b110010110011 , -#208096000 -1& -#208112000 -b11101101110111110110111110110111 " -b11101101110111110110111110110111 4 -b11101101110111110110111110110111 1 -b11101101110111110110111110110111 C -b111001011 0 -b111001011 H -18 -b10110011001101011001000001101000 < -b10011001000010101101011110001011 2 -b10011001000010101101011110001011 = -b10011001000010101101011110001011 : -b11100101110101010100011100100010 $ -b11100101110101010100011100100010 - -b11100101110101010100011100100010 5 -b11100101110101010100011100100010 ? -b11100101110101010100011100100010 D -b1001100110010100110111110010111 % -b1001100110010100110111110010111 . -b1001100110010100110111110010111 6 -b1001100110010100110111110010111 @ -b1001100110010100110111110010111 F -b11101101110111110110111110110111 ) -#208128000 -0& -#208144000 -b110010110100 , -#208160000 -1& -#208176000 -b11011111111111111100000111100010 " -b11011111111111111100000111100010 4 -b11011111111111111100000111100010 1 -b11011111111111111100000111100010 C -b1011111101111110000000101100010 0 -b1011111101111110000000101100010 H -19 -08 -b101000000001000011111000111111 < -b10000111110000110011111110100010 2 -b10000111110000110011111110100010 = -b10000111110000110011111110100010 : -b1011111101111110000000101100010 $ -b1011111101111110000000101100010 - -b1011111101111110000000101100010 5 -b1011111101111110000000101100010 ? -b1011111101111110000000101100010 D -b11010111111110111100000111000000 % -b11010111111110111100000111000000 . -b11010111111110111100000111000000 6 -b11010111111110111100000111000000 @ -b11010111111110111100000111000000 F -b11011111111111111100000111100010 ) -#208192000 -0& -#208208000 -b110010110101 , -#208224000 -1& -#208240000 -b1111111111111111111110110011110 " -b1111111111111111111110110011110 4 -b1111111111111111111110110011110 1 -b1111111111111111111110110011110 C -b1110001111111111000010010 0 -b1110001111111111000010010 H -09 -08 -b11000001001000100000011011111001 < -b110011001000011000101110010110 2 -b110011001000011000101110010110 = -b110011001000011000101110010110 : -b1110001111111111000010010011100 $ -b1110001111111111000010010011100 - -b1110001111111111000010010011100 5 -b1110001111111111000010010011100 ? -b1110001111111111000010010011100 D -b111110110111011111100100000110 % -b111110110111011111100100000110 . -b111110110111011111100100000110 6 -b111110110111011111100100000110 @ -b111110110111011111100100000110 F -b1111111111111111111110110011110 ) -#208256000 -0& -#208272000 -b110010110110 , -#208288000 -1& -#208304000 -b11011010111111111011010111110111 " -b11011010111111111011010111110111 4 -b11011010111111111011010111110111 1 -b11011010111111111011010111110111 C -b1100011111 0 -b1100011111 H -08 -b100101100101100100101010101100 < -b111110100101010111000001100011 2 -b111110100101010111000001100011 = -b111110100101010111000001100011 : -b11000111111110010010110110110 $ -b11000111111110010010110110110 - -b11000111111110010010110110110 5 -b11000111111110010010110110110 ? -b11000111111110010010110110110 D -b11011010011010011011010101010011 % -b11011010011010011011010101010011 . -b11011010011010011011010101010011 6 -b11011010011010011011010101010011 @ -b11011010011010011011010101010011 F -b11011010111111111011010111110111 ) -#208320000 -0& -#208336000 -b110010110111 , -#208352000 -1& -#208368000 -b11111111111111110011111111111111 " -b11111111111111110011111111111111 4 -b11111111111111110011111111111111 1 -b11111111111111110011111111111111 C -b1110 0 -b1110 H -09 -08 -b1001001100010110000100 < -b1110111101000111110001101101010 2 -b1110111101000111110001101101010 = -b1110111101000111110001101101010 : -b1110111011111110001110111100101 $ -b1110111011111110001110111100101 - -b1110111011111110001110111100101 5 -b1110111011111110001110111100101 ? -b1110111011111110001110111100101 D -b11111111110110110011101001111011 % -b11111111110110110011101001111011 . -b11111111110110110011101001111011 6 -b11111111110110110011101001111011 @ -b11111111110110110011101001111011 F -b11111111111111110011111111111111 ) -#208384000 -0& -#208400000 -b110010111000 , -#208416000 -1& -#208432000 -b11011111111111110111111110110111 " -b11011111111111110111111110110111 4 -b11011111111111110111111110110111 1 -b11011111111111110111111110110111 C -b100111111 0 -b100111111 H -18 -b100001100000101010011011001000 < -b11000001011111100001011001001011 2 -b11000001011111100001011001001011 = -b11000001011111100001011001001011 : -b10011111111110110110111110000010 $ -b10011111111110110110111110000010 - -b10011111111110110110111110000010 5 -b10011111111110110110111110000010 ? -b10011111111110110110111110000010 D -b11011110011111010101100100110111 % -b11011110011111010101100100110111 . -b11011110011111010101100100110111 6 -b11011110011111010101100100110111 @ -b11011110011111010101100100110111 F -b11011111111111110111111110110111 ) -#208448000 -0& -#208464000 -b110010111001 , -#208480000 -1& -#208496000 -b1111101111111111110101110010111 " -b1111101111111111110101110010111 4 -b1111101111111111110101110010111 1 -b1111101111111111110101110010111 C -b11111011110111111100010100 0 -b11111011110111111100010100 H -08 -b11100010000000000011010011111010 < -b1011111111100000001011110010001 2 -b1011111111100000001011110010001 = -b1011111111100000001011110010001 : -b1111101111011111110001010010110 $ -b1111101111011111110001010010110 - -b1111101111011111110001010010110 5 -b1111101111011111110001010010110 ? -b1111101111011111110001010010110 D -b11101111111111100101100000101 % -b11101111111111100101100000101 . -b11101111111111100101100000101 6 -b11101111111111100101100000101 @ -b11101111111111100101100000101 F -b1111101111111111110101110010111 ) -#208512000 -0& -#208528000 -b110010111010 , -#208544000 -1& -#208560000 -b11111111111111011101011011010111 " -b11111111111111011101011011010111 4 -b11111111111111011101011011010111 1 -b11111111111111011101011011010111 C -b10111110111111 0 -b10111110111111 H -19 -18 -b10000010001000101110110101101101 < -b1000001000111111100000111000011 2 -b1000001000111111100000111000011 = -b1000001000111111100000111000011 : -b10111110111111001101010001010101 $ -b10111110111111001101010001010101 - -b10111110111111001101010001010101 5 -b10111110111111001101010001010101 ? -b10111110111111001101010001010101 D -b1111101110111010001001010010010 % -b1111101110111010001001010010010 . -b1111101110111010001001010010010 6 -b1111101110111010001001010010010 @ -b1111101110111010001001010010010 F -b11111111111111011101011011010111 ) -#208576000 -0& -#208592000 -b110010111011 , -#208608000 -1& -#208624000 -b11101111101101111111111011111111 " -b11101111101101111111111011111111 4 -b11101111101101111111111011111111 1 -b11101111101101111111111011111111 C -b1 0 -b1 H -08 -b1011000010110000000100111000001 < -b11000100000011101111001010011101 2 -b11000100000011101111001010011101 = -b11000100000011101111001010011101 : -b1101011101101101110100011011011 $ -b1101011101101101110100011011011 - -b1101011101101101110100011011011 5 -b1101011101101101110100011011011 ? -b1101011101101101110100011011011 D -b10100111101001111111011000111110 % -b10100111101001111111011000111110 . -b10100111101001111111011000111110 6 -b10100111101001111111011000111110 @ -b10100111101001111111011000111110 F -b11101111101101111111111011111111 ) -#208640000 -0& -#208656000 -b110010111100 , -#208672000 -1& -#208688000 -b1111111111111111101111111111110 " -b1111111111111111101111111111110 4 -b1111111111111111101111111111110 1 -b1111111111111111101111111111110 C -09 -08 -b11001000000010000010001001000001 < -b110111000001110110100010111000 2 -b110111000001110110100010111000 = -b110111000001110110100010111000 : -b1101110111111110100011001110110 $ -b1101110111111110100011001110110 - -b1101110111111110100011001110110 5 -b1101110111111110100011001110110 ? -b1101110111111110100011001110110 D -b110111111101111101110110111110 % -b110111111101111101110110111110 . -b110111111101111101110110111110 6 -b110111111101111101110110111110 @ -b110111111101111101110110111110 F -b1111111111111111101111111111110 ) -#208704000 -0& -#208720000 -b110010111101 , -#208736000 -1& -#208752000 -b11111001111111111010101110111111 " -b11111001111111111010101110111111 4 -b11111001111111111010101110111111 1 -b11111001111111111010101110111111 C -b11111001111110011 0 -b11111001111110011 H -18 -b11000111000000001111110101010000 < -b11000000111110101010100001101011 2 -b11000000111110101010100001101011 = -b11000000111110101010100001101011 : -b11111001111110011010101100011010 $ -b11111001111110011010101100011010 - -b11111001111110011010101100011010 5 -b11111001111110011010101100011010 ? -b11111001111110011010101100011010 D -b111000111111110000001010101111 % -b111000111111110000001010101111 . -b111000111111110000001010101111 6 -b111000111111110000001010101111 @ -b111000111111110000001010101111 F -b11111001111111111010101110111111 ) -#208768000 -0& -#208784000 -b110010111110 , -#208800000 -1& -#208816000 -b11111111111111111111010111110110 " -b11111111111111111111010111110110 4 -b11111111111111111111010111110110 1 -b11111111111111111111010111110110 C -b111111111 0 -b111111111 H -19 -08 -b10000000000011101100001001 < -b10000001111111010110110000111010 2 -b10000001111111010110110000111010 = -b10000001111111010110110000111010 : -b1111111111111010011000100110000 $ -b1111111111111010011000100110000 - -b1111111111111010011000100110000 5 -b1111111111111010011000100110000 ? -b1111111111111010011000100110000 D -b11111101111111111100010011110110 % -b11111101111111111100010011110110 . -b11111101111111111100010011110110 6 -b11111101111111111100010011110110 @ -b11111101111111111100010011110110 F -b11111111111111111111010111110110 ) -#208832000 -0& -#208848000 -b110010111111 , -#208864000 -1& -#208880000 -b1111101111111110011011110111111 " -b1111101111111110011011110111111 4 -b1111101111111110011011110111111 1 -b1111101111111110011011110111111 C -b111000111 0 -b111000111 H -09 -18 -b10000010000000011100100001101010 < -b10111010111111101101110100101010 2 -b10111010111111101101110100101010 = -b10111010111111101101110100101010 : -b111000111111010001010010111111 $ -b111000111111010001010010111111 - -b111000111111010001010010111111 5 -b111000111111010001010010111111 ? -b111000111111010001010010111111 D -b1111101111111100011011110010101 % -b1111101111111100011011110010101 . -b1111101111111100011011110010101 6 -b1111101111111100011011110010101 @ -b1111101111111100011011110010101 F -b1111101111111110011011110111111 ) -#208896000 -0& -#208912000 -b110011000000 , -#208928000 -1& -#208944000 -b11011111111111110110100111011111 " -b11011111111111110110100111011111 4 -b11011111111111110110100111011111 1 -b11011111111111110110100111011111 C -b1 0 -b1 H -08 -19 -b101100110000001011011011100001 < -b10001100101111111101111110100111 2 -b10001100101111111101111110100111 = -b10001100101111111101111110100111 : -b1011111111111110010100011000101 $ -b1011111111111110010100011000101 - -b1011111111111110010100011000101 5 -b1011111111111110010100011000101 ? -b1011111111111110010100011000101 D -b11010011001111110100100100011110 % -b11010011001111110100100100011110 . -b11010011001111110100100100011110 6 -b11010011001111110100100100011110 @ -b11010011001111110100100100011110 F -b11011111111111110110100111011111 ) -#208960000 -0& -#208976000 -b110011000001 , -#208992000 -1& -#209008000 -b1111111111111011100110101011111 " -b1111111111111011100110101011111 4 -b1111111111111011100110101011111 1 -b1111111111111011100110101011111 C -b0 0 -b0 H -09 -08 -b11001000000000101011001010100000 < -b1000110111101000111001110101101 2 -b1000110111101000111001110101101 = -b1000110111101000111001110101101 : -b1111110111100011100000100001100 $ -b1111110111100011100000100001100 - -b1111110111100011100000100001100 5 -b1111110111100011100000100001100 ? -b1111110111100011100000100001100 D -b110111111111010100110101011111 % -b110111111111010100110101011111 . -b110111111111010100110101011111 6 -b110111111111010100110101011111 @ -b110111111111010100110101011111 F -b1111111111111011100110101011111 ) -#209024000 -0& -#209040000 -b110011000010 , -#209056000 -1& -#209072000 -b11111011111111111000111111011111 " -b11111011111111111000111111011111 4 -b11111011111111111000111111011111 1 -b11111011111111111000111111011111 C -b111 0 -b111 H -18 -b10000100000011000111000001100010 < -b10000000000010111111101110101110 2 -b10000000000010111111101110101110 = -b10000000000010111111101110101110 : -b11111011111111111000101101001011 $ -b11111011111111111000101101001011 - -b11111011111111111000101101001011 5 -b11111011111111111000101101001011 ? -b11111011111111111000101101001011 D -b1111011111100111000111110011101 % -b1111011111100111000111110011101 . -b1111011111100111000111110011101 6 -b1111011111100111000111110011101 @ -b1111011111100111000111110011101 F -b11111011111111111000111111011111 ) -#209088000 -0& -#209104000 -b110011000011 , -#209120000 -1& -#209136000 -b11111011111111111111111110111011 " -b11111011111111111111111110111011 4 -b11111011111111111111111110111011 1 -b11111011111111111111111110111011 C -b1011101101111010111101 0 -b1011101101111010111101 H -09 -18 -b110010100100110000011110101 < -b11000001110011010101011010110001 2 -b11000001110011010101011010110001 = -b11000001110011010101011010110001 : -b10111011011110101111010110111011 $ -b10111011011110101111010110111011 - -b10111011011110101111010110111011 5 -b10111011011110101111010110111011 ? -b10111011011110101111010110111011 D -b11111001101011011001111100001010 % -b11111001101011011001111100001010 . -b11111001101011011001111100001010 6 -b11111001101011011001111100001010 @ -b11111001101011011001111100001010 F -b11111011111111111111111110111011 ) -#209152000 -0& -#209168000 -b110011000100 , -#209184000 -1& -#209200000 -b1111111111111111011111101111010 " -b1111111111111111011111101111010 4 -b1111111111111111011111101111010 1 -b1111111111111111011111101111010 C -b11111111011111110001111001110 0 -b11111111011111110001111001110 H -08 -b10011100000000000100101010111101 < -b11011101111111101100111111000 2 -b11011101111111101100111111000 = -b11011101111111101100111111000 : -b1111111101111111000111100111010 $ -b1111111101111111000111100111010 - -b1111111101111111000111100111010 5 -b1111111101111111000111100111010 ? -b1111111101111111000111100111010 D -b1100011111111111011010101000010 % -b1100011111111111011010101000010 . -b1100011111111111011010101000010 6 -b1100011111111111011010101000010 @ -b1100011111111111011010101000010 F -b1111111111111111011111101111010 ) -#209216000 -0& -#209232000 -b110011000101 , -#209248000 -1& -#209264000 -b1111111111110111110011111111111 " -b1111111111110111110011111111111 4 -b1111111111110111110011111111111 1 -b1111111111110111110011111111111 C -b11100111111101001100111010 0 -b11100111111101001100111010 H -18 -b10000001011001000001101001011010 < -b11110101010111101000000110111001 2 -b11110101010111101000000110111001 = -b11110101010111101000000110111001 : -b1110011111110100110011101011110 $ -b1110011111110100110011101011110 - -b1110011111110100110011101011110 5 -b1110011111110100110011101011110 ? -b1110011111110100110011101011110 D -b1111110100110111110010110100101 % -b1111110100110111110010110100101 . -b1111110100110111110010110100101 6 -b1111110100110111110010110100101 @ -b1111110100110111110010110100101 F -b1111111111110111110011111111111 ) -#209280000 -0& -#209296000 -b110011000110 , -#209312000 -1& -#209328000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 1 -b11111111111111111111111111011111 C -b11101011111 0 -b11101011111 H -19 -18 -b10000000010100101100000110101010 < -b1101100010011101001001110001001 2 -b1101100010011101001001110001001 = -b1101100010011101001001110001001 : -b11101011111110111101000111011110 $ -b11101011111110111101000111011110 - -b11101011111110111101000111011110 5 -b11101011111110111101000111011110 ? -b11101011111110111101000111011110 D -b1111111101011010011111001010101 % -b1111111101011010011111001010101 . -b1111111101011010011111001010101 6 -b1111111101011010011111001010101 @ -b1111111101011010011111001010101 F -b11111111111111111111111111011111 ) -#209344000 -0& -#209360000 -b110011000111 , -#209376000 -1& -#209392000 -b11011111111111111101000111111101 " -b11011111111111111101000111111101 4 -b11011111111111111101000111111101 1 -b11011111111111111101000111111101 C -b101111 0 -b101111 H -08 -b100010000100010110111101000110 < -b10000000110001001011000100011011 2 -b10000000110001001011000100011011 = -b10000000110001001011000100011011 : -b1011110101100110100000111010100 $ -b1011110101100110100000111010100 - -b1011110101100110100000111010100 5 -b1011110101100110100000111010100 ? -b1011110101100110100000111010100 D -b11011101111011101001000010111001 % -b11011101111011101001000010111001 . -b11011101111011101001000010111001 6 -b11011101111011101001000010111001 @ -b11011101111011101001000010111001 F -b11011111111111111101000111111101 ) -#209408000 -0& -#209424000 -b110011001000 , -#209440000 -1& -#209456000 -b11111101111111110101110101111111 " -b11111101111111110101110101111111 4 -b11111101111111110101110101111111 1 -b11111101111111110101110101111111 C -b1 0 -b1 H -18 -09 -b10000000001010001110100000 < -b10101011110101100000000011010100 2 -b10101011110101100000000011010100 = -b10101011110101100000000011010100 : -b10101001110101010101110100110011 $ -b10101001110101010101110100110011 - -b10101001110101010101110100110011 5 -b10101001110101010101110100110011 ? -b10101001110101010101110100110011 D -b11111101111111110101110001011111 % -b11111101111111110101110001011111 . -b11111101111111110101110001011111 6 -b11111101111111110101110001011111 @ -b11111101111111110101110001011111 F -b11111101111111110101110101111111 ) -#209472000 -0& -#209488000 -b110011001001 , -#209504000 -1& -#209520000 -b11111111111111111111111001100011 " -b11111111111111111111111001100011 4 -b11111111111111111111111001100011 1 -b11111111111111111111111001100011 C -b111111011111110111101110000000 0 -b111111011111110111101110000000 H -08 -b111000110010001100100110011101 < -b110110110001101011011110011111 2 -b110110110001101011011110011111 = -b110110110001101011011110011111 : -b11111101111111011110111000000001 $ -b11111101111111011110111000000001 - -b11111101111111011110111000000001 5 -b11111101111111011110111000000001 ? -b11111101111111011110111000000001 D -b11000111001101110011011001100010 % -b11000111001101110011011001100010 . -b11000111001101110011011001100010 6 -b11000111001101110011011001100010 @ -b11000111001101110011011001100010 F -b11111111111111111111111001100011 ) -#209536000 -0& -#209552000 -b110011001010 , -#209568000 -1& -#209584000 -b11111110111111111111010111111011 " -b11111110111111111111010111111011 4 -b11111110111111111111010111111011 1 -b11111110111111111111010111111011 C -b1111100 0 -b1111100 H -18 -19 -b10000001000100100000101101100110 < -b1111010000011011001000011011010 2 -b1111010000011011001000011011010 = -b1111010000011011001000011011010 : -b11111000111110111000010101110011 $ -b11111000111110111000010101110011 - -b11111000111110111000010101110011 5 -b11111000111110111000010101110011 ? -b11111000111110111000010101110011 D -b1111110111011011111010010011001 % -b1111110111011011111010010011001 . -b1111110111011011111010010011001 6 -b1111110111011011111010010011001 @ -b1111110111011011111010010011001 F -b11111110111111111111010111111011 ) -#209600000 -0& -#209616000 -b110011001011 , -#209632000 -1& -#209648000 -b1101111111111111111101111101110 " -b1101111111111111111101111101110 4 -b1101111111111111111101111101110 1 -b1101111111111111111101111101110 C -b1101101111011100011100111 0 -b1101101111011100011100111 H -09 -18 -b10010000000001100000110010111001 < -b11111101111101000100011010101000 2 -b11111101111101000100011010101000 = -b11111101111101000100011010101000 : -b1101101111011100011100111101110 $ -b1101101111011100011100111101110 - -b1101101111011100011100111101110 5 -b1101101111011100011100111101110 ? -b1101101111011100011100111101110 D -b1101111111110011111001101000110 % -b1101111111110011111001101000110 . -b1101111111110011111001101000110 6 -b1101111111110011111001101000110 @ -b1101111111110011111001101000110 F -b1101111111111111111101111101110 ) -#209664000 -0& -#209680000 -b110011001100 , -#209696000 -1& -#209712000 -b11011111111111111111111101110011 " -b11011111111111111111111101110011 4 -b11011111111111111111111101110011 1 -b11011111111111111111111101110011 C -b100111111011101 0 -b100111111011101 H -18 -b100011001000001110100111001110 < -b11000010110111001101100100010001 2 -b11000010110111001101100100010001 = -b11000010110111001101100100010001 : -b10011111101110111110111101000010 $ -b10011111101110111110111101000010 - -b10011111101110111110111101000010 5 -b10011111101110111110111101000010 ? -b10011111101110111110111101000010 D -b11011100110111110001011000110001 % -b11011100110111110001011000110001 . -b11011100110111110001011000110001 6 -b11011100110111110001011000110001 @ -b11011100110111110001011000110001 F -b11011111111111111111111101110011 ) -#209728000 -0& -#209744000 -b110011001101 , -#209760000 -1& -#209776000 -b11111111011110110111111011011 " -b11111111011110110111111011011 4 -b11111111011110110111111011011 1 -b11111111011110110111111011011 C -b11 0 -b11 H -08 -b11111000000101001101110000100100 < -b10000110111000010101000110101 2 -b10000110111000010101000110101 = -b10000110111000010101000110101 : -b11000110001110100111000010000 $ -b11000110001110100111000010000 - -b11000110001110100111000010000 5 -b11000110001110100111000010000 ? -b11000110001110100111000010000 D -b111111010110010001111011011 % -b111111010110010001111011011 . -b111111010110010001111011011 6 -b111111010110010001111011011 @ -b111111010110010001111011011 F -b11111111011110110111111011011 ) -#209792000 -0& -#209808000 -b110011001110 , -#209824000 -1& -#209840000 -b11111111111111111111111011110101 " -b11111111111111111111111011110101 4 -b11111111111111111111111011110101 1 -b11111111111111111111111011110101 C -b100111111111001 0 -b100111111111001 H -19 -08 -b1000000001010000010001101001111 < -b10010000001000011001001001000101 2 -b10010000001000011001001001000101 = -b10010000001000011001001001000101 : -b1001111111110010110111011110101 $ -b1001111111110010110111011110101 - -b1001111111110010110111011110101 5 -b1001111111110010110111011110101 ? -b1001111111110010110111011110101 D -b10111111110101111101110010110000 % -b10111111110101111101110010110000 . -b10111111110101111101110010110000 6 -b10111111110101111101110010110000 @ -b10111111110101111101110010110000 F -b11111111111111111111111011110101 ) -#209856000 -0& -#209872000 -b110011001111 , -#209888000 -1& -#209904000 -b11111111110111111111111101011111 " -b11111111110111111111111101011111 4 -b11111111110111111111111101011111 1 -b11111111110111111111111101011111 C -b111111111101110101011111010111 0 -b111111111101110101011111010111 H -09 -18 -b1000000000110111111101 < -b11111111111111010110110101011101 2 -b11111111111111010110110101011101 = -b11111111111111010110110101011101 : -b11111111110111010101111101011111 $ -b11111111110111010101111101011111 - -b11111111110111010101111101011111 5 -b11111111110111010101111101011111 ? -b11111111110111010101111101011111 D -b11111111110111111111001000000010 % -b11111111110111111111001000000010 . -b11111111110111111111001000000010 6 -b11111111110111111111001000000010 @ -b11111111110111111111001000000010 F -b11111111110111111111111101011111 ) -#209920000 -0& -#209936000 -b110011010000 , -#209952000 -1& -#209968000 -b11111111111111111011011111010111 " -b11111111111111111011011111010111 4 -b11111111111111111011011111010111 1 -b11111111111111111011011111010111 C -b111110111 0 -b111110111 H -b1000000100101110000101000 < -b11111100111000100001001110000000 2 -b11111100111000100001001110000000 = -b11111100111000100001001110000000 : -b11111011110111111011011101010111 $ -b11111011110111111011011101010111 - -b11111011110111111011011101010111 5 -b11111011110111111011011101010111 ? -b11111011110111111011011101010111 D -b11111110111111011010001111010111 % -b11111110111111011010001111010111 . -b11111110111111011010001111010111 6 -b11111110111111011010001111010111 @ -b11111110111111011010001111010111 F -b11111111111111111011011111010111 ) -#209984000 -0& -#210000000 -b110011010001 , -#210016000 -1& -#210032000 -b11011111111111111111101111111111 " -b11011111111111111111101111111111 4 -b11011111111111111111101111111111 1 -b11011111111111111111101111111111 C -b1 0 -b1 H -08 -b110000000000001010111001000000 < -b1001111111001010100100101101 2 -b1001111111001010100100101101 = -b1001111111001010100100101101 : -b11011001111110111111101011101100 $ -b11011001111110111111101011101100 - -b11011001111110111111101011101100 5 -b11011001111110111111101011101100 ? -b11011001111110111111101011101100 D -b11001111111111110101000110111111 % -b11001111111111110101000110111111 . -b11001111111111110101000110111111 6 -b11001111111111110101000110111111 @ -b11001111111111110101000110111111 F -b11011111111111111111101111111111 ) -#210048000 -0& -#210064000 -b110011010010 , -#210080000 -1& -#210096000 -b11111111111111111010110101110110 " -b11111111111111111010110101110110 4 -b11111111111111111010110101110110 1 -b11111111111111111010110101110110 C -b11110111111110101010100101 0 -b11110111111110101010100101 H -b1100010000010001101001011111001 < -b1011010000000110111110001101100 2 -b1011010000000110111110001101100 = -b1011010000000110111110001101100 : -b11110111111110101010100101110010 $ -b11110111111110101010100101110010 - -b11110111111110101010100101110010 5 -b11110111111110101010100101110010 ? -b11110111111110101010100101110010 D -b10011101111101110010110100000110 % -b10011101111101110010110100000110 . -b10011101111101110010110100000110 6 -b10011101111101110010110100000110 @ -b10011101111101110010110100000110 F -b11111111111111111010110101110110 ) -#210112000 -0& -#210128000 -b110011010011 , -#210144000 -1& -#210160000 -b11111111011111111111110111111111 " -b11111111011111111111110111111111 4 -b11111111011111111111110111111111 1 -b11111111011111111111110111111111 C -b1111111001100111101100011011111 0 -b1111111001100111101100011011111 H -18 -b10100100110000011001001000011110 < -b10100011001010010100001111011101 2 -b10100011001010010100001111011101 = -b10100011001010010100001111011101 : -b11111110011001111011000110111110 $ -b11111110011001111011000110111110 - -b11111110011001111011000110111110 5 -b11111110011001111011000110111110 ? -b11111110011001111011000110111110 D -b1011011001111100110110111100001 % -b1011011001111100110110111100001 . -b1011011001111100110110111100001 6 -b1011011001111100110110111100001 @ -b1011011001111100110110111100001 F -b11111111011111111111110111111111 ) -#210176000 -0& -#210192000 -b110011010100 , -#210208000 -1& -#210224000 -b11111111111111110111111001100011 " -b11111111111111110111111001100011 4 -b11111111111111110111111001100011 1 -b11111111111111110111111001100011 C -b11011000011111010111111001100 0 -b11011000011111010111111001100 H -09 -18 -b11001000010000001010110111011100 < -b10100000101111100010110000111110 2 -b10100000101111100010110000111110 = -b10100000101111100010110000111110 : -b11011000011111010111111001100001 $ -b11011000011111010111111001100001 - -b11011000011111010111111001100001 5 -b11011000011111010111111001100001 ? -b11011000011111010111111001100001 D -b110111101111110101001000100011 % -b110111101111110101001000100011 . -b110111101111110101001000100011 6 -b110111101111110101001000100011 @ -b110111101111110101001000100011 F -b11111111111111110111111001100011 ) -#210240000 -0& -#210256000 -b110011010101 , -#210272000 -1& -#210288000 -b11111110111111110101110111011011 " -b11111110111111110101110111011011 4 -b11111110111111110101110111011011 1 -b11111110111111110101110111011011 C -b11111 0 -b11111 H -b10000101010100011010001001100100 < -b10000011110010001111010000101101 2 -b10000011110010001111010000101101 = -b10000011110010001111010000101101 : -b11111110011101110101000111001000 $ -b11111110011101110101000111001000 - -b11111110011101110101000111001000 5 -b11111110011101110101000111001000 ? -b11111110011101110101000111001000 D -b1111010101011100101110110011011 % -b1111010101011100101110110011011 . -b1111010101011100101110110011011 6 -b1111010101011100101110110011011 @ -b1111010101011100101110110011011 F -b11111110111111110101110111011011 ) -#210304000 -0& -#210320000 -b110011010110 , -#210336000 -1& -#210352000 -b11111111111111111101111010111110 " -b11111111111111111101111010111110 4 -b11111111111111111101111010111110 1 -b11111111111111111101111010111110 C -b1 0 -b1 H -19 -08 -b1100000011010001010100101100001 < -b11100000011000110100000110001110 2 -b11100000011000110100000110001110 = -b11100000011000110100000110001110 : -b1111111111110101001100000101100 $ -b1111111111110101001100000101100 - -b1111111111110101001100000101100 5 -b1111111111110101001100000101100 ? -b1111111111110101001100000101100 D -b10011111100101110101011010011110 % -b10011111100101110101011010011110 . -b10011111100101110101011010011110 6 -b10011111100101110101011010011110 @ -b10011111100101110101011010011110 F -b11111111111111111101111010111110 ) -#210368000 -0& -#210384000 -b110011010111 , -#210400000 -1& -#210416000 -b10111111111111011001110110110110 " -b10111111111111011001110110110110 4 -b10111111111111011001110110110110 1 -b10111111111111011001110110110110 C -b10100111111111011001000100 0 -b10100111111111011001000100 H -09 -18 -b1000000010010100111001001011001 < -b11101000010010000000001101101010 2 -b11101000010010000000001101101010 = -b11101000010010000000001101101010 : -b10100111111111011001000100010000 $ -b10100111111111011001000100010000 - -b10100111111111011001000100010000 5 -b10100111111111011001000100010000 ? -b10100111111111011001000100010000 D -b10111111101101011000110110100110 % -b10111111101101011000110110100110 . -b10111111101101011000110110100110 6 -b10111111101101011000110110100110 @ -b10111111101101011000110110100110 F -b10111111111111011001110110110110 ) -#210432000 -0& -#210448000 -b110011011000 , -#210464000 -1& -#210480000 -b11111111111101111011101011111011 " -b11111111111101111011101011111011 4 -b11111111111101111011101011111011 1 -b11111111111101111011101011111011 C -b1010111111100111 0 -b1010111111100111 H -19 -18 -b10101110010010110100011100001111 < -b1011110001100100101100101011011 2 -b1011110001100100101100101011011 = -b1011110001100100101100101011011 : -b10101111111001110001001001001011 $ -b10101111111001110001001001001011 - -b10101111111001110001001001001011 5 -b10101111111001110001001001001011 ? -b10101111111001110001001001001011 D -b1010001101101001011100011110000 % -b1010001101101001011100011110000 . -b1010001101101001011100011110000 6 -b1010001101101001011100011110000 @ -b1010001101101001011100011110000 F -b11111111111101111011101011111011 ) -#210496000 -0& -#210512000 -b110011011001 , -#210528000 -1& -#210544000 -b11111111111101111010011001111101 " -b11111111111101111010011001111101 4 -b11111111111101111010011001111101 1 -b11111111111101111010011001111101 C -b101111111111011100100100010 0 -b101111111111011100100100010 H -b10000010011110100101110110011010 < -b1000010011100011000000111111000 2 -b1000010011100011000000111111000 = -b1000010011100011000000111111000 : -b10111111111101110010010001011101 $ -b10111111111101110010010001011101 - -b10111111111101110010010001011101 5 -b10111111111101110010010001011101 ? -b10111111111101110010010001011101 D -b1111101100001011010001001100101 % -b1111101100001011010001001100101 . -b1111101100001011010001001100101 6 -b1111101100001011010001001100101 @ -b1111101100001011010001001100101 F -b11111111111101111010011001111101 ) -#210560000 -0& -#210576000 -b110011011010 , -#210592000 -1& -#210608000 -b11111111111110111111011001011111 " -b11111111111110111111011001011111 4 -b11111111111110111111011001011111 1 -b11111111111110111111011001011111 C -b1101110111111010101101100101111 0 -b1101110111111010101101100101111 H -09 -18 -b11001000101101000011101111111110 < -b10100110101011101111001001011110 2 -b10100110101011101111001001011110 = -b10100110101011101111001001011110 : -b11011101111110101011011001011111 $ -b11011101111110101011011001011111 - -b11011101111110101011011001011111 5 -b11011101111110101011011001011111 ? -b11011101111110101011011001011111 D -b110111010010111100010000000001 % -b110111010010111100010000000001 . -b110111010010111100010000000001 6 -b110111010010111100010000000001 @ -b110111010010111100010000000001 F -b11111111111110111111011001011111 ) -#210624000 -0& -#210640000 -b110011011011 , -#210656000 -1& -#210672000 -b11111111111111111110111001101011 " -b11111111111111111110111001101011 4 -b11111111111111111110111001101011 1 -b11111111111111111110111001101011 C -b1111111111111111100111 0 -b1111111111111111100111 H -19 -08 -b10011010001101001111010110 < -b10000010011010001010001000111001 2 -b10000010011010001010001000111001 = -b10000010011010001010001000111001 : -b1111111111111111100111001100010 $ -b1111111111111111100111001100010 - -b1111111111111111100111001100010 5 -b1111111111111111100111001100010 ? -b1111111111111111100111001100010 D -b11111101100101110010110000101001 % -b11111101100101110010110000101001 . -b11111101100101110010110000101001 6 -b11111101100101110010110000101001 @ -b11111101100101110010110000101001 F -b11111111111111111110111001101011 ) -#210688000 -0& -#210704000 -b110011011100 , -#210720000 -1& -#210736000 -b11111111111011111101111111101111 " -b11111111111011111101111111101111 4 -b11111111111011111101111111101111 1 -b11111111111011111101111111101111 C -b11110111110111111011100 0 -b11110111110111111011100 H -19 -08 -b100001000100010010100001010111 < -b10011101000000010000010100011111 2 -b10011101000000010000010100011111 = -b10011101000000010000010100011111 : -b1111011111011111101110011000111 $ -b1111011111011111101110011000111 - -b1111011111011111101110011000111 5 -b1111011111011111101110011000111 ? -b1111011111011111101110011000111 D -b11011110111011101101011110101000 % -b11011110111011101101011110101000 . -b11011110111011101101011110101000 6 -b11011110111011101101011110101000 @ -b11011110111011101101011110101000 F -b11111111111011111101111111101111 ) -#210752000 -0& -#210768000 -b110011011101 , -#210784000 -1& -#210800000 -b1111111111111110110010111001010 " -b1111111111111110110010111001010 4 -b1111111111111110110010111001010 1 -b1111111111111110110010111001010 C -b11110111111110101000100 0 -b11110111111110101000100 H -09 -08 -b10100000000000011101111011110111 < -b11011111111110010001110111010 2 -b11011111111110010001110111010 = -b11011111111110010001110111010 : -b1111011111111010100010011000010 $ -b1111011111111010100010011000010 - -b1111011111111010100010011000010 5 -b1111011111111010100010011000010 ? -b1111011111111010100010011000010 D -b1011111111111100010000100001000 % -b1011111111111100010000100001000 . -b1011111111111100010000100001000 6 -b1011111111111100010000100001000 @ -b1011111111111100010000100001000 F -b1111111111111110110010111001010 ) -#210816000 -0& -#210832000 -b110011011110 , -#210848000 -1& -#210864000 -b11110111111111011111101001111011 " -b11110111111111011111101001111011 4 -b11110111111111011111101001111011 1 -b11110111111111011111101001111011 C -b10110111111111011101000 0 -b10110111111111011101000 H -18 -b1010011100101001010111010110 < -b11000010011100000110011000110001 2 -b11000010011100000110011000110001 = -b11000010011100000110011000110001 : -b10110111111111011101000001011010 $ -b10110111111111011101000001011010 - -b10110111111111011101000001011010 5 -b10110111111111011101000001011010 ? -b10110111111111011101000001011010 D -b11110101100011010110101000101001 % -b11110101100011010110101000101001 . -b11110101100011010110101000101001 6 -b11110101100011010110101000101001 @ -b11110101100011010110101000101001 F -b11110111111111011111101001111011 ) -#210880000 -0& -#210896000 -b110011011111 , -#210912000 -1& -#210928000 -b11111111111111110111100011011111 " -b11111111111111110111100011011111 4 -b11111111111111110111100011011111 1 -b11111111111111110111100011011111 C -b110111011101101101011 0 -b110111011101101101011 H -19 -18 -b10010100000010011100011100110100 < -b1110001111001010001111110010010 2 -b1110001111001010001111110010010 = -b1110001111001010001111110010010 : -b11011101110110110101100001011101 $ -b11011101110110110101100001011101 - -b11011101110110110101100001011101 5 -b11011101110110110101100001011101 ? -b11011101110110110101100001011101 D -b1101011111101100011100011001011 % -b1101011111101100011100011001011 . -b1101011111101100011100011001011 6 -b1101011111101100011100011001011 @ -b1101011111101100011100011001011 F -b11111111111111110111100011011111 ) -#210944000 -0& -#210960000 -b110011100000 , -#210976000 -1& -#210992000 -b11111110111111111101100111111111 " -b11111110111111111101100111111111 4 -b11111110111111111101100111111111 1 -b11111110111111111101100111111111 C -b1110111011110111010110010 0 -b1110111011110111010110010 H -09 -18 -b11000001010000000011011100111000 < -b10110000001101111001000001110111 2 -b10110000001101111001000001110111 = -b10110000001101111001000001110111 : -b11101110111101110101100100111110 $ -b11101110111101110101100100111110 - -b11101110111101110101100100111110 5 -b11101110111101110101100100111110 ? -b11101110111101110101100100111110 D -b111110101111111100100011000111 % -b111110101111111100100011000111 . -b111110101111111100100011000111 6 -b111110101111111100100011000111 @ -b111110101111111100100011000111 F -b11111110111111111101100111111111 ) -#211008000 -0& -#211024000 -b110011100001 , -#211040000 -1& -#211056000 -b11111111111111110110111111010101 " -b11111111111111110110111111010101 4 -b11111111111111110110111111010101 1 -b11111111111111110110111111010101 C -b10101011011 0 -b10101011011 H -09 -18 -b10000001011110010101010 < -b10101011101011100010101100101100 2 -b10101011101011100010101100101100 = -b10101011101011100010101100101100 : -b10101011011011010110111010000001 $ -b10101011011011010110111010000001 - -b10101011011011010110111010000001 5 -b10101011011011010110111010000001 ? -b10101011011011010110111010000001 D -b11111111101111110100001101010101 % -b11111111101111110100001101010101 . -b11111111101111110100001101010101 6 -b11111111101111110100001101010101 @ -b11111111101111110100001101010101 F -b11111111111111110110111111010101 ) -#211072000 -0& -#211088000 -b110011100010 , -#211104000 -1& -#211120000 -b11110111111011111111011111111111 " -b11110111111011111111011111111111 4 -b11110111111011111111011111111111 1 -b11110111111011111111011111111111 C -b10 0 -b10 H -b1000010100010000100000100001 < -b10111010010000000111100100000011 2 -b10111010010000000111100100000011 = -b10111010010000000111100100000011 : -b10110001111011110111000011100001 $ -b10110001111011110111000011100001 - -b10110001111011110111000011100001 5 -b10110001111011110111000011100001 ? -b10110001111011110111000011100001 D -b11110111101011101111011111011110 % -b11110111101011101111011111011110 . -b11110111101011101111011111011110 6 -b11110111101011101111011111011110 @ -b11110111101011101111011111011110 F -b11110111111011111111011111111111 ) -#211136000 -0& -#211152000 -b110011100011 , -#211168000 -1& -#211184000 -b10111011111110111111111111111111 " -b10111011111110111111111111111111 4 -b10111011111110111111111111111111 1 -b10111011111110111111111111111111 C -b10001110111 0 -b10001110111 H -08 -b1000100000101000000010001001100 < -b1100111110011001001010000101100 2 -b1100111110011001001010000101100 = -b1100111110011001001010000101100 : -b100011101110001000111111011111 $ -b100011101110001000111111011111 - -b100011101110001000111111011111 5 -b100011101110001000111111011111 ? -b100011101110001000111111011111 D -b10111011111010111111101110110011 % -b10111011111010111111101110110011 . -b10111011111010111111101110110011 6 -b10111011111010111111101110110011 @ -b10111011111010111111101110110011 F -b10111011111110111111111111111111 ) -#211200000 -0& -#211216000 -b110011100100 , -#211232000 -1& -#211248000 -b11111111111111111000111110011011 " -b11111111111111111000111110011011 4 -b11111111111111111000111110011011 1 -b11111111111111111000111110011011 C -b1011111011011011100000 0 -b1011111011011011100000 H -18 -19 -b10000100000010010111000101110101 < -b1000010111001001111001010010001 2 -b1000010111001001111001010010001 = -b1000010111001001111001010010001 : -b10111110110110111000000100011011 $ -b10111110110110111000000100011011 - -b10111110110110111000000100011011 5 -b10111110110110111000000100011011 ? -b10111110110110111000000100011011 D -b1111011111101101000111010001010 % -b1111011111101101000111010001010 . -b1111011111101101000111010001010 6 -b1111011111101101000111010001010 @ -b1111011111101101000111010001010 F -b11111111111111111000111110011011 ) -#211264000 -0& -#211280000 -b110011100101 , -#211296000 -1& -#211312000 -b11101111111110111111110111111111 " -b11101111111110111111110111111111 4 -b11101111111110111111110111111111 1 -b11101111111110111111110111111111 C -b1100 0 -b1100 H -09 -08 -b10000100101001000011010000100 < -b1111000100100000010001101100010 2 -b1111000100100000010001101100010 = -b1111000100100000010001101100010 : -b1100111111110111001110011011101 $ -b1100111111110111001110011011101 - -b1100111111110111001110011011101 5 -b1100111111110111001110011011101 ? -b1100111111110111001110011011101 D -b11101111011010110111100101111011 % -b11101111011010110111100101111011 . -b11101111011010110111100101111011 6 -b11101111011010110111100101111011 @ -b11101111011010110111100101111011 F -b11101111111110111111110111111111 ) -#211328000 -0& -#211344000 -b110011100110 , -#211360000 -1& -#211376000 -b11111111111111111110001010011111 " -b11111111111111111110001010011111 4 -b11111111111111111110001010011111 1 -b11111111111111111110001010011111 C -b101100111 0 -b101100111 H -18 -b10000101100000111111101101000 < -b11000100101011111110001000001000 2 -b11000100101011111110001000001000 = -b11000100101011111110001000001000 : -b10110011111111110110001010011111 $ -b10110011111111110110001010011111 - -b10110011111111110110001010011111 5 -b10110011111111110110001010011111 ? -b10110011111111110110001010011111 D -b11101111010011111000000010010111 % -b11101111010011111000000010010111 . -b11101111010011111000000010010111 6 -b11101111010011111000000010010111 @ -b11101111010011111000000010010111 F -b11111111111111111110001010011111 ) -#211392000 -0& -#211408000 -b110011100111 , -#211424000 -1& -#211440000 -b1011111111111111111011011110101 " -b1011111111111111111011011110101 4 -b1011111111111111111011011110101 1 -b1011111111111111111011011110101 C -b10111111111011 0 -b10111111111011 H -08 -b10100100001000000110100111101110 < -b100000110000100110011100011 2 -b100000110000100110011100011 = -b100000110000100110011100011 : -b1011111111101111110001011110100 $ -b1011111111101111110001011110100 - -b1011111111101111110001011110100 5 -b1011111111101111110001011110100 ? -b1011111111101111110001011110100 D -b1011011110111111001011000010001 % -b1011011110111111001011000010001 . -b1011011110111111001011000010001 6 -b1011011110111111001011000010001 @ -b1011011110111111001011000010001 F -b1011111111111111111011011110101 ) -#211456000 -0& -#211472000 -b110011101000 , -#211488000 -1& -#211504000 -b11111111101101100111111011110111 " -b11111111101101100111111011110111 4 -b11111111101101100111111011110111 1 -b11111111101101100111111011110111 C -b11101111 0 -b11101111 H -19 -08 -b100000010010011010100100001000 < -b10010111111111000010010100011111 2 -b10010111111111000010010100011111 = -b10010111111111000010010100011111 : -b1110111101100100111110000010110 $ -b1110111101100100111110000010110 - -b1110111101100100111110000010110 5 -b1110111101100100111110000010110 ? -b1110111101100100111110000010110 D -b11011111101101100101011011110111 % -b11011111101101100101011011110111 . -b11011111101101100101011011110111 6 -b11011111101101100101011011110111 @ -b11011111101101100101011011110111 F -b11111111101101100111111011110111 ) -#211520000 -0& -#211536000 -b110011101001 , -#211552000 -1& -#211568000 -b11111111111111111011101011111110 " -b11111111111111111011101011111110 4 -b11111111111111111011101011111110 1 -b11111111111111111011101011111110 C -b11111111111011100 0 -b11111111111011100 H -b1000000001000000101111110010001 < -b11000000000101111001101010001010 2 -b11000000000101111001101010001010 = -b11000000000101111001101010001010 : -b1111111111101110011101011111000 $ -b1111111111101110011101011111000 - -b1111111111101110011101011111000 5 -b1111111111101110011101011111000 ? -b1111111111101110011101011111000 D -b10111111110111111010000001101110 % -b10111111110111111010000001101110 . -b10111111110111111010000001101110 6 -b10111111110111111010000001101110 @ -b10111111110111111010000001101110 F -b11111111111111111011101011111110 ) -#211584000 -0& -#211600000 -b110011101010 , -#211616000 -1& -#211632000 -b11111111111111110010011100111111 " -b11111111111111110010011100111111 4 -b11111111111111110010011100111111 1 -b11111111111111110010011100111111 C -b10111110011111010 0 -b10111110011111010 H -18 -09 -b10000000001111100111010000 < -b11000000011111100001101100001101 2 -b11000000011111100001101100001101 = -b11000000011111100001101100001101 : -b10111110011111010010000100111100 $ -b10111110011111010010000100111100 - -b10111110011111010010000100111100 5 -b10111110011111010010000100111100 ? -b10111110011111010010000100111100 D -b11111101111111110000011000101111 % -b11111101111111110000011000101111 . -b11111101111111110000011000101111 6 -b11111101111111110000011000101111 @ -b11111101111111110000011000101111 F -b11111111111111110010011100111111 ) -#211648000 -0& -#211664000 -b110011101011 , -#211680000 -1& -#211696000 -b11111111111111110110111111111101 " -b11111111111111110110111111111101 4 -b11111111111111110110111111111101 1 -b11111111111111110110111111111101 C -b10111111101110101000001 0 -b10111111101110101000001 H -08 -b1000110100001101000000010111 < -b1101000101011100001000100101101 2 -b1101000101011100001000100101101 = -b1101000101011100001000100101101 : -b1011111110111010100000100010101 $ -b1011111110111010100000100010101 - -b1011111110111010100000100010101 5 -b1011111110111010100000100010101 ? -b1011111110111010100000100010101 D -b11110111001011110010111111101000 % -b11110111001011110010111111101000 . -b11110111001011110010111111101000 6 -b11110111001011110010111111101000 @ -b11110111001011110010111111101000 F -b11111111111111110110111111111101 ) -#211712000 -0& -#211728000 -b110011101100 , -#211744000 -1& -#211760000 -b11111111111111111111111011111010 " -b11111111111111111111111011111010 4 -b11111111111111111111111011111010 1 -b11111111111111111111111011111010 C -b111011111111111111111 0 -b111011111111111111111 H -09 -08 -b110011111010101 < -b1111000000000000110011011001000 2 -b1111000000000000110011011001000 = -b1111000000000000110011011001000 : -b1110111111111111111111011110010 $ -b1110111111111111111111011110010 - -b1110111111111111111111011110010 5 -b1110111111111111111111011110010 ? -b1110111111111111111111011110010 D -b11111111111111111001100000101010 % -b11111111111111111001100000101010 . -b11111111111111111001100000101010 6 -b11111111111111111001100000101010 @ -b11111111111111111001100000101010 F -b11111111111111111111111011111010 ) -#211776000 -0& -#211792000 -b110011101101 , -#211808000 -1& -#211824000 -b11111111111111110111111111001110 " -b11111111111111110111111111001110 4 -b11111111111111110111111111001110 1 -b11111111111111110111111111001110 C -b1110111111111000 0 -b1110111111111000 H -b11000001001010000101110001 < -b111111000000101100001100110110 2 -b111111000000101100001100110110 = -b111111000000101100001100110110 : -b111011111111100010000111000100 $ -b111011111111100010000111000100 - -b111011111111100010000111000100 5 -b111011111111100010000111000100 ? -b111011111111100010000111000100 D -b11111100111110110101111010001110 % -b11111100111110110101111010001110 . -b11111100111110110101111010001110 6 -b11111100111110110101111010001110 @ -b11111100111110110101111010001110 F -b11111111111111110111111111001110 ) -#211840000 -0& -#211856000 -b110011101110 , -#211872000 -1& -#211888000 -b11111111111111110111111011110011 " -b11111111111111110111111011110011 4 -b11111111111111110111111011110011 1 -b11111111111111110111111011110011 C -b11111110111111 0 -b11111110111111 H -18 -19 -b10000000001000001000000100001101 < -b1111111000111111101111100111111 2 -b1111111000111111101111100111111 = -b1111111000111111101111100111111 : -b11111110111111110101111000110001 $ -b11111110111111110101111000110001 - -b11111110111111110101111000110001 5 -b11111110111111110101111000110001 ? -b11111110111111110101111000110001 D -b1111111110111110111111011110010 % -b1111111110111110111111011110010 . -b1111111110111110111111011110010 6 -b1111111110111110111111011110010 @ -b1111111110111110111111011110010 F -b11111111111111110111111011110011 ) -#211904000 -0& -#211920000 -b110011101111 , -#211936000 -1& -#211952000 -b11111111111011111111011111011111 " -b11111111111011111111011111011111 4 -b11111111111011111111011111011111 1 -b11111111111011111111011111011111 C -b1 0 -b1 H -09 -18 -b100000001110010100000 < -b11011011111111101001001000111010 2 -b11011011111111101001001000111010 = -b11011011111111101001001000111010 : -b11011011111011100111010110011001 $ -b11011011111011100111010110011001 - -b11011011111011100111010110011001 5 -b11011011111011100111010110011001 ? -b11011011111011100111010110011001 D -b11111111111011111110001101011111 % -b11111111111011111110001101011111 . -b11111111111011111110001101011111 6 -b11111111111011111110001101011111 @ -b11111111111011111110001101011111 F -b11111111111011111111011111011111 ) -#211968000 -0& -#211984000 -b110011110000 , -#212000000 -1& -#212016000 -b11111111111111111111111011110101 " -b11111111111111111111111011110101 4 -b11111111111111111111111011110101 1 -b11111111111111111111111011110101 C -b11111111011 0 -b11111111011 H -19 -18 -b10000000000001101010010111001010 < -b1111111011111100101010010101011 2 -b1111111011111100101010010101011 = -b1111111011111100101010010101011 : -b11111111011101111010111011100000 $ -b11111111011101111010111011100000 - -b11111111011101111010111011100000 5 -b11111111011101111010111011100000 ? -b11111111011101111010111011100000 D -b1111111111110010101101000110101 % -b1111111111110010101101000110101 . -b1111111111110010101101000110101 6 -b1111111111110010101101000110101 @ -b1111111111110010101101000110101 F -b11111111111111111111111011110101 ) -#212032000 -0& -#212048000 -b110011110001 , -#212064000 -1& -#212080000 -b11111111101111111110101011111111 " -b11111111101111111110101011111111 4 -b11111111101111111110101011111111 1 -b11111111101111111110101011111111 C -b1000111110101 0 -b1000111110101 H -09 -18 -b10000000011011100101100 < -b10001111111100000001100111011010 2 -b10001111111100000001100111011010 = -b10001111111100000001100111011010 : -b10001111101011111110001010101101 $ -b10001111101011111110001010101101 - -b10001111101011111110001010101101 5 -b10001111101011111110001010101101 ? -b10001111101011111110001010101101 D -b11111111101111111100100011010011 % -b11111111101111111100100011010011 . -b11111111101111111100100011010011 6 -b11111111101111111100100011010011 @ -b11111111101111111100100011010011 F -b11111111101111111110101011111111 ) -#212096000 -0& -#212112000 -b110011110010 , -#212128000 -1& -#212144000 -b11111110111111111110010111110111 " -b11111110111111111110010111110111 4 -b11111110111111111110010111110111 1 -b11111110111111111110010111110111 C -b11110100111110 0 -b11110100111110 H -b10110001000100000001111000101101 < -b10100110000010110100001111100101 2 -b10100110000010110100001111100101 = -b10100110000010110100001111100101 : -b11110100111110110010010110110111 $ -b11110100111110110010010110110111 - -b11110100111110110010010110110111 5 -b11110100111110110010010110110111 ? -b11110100111110110010010110110111 D -b1001110111011111110000111010010 % -b1001110111011111110000111010010 . -b1001110111011111110000111010010 6 -b1001110111011111110000111010010 @ -b1001110111011111110000111010010 F -b11111110111111111110010111110111 ) -#212160000 -0& -#212176000 -b110011110011 , -#212192000 -1& -#212208000 -b11111111111111111011111101001110 " -b11111111111111111011111101001110 4 -b11111111111111111011111101001110 1 -b11111111111111111011111101001110 C -b110011111010110110111001 0 -b110011111010110110111001 H -b11111000010110111 < -b11001111101011111010100111111110 2 -b11001111101011111010100111111110 = -b11001111101011111010100111111110 : -b11001111101011011011100101000110 $ -b11001111101011011011100101000110 - -b11001111101011011011100101000110 5 -b11001111101011011011100101000110 ? -b11001111101011011011100101000110 D -b11111111111111100000111101001000 % -b11111111111111100000111101001000 . -b11111111111111100000111101001000 6 -b11111111111111100000111101001000 @ -b11111111111111100000111101001000 F -b11111111111111111011111101001110 ) -#212224000 -0& -#212240000 -b110011110100 , -#212256000 -1& -#212272000 -b10101111111111111010011111011001 " -b10101111111111111010011111011001 4 -b10101111111111111010011111011001 1 -b10101111111111111010011111011001 C -b1000110 0 -b1000110 H -b1011000000000010101100000100110 < -b11100101111111001111100011000000 2 -b11100101111111001111100011000000 = -b11100101111111001111100011000000 : -b10001101111110111010000010011001 $ -b10001101111110111010000010011001 - -b10001101111110111010000010011001 5 -b10001101111110111010000010011001 ? -b10001101111110111010000010011001 D -b10100111111111101010011111011001 % -b10100111111111101010011111011001 . -b10100111111111101010011111011001 6 -b10100111111111101010011111011001 @ -b10100111111111101010011111011001 F -b10101111111111111010011111011001 ) -#212288000 -0& -#212304000 -b110011110101 , -#212320000 -1& -#212336000 -b11111111111111111110111111111111 " -b11111111111111111110111111111111 4 -b11111111111111111110111111111111 1 -b11111111111111111110111111111111 C -b1111101101011010101 0 -b1111101101011010101 H -08 -b100000000000000011010000010010 < -b11011010110101101100111000110 2 -b11011010110101101100111000110 = -b11011010110101101100111000110 : -b11111011010110101010010110110011 $ -b11111011010110101010010110110011 - -b11111011010110101010010110110011 5 -b11111011010110101010010110110011 ? -b11111011010110101010010110110011 D -b11011111111111111100101111101101 % -b11011111111111111100101111101101 . -b11011111111111111100101111101101 6 -b11011111111111111100101111101101 @ -b11011111111111111100101111101101 F -b11111111111111111110111111111111 ) -#212352000 -0& -#212368000 -b110011110110 , -#212384000 -1& -#212400000 -b1111111111111110101111111111001 " -b1111111111111110101111111111001 4 -b1111111111111110101111111111001 1 -b1111111111111110101111111111001 C -b11001011011010 0 -b11001011011010 H -08 -b10100001001001001110111000001110 < -b110110110100100110110111000 2 -b110110110100100110110111000 = -b110110110100100110110111000 : -b1100101101101010101111110101001 $ -b1100101101101010101111110101001 - -b1100101101101010101111110101001 5 -b1100101101101010101111110101001 ? -b1100101101101010101111110101001 D -b1011110110110110001000111110001 % -b1011110110110110001000111110001 . -b1011110110110110001000111110001 6 -b1011110110110110001000111110001 @ -b1011110110110110001000111110001 F -b1111111111111110101111111111001 ) -#212416000 -0& -#212432000 -b110011110111 , -#212448000 -1& -#212464000 -b11111111111111110111110010111111 " -b11111111111111110111110010111111 4 -b11111111111111110111110010111111 1 -b11111111111111110111110010111111 C -b111 0 -b111 H -18 -b10000100000000011101101101100010 < -b10000010111110010011001110010101 2 -b10000010111110010011001110010101 = -b10000010111110010011001110010101 : -b11111110111101110101100000110010 $ -b11111110111101110101100000110010 - -b11111110111101110101100000110010 5 -b11111110111101110101100000110010 ? -b11111110111101110101100000110010 D -b1111011111111100010010010011101 % -b1111011111111100010010010011101 . -b1111011111111100010010010011101 6 -b1111011111111100010010010011101 @ -b1111011111111100010010010011101 F -b11111111111111110111110010111111 ) -#212480000 -0& -#212496000 -b110011111000 , -#212512000 -1& -#212528000 -b11111111111111110100110111110100 " -b11111111111111110100110111110100 4 -b11111111111111110100110111110100 1 -b11111111111111110100110111110100 C -b1111001011111011010011011011 0 -b1111001011111011010011011011 H -09 -08 -b100000010000111111111000011011 < -b10011001111110100101111010000 2 -b10011001111110100101111010000 = -b10011001111110100101111010000 : -b11110010111110110100110110110100 $ -b11110010111110110100110110110100 - -b11110010111110110100110110110100 5 -b11110010111110110100110110110100 ? -b11110010111110110100110110110100 D -b11011111101111000000000111100100 % -b11011111101111000000000111100100 . -b11011111101111000000000111100100 6 -b11011111101111000000000111100100 @ -b11011111101111000000000111100100 F -b11111111111111110100110111110100 ) -#212544000 -0& -#212560000 -b110011111001 , -#212576000 -1& -#212592000 -b1111110111011110100111110010101 " -b1111110111011110100111110010101 4 -b1111110111011110100111110010101 1 -b1111110111011110100111110010101 C -b1111010110 0 -b1111010110 H -18 -b10000011000100001011110101101010 < -b11111101110111111100110011101100 2 -b11111101110111111100110011101100 = -b11111101110111111100110011101100 : -b1111010110011110000111110000001 $ -b1111010110011110000111110000001 - -b1111010110011110000111110000001 5 -b1111010110011110000111110000001 ? -b1111010110011110000111110000001 D -b1111100111011110100001010010101 % -b1111100111011110100001010010101 . -b1111100111011110100001010010101 6 -b1111100111011110100001010010101 @ -b1111100111011110100001010010101 F -b1111110111011110100111110010101 ) -#212608000 -0& -#212624000 -b110011111010 , -#212640000 -1& -#212656000 -b1111111111110111110011010110001 " -b1111111111110111110011010110001 4 -b1111111111110111110011010110001 1 -b1111111111110111110011010110001 C -b11110111100101 0 -b11110111100101 H -08 -b10111000000011000101100101001110 < -b110011110101111011101101101111 2 -b110011110101111011101101101111 = -b110011110101111011101101101111 : -b1111011110010110110001000100000 $ -b1111011110010110110001000100000 - -b1111011110010110110001000100000 5 -b1111011110010110110001000100000 ? -b1111011110010110110001000100000 D -b1000111111100111010011010110001 % -b1000111111100111010011010110001 . -b1000111111100111010011010110001 6 -b1000111111100111010011010110001 @ -b1000111111100111010011010110001 F -b1111111111110111110011010110001 ) -#212672000 -0& -#212688000 -b110011111011 , -#212704000 -1& -#212720000 -b11111111111111111011111111110111 " -b11111111111111111011111111110111 4 -b11111111111111111011111111110111 1 -b11111111111111111011111111110111 C -b1010111111010000101000101 0 -b1010111111010000101000101 H -18 -19 -b10000100010000000110001010011000 < -b110100000100010000010110001001 2 -b110100000100010000010110001001 = -b110100000100010000010110001001 : -b10101111110100001010001011110000 $ -b10101111110100001010001011110000 - -b10101111110100001010001011110000 5 -b10101111110100001010001011110000 ? -b10101111110100001010001011110000 D -b1111011101111111001110101100111 % -b1111011101111111001110101100111 . -b1111011101111111001110101100111 6 -b1111011101111111001110101100111 @ -b1111011101111111001110101100111 F -b11111111111111111011111111110111 ) -#212736000 -0& -#212752000 -b110011111100 , -#212768000 -1& -#212784000 -b11111111111111111111111011010101 " -b11111111111111111111111011010101 4 -b11111111111111111111111011010101 1 -b11111111111111111111111011010101 C -b11110111111011101110100110 0 -b11110111111011101110100110 H -08 -19 -b100000100000100001000111111010 < -b10011100011110011000011011001100 2 -b10011100011110011000011011001100 = -b10011100011110011000011011001100 : -b1111011111101110111010011010001 $ -b1111011111101110111010011010001 - -b1111011111101110111010011010001 5 -b1111011111101110111010011010001 ? -b1111011111101110111010011010001 D -b11011111011111011110111000000101 % -b11011111011111011110111000000101 . -b11011111011111011110111000000101 6 -b11011111011111011110111000000101 @ -b11011111011111011110111000000101 F -b11111111111111111111111011010101 ) -#212800000 -0& -#212816000 -b110011111101 , -#212832000 -1& -#212848000 -b11111111111111111011111111101111 " -b11111111111111111011111111101111 4 -b11111111111111111011111111101111 1 -b11111111111111111011111111101111 C -b11111111001111111 0 -b11111111001111111 H -09 -18 -b10010100001010000100001101110000 < -b10010011011001111101001011011010 2 -b10010011011001111101001011011010 = -b10010011011001111101001011011010 : -b11111111001111111000111101101001 $ -b11111111001111111000111101101001 - -b11111111001111111000111101101001 5 -b11111111001111111000111101101001 ? -b11111111001111111000111101101001 D -b1101011110101111011110010001111 % -b1101011110101111011110010001111 . -b1101011110101111011110010001111 6 -b1101011110101111011110010001111 @ -b1101011110101111011110010001111 F -b11111111111111111011111111101111 ) -#212864000 -0& -#212880000 -b110011111110 , -#212896000 -1& -#212912000 -b1110111111111111101111101111111 " -b1110111111111111101111101111111 4 -b1110111111111111101111101111111 1 -b1110111111111111101111101111111 C -b0 0 -b0 H -08 -b10011010000000001110100010100000 < -b1101101111001100011000000010 2 -b1101101111001100011000000010 = -b1101101111001100011000000010 : -b1110011101110111101110101100001 $ -b1110011101110111101110101100001 - -b1110011101110111101110101100001 5 -b1110011101110111101110101100001 ? -b1110011101110111101110101100001 D -b1100101111111110001011101011111 % -b1100101111111110001011101011111 . -b1100101111111110001011101011111 6 -b1100101111111110001011101011111 @ -b1100101111111110001011101011111 F -b1110111111111111101111101111111 ) -#212928000 -0& -#212944000 -b110011111111 , -#212960000 -1& -#212976000 -b11111111111111110011001001010111 " -b11111111111111110011001001010111 4 -b11111111111111110011001001010111 1 -b11111111111111110011001001010111 C -b11001111110 0 -b11001111110 H -18 -19 -b10001000000100001100110110101010 < -b1010111111001000000000000000010 2 -b1010111111001000000000000000010 = -b1010111111001000000000000000010 : -b11001111110100110011001001010111 $ -b11001111110100110011001001010111 - -b11001111110100110011001001010111 5 -b11001111110100110011001001010111 ? -b11001111110100110011001001010111 D -b1110111111011110011001001010101 % -b1110111111011110011001001010101 . -b1110111111011110011001001010101 6 -b1110111111011110011001001010101 @ -b1110111111011110011001001010101 F -b11111111111111110011001001010111 ) -#212992000 -0& -#213008000 -b110100000000 , -#213024000 -1& -#213040000 -b11111111111111110111011111111110 " -b11111111111111110111011111111110 4 -b11111111111111110111011111111110 1 -b11111111111111110111011111111110 C -b10101101 0 -b10101101 H -09 -18 -b1000010001101100101100111 < -b10101111000001000100101101010110 2 -b10101111000001000100101101010110 = -b10101111000001000100101101010110 : -b10101101111110110111000111101110 $ -b10101101111110110111000111101110 - -b10101101111110110111000111101110 5 -b10101101111110110111000111101110 ? -b10101101111110110111000111101110 D -b11111110111101110010011010011000 % -b11111110111101110010011010011000 . -b11111110111101110010011010011000 6 -b11111110111101110010011010011000 @ -b11111110111101110010011010011000 F -b11111111111111110111011111111110 ) -#213056000 -0& -#213072000 -b110100000001 , -#213088000 -1& -#213104000 -b11111100110111110110110011111011 " -b11111100110111110110110011111011 4 -b11111100110111110110110011111011 1 -b11111100110111110110110011111011 C -b111111 0 -b111111 H -08 -b100111001010001001011110100101 < -b100011100001111001110001100001 2 -b100011100001111001110001100001 = -b100011100001111001110001100001 : -b11111100010111110000010010111011 $ -b11111100010111110000010010111011 - -b11111100010111110000010010111011 5 -b11111100010111110000010010111011 ? -b11111100010111110000010010111011 D -b11011000110101110110100001011010 % -b11011000110101110110100001011010 . -b11011000110101110110100001011010 6 -b11011000110101110110100001011010 @ -b11011000110101110110100001011010 F -b11111100110111110110110011111011 ) -#213120000 -0& -#213136000 -b110100000010 , -#213152000 -1& -#213168000 -b1011111111111111111111111110010 " -b1011111111111111111111111110010 4 -b1011111111111111111111111110010 1 -b1011111111111111111111111110010 C -b1010111001111 0 -b1010111001111 H -18 -b10100110000110000000011001101101 < -b11111101010101100111110111100000 2 -b11111101010101100111110111100000 = -b11111101010101100111110111100000 : -b1010111001111100111011101110010 $ -b1010111001111100111011101110010 - -b1010111001111100111011101110010 5 -b1010111001111100111011101110010 ? -b1010111001111100111011101110010 D -b1011001111001111111100110010010 % -b1011001111001111111100110010010 . -b1011001111001111111100110010010 6 -b1011001111001111111100110010010 @ -b1011001111001111111100110010010 F -b1011111111111111111111111110010 ) -#213184000 -0& -#213200000 -b110100000011 , -#213216000 -1& -#213232000 -b111111111111011110111111111101 " -b111111111111011110111111111101 4 -b111111111111011110111111111101 1 -b111111111111011110111111111101 C -b11111 0 -b11111 H -08 -b11000001001001100011101110000110 < -b11000110110101101000100 2 -b11000110110101101000100 = -b11000110110101101000100 : -b111111001111010010111110111101 $ -b111111001111010010111110111101 - -b111111001111010010111110111101 5 -b111111001111010010111110111101 ? -b111111001111010010111110111101 D -b111110110110011100010001111001 % -b111110110110011100010001111001 . -b111110110110011100010001111001 6 -b111110110110011100010001111001 @ -b111110110110011100010001111001 F -b111111111111011110111111111101 ) -#213248000 -0& -#213264000 -b110100000100 , -#213280000 -1& -#213296000 -b11111111111111111110011010110111 " -b11111111111111111110011010110111 4 -b11111111111111111110011010110111 1 -b11111111111111111110011010110111 C -b1111101110101 0 -b1111101110101 H -08 -b1001000100000010111110101001100 < -b1000100001010101110000111010011 2 -b1000100001010101110000111010011 = -b1000100001010101110000111010011 : -b11111011101010010110010010000110 $ -b11111011101010010110010010000110 - -b11111011101010010110010010000110 5 -b11111011101010010110010010000110 ? -b11111011101010010110010010000110 D -b10110111011111101000001010110011 % -b10110111011111101000001010110011 . -b10110111011111101000001010110011 6 -b10110111011111101000001010110011 @ -b10110111011111101000001010110011 F -b11111111111111111110011010110111 ) -#213312000 -0& -#213328000 -b110100000101 , -#213344000 -1& -#213360000 -b11111111111111111101101110011111 " -b11111111111111111101101110011111 4 -b11111111111111111101101110011111 1 -b11111111111111111101101110011111 C -b1011111011111011110010111 0 -b1011111011111011110010111 H -18 -19 -b10000000000000010010111111111000 < -b111110111111001111101110010001 2 -b111110111111001111101110010001 = -b111110111111001111101110010001 : -b10111110111110111100101110011000 $ -b10111110111110111100101110011000 - -b10111110111110111100101110011000 5 -b10111110111110111100101110011000 ? -b10111110111110111100101110011000 D -b1111111111111101101000000000111 % -b1111111111111101101000000000111 . -b1111111111111101101000000000111 6 -b1111111111111101101000000000111 @ -b1111111111111101101000000000111 F -b11111111111111111101101110011111 ) -#213376000 -0& -#213392000 -b110100000110 , -#213408000 -1& -#213424000 -b11111110111111100101011111010110 " -b11111110111111100101011111010110 4 -b11111110111111100101011111010110 1 -b11111110111111100101011111010110 C -b11011100111111000101010100010110 0 -b11011100111111000101010100010110 H -09 -18 -b11000101010001011010100100111111 < -b10100010010000011111111001010110 2 -b10100010010000011111111001010110 = -b10100010010000011111111001010110 : -b11011100111111000101010100010110 $ -b11011100111111000101010100010110 - -b11011100111111000101010100010110 5 -b11011100111111000101010100010110 ? -b11011100111111000101010100010110 D -b111010101110100101011011000000 % -b111010101110100101011011000000 . -b111010101110100101011011000000 6 -b111010101110100101011011000000 @ -b111010101110100101011011000000 F -b11111110111111100101011111010110 ) -#213440000 -0& -#213456000 -b110100000111 , -#213472000 -1& -#213488000 -b11111111011111111011001111010110 " -b11111111011111111011001111010110 4 -b11111111011111111011001111010110 1 -b11111111011111111011001111010110 C -b1011110011111111001001111 0 -b1011110011111111001001111 H -08 -b1000111010001101110110111001 < -b1100111011010000111000110010000 2 -b1100111011010000111000110010000 = -b1100111011010000111000110010000 : -b1011110011111111001001111010110 $ -b1011110011111111001001111010110 - -b1011110011111111001001111010110 5 -b1011110011111111001001111010110 ? -b1011110011111111001001111010110 D -b11110111000101110010001001000110 % -b11110111000101110010001001000110 . -b11110111000101110010001001000110 6 -b11110111000101110010001001000110 @ -b11110111000101110010001001000110 F -b11111111011111111011001111010110 ) -#213504000 -0& -#213520000 -b110100001000 , -#213536000 -1& -#213552000 -b11111111111101111101010111110101 " -b11111111111101111101010111110101 4 -b11111111111101111101010111110101 1 -b11111111111101111101010111110101 C -b11101101011 0 -b11101101011 H -19 -08 -b100000000111011010111000001011 < -b10010110110100110100001100111101 2 -b10010110110100110100001100111101 = -b10010110110100110100001100111101 : -b1110110101101011001010100110001 $ -b1110110101101011001010100110001 - -b1110110101101011001010100110001 5 -b1110110101101011001010100110001 ? -b1110110101101011001010100110001 D -b11011111111000100101000111110100 % -b11011111111000100101000111110100 . -b11011111111000100101000111110100 6 -b11011111111000100101000111110100 @ -b11011111111000100101000111110100 F -b11111111111101111101010111110101 ) -#213568000 -0& -#213584000 -b110100001001 , -#213600000 -1& -#213616000 -b11111101011111111110111111111101 " -b11111101011111111110111111111101 4 -b11111101011111111110111111111101 1 -b11111101011111111110111111111101 C -b110111010111011 0 -b110111010111011 H -09 -b1000010100100000011000000101110 < -b100000000001101001111110101100 2 -b100000000001101001111110101100 = -b100000000001101001111110101100 : -b11011101011101100110111101111101 $ -b11011101011101100110111101111101 - -b11011101011101100110111101111101 5 -b11011101011101100110111101111101 ? -b11011101011101100110111101111101 D -b10111101011011111100111111010001 % -b10111101011011111100111111010001 . -b10111101011011111100111111010001 6 -b10111101011011111100111111010001 @ -b10111101011011111100111111010001 F -b11111101011111111110111111111101 ) -#213632000 -0& -#213648000 -b110100001010 , -#213664000 -1& -#213680000 -b10111011111111010111111110000011 " -b10111011111111010111111110000011 4 -b10111011111111010111111110000011 1 -b10111011111111010111111110000011 C -b101110011011010101011011000000 0 -b101110011011010101011011000000 H -18 -b1000100000000101100000101111101 < -b11111101101110000001110001111111 2 -b11111101101110000001110001111111 = -b11111101101110000001110001111111 : -b10111001101101010101101100000001 $ -b10111001101101010101101100000001 - -b10111001101101010101101100000001 5 -b10111001101101010101101100000001 ? -b10111001101101010101101100000001 D -b10111011111111010011111010000010 % -b10111011111111010011111010000010 . -b10111011111111010011111010000010 6 -b10111011111111010011111010000010 @ -b10111011111111010011111010000010 F -b10111011111111010111111110000011 ) -#213696000 -0& -#213712000 -b110100001011 , -#213728000 -1& -#213744000 -b11111111111111111011101111001101 " -b11111111111111111011101111001101 4 -b11111111111111111011101111001101 1 -b11111111111111111011101111001101 C -b11110111111110101010101011001101 0 -b11110111111110101010101011001101 H -08 -b1000000100000001100010011111111 < -b111000011110110110111111001101 2 -b111000011110110110111111001101 = -b111000011110110110111111001101 : -b11110111111110101010101011001101 $ -b11110111111110101010101011001101 - -b11110111111110101010101011001101 5 -b11110111111110101010101011001101 ? -b11110111111110101010101011001101 D -b10111111011111110011101100000000 % -b10111111011111110011101100000000 . -b10111111011111110011101100000000 6 -b10111111011111110011101100000000 @ -b10111111011111110011101100000000 F -b11111111111111111011101111001101 ) -#213760000 -0& -#213776000 -b110100001100 , -#213792000 -1& -#213808000 -b11111111111110110011011011110110 " -b11111111111110110011011011110110 4 -b11111111111110110011011011110110 1 -b11111111111110110011011011110110 C -b11011111111110 0 -b11011111111110 H -18 -b10100000011001101101110001101 < -b11110100000001010001001001110010 2 -b11110100000001010001001001110010 = -b11110100000001010001001001110010 : -b11011111111110000011011011100100 $ -b11011111111110000011011011100100 - -b11011111111110000011011011100100 5 -b11011111111110000011011011100100 ? -b11011111111110000011011011100100 D -b11101011111100110010010001110010 % -b11101011111100110010010001110010 . -b11101011111100110010010001110010 6 -b11101011111100110010010001110010 @ -b11101011111100110010010001110010 F -b11111111111110110011011011110110 ) -#213824000 -0& -#213840000 -b110100001101 , -#213856000 -1& -#213872000 -b11111111111111010111001111110111 " -b11111111111111010111001111110111 4 -b11111111111111010111001111110111 1 -b11111111111111010111001111110111 C -b11111111011110 0 -b11111111011110 H -08 -b110000000000101000110100001101 < -b101111011110111100000001100101 2 -b101111011110111100000001100101 = -b101111011110111100000001100101 : -b11111111011110010011001101010111 $ -b11111111011110010011001101010111 - -b11111111011110010011001101010111 5 -b11111111011110010011001101010111 ? -b11111111011110010011001101010111 D -b11001111111111010111001011110010 % -b11001111111111010111001011110010 . -b11001111111111010111001011110010 6 -b11001111111111010111001011110010 @ -b11001111111111010111001011110010 F -b11111111111111010111001111110111 ) -#213888000 -0& -#213904000 -b110100001110 , -#213920000 -1& -#213936000 -b11110111111111110011101111011111 " -b11110111111111110011101111011111 4 -b11110111111111110011101111011111 1 -b11110111111111110011101111011111 C -b11110101 0 -b11110101 H -18 -19 -b10001000101010011101010100100111 < -b1111110101010001110100011000111 2 -b1111110101010001110100011000111 = -b1111110101010001110100011000111 : -b11110101111111110001001110011111 $ -b11110101111111110001001110011111 - -b11110101111111110001001110011111 5 -b11110101111111110001001110011111 ? -b11110101111111110001001110011111 D -b1110111010101100010101011011000 % -b1110111010101100010101011011000 . -b1110111010101100010101011011000 6 -b1110111010101100010101011011000 @ -b1110111010101100010101011011000 F -b11110111111111110011101111011111 ) -#213952000 -0& -#213968000 -b110100001111 , -#213984000 -1& -#214000000 -b11111111110111110111111101111111 " -b11111111110111110111111101111111 4 -b11111111110111110111111101111111 1 -b11111111110111110111111101111111 C -b1111111111011111010 0 -b1111111111011111010 H -09 -18 -b10100000001011011000101110010010 < -b10100000000011001101101100001001 2 -b10100000000011001101101100001001 = -b10100000000011001101101100001001 : -b11111111110111110100111101110110 $ -b11111111110111110100111101110110 - -b11111111110111110100111101110110 5 -b11111111110111110100111101110110 ? -b11111111110111110100111101110110 D -b1011111110100100111010001101101 % -b1011111110100100111010001101101 . -b1011111110100100111010001101101 6 -b1011111110100100111010001101101 @ -b1011111110100100111010001101101 F -b11111111110111110111111101111111 ) -#214016000 -0& -#214032000 -b110100010000 , -#214048000 -1& -#214064000 -b11111111111111111011111111111111 " -b11111111111111111011111111111111 4 -b11111111111111111011111111111111 1 -b11111111111111111011111111111111 C -b111011111 0 -b111011111 H -b100000000101000110101000 < -b11110000010011111000001101100011 2 -b11110000010011111000001101100011 = -b11110000010011111000001101100011 : -b11101111110011110011000110111010 $ -b11101111110011110011000110111010 - -b11101111110011110011000110111010 5 -b11101111110011110011000110111010 ? -b11101111110011110011000110111010 D -b11111111011111111010111001010111 % -b11111111011111111010111001010111 . -b11111111011111111010111001010111 6 -b11111111011111111010111001010111 @ -b11111111011111111010111001010111 F -b11111111111111111011111111111111 ) -#214080000 -0& -#214096000 -b110100010001 , -#214112000 -1& -#214128000 -b1111111111111111111101001111110 " -b1111111111111111111101001111110 4 -b1111111111111111111101001111110 1 -b1111111111111111111101001111110 C -b11011111 0 -b11011111 H -18 -b10000001100000100010111110001001 < -b10111001100000001101100111010110 2 -b10111001100000001101100111010110 = -b10111001100000001101100111010110 : -b110111111111101010101001001100 $ -b110111111111101010101001001100 - -b110111111111101010101001001100 5 -b110111111111101010101001001100 ? -b110111111111101010101001001100 D -b1111110011111011101000001110110 % -b1111110011111011101000001110110 . -b1111110011111011101000001110110 6 -b1111110011111011101000001110110 @ -b1111110011111011101000001110110 F -b1111111111111111111101001111110 ) -#214144000 -0& -#214160000 -b110100010010 , -#214176000 -1& -#214192000 -b11111111111111111110111111111111 " -b11111111111111111110111111111111 4 -b11111111111111111110111111111111 1 -b11111111111111111110111111111111 C -b111111010111101011101 0 -b111111010111101011101 H -08 -b1000000100000101111100110100 < -b101100010110100111011101100 2 -b101100010110100111011101100 = -b101100010110100111011101100 : -b11111101011110101110111110110111 $ -b11111101011110101110111110110111 - -b11111101011110101110111110110111 5 -b11111101011110101110111110110111 ? -b11111101011110101110111110110111 D -b11110111111011111010000011001011 % -b11110111111011111010000011001011 . -b11110111111011111010000011001011 6 -b11110111111011111010000011001011 @ -b11110111111011111010000011001011 F -b11111111111111111110111111111111 ) -#214208000 -0& -#214224000 -b110100010011 , -#214240000 -1& -#214256000 -b1111111111011111011001111011110 " -b1111111111011111011001111011110 4 -b1111111111011111011001111011110 1 -b1111111111011111011001111011110 C -b1111101 0 -b1111101 H -08 -b10111000000100110110110000100111 < -b110101101000110000111000101110 2 -b110101101000110000111000101110 = -b110101101000110000111000101110 : -b1111101100011111010001000000110 $ -b1111101100011111010001000000110 - -b1111101100011111010001000000110 5 -b1111101100011111010001000000110 ? -b1111101100011111010001000000110 D -b1000111111011001001001111011000 % -b1000111111011001001001111011000 . -b1000111111011001001001111011000 6 -b1000111111011001001001111011000 @ -b1000111111011001001001111011000 F -b1111111111011111011001111011110 ) -#214272000 -0& -#214288000 -b110100010100 , -#214304000 -1& -#214320000 -b1111111111111111111111011111110 " -b1111111111111111111111011111110 4 -b1111111111111111111111011111110 1 -b1111111111111111111111011111110 C -b1001111111101111110011010 0 -b1001111111101111110011010 H -18 -b10000010000100101110000110011001 < -b11010010000010101100100000110110 2 -b11010010000010101100100000110110 = -b11010010000010101100100000110110 : -b1001111111101111110011010011100 $ -b1001111111101111110011010011100 - -b1001111111101111110011010011100 5 -b1001111111101111110011010011100 ? -b1001111111101111110011010011100 D -b1111101111011010001111001100110 % -b1111101111011010001111001100110 . -b1111101111011010001111001100110 6 -b1111101111011010001111001100110 @ -b1111101111011010001111001100110 F -b1111111111111111111111011111110 ) -#214336000 -0& -#214352000 -b110100010101 , -#214368000 -1& -#214384000 -b11111111111111111111111101111011 " -b11111111111111111111111101111011 4 -b11111111111111111111111101111011 1 -b11111111111111111111111101111011 C -b1111101101001 0 -b1111101101001 H -08 -b1000000000001010000010011001100 < -b111011010101001110001000011000 2 -b111011010101001110001000011000 = -b111011010101001110001000011000 : -b11111011010011111101110101001011 $ -b11111011010011111101110101001011 - -b11111011010011111101110101001011 5 -b11111011010011111101110101001011 ? -b11111011010011111101110101001011 D -b10111111111110101111101100110011 % -b10111111111110101111101100110011 . -b10111111111110101111101100110011 6 -b10111111111110101111101100110011 @ -b10111111111110101111101100110011 F -b11111111111111111111111101111011 ) -#214400000 -0& -#214416000 -b110100010110 , -#214432000 -1& -#214448000 -b11111111111111110111111010011111 " -b11111111111111110111111010011111 4 -b11111111111111110111111010011111 1 -b11111111111111110111111010011111 C -b11111 0 -b11111 H -b10000001100001100001111100100 < -b10000001010000011101001110001 2 -b10000001010000011101001110001 = -b10000001010000011101001110001 : -b11111111111101110111011010001100 $ -b11111111111101110111011010001100 - -b11111111111101110111011010001100 5 -b11111111111101110111011010001100 ? -b11111111111101110111011010001100 D -b11101111110011110011110000011011 % -b11101111110011110011110000011011 . -b11101111110011110011110000011011 6 -b11101111110011110011110000011011 @ -b11101111110011110011110000011011 F -b11111111111111110111111010011111 ) -#214464000 -0& -#214480000 -b110100010111 , -#214496000 -1& -#214512000 -b1111111111011111011011111111010 " -b1111111111011111011011111111010 4 -b1111111111011111011011111111010 1 -b1111111111011111011011111111010 C -b10100011110111100100111 0 -b10100011110111100100111 H -18 -b10000001101100010100101000110111 < -b11010011101000000111000111110010 2 -b11010011101000000111000111110010 = -b11010011101000000111000111110010 : -b1010001111011110010011110111010 $ -b1010001111011110010011110111010 - -b1010001111011110010011110111010 5 -b1010001111011110010011110111010 ? -b1010001111011110010011110111010 D -b1111110010011101011010111001000 % -b1111110010011101011010111001000 . -b1111110010011101011010111001000 6 -b1111110010011101011010111001000 @ -b1111110010011101011010111001000 F -b1111111111011111011011111111010 ) -#214528000 -0& -#214544000 -b110100011000 , -#214560000 -1& -#214576000 -b1111111111101110011111101111111 " -b1111111111101110011111101111111 4 -b1111111111101110011111101111111 1 -b1111111111101110011111101111111 C -b11111000 0 -b11111000 H -08 -b11110000001010011101010111001000 < -b1101100100100010000110100100111 2 -b1101100100100010000110100100111 = -b1101100100100010000110100100111 : -b1111100011001110011011101011110 $ -b1111100011001110011011101011110 - -b1111100011001110011011101011110 5 -b1111100011001110011011101011110 ? -b1111100011001110011011101011110 D -b1111110101100010101000110111 % -b1111110101100010101000110111 . -b1111110101100010101000110111 6 -b1111110101100010101000110111 @ -b1111110101100010101000110111 F -b1111111111101110011111101111111 ) -#214592000 -0& -#214608000 -b110100011001 , -#214624000 -1& -#214640000 -b11011111111111111111011011011001 " -b11011111111111111111011011011001 4 -b11011111111111111111011011011001 1 -b11011111111111111111011011011001 C -b1101010 0 -b1101010 H -08 -b1100000000010101100111111100110 < -b110100110010101001011010101111 2 -b110100110010101001011010101111 = -b110100110010101001011010101111 : -b11010100101111111100011011001000 $ -b11010100101111111100011011001000 - -b11010100101111111100011011001000 5 -b11010100101111111100011011001000 ? -b11010100101111111100011011001000 D -b10011111111101010011000000011001 % -b10011111111101010011000000011001 . -b10011111111101010011000000011001 6 -b10011111111101010011000000011001 @ -b10011111111101010011000000011001 F -b11011111111111111111011011011001 ) -#214656000 -0& -#214672000 -b110100011010 , -#214688000 -1& -#214704000 -b11110111111111110101100111011000 " -b11110111111111110101100111011000 4 -b11110111111111110101100111011000 1 -b11110111111111110101100111011000 C -b1100001100101110 0 -b1100001100101110 H -18 -19 -b10001010000011001010011000101111 < -b1001101001110101110011100111000 2 -b1001101001110101110011100111000 = -b1001101001110101110011100111000 : -b11000011001011100100000100001000 $ -b11000011001011100100000100001000 - -b11000011001011100100000100001000 5 -b11000011001011100100000100001000 ? -b11000011001011100100000100001000 D -b1110101111100110101100111010000 % -b1110101111100110101100111010000 . -b1110101111100110101100111010000 6 -b1110101111100110101100111010000 @ -b1110101111100110101100111010000 F -b11110111111111110101100111011000 ) -#214720000 -0& -#214736000 -b110100011011 , -#214752000 -1& -#214768000 -b11111111111111110101011111111001 " -b11111111111111110101011111111001 4 -b11111111111111110101011111111001 1 -b11111111111111110101011111111001 C -b1110010 0 -b1110010 H -09 -18 -b11000100000000101011101001000110 < -b10101001111110100000110010000111 2 -b10101001111110100000110010000111 = -b10101001111110100000110010000111 : -b11100101111101110101001001000000 $ -b11100101111101110101001001000000 - -b11100101111101110101001001000000 5 -b11100101111101110101001001000000 ? -b11100101111101110101001001000000 D -b111011111111010100010110111001 % -b111011111111010100010110111001 . -b111011111111010100010110111001 6 -b111011111111010100010110111001 @ -b111011111111010100010110111001 F -b11111111111111110101011111111001 ) -#214784000 -0& -#214800000 -b110100011100 , -#214816000 -1& -#214832000 -b11111111101111111011001111111111 " -b11111111101111111011001111111111 4 -b11111111101111111011001111111111 1 -b11111111101111111011001111111111 C -b111011 0 -b111011 H -b11010100100101110100100101 < -b11110011000100010001000100001011 2 -b11110011000100010001000100001011 = -b11110011000100010001000100001011 : -b11101111101111101011001111100101 $ -b11101111101111101011001111100101 - -b11101111101111101011001111100101 5 -b11101111101111101011001111100101 ? -b11101111101111101011001111100101 D -b11111100101011011010001011011010 % -b11111100101011011010001011011010 . -b11111100101011011010001011011010 6 -b11111100101011011010001011011010 @ -b11111100101011011010001011011010 F -b11111111101111111011001111111111 ) -#214848000 -0& -#214864000 -b110100011101 , -#214880000 -1& -#214896000 -b11111111111111111110111011101010 " -b11111111111111111110111011101010 4 -b11111111111111111110111011101010 1 -b11111111111111111110111011101010 C -b1101100111111111100011 0 -b1101100111111111100011 H -b11010000101000100001110111010101 < -b10101010101000011010101010100000 2 -b10101010101000011010101010100000 = -b10101010101000011010101010100000 : -b11011001111111111000110011001010 $ -b11011001111111111000110011001010 - -b11011001111111111000110011001010 5 -b11011001111111111000110011001010 ? -b11011001111111111000110011001010 D -b101111010111011110001000101010 % -b101111010111011110001000101010 . -b101111010111011110001000101010 6 -b101111010111011110001000101010 @ -b101111010111011110001000101010 F -b11111111111111111110111011101010 ) -#214912000 -0& -#214928000 -b110100011110 , -#214944000 -1& -#214960000 -b11111111111111111100011101111111 " -b11111111111111111100011101111111 4 -b11111111111111111100011101111111 1 -b11111111111111111100011101111111 C -b0 0 -b0 H -19 -08 -b100010001000001011100010000000 < -b10100001000111100111101010101011 2 -b10100001000111100111101010101011 = -b10100001000111100111101010101011 : -b1111110111111011100001000101010 $ -b1111110111111011100001000101010 - -b1111110111111011100001000101010 5 -b1111110111111011100001000101010 ? -b1111110111111011100001000101010 D -b11011101110111110100011101111111 % -b11011101110111110100011101111111 . -b11011101110111110100011101111111 6 -b11011101110111110100011101111111 @ -b11011101110111110100011101111111 F -b11111111111111111100011101111111 ) -#214976000 -0& -#214992000 -b110100011111 , -#215008000 -1& -#215024000 -b11111111111111111011111011111101 " -b11111111111111111011111011111101 4 -b11111111111111111011111011111101 1 -b11111111111111111011111011111101 C -b11110111111 0 -b11110111111 H -09 -08 -b100010000101100100101011 < -b1111100100001110111010000010101 2 -b1111100100001110111010000010101 = -b1111100100001110111010000010101 : -b1111011111111110001101011101001 $ -b1111011111111110001101011101001 - -b1111011111111110001101011101001 5 -b1111011111111110001101011101001 ? -b1111011111111110001101011101001 D -b11111111011101111010011011010100 % -b11111111011101111010011011010100 . -b11111111011101111010011011010100 6 -b11111111011101111010011011010100 @ -b11111111011101111010011011010100 F -b11111111111111111011111011111101 ) -#215040000 -0& -#215056000 -b110100100000 , -#215072000 -1& -#215088000 -b11111111111111110100101101011111 " -b11111111111111110100101101011111 4 -b11111111111111110100101101011111 1 -b11111111111111110100101101011111 C -b1 0 -b1 H -18 -b1110010001011010011100000 < -b11100001101101111111111000101111 2 -b11100001101101111111111000101111 = -b11100001101101111111111000101111 : -b11011111111011110100100101001110 $ -b11011111111011110100100101001110 - -b11011111111011110100100101001110 5 -b11011111111011110100100101001110 ? -b11011111111011110100100101001110 D -b11111110001101110100101100011111 % -b11111110001101110100101100011111 . -b11111110001101110100101100011111 6 -b11111110001101110100101100011111 @ -b11111110001101110100101100011111 F -b11111111111111110100101101011111 ) -#215104000 -0& -#215120000 -b110100100001 , -#215136000 -1& -#215152000 -b11111111011111111111011110110111 " -b11111111011111111111011110110111 4 -b11111111011111111111011110110111 1 -b11111111011111111111011110110111 C -b11111000111001111110011101101 0 -b11111000111001111110011101101 H -19 -08 -b1001000111000100011100001111101 < -b11000101010101100010110000110101 2 -b11000101010101100010110000110101 = -b11000101010101100010110000110101 : -b1111100011100111111001110110111 $ -b1111100011100111111001110110111 - -b1111100011100111111001110110111 5 -b1111100011100111111001110110111 ? -b1111100011100111111001110110111 D -b10110111000111011100011110000010 % -b10110111000111011100011110000010 . -b10110111000111011100011110000010 6 -b10110111000111011100011110000010 @ -b10110111000111011100011110000010 F -b11111111011111111111011110110111 ) -#215168000 -0& -#215184000 -b110100100010 , -#215200000 -1& -#215216000 -b11111011111011111100111111111011 " -b11111011111011111100111111111011 4 -b11111011111011111100111111111011 1 -b11111011111011111100111111111011 C -b1010111110110111001100100110 0 -b1010111110110111001100100110 H -09 -08 -b100001100001111010000011101 < -b110000000111101100000010111001 2 -b110000000111101100000010111001 = -b110000000111101100000010111001 : -b101011111011011100110010011011 $ -b101011111011011100110010011011 - -b101011111011011100110010011011 5 -b101011111011011100110010011011 ? -b101011111011011100110010011011 D -b11111011110011110000101111100010 % -b11111011110011110000101111100010 . -b11111011110011110000101111100010 6 -b11111011110011110000101111100010 @ -b11111011110011110000101111100010 F -b11111011111011111100111111111011 ) -#215232000 -0& -#215248000 -b110100100011 , -#215264000 -1& -#215280000 -b1101111111111111111011111111011 " -b1101111111111111111011111111011 4 -b1101111111111111111011111111011 1 -b1101111111111111111011111111011 C -b1101 0 -b1101 H -b10011000000011001001100111000100 < -b101100011001000111110101101 2 -b101100011001000111110101101 = -b101100011001000111110101101 : -b1101101011111111111010111101000 $ -b1101101011111111111010111101000 - -b1101101011111111111010111101000 5 -b1101101011111111111010111101000 ? -b1101101011111111111010111101000 D -b1100111111100110110011000111011 % -b1100111111100110110011000111011 . -b1100111111100110110011000111011 6 -b1100111111100110110011000111011 @ -b1100111111100110110011000111011 F -b1101111111111111111011111111011 ) -#215296000 -0& -#215312000 -b110100100100 , -#215328000 -1& -#215344000 -b10111111111101111110101111111101 " -b10111111111101111110101111111101 4 -b10111111111101111110101111111101 1 -b10111111111101111110101111111101 C -b10111111111 0 -b10111111111 H -18 -b11000001000010000101011001001010 < -b10000000111111110011100111000100 2 -b10000000111111110011100111000100 = -b10000000111111110011100111000100 : -b10111111111101101110001101111001 $ -b10111111111101101110001101111001 - -b10111111111101101110001101111001 5 -b10111111111101101110001101111001 ? -b10111111111101101110001101111001 D -b111110111101111010100110110101 % -b111110111101111010100110110101 . -b111110111101111010100110110101 6 -b111110111101111010100110110101 @ -b111110111101111010100110110101 F -b10111111111101111110101111111101 ) -#215360000 -0& -#215376000 -b110100100101 , -#215392000 -1& -#215408000 -b11111111111111011100110010111111 " -b11111111111111011100110010111111 4 -b11111111111111011100110010111111 1 -b11111111111111011100110010111111 C -b1111111 0 -b1111111 H -08 -b1001000011000100011011101100110 < -b1001000010111101111110000100101 2 -b1001000010111101111110000100101 = -b1001000010111101111110000100101 : -b11111111111111001100010010111110 $ -b11111111111111001100010010111110 - -b11111111111111001100010010111110 5 -b11111111111111001100010010111110 ? -b11111111111111001100010010111110 D -b10110111100111011100100010011001 % -b10110111100111011100100010011001 . -b10110111100111011100100010011001 6 -b10110111100111011100100010011001 @ -b10110111100111011100100010011001 F -b11111111111111011100110010111111 ) -#215424000 -0& -#215440000 -b110100100110 , -#215456000 -1& -#215472000 -b11111011111111110011110001111011 " -b11111011111111110011110001111011 4 -b11111011111111110011110001111011 1 -b11111011111111110011110001111011 C -b1101101111011 0 -b1101101111011 H -18 -b111000000011100001110001100 < -b11100010110110101110101111100101 2 -b11100010110110101110101111100101 = -b11100010110110101110101111100101 : -b11011011110110010010100001011000 $ -b11011011110110010010100001011000 - -b11011011110110010010100001011000 5 -b11011011110110010010100001011000 ? -b11011011110110010010100001011000 D -b11111000111111100011110001110011 % -b11111000111111100011110001110011 . -b11111000111111100011110001110011 6 -b11111000111111100011110001110011 @ -b11111000111111100011110001110011 F -b11111011111111110011110001111011 ) -#215488000 -0& -#215504000 -b110100100111 , -#215520000 -1& -#215536000 -b11101111111111111011111111010111 " -b11101111111111111011111111010111 4 -b11101111111111111011111111010111 1 -b11101111111111111011111111010111 C -b11101001000101110011111010010 0 -b11101001000101110011111010010 H -08 -b110000000000000101101010111100 < -b11001000101111001100101010010 2 -b11001000101111001100101010010 = -b11001000101111001100101010010 : -b11101001000101110011111010010101 $ -b11101001000101110011111010010101 - -b11101001000101110011111010010101 5 -b11101001000101110011111010010101 ? -b11101001000101110011111010010101 D -b11001111111111111010010101000011 % -b11001111111111111010010101000011 . -b11001111111111111010010101000011 6 -b11001111111111111010010101000011 @ -b11001111111111111010010101000011 F -b11101111111111111011111111010111 ) -#215552000 -0& -#215568000 -b110100101000 , -#215584000 -1& -#215600000 -b11111111111111110111111111001010 " -b11111111111111110111111111001010 4 -b11111111111111110111111111001010 1 -b11111111111111110111111111001010 C -b111111110100110100111111110010 0 -b111111110100110100111111110010 H -b1000000011011010100111111101 < -b111010110101110100111001000 2 -b111010110101110100111001000 = -b111010110101110100111001000 : -b11111111010011010011111111001010 $ -b11111111010011010011111111001010 - -b11111111010011010011111111001010 5 -b11111111010011010011111111001010 ? -b11111111010011010011111111001010 D -b11110111111100100101011000000010 % -b11110111111100100101011000000010 . -b11110111111100100101011000000010 6 -b11110111111100100101011000000010 @ -b11110111111100100101011000000010 F -b11111111111111110111111111001010 ) -#215616000 -0& -#215632000 -b110100101001 , -#215648000 -1& -#215664000 -b11111111011111111110110010111100 " -b11111111011111111110110010111100 4 -b11111111011111111110110010111100 1 -b11111111011111111110110010111100 C -b11111111001011110110 0 -b11111111001011110110 H -18 -b100000100111001101010011 < -b11111111101100011101001111101100 2 -b11111111101100011101001111101100 = -b11111111101100011101001111101100 : -b11111111001011110110000010011000 $ -b11111111001011110110000010011000 - -b11111111001011110110000010011000 5 -b11111111001011110110000010011000 ? -b11111111001011110110000010011000 D -b11111111011111011000110010101100 % -b11111111011111011000110010101100 . -b11111111011111011000110010101100 6 -b11111111011111011000110010101100 @ -b11111111011111011000110010101100 F -b11111111011111111110110010111100 ) -#215680000 -0& -#215696000 -b110100101010 , -#215712000 -1& -#215728000 -b11111111111111111001011111110111 " -b11111111111111111001011111110111 4 -b11111111111111111001011111110111 1 -b11111111111111111001011111110111 C -b1011111111 0 -b1011111111 H -19 -18 -b10010000000000000111100000001001 < -b1001111111111110000111011001111 2 -b1001111111111110000111011001111 = -b1001111111111110000111011001111 : -b10111111111111101001011011000101 $ -b10111111111111101001011011000101 - -b10111111111111101001011011000101 5 -b10111111111111101001011011000101 ? -b10111111111111101001011011000101 D -b1101111111111111000011111110110 % -b1101111111111111000011111110110 . -b1101111111111111000011111110110 6 -b1101111111111111000011111110110 @ -b1101111111111111000011111110110 F -b11111111111111111001011111110111 ) -#215744000 -0& -#215760000 -b110100101011 , -#215776000 -1& -#215792000 -b1111111111111111010101111111111 " -b1111111111111111010101111111111 4 -b1111111111111111010101111111111 1 -b1111111111111111010101111111111 C -b1 0 -b1 H -09 -b10000000011100101111110000100001 < -b11111000111100101010010011001101 2 -b11111000111100101010010011001101 = -b11111000111100101010010011001101 : -b1111000011111111010100010101011 $ -b1111000011111111010100010101011 - -b1111000011111111010100010101011 5 -b1111000011111111010100010101011 ? -b1111000011111111010100010101011 D -b1111111100011010000001111011110 % -b1111111100011010000001111011110 . -b1111111100011010000001111011110 6 -b1111111100011010000001111011110 @ -b1111111100011010000001111011110 F -b1111111111111111010101111111111 ) -#215808000 -0& -#215824000 -b110100101100 , -#215840000 -1& -#215856000 -b1111111011111111011111110111110 " -b1111111011111111011111110111110 4 -b1111111011111111011111110111110 1 -b1111111011111111011111110111110 C -b1111111010110011001011100 0 -b1111111010110011001011100 H -08 -b10000011110000001101000101111001 < -b11000110100110100010110110 2 -b11000110100110100010110110 = -b11000110100110100010110110 : -b1111111010110011001011100111100 $ -b1111111010110011001011100111100 - -b1111111010110011001011100111100 5 -b1111111010110011001011100111100 ? -b1111111010110011001011100111100 D -b1111100001111110010111010000110 % -b1111100001111110010111010000110 . -b1111100001111110010111010000110 6 -b1111100001111110010111010000110 @ -b1111100001111110010111010000110 F -b1111111011111111011111110111110 ) -#215872000 -0& -#215888000 -b110100101101 , -#215904000 -1& -#215920000 -b11111111111111011111001101111111 " -b11111111111111011111001101111111 4 -b11111111111111011111001101111111 1 -b11111111111111011111001101111111 C -b11011111010111011 0 -b11011111010111011 H -18 -b10010000111100100110011110000 < -b11110001011111000011000001100100 2 -b11110001011111000011000001100100 = -b11110001011111000011000001100100 : -b11011111010111011110001101110011 $ -b11011111010111011110001101110011 - -b11011111010111011110001101110011 5 -b11011111010111011110001101110011 ? -b11011111010111011110001101110011 D -b11101101111000011011001100001111 % -b11101101111000011011001100001111 . -b11101101111000011011001100001111 6 -b11101101111000011011001100001111 @ -b11101101111000011011001100001111 F -b11111111111111011111001101111111 ) -#215936000 -0& -#215952000 -b110100101110 , -#215968000 -1& -#215984000 -b11111111111111111101011111111110 " -b11111111111111111101011111111110 4 -b11111111111111111101011111111110 1 -b11111111111111111101011111111110 C -b1111 0 -b1111 H -18 -b1000010010010100111100011 < -b11110110010010000010111111011010 2 -b11110110010010000010111111011010 = -b11110110010010000010111111011010 : -b11110101001111110000010111110110 $ -b11110101001111110000010111110110 - -b11110101001111110000010111110110 5 -b11110101001111110000010111110110 ? -b11110101001111110000010111110110 D -b11111110111101101101011000011100 % -b11111110111101101101011000011100 . -b11111110111101101101011000011100 6 -b11111110111101101101011000011100 @ -b11111110111101101101011000011100 F -b11111111111111111101011111111110 ) -#216000000 -0& -#216016000 -b110100101111 , -#216032000 -1& -#216048000 -b11101111111111111011101100111101 " -b11101111111111111011101100111101 4 -b11101111111111111011101100111101 1 -b11101111111111111011101100111101 C -b100010101001111100110110011110 0 -b100010101001111100110110011110 H -08 -b10001000000000101110011011110 < -b1010110010011111111100000011011 2 -b1010110010011111111100000011011 = -b1010110010011111111100000011011 : -b1000101010011111001101100111100 $ -b1000101010011111001101100111100 - -b1000101010011111001101100111100 5 -b1000101010011111001101100111100 ? -b1000101010011111001101100111100 D -b11101110111111111010001100100001 % -b11101110111111111010001100100001 . -b11101110111111111010001100100001 6 -b11101110111111111010001100100001 @ -b11101110111111111010001100100001 F -b11101111111111111011101100111101 ) -#216064000 -0& -#216080000 -b110100110000 , -#216096000 -1& -#216112000 -b11111111111111111100111111110011 " -b11111111111111111100111111110011 4 -b11111111111111111100111111110011 1 -b11111111111111111100111111110011 C -b1110001111 0 -b1110001111 H -b1100000001010011100100001100 < -b101000100001000100010010010000 2 -b101000100001000100010010010000 = -b101000100001000100010010010000 : -b11100011111110000101110000011 $ -b11100011111110000101110000011 - -b11100011111110000101110000011 5 -b11100011111110000101110000011 ? -b11100011111110000101110000011 D -b11110011111110101100011011110011 % -b11110011111110101100011011110011 . -b11110011111110101100011011110011 6 -b11110011111110101100011011110011 @ -b11110011111110101100011011110011 F -b11111111111111111100111111110011 ) -#216128000 -0& -#216144000 -b110100110001 , -#216160000 -1& -#216176000 -b11111111111111111111101111111100 " -b11111111111111111111101111111100 4 -b11111111111111111111101111111100 1 -b11111111111111111111101111111100 C -b101111011111 0 -b101111011111 H -19 -18 -b10000001000001001010010100001011 < -b111111000000111000100000111000 2 -b111111000000111000100000111000 = -b111111000000111000100000111000 : -b10111101111111101110001100101100 $ -b10111101111111101110001100101100 - -b10111101111111101110001100101100 5 -b10111101111111101110001100101100 ? -b10111101111111101110001100101100 D -b1111110111110110101101011110100 % -b1111110111110110101101011110100 . -b1111110111110110101101011110100 6 -b1111110111110110101101011110100 @ -b1111110111110110101101011110100 F -b11111111111111111111101111111100 ) -#216192000 -0& -#216208000 -b110100110010 , -#216224000 -1& -#216240000 -b11111111111111111100111101010111 " -b11111111111111111100111101010111 4 -b11111111111111111100111101010111 1 -b11111111111111111100111101010111 C -b1111111111 0 -b1111111111 H -08 -19 -b1000011011101010101010 < -b10000000000111011000010100000001 2 -b10000000000111011000010100000001 = -b10000000000111011000010100000001 : -b1111111111110111100101001010110 $ -b1111111111110111100101001010110 - -b1111111111110111100101001010110 5 -b1111111111110111100101001010110 ? -b1111111111110111100101001010110 D -b11111111110111100100010101010101 % -b11111111110111100100010101010101 . -b11111111110111100100010101010101 6 -b11111111110111100100010101010101 @ -b11111111110111100100010101010101 F -b11111111111111111100111101010111 ) -#216256000 -0& -#216272000 -b110100110011 , -#216288000 -1& -#216304000 -b11011111111111111100011001011101 " -b11011111111111111100011001011101 4 -b11011111111111111100011001011101 1 -b11011111111111111100011001011101 C -b11011111100 0 -b11011111100 H -09 -b1110011000000000011100110101010 < -b1010010100111011111110000000100 2 -b1010010100111011111110000000100 = -b1010010100111011111110000000100 : -b11011111100111011100001001011001 $ -b11011111100111011100001001011001 - -b11011111100111011100001001011001 5 -b11011111100111011100001001011001 ? -b11011111100111011100001001011001 D -b10001100111111111100011001010101 % -b10001100111111111100011001010101 . -b10001100111111111100011001010101 6 -b10001100111111111100011001010101 @ -b10001100111111111100011001010101 F -b11011111111111111100011001011101 ) -#216320000 -0& -#216336000 -b110100110100 , -#216352000 -1& -#216368000 -b11111101111111111101100110111101 " -b11111101111111111101100110111101 4 -b11111101111111111101100110111101 1 -b11111101111111111101100110111101 C -b11111101111 0 -b11111101111 H -18 -b10110010101000000010111101001010 < -b10110000100110110100100001111000 2 -b10110000100110110100100001111000 = -b10110000100110110100100001111000 : -b11111101111110110001100100101101 $ -b11111101111110110001100100101101 - -b11111101111110110001100100101101 5 -b11111101111110110001100100101101 ? -b11111101111110110001100100101101 D -b1001101010111111101000010110101 % -b1001101010111111101000010110101 . -b1001101010111111101000010110101 6 -b1001101010111111101000010110101 @ -b1001101010111111101000010110101 F -b11111101111111111101100110111101 ) -#216384000 -0& -#216400000 -b110100110101 , -#216416000 -1& -#216432000 -b1111111111111111101111111011101 " -b1111111111111111101111111011101 4 -b1111111111111111101111111011101 1 -b1111111111111111101111111011101 C -b10100001110111 0 -b10100001110111 H -08 -b11000000100010000010100010101110 < -b10001011101111011001101001011 2 -b10001011101111011001101001011 = -b10001011101111011001101001011 : -b1010000111011111000101010011100 $ -b1010000111011111000101010011100 - -b1010000111011111000101010011100 5 -b1010000111011111000101010011100 ? -b1010000111011111000101010011100 D -b111111011101111101011101010001 % -b111111011101111101011101010001 . -b111111011101111101011101010001 6 -b111111011101111101011101010001 @ -b111111011101111101011101010001 F -b1111111111111111101111111011101 ) -#216448000 -0& -#216464000 -b110100110110 , -#216480000 -1& -#216496000 -b11011111111111111110011111010101 " -b11011111111111111110011111010101 4 -b11011111111111111110011111010101 1 -b11011111111111111110011111010101 C -b111110111111 0 -b111110111111 H -08 -b110001000000010001110001101110 < -b1010000100000000110000101000011 2 -b1010000100000000110000101000011 = -b1010000100000000110000101000011 : -b11111011111110100010011010100 $ -b11111011111110100010011010100 - -b11111011111110100010011010100 5 -b11111011111110100010011010100 ? -b11111011111110100010011010100 D -b11001110111111101110001110010001 % -b11001110111111101110001110010001 . -b11001110111111101110001110010001 6 -b11001110111111101110001110010001 @ -b11001110111111101110001110010001 F -b11011111111111111110011111010101 ) -#216512000 -0& -#216528000 -b110100110111 , -#216544000 -1& -#216560000 -b11111111111111111111011111101011 " -b11111111111111111111011111101011 4 -b11111111111111111111011111101011 1 -b11111111111111111111011111101011 C -b11111000011111111110001011010 0 -b11111000011111111110001011010 H -19 -08 -b111000000011001100100100011101 < -b10110100010011001011101010001001 2 -b10110100010011001011101010001001 = -b10110100010011001011101010001001 : -b1111100001111111111000101101011 $ -b1111100001111111111000101101011 - -b1111100001111111111000101101011 5 -b1111100001111111111000101101011 ? -b1111100001111111111000101101011 D -b11000111111100110011011011100010 % -b11000111111100110011011011100010 . -b11000111111100110011011011100010 6 -b11000111111100110011011011100010 @ -b11000111111100110011011011100010 F -b11111111111111111111011111101011 ) -#216576000 -0& -#216592000 -b110100111000 , -#216608000 -1& -#216624000 -b11111111111111111111101111111101 " -b11111111111111111111101111111101 4 -b11111111111111111111101111111101 1 -b11111111111111111111101111111101 C -b11111111111 0 -b11111111111 H -b11100000010100011110101011 < -b10000011100000001010001101011001 2 -b10000011100000001010001101011001 = -b10000011100000001010001101011001 : -b1111111111111110101101110101101 $ -b1111111111111110101101110101101 - -b1111111111111110101101110101101 5 -b1111111111111110101101110101101 ? -b1111111111111110101101110101101 D -b11111100011111101011100001010100 % -b11111100011111101011100001010100 . -b11111100011111101011100001010100 6 -b11111100011111101011100001010100 @ -b11111100011111101011100001010100 F -b11111111111111111111101111111101 ) -#216640000 -0& -#216656000 -b110100111001 , -#216672000 -1& -#216688000 -b10111111111111110110001011101110 " -b10111111111111110110001011101110 4 -b10111111111111110110001011101110 1 -b10111111111111110110001011101110 C -b10011101110011010110 0 -b10011101110011010110 H -18 -09 -b1000100000011011111111110110011 < -b11100001110110110110001001100010 2 -b11100001110110110110001001100010 = -b11100001110110110110001001100010 : -b10011101110011010110001010101110 $ -b10011101110011010110001010101110 - -b10011101110011010110001010101110 5 -b10011101110011010110001010101110 ? -b10011101110011010110001010101110 D -b10111011111100100000000001001100 % -b10111011111100100000000001001100 . -b10111011111100100000000001001100 6 -b10111011111100100000000001001100 @ -b10111011111100100000000001001100 F -b10111111111111110110001011101110 ) -#216704000 -0& -#216720000 -b110100111010 , -#216736000 -1& -#216752000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 1 -b11111111111111111111111111111011 C -b11111 0 -b11111 H -19 -18 -b10000000000010000010001001100100 < -b1111110101001100100110011000110 2 -b1111110101001100100110011000110 = -b1111110101001100100110011000110 : -b11111110100111100010101001100001 $ -b11111110100111100010101001100001 - -b11111110100111100010101001100001 5 -b11111110100111100010101001100001 ? -b11111110100111100010101001100001 D -b1111111111101111101110110011011 % -b1111111111101111101110110011011 . -b1111111111101111101110110011011 6 -b1111111111101111101110110011011 @ -b1111111111101111101110110011011 F -b11111111111111111111111111111011 ) -#216768000 -0& -#216784000 -b110100111011 , -#216800000 -1& -#216816000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 1 -b11111111111111111111111111111110 C -b11111110111110110111101011 0 -b11111110111110110111101011 H -08 -09 -b1000010000110010001001001011001 < -b1000001000101001000110100111000 2 -b1000001000101001000110100111000 = -b1000001000101001000110100111000 : -b11111110111110110111101011011110 $ -b11111110111110110111101011011110 - -b11111110111110110111101011011110 5 -b11111110111110110111101011011110 ? -b11111110111110110111101011011110 D -b10111101111001101110110110100110 % -b10111101111001101110110110100110 . -b10111101111001101110110110100110 6 -b10111101111001101110110110100110 @ -b10111101111001101110110110100110 F -b11111111111111111111111111111110 ) -#216832000 -0& -#216848000 -b110100111100 , -#216864000 -1& -#216880000 -b1111111111111111010111110001101 " -b1111111111111111010111110001101 4 -b1111111111111111010111110001101 1 -b1111111111111111010111110001101 C -b1111110110111111010 0 -b1111110110111111010 H -08 -b10010100100001001111000001110011 < -b10011011001001001000111111101 2 -b10011011001001001000111111101 = -b10011011001001001000111111101 : -b1111110110111111010000110001001 $ -b1111110110111111010000110001001 - -b1111110110111111010000110001001 5 -b1111110110111111010000110001001 ? -b1111110110111111010000110001001 D -b1101011011110110000111110001100 % -b1101011011110110000111110001100 . -b1101011011110110000111110001100 6 -b1101011011110110000111110001100 @ -b1101011011110110000111110001100 F -b1111111111111111010111110001101 ) -#216896000 -0& -#216912000 -b110100111101 , -#216928000 -1& -#216944000 -b11111111111101111111100111001101 " -b11111111111101111111100111001101 4 -b11111111111101111111100111001101 1 -b11111111111101111111100111001101 C -b11110011111001011110000 0 -b11110011111001011110000 H -18 -b10100000001010001000011110110110 < -b10010100000011100110100101111011 2 -b10010100000011100110100101111011 = -b10010100000011100110100101111011 : -b11110011111001011110000111000100 $ -b11110011111001011110000111000100 - -b11110011111001011110000111000100 5 -b11110011111001011110000111000100 ? -b11110011111001011110000111000100 D -b1011111110101110111100001001001 % -b1011111110101110111100001001001 . -b1011111110101110111100001001001 6 -b1011111110101110111100001001001 @ -b1011111110101110111100001001001 F -b11111111111101111111100111001101 ) -#216960000 -0& -#216976000 -b110100111110 , -#216992000 -1& -#217008000 -b11111101111011111110110101011101 " -b11111101111011111110110101011101 4 -b11111101111011111110110101011101 1 -b11111101111011111110110101011101 C -b11 0 -b11 H -08 -09 -b10010101100000101101011100011 < -b1010000100101000010010000110001 2 -b1010000100101000010010000110001 = -b1010000100101000010010000110001 : -b111101111000111100100101001101 $ -b111101111000111100100101001101 - -b111101111000111100100101001101 5 -b111101111000111100100101001101 ? -b111101111000111100100101001101 D -b11101101010011111010010100011100 % -b11101101010011111010010100011100 . -b11101101010011111010010100011100 6 -b11101101010011111010010100011100 @ -b11101101010011111010010100011100 F -b11111101111011111110110101011101 ) -#217024000 -0& -#217040000 -b110100111111 , -#217056000 -1& -#217072000 -b11111111111111011111111101111001 " -b11111111111111011111111101111001 4 -b11111111111111011111111101111001 1 -b11111111111111011111111101111001 C -b111110010111110 0 -b111110010111110 H -18 -09 -b100000000111001110 < -b11111001011111110100001100010111 2 -b11111001011111110100001100010111 = -b11111001011111110100001100010111 : -b11111001011111010100000101001000 $ -b11111001011111010100000101001000 - -b11111001011111010100000101001000 5 -b11111001011111010100000101001000 ? -b11111001011111010100000101001000 D -b11111111111111011111111000110001 % -b11111111111111011111111000110001 . -b11111111111111011111111000110001 6 -b11111111111111011111111000110001 @ -b11111111111111011111111000110001 F -b11111111111111011111111101111001 ) -#217088000 -0& -#217104000 -b110101000000 , -#217120000 -1& -#217136000 -b1110111111111111111111011111011 " -b1110111111111111111111011111011 4 -b1110111111111111111111011111011 1 -b1110111111111111111111011111011 C -b111010 0 -b111010 H -08 -b11101001010000000110010100100110 < -b1011111001111111110001111001010 2 -b1011111001111111110001111001010 = -b1011111001111111110001111001010 : -b1110101111111110111111010100011 $ -b1110101111111110111111010100011 - -b1110101111111110111111010100011 5 -b1110101111111110111111010100011 ? -b1110101111111110111111010100011 D -b10110101111111001101011011001 % -b10110101111111001101011011001 . -b10110101111111001101011011001 6 -b10110101111111001101011011001 @ -b10110101111111001101011011001 F -b1110111111111111111111011111011 ) -#217152000 -0& -#217168000 -b110101000001 , -#217184000 -1& -#217200000 -b1101111111101111111111110111101 " -b1101111111101111111111110111101 4 -b1101111111101111111111110111101 1 -b1101111111101111111111110111101 C -b1 0 -b1 H -18 -b10010000000110001100001111000010 < -b10111001000100001001111101110000 2 -b10111001000100001001111101110000 = -b10111001000100001001111101110000 : -b101000111101111101101110101101 $ -b101000111101111101101110101101 - -b101000111101111101101110101101 5 -b101000111101111101101110101101 ? -b101000111101111101101110101101 D -b1101111111001110011110000111101 % -b1101111111001110011110000111101 . -b1101111111001110011110000111101 6 -b1101111111001110011110000111101 @ -b1101111111001110011110000111101 F -b1101111111101111111111110111101 ) -#217216000 -0& -#217232000 -b110101000010 , -#217248000 -1& -#217264000 -b11111111101111111111110001101001 " -b11111111101111111111110001101001 4 -b11111111101111111111110001101001 1 -b11111111101111111111110001101001 C -b111111110011111101100100 0 -b111111110011111101100100 H -b11000011010010000010011110110111 < -b11000010100001111000110000100001 2 -b11000010100001111000110000100001 = -b11000010100001111000110000100001 : -b11111111001111110110010001101001 $ -b11111111001111110110010001101001 - -b11111111001111110110010001101001 5 -b11111111001111110110010001101001 ? -b11111111001111110110010001101001 D -b111100101101111101100001001000 % -b111100101101111101100001001000 . -b111100101101111101100001001000 6 -b111100101101111101100001001000 @ -b111100101101111101100001001000 F -b11111111101111111111110001101001 ) -#217280000 -0& -#217296000 -b110101000011 , -#217312000 -1& -#217328000 -b1111111111111011101111011111100 " -b1111111111111011101111011111100 4 -b1111111111111011101111011111100 1 -b1111111111111011101111011111100 C -b11110111111 0 -b11110111111 H -08 -b11010000100000100010100101001011 < -b1001100011101110111010001001000 2 -b1001100011101110111010001001000 = -b1001100011101110111010001001000 : -b1111011111101010100101011111100 $ -b1111011111101010100101011111100 - -b1111011111101010100101011111100 5 -b1111011111101010100101011111100 ? -b1111011111101010100101011111100 D -b101111011111011101011010110100 % -b101111011111011101011010110100 . -b101111011111011101011010110100 6 -b101111011111011101011010110100 @ -b101111011111011101011010110100 F -b1111111111111011101111011111100 ) -#217344000 -0& -#217360000 -b110101000100 , -#217376000 -1& -#217392000 -b11111111111101111101111111001111 " -b11111111111101111101111111001111 4 -b11111111111101111101111111001111 1 -b11111111111101111101111111001111 C -b11111011110101110001111010 0 -b11111011110101110001111010 H -19 -18 -b10000000000110100010010010111001 < -b1111011111100010100001101000101 2 -b1111011111100010100001101000101 = -b1111011111100010100001101000101 : -b11111011110101110001111010001011 $ -b11111011110101110001111010001011 - -b11111011110101110001111010001011 5 -b11111011110101110001111010001011 ? -b11111011110101110001111010001011 D -b1111111111001011101101101000110 % -b1111111111001011101101101000110 . -b1111111111001011101101101000110 6 -b1111111111001011101101101000110 @ -b1111111111001011101101101000110 F -b11111111111101111101111111001111 ) -#217408000 -0& -#217424000 -b110101000101 , -#217440000 -1& -#217456000 -b11111111111110111111011110111010 " -b11111111111110111111011110111010 4 -b11111111111110111111011110111010 1 -b11111111111110111111011110111010 C -b1111111111111011 0 -b1111111111111011 H -09 -08 -b1000000001010001111001001111 < -b1000000000000011010011111010 2 -b1000000000000011010011111010 = -b1000000000000011010011111010 : -b11111111111110110001011010101010 $ -b11111111111110110001011010101010 - -b11111111111110110001011010101010 5 -b11111111111110110001011010101010 ? -b11111111111110110001011010101010 D -b11110111111110101110000110110000 % -b11110111111110101110000110110000 . -b11110111111110101110000110110000 6 -b11110111111110101110000110110000 @ -b11110111111110101110000110110000 F -b11111111111110111111011110111010 ) -#217472000 -0& -#217488000 -b110101000110 , -#217504000 -1& -#217520000 -b11101011111111110111110011010011 " -b11101011111111110111110011010011 4 -b11101011111111110111110011010011 1 -b11101011111111110111110011010011 C -b100011111010110011110010010 0 -b100011111010110011110010010 H -b10100000000001000101100111100 < -b110111111010111100011111010000 2 -b110111111010111100011111010000 = -b110111111010111100011111010000 : -b100011111010110011110010010011 $ -b100011111010110011110010010011 - -b100011111010110011110010010011 5 -b100011111010110011110010010011 ? -b100011111010110011110010010011 D -b11101011111111110111010011000011 % -b11101011111111110111010011000011 . -b11101011111111110111010011000011 6 -b11101011111111110111010011000011 @ -b11101011111111110111010011000011 F -b11101011111111110111110011010011 ) -#217536000 -0& -#217552000 -b110101000111 , -#217568000 -1& -#217584000 -b11111011111111111111101101011111 " -b11111011111111111111101101011111 4 -b11111011111111111111101101011111 1 -b11111011111111111111101101011111 C -b111110 0 -b111110 H -18 -19 -b10000101100010001100010110100101 < -b1111111011010001001111100000101 2 -b1111111011010001001111100000101 = -b1111111011010001001111100000101 : -b11111001110111111101100101011111 $ -b11111001110111111101100101011111 - -b11111001110111111101100101011111 5 -b11111001110111111101100101011111 ? -b11111001110111111101100101011111 D -b1111010011101110011101001011010 % -b1111010011101110011101001011010 . -b1111010011101110011101001011010 6 -b1111010011101110011101001011010 @ -b1111010011101110011101001011010 F -b11111011111111111111101101011111 ) -#217600000 -0& -#217616000 -b110101001000 , -#217632000 -1& -#217648000 -b1111110111111111110111111011110 " -b1111110111111111110111111011110 4 -b1111110111111111110111111011110 1 -b1111110111111111110111111011110 C -b111110001101111100011 0 -b111110001101111100011 H -08 -09 -b11000101001001101001000010110101 < -b1000001100101100001111010001100 2 -b1000001100101100001111010001100 = -b1000001100101100001111010001100 : -b1111100011011111000110111010110 $ -b1111100011011111000110111010110 - -b1111100011011111000110111010110 5 -b1111100011011111000110111010110 ? -b1111100011011111000110111010110 D -b111010110110010110111101001010 % -b111010110110010110111101001010 . -b111010110110010110111101001010 6 -b111010110110010110111101001010 @ -b111010110110010110111101001010 F -b1111110111111111110111111011110 ) -#217664000 -0& -#217680000 -b110101001001 , -#217696000 -1& -#217712000 -b11111111011111010010111111111110 " -b11111111011111010010111111111110 4 -b11111111011111010010111111111110 1 -b11111111011111010010111111111110 C -b1111111001110010010 0 -b1111111001110010010 H -19 -08 -b1100000101111100110110011 < -b10000000101111000010001110100110 2 -b10000000101111000010001110100110 = -b10000000101111000010001110100110 : -b1111111001110010010100111110010 $ -b1111111001110010010100111110010 - -b1111111001110010010100111110010 5 -b1111111001110010010100111110010 ? -b1111111001110010010100111110010 D -b11111110011111010000011001001100 % -b11111110011111010000011001001100 . -b11111110011111010000011001001100 6 -b11111110011111010000011001001100 @ -b11111110011111010000011001001100 F -b11111111011111010010111111111110 ) -#217728000 -0& -#217744000 -b110101001010 , -#217760000 -1& -#217776000 -b11111111111010001001111011111110 " -b11111111111010001001111011111110 4 -b11111111111010001001111011111110 1 -b11111111111010001001111011111110 C -b111011 0 -b111011 H -18 -09 -b100000101111110001111000101 < -b11110001100000000110011010110010 2 -b11110001100000000110011010110010 = -b11110001100000000110011010110010 : -b11101101011010001000001011101100 $ -b11101101011010001000001011101100 - -b11101101011010001000001011101100 5 -b11101101011010001000001011101100 ? -b11101101011010001000001011101100 D -b11111011111010000001110000111010 % -b11111011111010000001110000111010 . -b11111011111010000001110000111010 6 -b11111011111010000001110000111010 @ -b11111011111010000001110000111010 F -b11111111111010001001111011111110 ) -#217792000 -0& -#217808000 -b110101001011 , -#217824000 -1& -#217840000 -b1111111111111111011100111111011 " -b1111111111111111011100111111011 4 -b1111111111111111011100111111011 1 -b1111111111111111011100111111011 C -b11101111110111 0 -b11101111110111 H -18 -b10000000100001000100111100001110 < -b11111000011100111000100001001001 2 -b11111000011100111000100001001001 = -b11111000011100111000100001001001 : -b1110111111011110011100100111010 $ -b1110111111011110011100100111010 - -b1110111111011110011100100111010 5 -b1110111111011110011100100111010 ? -b1110111111011110011100100111010 D -b1111111011110111011000011110001 % -b1111111011110111011000011110001 . -b1111111011110111011000011110001 6 -b1111111011110111011000011110001 @ -b1111111011110111011000011110001 F -b1111111111111111011100111111011 ) -#217856000 -0& -#217872000 -b110101001100 , -#217888000 -1& -#217904000 -b11111011110111111111101010111011 " -b11111011110111111111101010111011 4 -b11111011110111111111101010111011 1 -b11111011110111111111101010111011 C -b11111 0 -b11111 H -18 -b100001000001100110111100100 < -b11111111101111101001100010011111 2 -b11111111101111101001100010011111 = -b11111111101111101001100010011111 : -b11111011100111011100101010111010 $ -b11111011100111011100101010111010 - -b11111011100111011100101010111010 5 -b11111011100111011100101010111010 ? -b11111011100111011100101010111010 D -b11111011110111110011001000011011 % -b11111011110111110011001000011011 . -b11111011110111110011001000011011 6 -b11111011110111110011001000011011 @ -b11111011110111110011001000011011 F -b11111011110111111111101010111011 ) -#217920000 -0& -#217936000 -b110101001101 , -#217952000 -1& -#217968000 -b11111111111011111101010110111111 " -b11111111111011111101010110111111 4 -b11111111111011111101010110111111 1 -b11111111111011111101010110111111 C -b110011011110110011000 0 -b110011011110110011000 H -19 -18 -b10000101000100001010111001110100 < -b1010010111111010111001010101010 2 -b1010010111111010111001010101010 = -b1010010111111010111001010101010 : -b11001101111011001100010000110101 $ -b11001101111011001100010000110101 - -b11001101111011001100010000110101 5 -b11001101111011001100010000110101 ? -b11001101111011001100010000110101 D -b1111010111011110101000110001011 % -b1111010111011110101000110001011 . -b1111010111011110101000110001011 6 -b1111010111011110101000110001011 @ -b1111010111011110101000110001011 F -b11111111111011111101010110111111 ) -#217984000 -0& -#218000000 -b110101001110 , -#218016000 -1& -#218032000 -b11111111110011111111011111111000 " -b11111111110011111111011111111000 4 -b11111111110011111111011111111000 1 -b11111111110011111111011111111000 C -b11101011110001110011010111011000 0 -b11101011110001110011010111011000 H -09 -18 -b11000000111100000001110110011111 < -b10101100101101110101001101111000 2 -b10101100101101110101001101111000 = -b10101100101101110101001101111000 : -b11101011110001110011010111011000 $ -b11101011110001110011010111011000 - -b11101011110001110011010111011000 5 -b11101011110001110011010111011000 ? -b11101011110001110011010111011000 D -b111111000011111110001001100000 % -b111111000011111110001001100000 . -b111111000011111110001001100000 6 -b111111000011111110001001100000 @ -b111111000011111110001001100000 F -b11111111110011111111011111111000 ) -#218048000 -0& -#218064000 -b110101001111 , -#218080000 -1& -#218096000 -b11011111111111111101101100111011 " -b11011111111111111101101100111011 4 -b11011111111111111101101100111011 1 -b11011111111111111101101100111011 C -b101011101111111100100100011101 0 -b101011101111111100100100011101 H -08 -b100101011010000011010011011110 < -b1111100111001111100011100011010 2 -b1111100111001111100011100011010 = -b1111100111001111100011100011010 : -b1010111011111111001001000111011 $ -b1010111011111111001001000111011 - -b1010111011111111001001000111011 5 -b1010111011111111001001000111011 ? -b1010111011111111001001000111011 D -b11011010100101111100101100100001 % -b11011010100101111100101100100001 . -b11011010100101111100101100100001 6 -b11011010100101111100101100100001 @ -b11011010100101111100101100100001 F -b11011111111111111101101100111011 ) -#218112000 -0& -#218128000 -b110101010000 , -#218144000 -1& -#218160000 -b11111011111111111111010101001100 " -b11111011111111111111010101001100 4 -b11111011111111111111010101001100 1 -b11111011111111111111010101001100 C -b1110011111111100101010100001100 0 -b1110011111111100101010100001100 H -19 -08 -b1000100000001100101111110111111 < -b10111000000001001011010011001100 2 -b10111000000001001011010011001100 = -b10111000000001001011010011001100 : -b1110011111111100101010100001100 $ -b1110011111111100101010100001100 - -b1110011111111100101010100001100 5 -b1110011111111100101010100001100 ? -b1110011111111100101010100001100 D -b10111011111110011010000001000000 % -b10111011111110011010000001000000 . -b10111011111110011010000001000000 6 -b10111011111110011010000001000000 @ -b10111011111110011010000001000000 F -b11111011111111111111010101001100 ) -#218176000 -0& -#218192000 -b110101010001 , -#218208000 -1& -#218224000 -b11111111111011111011101101001100 " -b11111111111011111011101101001100 4 -b11111111111011111011101101001100 1 -b11111111111011111011101101001100 C -b111111111100110110011011 0 -b111111111100110110011011 H -09 -08 -b1000000000100001100011111110111 < -b111111110111100110001100111100 2 -b111111110111100110001100111100 = -b111111110111100110001100111100 : -b11111111110011011001101101000100 $ -b11111111110011011001101101000100 - -b11111111110011011001101101000100 5 -b11111111110011011001101101000100 ? -b11111111110011011001101101000100 D -b10111111111011110011100000001000 % -b10111111111011110011100000001000 . -b10111111111011110011100000001000 6 -b10111111111011110011100000001000 @ -b10111111111011110011100000001000 F -b11111111111011111011101101001100 ) -#218240000 -0& -#218256000 -b110101010010 , -#218272000 -1& -#218288000 -b11110101111111110111111100111101 " -b11110101111111110111111100111101 4 -b11110101111111110111111100111101 1 -b11110101111111110111111100111101 C -b111 0 -b111 H -b101010000000001010000011000010 < -b11011111001101101111011000100 2 -b11011111001101101111011000100 = -b11011111001101101111011000100 : -b11110001111001100011111000000001 $ -b11110001111001100011111000000001 - -b11110001111001100011111000000001 5 -b11110001111001100011111000000001 ? -b11110001111001100011111000000001 D -b11010101111111110101111100111101 % -b11010101111111110101111100111101 . -b11010101111111110101111100111101 6 -b11010101111111110101111100111101 @ -b11010101111111110101111100111101 F -b11110101111111110111111100111101 ) -#218304000 -0& -#218320000 -b110101010011 , -#218336000 -1& -#218352000 -b11011111111111111110101011111011 " -b11011111111111111110101011111011 4 -b11011111111111111110101011111011 1 -b11011111111111111110101011111011 C -b11001001111011011110001001111 0 -b11001001111011011110001001111 H -19 -18 -b10100000011000000011010100011100 < -b1101010010011100001011110010101 2 -b1101010010011100001011110010101 = -b1101010010011100001011110010101 : -b11001001111011011110001001111000 $ -b11001001111011011110001001111000 - -b11001001111011011110001001111000 5 -b11001001111011011110001001111000 ? -b11001001111011011110001001111000 D -b1011111100111111100101011100011 % -b1011111100111111100101011100011 . -b1011111100111111100101011100011 6 -b1011111100111111100101011100011 @ -b1011111100111111100101011100011 F -b11011111111111111110101011111011 ) -#218368000 -0& -#218384000 -b110101010100 , -#218400000 -1& -#218416000 -b1111111111111111110100111111111 " -b1111111111111111110100111111111 4 -b1111111111111111110100111111111 1 -b1111111111111111110100111111111 C -b1111110101110101101 0 -b1111110101110101101 H -09 -18 -b10010110000000010101111010010100 < -b11010101010111101100011101110001 2 -b11010101010111101100011101110001 = -b11010101010111101100011101110001 : -b111111010111010110100011011100 $ -b111111010111010110100011011100 - -b111111010111010110100011011100 5 -b111111010111010110100011011100 ? -b111111010111010110100011011100 D -b1101001111111101010000101101011 % -b1101001111111101010000101101011 . -b1101001111111101010000101101011 6 -b1101001111111101010000101101011 @ -b1101001111111101010000101101011 F -b1111111111111111110100111111111 ) -#218432000 -0& -#218448000 -b110101010101 , -#218464000 -1& -#218480000 -b11111111111111111111110111111101 " -b11111111111111111111110111111101 4 -b11111111111111111111110111111101 1 -b11111111111111111111110111111101 C -b1101 0 -b1101 H -19 -18 -b10000010010110001010011001100011 < -b1100010010101101001010001000101 2 -b1100010010101101001010001000101 = -b1100010010101101001010001000101 : -b11011111111111011110110111100001 $ -b11011111111111011110110111100001 - -b11011111111111011110110111100001 5 -b11011111111111011110110111100001 ? -b11011111111111011110110111100001 D -b1111101101001110101100110011100 % -b1111101101001110101100110011100 . -b1111101101001110101100110011100 6 -b1111101101001110101100110011100 @ -b1111101101001110101100110011100 F -b11111111111111111111110111111101 ) -#218496000 -0& -#218512000 -b110101010110 , -#218528000 -1& -#218544000 -b1111111111111111111111111101100 " -b1111111111111111111111111101100 4 -b1111111111111111111111111101100 1 -b1111111111111111111111111101100 C -b11111111111101111111111010 0 -b11111111111101111111111010 H -09 -18 -b10000000000000001100001010111011 < -b10111111111111101100001001101000 2 -b10111111111111101100001001101000 = -b10111111111111101100001001101000 : -b111111111111011111111110101100 $ -b111111111111011111111110101100 - -b111111111111011111111110101100 5 -b111111111111011111111110101100 ? -b111111111111011111111110101100 D -b1111111111111110011110101000100 % -b1111111111111110011110101000100 . -b1111111111111110011110101000100 6 -b1111111111111110011110101000100 @ -b1111111111111110011110101000100 F -b1111111111111111111111111101100 ) -#218560000 -0& -#218576000 -b110101010111 , -#218592000 -1& -#218608000 -b11111111111111100111011111011111 " -b11111111111111100111011111011111 4 -b11111111111111100111011111011111 1 -b11111111111111100111011111011111 C -b1011011111111100 0 -b1011011111111100 H -08 -b1010000100111000100010110000 < -b1100110000100011011110110001011 2 -b1100110000100011011110110001011 = -b1100110000100011011110110001011 : -b1011011111111100011010011011010 $ -b1011011111111100011010011011010 - -b1011011111111100011010011011010 5 -b1011011111111100011010011011010 ? -b1011011111111100011010011011010 D -b11110101111011000111011101001111 % -b11110101111011000111011101001111 . -b11110101111011000111011101001111 6 -b11110101111011000111011101001111 @ -b11110101111011000111011101001111 F -b11111111111111100111011111011111 ) -#218624000 -0& -#218640000 -b110101011000 , -#218656000 -1& -#218672000 -b11111111111111011011011011101001 " -b11111111111111011011011011101001 4 -b11111111111111011011011011101001 1 -b11111111111111011011011011101001 C -b111101101111100110010010 0 -b111101101111100110010010 H -18 -09 -b100100100101100100010111 < -b11110111100010111110101110111001 2 -b11110111100010111110101110111001 = -b11110111100010111110101110111001 : -b11110110111110011001001010100001 $ -b11110110111110011001001010100001 - -b11110110111110011001001010100001 5 -b11110110111110011001001010100001 ? -b11110110111110011001001010100001 D -b11111111011011011010011011101000 % -b11111111011011011010011011101000 . -b11111111011011011010011011101000 6 -b11111111011011011010011011101000 @ -b11111111011011011010011011101000 F -b11111111111111011011011011101001 ) -#218688000 -0& -#218704000 -b110101011001 , -#218720000 -1& -#218736000 -b1101111111111110011100101111011 " -b1101111111111110011100101111011 4 -b1101111111111110011100101111011 1 -b1101111111111110011100101111011 C -b1011 0 -b1011 H -18 -b10010000010000101110011010000101 < -b11000000001110100001011010001001 2 -b11000000001110100001011010001001 = -b11000000001110100001011010001001 : -b101111111101110011000000000011 $ -b101111111101110011000000000011 - -b101111111101110011000000000011 5 -b101111111101110011000000000011 ? -b101111111101110011000000000011 D -b1101111101111010001100101111010 % -b1101111101111010001100101111010 . -b1101111101111010001100101111010 6 -b1101111101111010001100101111010 @ -b1101111101111010001100101111010 F -b1101111111111110011100101111011 ) -#218752000 -0& -#218768000 -b110101011010 , -#218784000 -1& -#218800000 -b11111111111111111110010111111111 " -b11111111111111111110010111111111 4 -b11111111111111111110010111111111 1 -b11111111111111111110010111111111 C -b110101 0 -b110101 H -18 -b10110000000000001101011000101 < -b11101101011111100110000010101011 2 -b11101101011111100110000010101011 = -b11101101011111100110000010101011 : -b11010111011111100100010111100101 $ -b11010111011111100100010111100101 - -b11010111011111100100010111100101 5 -b11010111011111100100010111100101 ? -b11010111011111100100010111100101 D -b11101001111111111110010100111010 % -b11101001111111111110010100111010 . -b11101001111111111110010100111010 6 -b11101001111111111110010100111010 @ -b11101001111111111110010100111010 F -b11111111111111111110010111111111 ) -#218816000 -0& -#218832000 -b110101011011 , -#218848000 -1& -#218864000 -b1111111011011011011101101101111 " -b1111111011011011011101101101111 4 -b1111111011011011011101101101111 1 -b1111111011011011011101101101111 C -b11111010110110110110011011 0 -b11111010110110110110011011 H -08 -b10001001100101101111011011111010 < -b111000001001010101001100101 2 -b111000001001010101001100101 = -b111000001001010101001100101 : -b1111101011011011011001101101010 $ -b1111101011011011011001101101010 - -b1111101011011011011001101101010 5 -b1111101011011011011001101101010 ? -b1111101011011011011001101101010 D -b1110110011010010000100100000101 % -b1110110011010010000100100000101 . -b1110110011010010000100100000101 6 -b1110110011010010000100100000101 @ -b1110110011010010000100100000101 F -b1111111011011011011101101101111 ) -#218880000 -0& -#218896000 -b110101011100 , -#218912000 -1& -#218928000 -b1111111111111111111111111011110 " -b1111111111111111111111111011110 4 -b1111111111111111111111111011110 1 -b1111111111111111111111111011110 C -b111 0 -b111 H -08 -b11000000000000011000000000100011 < -b110011011011010011111110110010 2 -b110011011011010011111110110010 = -b110011011011010011111110110010 : -b1110011011010111011111110001110 $ -b1110011011010111011111110001110 - -b1110011011010111011111110001110 5 -b1110011011010111011111110001110 ? -b1110011011010111011111110001110 D -b111111111111100111111111011100 % -b111111111111100111111111011100 . -b111111111111100111111111011100 6 -b111111111111100111111111011100 @ -b111111111111100111111111011100 F -b1111111111111111111111111011110 ) -#218944000 -0& -#218960000 -b110101011101 , -#218976000 -1& -#218992000 -b11111111101110111110011111111111 " -b11111111101110111110011111111111 4 -b11111111101110111110011111111111 1 -b11111111101110111110011111111111 C -b111111110110011111000110 0 -b111111110110011111000110 H -19 -08 -b1010100110101101101101100011000 < -b11010100100010101011111001110100 2 -b11010100100010101011111001110100 = -b11010100100010101011111001110100 : -b1111111101100111110001101011011 $ -b1111111101100111110001101011011 - -b1111111101100111110001101011011 5 -b1111111101100111110001101011011 ? -b1111111101100111110001101011011 D -b10101011001010010010010011100111 % -b10101011001010010010010011100111 . -b10101011001010010010010011100111 6 -b10101011001010010010010011100111 @ -b10101011001010010010010011100111 F -b11111111101110111110011111111111 ) -#219008000 -0& -#219024000 -b110101011110 , -#219040000 -1& -#219056000 -b11111111111110110111111111011111 " -b11111111111110110111111111011111 4 -b11111111111110110111111111011111 1 -b11111111111110110111111111011111 C -b11 0 -b11 H -09 -18 -b11010001001010101101100001 < -b11110010101111110001101010111101 2 -b11110010101111110001101010111101 = -b11110010101111110001101010111101 : -b11101111011110100110111101011011 $ -b11101111011110100110111101011011 - -b11101111011110100110111101011011 5 -b11101111011110100110111101011011 ? -b11101111011110100110111101011011 D -b11111100101110110101010010011110 % -b11111100101110110101010010011110 . -b11111100101110110101010010011110 6 -b11111100101110110101010010011110 @ -b11111100101110110101010010011110 F -b11111111111110110111111111011111 ) -#219072000 -0& -#219088000 -b110101011111 , -#219104000 -1& -#219120000 -b11110011111111111011110110111101 " -b11110011111111111011110110111101 4 -b11110011111111111011110110111101 1 -b11110011111111111011110110111101 C -b101 0 -b101 H -08 -b1100111100001100001001000011 < -b1100000111011110111001101100001 2 -b1100000111011110111001101100001 = -b1100000111011110111001101100001 : -b1010011111111101011000100011101 $ -b1010011111111101011000100011101 - -b1010011111111101011000100011101 5 -b1010011111111101011000100011101 ? -b1010011111111101011000100011101 D -b11110011000011110011110110111100 % -b11110011000011110011110110111100 . -b11110011000011110011110110111100 6 -b11110011000011110011110110111100 @ -b11110011000011110011110110111100 F -b11110011111111111011110110111101 ) -#219136000 -0& -#219152000 -b110101100000 , -#219168000 -1& -#219184000 -b11111111011111111111111111011011 " -b11111111011111111111111111011011 4 -b11111111011111111111111111011011 1 -b11111111011111111111111111011011 C -b1100011011110010110101111011 0 -b1100011011110010110101111011 H -b10110000000110100101111100 < -b1100110001110011101010101010111 2 -b1100110001110011101010101010111 = -b1100110001110011101010101010111 : -b1100011011110010110101111011010 $ -b1100011011110010110101111011010 - -b1100011011110010110101111011010 5 -b1100011011110010110101111011010 ? -b1100011011110010110101111011010 D -b11111101001111111001011010000011 % -b11111101001111111001011010000011 . -b11111101001111111001011010000011 6 -b11111101001111111001011010000011 @ -b11111101001111111001011010000011 F -b11111111011111111111111111011011 ) -#219200000 -0& -#219216000 -b110101100001 , -#219232000 -1& -#219248000 -b11111111101111111110100111011111 " -b11111111101111111110100111011111 4 -b11111111101111111110100111011111 1 -b11111111101111111110100111011111 C -b1 0 -b1 H -08 -b1000000011000000011011001100000 < -b111000000110111101100000101010 2 -b111000000110111101100000101010 = -b111000000110111101100000101010 : -b11110111101110111010000111001001 $ -b11110111101110111010000111001001 - -b11110111101110111010000111001001 5 -b11110111101110111010000111001001 ? -b11110111101110111010000111001001 D -b10111111100111111100100110011111 % -b10111111100111111100100110011111 . -b10111111100111111100100110011111 6 -b10111111100111111100100110011111 @ -b10111111100111111100100110011111 F -b11111111101111111110100111011111 ) -#219264000 -0& -#219280000 -b110101100010 , -#219296000 -1& -#219312000 -b11111111111111110011111111000001 " -b11111111111111110011111111000001 4 -b11111111111111110011111111000001 1 -b11111111111111110011111111000001 C -b1111111011111111001111111100000 0 -b1111111011111111001111111100000 H -b100100001010001100111000111110 < -b100011001010000000111000000000 2 -b100011001010000000111000000000 = -b100011001010000000111000000000 : -b11111110111111110011111111000001 $ -b11111110111111110011111111000001 - -b11111110111111110011111111000001 5 -b11111110111111110011111111000001 ? -b11111110111111110011111111000001 D -b11011011110101110011000111000001 % -b11011011110101110011000111000001 . -b11011011110101110011000111000001 6 -b11011011110101110011000111000001 @ -b11011011110101110011000111000001 F -b11111111111111110011111111000001 ) -#219328000 -0& -#219344000 -b110101100011 , -#219360000 -1& -#219376000 -b10111111111111110001111110100111 " -b10111111111111110001111110100111 4 -b10111111111111110001111110100111 1 -b10111111111111110001111110100111 C -b1010010111111111000011111 0 -b1010010111111111000011111 H -19 -18 -b11000100000000001110011011011000 < -b1101001111111111111011001011011 2 -b1101001111111111111011001011011 = -b1101001111111111111011001011011 : -b10100101111111110000111110000010 $ -b10100101111111110000111110000010 - -b10100101111111110000111110000010 5 -b10100101111111110000111110000010 ? -b10100101111111110000111110000010 D -b111011111111110001100100100111 % -b111011111111110001100100100111 . -b111011111111110001100100100111 6 -b111011111111110001100100100111 @ -b111011111111110001100100100111 F -b10111111111111110001111110100111 ) -#219392000 -0& -#219408000 -b110101100100 , -#219424000 -1& -#219440000 -b1011111111111111100110101010111 " -b1011111111111111100110101010111 4 -b1011111111111111100110101010111 1 -b1011111111111111100110101010111 C -b10111011 0 -b10111011 H -09 -b10100001000100010111001011101000 < -b11111110110011010011110000111111 2 -b11111110110011010011110000111111 = -b11111110110011010011110000111111 : -b1011101101110111100100101010110 $ -b1011101101110111100100101010110 - -b1011101101110111100100101010110 5 -b1011101101110111100100101010110 ? -b1011101101110111100100101010110 D -b1011110111011101000110100010111 % -b1011110111011101000110100010111 . -b1011110111011101000110100010111 6 -b1011110111011101000110100010111 @ -b1011110111011101000110100010111 F -b1011111111111111100110101010111 ) -#219456000 -0& -#219472000 -b110101100101 , -#219488000 -1& -#219504000 -b11111111111111111011111111110101 " -b11111111111111111011111111110101 4 -b11111111111111111011111111110101 1 -b11111111111111111011111111110101 C -b111011111110 0 -b111011111110 H -19 -18 -b10000010001000000100001110101011 < -b1110010000010101111101110100001 2 -b1110010000010101111101110100001 = -b1110010000010101111101110100001 : -b11101111111010101011011111110101 $ -b11101111111010101011011111110101 - -b11101111111010101011011111110101 5 -b11101111111010101011011111110101 ? -b11101111111010101011011111110101 D -b1111101110111111011110001010100 % -b1111101110111111011110001010100 . -b1111101110111111011110001010100 6 -b1111101110111111011110001010100 @ -b1111101110111111011110001010100 F -b11111111111111111011111111110101 ) -#219520000 -0& -#219536000 -b110101100110 , -#219552000 -1& -#219568000 -b11111111111011111110111001111101 " -b11111111111011111110111001111101 4 -b11111111111011111110111001111101 1 -b11111111111011111110111001111101 C -b1 0 -b1 H -09 -08 -b10101000111010111000010 < -b1000000010000101110010000011000 2 -b1000000010000101110010000011000 = -b1000000010000101110010000011000 : -b111111111011100110111001010101 $ -b111111111011100110111001010101 - -b111111111011100110111001010101 5 -b111111111011100110111001010101 ? -b111111111011100110111001010101 D -b11111111101010111000101000111101 % -b11111111101010111000101000111101 . -b11111111101010111000101000111101 6 -b11111111101010111000101000111101 @ -b11111111101010111000101000111101 F -b11111111111011111110111001111101 ) -#219584000 -0& -#219600000 -b110101100111 , -#219616000 -1& -#219632000 -b11111111111111111110111010111111 " -b11111111111111111110111010111111 4 -b11111111111111111110111010111111 1 -b11111111111111111110111010111111 C -b11 0 -b11 H -18 -09 -b11000100010010010011110111100001 < -b11000100001010000110101010000011 2 -b11000100001010000110101010000011 = -b11000100001010000110101010000011 : -b11111111110111110010110010100001 $ -b11111111110111110010110010100001 - -b11111111110111110010110010100001 5 -b11111111110111110010110010100001 ? -b11111111110111110010110010100001 D -b111011101101101100001000011110 % -b111011101101101100001000011110 . -b111011101101101100001000011110 6 -b111011101101101100001000011110 @ -b111011101101101100001000011110 F -b11111111111111111110111010111111 ) -#219648000 -0& -#219664000 -b110101101000 , -#219680000 -1& -#219696000 -b11111111111111110111111010011110 " -b11111111111111110111111010011110 4 -b11111111111111110111111010011110 1 -b11111111111111110111111010011110 C -b111 0 -b111 H -19 -08 -b100000110000001101010101100011 < -b10100000101011010101000101101110 2 -b10100000101011010101000101101110 = -b10100000101011010101000101101110 : -b1111111111011000111110000001010 $ -b1111111111011000111110000001010 - -b1111111111011000111110000001010 5 -b1111111111011000111110000001010 ? -b1111111111011000111110000001010 D -b11011111001111110010101010011100 % -b11011111001111110010101010011100 . -b11011111001111110010101010011100 6 -b11011111001111110010101010011100 @ -b11011111001111110010101010011100 F -b11111111111111110111111010011110 ) -#219712000 -0& -#219728000 -b110101101001 , -#219744000 -1& -#219760000 -b10111110111111111000111111011101 " -b10111110111111111000111111011101 4 -b10111110111111111000111111011101 1 -b10111110111111111000111111011101 C -b100 0 -b100 H -18 -09 -b1010001001100010111000000100010 < -b11101101101010000111100111110000 2 -b11101101101010000111100111110000 = -b11101101101010000111100111110000 : -b10011100011101110000100111001101 $ -b10011100011101110000100111001101 - -b10011100011101110000100111001101 5 -b10011100011101110000100111001101 ? -b10011100011101110000100111001101 D -b10101110110011101000111111011101 % -b10101110110011101000111111011101 . -b10101110110011101000111111011101 6 -b10101110110011101000111111011101 @ -b10101110110011101000111111011101 F -b10111110111111111000111111011101 ) -#219776000 -0& -#219792000 -b110101101010 , -#219808000 -1& -#219824000 -b111111111111110111111111110111 " -b111111111111110111111111110111 4 -b111111111111110111111111110111 1 -b111111111111110111111111110111 C -b11111110 0 -b11111110 H -08 -b11000111000000001001011010001001 < -b110101011111101010101011011 2 -b110101011111101010101011011 = -b110101011111101010101011011 : -b111111101011110011111011010001 $ -b111111101011110011111011010001 - -b111111101011110011111011010001 5 -b111111101011110011111011010001 ? -b111111101011110011111011010001 D -b111000111111110110100101110110 % -b111000111111110110100101110110 . -b111000111111110110100101110110 6 -b111000111111110110100101110110 @ -b111000111111110110100101110110 F -b111111111111110111111111110111 ) -#219840000 -0& -#219856000 -b110101101011 , -#219872000 -1& -#219888000 -b11111111111111111101110111111110 " -b11111111111111111101110111111110 4 -b11111111111111111101110111111110 1 -b11111111111111111101110111111110 C -b11 0 -b11 H -18 -b10001000000100110011001100001 < -b11101100111111011010101011000100 2 -b11101100111111011010101011000100 = -b11101100111111011010101011000100 : -b11011011111110110100010001100010 $ -b11011011111110110100010001100010 - -b11011011111110110100010001100010 5 -b11011011111110110100010001100010 ? -b11011011111110110100010001100010 D -b11101110111111011001100110011110 % -b11101110111111011001100110011110 . -b11101110111111011001100110011110 6 -b11101110111111011001100110011110 @ -b11101110111111011001100110011110 F -b11111111111111111101110111111110 ) -#219904000 -0& -#219920000 -b110101101100 , -#219936000 -1& -#219952000 -b1111111011111011110101111111101 " -b1111111011111011110101111111101 4 -b1111111011111011110101111111101 1 -b1111111011111011110101111111101 C -b111 0 -b111 H -08 -b10010001101100111011110101100011 < -b10001001100011010100011010101 2 -b10001001100011010100011010101 = -b10001001100011010100011010101 : -b1111111011111011110101101110001 $ -b1111111011111011110101101110001 - -b1111111011111011110101101110001 5 -b1111111011111011110101101110001 ? -b1111111011111011110101101110001 D -b1101110010011000100001010011100 % -b1101110010011000100001010011100 . -b1101110010011000100001010011100 6 -b1101110010011000100001010011100 @ -b1101110010011000100001010011100 F -b1111111011111011110101111111101 ) -#219968000 -0& -#219984000 -b110101101101 , -#220000000 -1& -#220016000 -b11111111110111111011110111011110 " -b11111111110111111011110111011110 4 -b11111111110111111011110111011110 1 -b11111111110111111011110111011110 C -b110101110101110001110011 0 -b110101110101110001110011 H -08 -b10000111000110101101011111001 < -b1000110101110100111011111010110 2 -b1000110101110100111011111010110 = -b1000110101110100111011111010110 : -b110101110101110001110011011100 $ -b110101110101110001110011011100 - -b110101110101110001110011011100 5 -b110101110101110001110011011100 ? -b110101110101110001110011011100 D -b11101111000111001010010100000110 % -b11101111000111001010010100000110 . -b11101111000111001010010100000110 6 -b11101111000111001010010100000110 @ -b11101111000111001010010100000110 F -b11111111110111111011110111011110 ) -#220032000 -0& -#220048000 -b110101101110 , -#220064000 -1& -#220080000 -b11100111111111111110101101010111 " -b11100111111111111110101101010111 4 -b11100111111111111110101101010111 1 -b11100111111111111110101101010111 C -b1100111111 0 -b1100111111 H -19 -08 -b1011010000000000001110010101010 < -b11000001111011101000011110101101 2 -b11000001111011101000011110101101 = -b11000001111011101000011110101101 : -b1100111111011100110101100000010 $ -b1100111111011100110101100000010 - -b1100111111011100110101100000010 5 -b1100111111011100110101100000010 ? -b1100111111011100110101100000010 D -b10100101111111111110001101010101 % -b10100101111111111110001101010101 . -b10100101111111111110001101010101 6 -b10100101111111111110001101010101 @ -b10100101111111111110001101010101 F -b11100111111111111110101101010111 ) -#220096000 -0& -#220112000 -b110101101111 , -#220128000 -1& -#220144000 -b11111111111011110100010111011100 " -b11111111111011110100010111011100 4 -b11111111111011110100010111011100 1 -b11111111111011110100010111011100 C -b1111 0 -b1111 H -09 -08 -b1000100000100001011101010100011 < -b111000111111111011111100101000 2 -b111000111111111011111100101000 = -b111000111111111011111100101000 : -b11110100111011110000010010000100 $ -b11110100111011110000010010000100 - -b11110100111011110000010010000100 5 -b11110100111011110000010010000100 ? -b11110100111011110000010010000100 D -b10111011111011110100010101011100 % -b10111011111011110100010101011100 . -b10111011111011110100010101011100 6 -b10111011111011110100010101011100 @ -b10111011111011110100010101011100 F -b11111111111011110100010111011100 ) -#220160000 -0& -#220176000 -b110101110000 , -#220192000 -1& -#220208000 -b11111111111111111111100111111101 " -b11111111111111111111100111111101 4 -b11111111111111111111100111111101 1 -b11111111111111111111100111111101 C -b1111111110110111111 0 -b1111111110110111111 H -b1001000100000000110111000110010 < -b1001000001110000110011001101100 2 -b1001000001110000110011001101100 = -b1001000001110000110011001101100 : -b11111111101101111111100000111001 $ -b11111111101101111111100000111001 - -b11111111101101111111100000111001 5 -b11111111101101111111100000111001 ? -b11111111101101111111100000111001 D -b10110111011111111001000111001101 % -b10110111011111111001000111001101 . -b10110111011111111001000111001101 6 -b10110111011111111001000111001101 @ -b10110111011111111001000111001101 F -b11111111111111111111100111111101 ) -#220224000 -0& -#220240000 -b110101110001 , -#220256000 -1& -#220272000 -b1001111111111111011111100001010 " -b1001111111111111011111100001010 4 -b1001111111111111011111100001010 1 -b1001111111111111011111100001010 C -b10011111001111110100111000010 0 -b10011111001111110100111000010 H -08 -b11111000100100010100000111111101 < -b1001000001100001110100100000110 2 -b1001000001100001110100100000110 = -b1001000001100001110100100000110 : -b1001111100111111010011100001000 $ -b1001111100111111010011100001000 - -b1001111100111111010011100001000 5 -b1001111100111111010011100001000 ? -b1001111100111111010011100001000 D -b111011011101011111000000010 % -b111011011101011111000000010 . -b111011011101011111000000010 6 -b111011011101011111000000010 @ -b111011011101011111000000010 F -b1001111111111111011111100001010 ) -#220288000 -0& -#220304000 -b110101110010 , -#220320000 -1& -#220336000 -b11111111101111110101111111110111 " -b11111111101111110101111111110111 4 -b11111111101111110101111111110111 1 -b11111111101111110101111111110111 C -b1111111100 0 -b1111111100 H -19 -08 -b1000001110000001010000001101010 < -b11000001010110001110101111100010 2 -b11000001010110001110101111100010 = -b11000001010110001110101111100010 : -b1111111100110000100101101110111 $ -b1111111100110000100101101110111 - -b1111111100110000100101101110111 5 -b1111111100110000100101101110111 ? -b1111111100110000100101101110111 D -b10111110001111110101111110010101 % -b10111110001111110101111110010101 . -b10111110001111110101111110010101 6 -b10111110001111110101111110010101 @ -b10111110001111110101111110010101 F -b11111111101111110101111111110111 ) -#220352000 -0& -#220368000 -b110101110011 , -#220384000 -1& -#220400000 -b1111111111111111001011001111101 " -b1111111111111111001011001111101 4 -b1111111111111111001011001111101 1 -b1111111111111111001011001111101 C -b1111111001 0 -b1111111001 H -09 -08 -b10000110000010000111110110001010 < -b101010010000001001000000111 2 -b101010010000001001000000111 = -b101010010000001001000000111 : -b1111111001111111001010001111100 $ -b1111111001111111001010001111100 - -b1111111001111111001010001111100 5 -b1111111001111111001010001111100 ? -b1111111001111111001010001111100 D -b1111001111101111000001001110101 % -b1111001111101111000001001110101 . -b1111001111101111000001001110101 6 -b1111001111101111000001001110101 @ -b1111001111101111000001001110101 F -b1111111111111111001011001111101 ) -#220416000 -0& -#220432000 -b110101110100 , -#220448000 -1& -#220464000 -b11111111111111111111110110110111 " -b11111111111111111111110110110111 4 -b11111111111111111111110110110111 1 -b11111111111111111111110110110111 C -b111111100 0 -b111111100 H -08 -b11110001100000101001001001000 < -b11100101011101010001011011011 2 -b11100101011101010001011011011 = -b11100101011101010001011011011 : -b11111110011111100101000010010010 $ -b11111110011111100101000010010010 - -b11111110011111100101000010010010 5 -b11111110011111100101000010010010 ? -b11111110011111100101000010010010 D -b11100001110011111010110110110111 % -b11100001110011111010110110110111 . -b11100001110011111010110110110111 6 -b11100001110011111010110110110111 @ -b11100001110011111010110110110111 F -b11111111111111111111110110110111 ) -#220480000 -0& -#220496000 -b110101110101 , -#220512000 -1& -#220528000 -b11111111111111111111011111101111 " -b11111111111111111111011111101111 4 -b11111111111111111111011111101111 1 -b11111111111111111111011111101111 C -b11111110101101101110 0 -b11111110101101101110 H -b1100001100000100100101110011 < -b1010111001110010110011011011 2 -b1010111001110010110011011011 = -b1010111001110010110011011011 : -b11111110101101101110001101100111 $ -b11111110101101101110001101100111 - -b11111110101101101110001101100111 5 -b11111110101101101110001101100111 ? -b11111110101101101110001101100111 D -b11110011110011111011011010001100 % -b11110011110011111011011010001100 . -b11110011110011111011011010001100 6 -b11110011110011111011011010001100 @ -b11110011110011111011011010001100 F -b11111111111111111111011111101111 ) -#220544000 -0& -#220560000 -b110101110110 , -#220576000 -1& -#220592000 -b11011111111111111111111010111111 " -b11011111111111111111111010111111 4 -b11011111111111111111111010111111 1 -b11011111111111111111111010111111 C -b1101111111111111101100100 0 -b1101111111111111101100100 H -18 -b11100011000000001001000101111000 < -b11000011000000000100001110110011 2 -b11000011000000000100001110110011 = -b11000011000000000100001110110011 : -b11011111111111111011001000111010 $ -b11011111111111111011001000111010 - -b11011111111111111011001000111010 5 -b11011111111111111011001000111010 ? -b11011111111111111011001000111010 D -b11100111111110110111010000111 % -b11100111111110110111010000111 . -b11100111111110110111010000111 6 -b11100111111110110111010000111 @ -b11100111111110110111010000111 F -b11011111111111111111111010111111 ) -#220608000 -0& -#220624000 -b110101110111 , -#220640000 -1& -#220656000 -b1111111111111111101111111011111 " -b1111111111111111101111111011111 4 -b1111111111111111101111111011111 1 -b1111111111111111101111111011111 C -b1101 0 -b1101 H -08 -b10100000100010000010000001100100 < -b10000100001101111011011000001 2 -b10000100001101111011011000001 = -b10000100001101111011011000001 : -b1101111111111101101011001011100 $ -b1101111111111101101011001011100 - -b1101111111111101101011001011100 5 -b1101111111111101101011001011100 ? -b1101111111111101101011001011100 D -b1011111011101111101111110011011 % -b1011111011101111101111110011011 . -b1011111011101111101111110011011 6 -b1011111011101111101111110011011 @ -b1011111011101111101111110011011 F -b1111111111111111101111111011111 ) -#220672000 -0& -#220688000 -b110101111000 , -#220704000 -1& -#220720000 -b1111101111111111111101111101010 " -b1111101111111111111101111101010 4 -b1111101111111111111101111101010 1 -b1111101111111111111101111101010 C -b1011101111101111111101100001010 0 -b1011101111101111111101100001010 H -18 -b10000010000001100011110100011111 < -b11011111111111100011100000101010 2 -b11011111111111100011100000101010 = -b11011111111111100011100000101010 : -b1011101111101111111101100001010 $ -b1011101111101111111101100001010 - -b1011101111101111111101100001010 5 -b1011101111101111111101100001010 ? -b1011101111101111111101100001010 D -b1111101111110011100001011100000 % -b1111101111110011100001011100000 . -b1111101111110011100001011100000 6 -b1111101111110011100001011100000 @ -b1111101111110011100001011100000 F -b1111101111111111111101111101010 ) -#220736000 -0& -#220752000 -b110101111001 , -#220768000 -1& -#220784000 -b1110111111111111111101001011011 " -b1110111111111111111101001011011 4 -b1110111111111111111101001011011 1 -b1110111111111111111101001011011 C -b1110 0 -b1110 H -b10001000000100011001010111100100 < -b11111101000100010010111000111111 2 -b11111101000100010010111000111111 = -b11111101000100010010111000111111 : -b1110100111111111001100001011010 $ -b1110100111111111001100001011010 - -b1110100111111111001100001011010 5 -b1110100111111111001100001011010 ? -b1110100111111111001100001011010 D -b1110111111011100110101000011011 % -b1110111111011100110101000011011 . -b1110111111011100110101000011011 6 -b1110111111011100110101000011011 @ -b1110111111011100110101000011011 F -b1110111111111111111101001011011 ) -#220800000 -0& -#220816000 -b110101111010 , -#220832000 -1& -#220848000 -b11111111111111001111101111110111 " -b11111111111111001111101111110111 4 -b11111111111111001111101111110111 1 -b11111111111111001111101111110111 C -b111111110110000010100101 0 -b111111110110000010100101 H -19 -08 -b10000000000110101011000111000 < -b10001111101100111010100100101011 2 -b10001111101100111010100100101011 = -b10001111101100111010100100101011 : -b1111111101100000101001011110010 $ -b1111111101100000101001011110010 - -b1111111101100000101001011110010 5 -b1111111101100000101001011110010 ? -b1111111101100000101001011110010 D -b11101111111111001010100111000111 % -b11101111111111001010100111000111 . -b11101111111111001010100111000111 6 -b11101111111111001010100111000111 @ -b11101111111111001010100111000111 F -b11111111111111001111101111110111 ) -#220864000 -0& -#220880000 -b110101111011 , -#220896000 -1& -#220912000 -b1111111111111110111110111111001 " -b1111111111111110111110111111001 4 -b1111111111111110111110111111001 1 -b1111111111111110111110111111001 C -b1111111 0 -b1111111 H -09 -08 -b10010000011110111011011001000111 < -b10000011110110010101100001001 2 -b10000011110110010101100001001 = -b10000011110110010101100001001 : -b1111111111111110111010011000001 $ -b1111111111111110111010011000001 - -b1111111111111110111010011000001 5 -b1111111111111110111010011000001 ? -b1111111111111110111010011000001 D -b1101111100001000100100110111000 % -b1101111100001000100100110111000 . -b1101111100001000100100110111000 6 -b1101111100001000100100110111000 @ -b1101111100001000100100110111000 F -b1111111111111110111110111111001 ) -#220928000 -0& -#220944000 -b110101111100 , -#220960000 -1& -#220976000 -b1111111111101111100011101011111 " -b1111111111101111100011101011111 4 -b1111111111101111100011101011111 1 -b1111111111101111100011101011111 C -b11 0 -b11 H -18 -b10001100000010011011100111100011 < -b11001011011111110111101100100111 2 -b11001011011111110111101100100111 = -b11001011011111110111101100100111 : -b111111011101011100000101000011 $ -b111111011101011100000101000011 - -b111111011101011100000101000011 5 -b111111011101011100000101000011 ? -b111111011101011100000101000011 D -b1110011111101100100011000011100 % -b1110011111101100100011000011100 . -b1110011111101100100011000011100 6 -b1110011111101100100011000011100 @ -b1110011111101100100011000011100 F -b1111111111101111100011101011111 ) -#220992000 -0& -#221008000 -b110101111101 , -#221024000 -1& -#221040000 -b11111111111111110101111001011111 " -b11111111111111110101111001011111 4 -b11111111111111110101111001011111 1 -b11111111111111110101111001011111 C -b111111 0 -b111111 H -19 -18 -b10000000010110101010010110100101 < -b1111110010110011100000111000101 2 -b1111110010110011100000111000101 = -b1111110010110011100000111000101 : -b11111101111111110001110000011111 $ -b11111101111111110001110000011111 - -b11111101111111110001110000011111 5 -b11111101111111110001110000011111 ? -b11111101111111110001110000011111 D -b1111111101001010101101001011010 % -b1111111101001010101101001011010 . -b1111111101001010101101001011010 6 -b1111111101001010101101001011010 @ -b1111111101001010101101001011010 F -b11111111111111110101111001011111 ) -#221056000 -0& -#221072000 -b110101111110 , -#221088000 -1& -#221104000 -b11111111111111111001101000011111 " -b11111111111111111001101000011111 4 -b11111111111111111001101000011111 1 -b11111111111111111001101000011111 C -b111 0 -b111 H -09 -18 -b10001000000000100110011111100010 < -b10000001011110010110100111110101 2 -b10000001011110010110100111110101 = -b10000001011110010110100111110101 : -b11111001011101110000001000010010 $ -b11111001011101110000001000010010 - -b11111001011101110000001000010010 5 -b11111001011101110000001000010010 ? -b11111001011101110000001000010010 D -b1110111111111011001100000011101 % -b1110111111111011001100000011101 . -b1110111111111011001100000011101 6 -b1110111111111011001100000011101 @ -b1110111111111011001100000011101 F -b11111111111111111001101000011111 ) -#221120000 -0& -#221136000 -b110101111111 , -#221152000 -1& -#221168000 -b11111101111111111011111111111111 " -b11111101111111111011111111111111 4 -b11111101111111111011111111111111 1 -b11111101111111111011111111111111 C -b1 0 -b1 H -09 -18 -b110010110100110010100000000 < -b11010011010110011001010001011010 2 -b11010011010110011001010001011010 = -b11010011010110011001010001011010 : -b11001100111111110010111101011001 $ -b11001100111111110010111101011001 - -b11001100111111110010111101011001 5 -b11001100111111110010111101011001 ? -b11001100111111110010111101011001 D -b11111001101001011001101011111111 % -b11111001101001011001101011111111 . -b11111001101001011001101011111111 6 -b11111001101001011001101011111111 @ -b11111001101001011001101011111111 F -b11111101111111111011111111111111 ) -#221184000 -0& -#221200000 -b110110000000 , -#221216000 -1& -#221232000 -b11111111111111010111110111010111 " -b11111111111111010111110111010111 4 -b11111111111111010111110111010111 1 -b11111111111111010111110111010111 C -b1111111111111010110110110010 0 -b1111111111111010110110110010 H -08 -19 -b1111100011100111100 < -b10000000000001010011010011010010 2 -b10000000000001010011010011010010 = -b10000000000001010011010011010010 : -b1111111111111010110110110010101 $ -b1111111111111010110110110010101 - -b1111111111111010110110110010101 5 -b1111111111111010110110110010101 ? -b1111111111111010110110110010101 D -b11111111111110000011100011000011 % -b11111111111110000011100011000011 . -b11111111111110000011100011000011 6 -b11111111111110000011100011000011 @ -b11111111111110000011100011000011 F -b11111111111111010111110111010111 ) -#221248000 -0& -#221264000 -b110110000001 , -#221280000 -1& -#221296000 -b11111011111111110110110101111101 " -b11111011111111110110110101111101 4 -b11111011111111110110110101111101 1 -b11111011111111110110110101111101 C -b11101010111110010100 0 -b11101010111110010100 H -18 -09 -b1110000000001001001010010011 < -b11111000111110011101011010110001 2 -b11111000111110011101011010110001 = -b11111000111110011101011010110001 : -b11101010111110010100010000011101 $ -b11101010111110010100010000011101 - -b11101010111110010100010000011101 5 -b11101010111110010100010000011101 ? -b11101010111110010100010000011101 D -b11110001111111110110110101101100 % -b11110001111111110110110101101100 . -b11110001111111110110110101101100 6 -b11110001111111110110110101101100 @ -b11110001111111110110110101101100 F -b11111011111111110110110101111101 ) -#221312000 -0& -#221328000 -b110110000010 , -#221344000 -1& -#221360000 -b11111111011111111110111111111110 " -b11111111011111111110111111111110 4 -b11111111011111111110111111111110 1 -b11111111011111111110111111111110 C -b11110111011111100110111111 0 -b11110111011111100110111111 H -08 -b1000011100010000101111010111001 < -b111011000001101100111010111000 2 -b111011000001101100111010111000 = -b111011000001101100111010111000 : -b11110111011111100110111111111110 $ -b11110111011111100110111111111110 - -b11110111011111100110111111111110 5 -b11110111011111100110111111111110 ? -b11110111011111100110111111111110 D -b10111100011101111010000101000110 % -b10111100011101111010000101000110 . -b10111100011101111010000101000110 6 -b10111100011101111010000101000110 @ -b10111100011101111010000101000110 F -b11111111011111111110111111111110 ) -#221376000 -0& -#221392000 -b110110000011 , -#221408000 -1& -#221424000 -b1111111111111110110111011111011 " -b1111111111111110110111011111011 4 -b1111111111111110110111011111011 1 -b1111111111111110110111011111011 C -b1101110111111101100 0 -b1101110111111101100 H -18 -b10000100000001011101000100110100 < -b10111011100001010011010111100101 2 -b10111011100001010011010111100101 = -b10111011100001010011010111100101 : -b110111011111110110010010110000 $ -b110111011111110110010010110000 - -b110111011111110110010010110000 5 -b110111011111110110010010110000 ? -b110111011111110110010010110000 D -b1111011111110100010111011001011 % -b1111011111110100010111011001011 . -b1111011111110100010111011001011 6 -b1111011111110100010111011001011 @ -b1111011111110100010111011001011 F -b1111111111111110110111011111011 ) -#221440000 -0& -#221456000 -b110110000100 , -#221472000 -1& -#221488000 -b11011111111111111011011111101111 " -b11011111111111111011011111101111 4 -b11011111111111111011011111101111 1 -b11011111111111111011011111101111 C -b1010100111111111 0 -b1010100111111111 H -08 -19 -b1100100000000000110110010010000 < -b10111001000000000010001000011011 2 -b10111001000000000010001000011011 = -b10111001000000000010001000011011 : -b1010100111111111011010110001010 $ -b1010100111111111011010110001010 - -b1010100111111111011010110001010 5 -b1010100111111111011010110001010 ? -b1010100111111111011010110001010 D -b10011011111111111001001101101111 % -b10011011111111111001001101101111 . -b10011011111111111001001101101111 6 -b10011011111111111001001101101111 @ -b10011011111111111001001101101111 F -b11011111111111111011011111101111 ) -#221504000 -0& -#221520000 -b110110000101 , -#221536000 -1& -#221552000 -b1111111111111111110101111111111 " -b1111111111111111110101111111111 4 -b1111111111111111110101111111111 1 -b1111111111111111110101111111111 C -b0 0 -b0 H -18 -09 -b10000000000000100101110110000000 < -b11101010110000011010011100011100 2 -b11101010110000011010011100011100 = -b11101010110000011010011100011100 : -b1101010101111110100100110011011 $ -b1101010101111110100100110011011 - -b1101010101111110100100110011011 5 -b1101010101111110100100110011011 ? -b1101010101111110100100110011011 D -b1111111111111011010001001111111 % -b1111111111111011010001001111111 . -b1111111111111011010001001111111 6 -b1111111111111011010001001111111 @ -b1111111111111011010001001111111 F -b1111111111111111110101111111111 ) -#221568000 -0& -#221584000 -b110110000110 , -#221600000 -1& -#221616000 -b11111111111011111011111011001111 " -b11111111111011111011111011001111 4 -b11111111111011111011111011001111 1 -b11111111111011111011111011001111 C -b111111111101111101 0 -b111111111101111101 H -08 -19 -b100001100101001101110100110010 < -b10100001100001001001101111111001 2 -b10100001100001001001101111111001 = -b10100001100001001001101111111001 : -b1111111111011111011111011000110 $ -b1111111111011111011111011000110 - -b1111111111011111011111011000110 5 -b1111111111011111011111011000110 ? -b1111111111011111011111011000110 D -b11011110011010110010001011001101 % -b11011110011010110010001011001101 . -b11011110011010110010001011001101 6 -b11011110011010110010001011001101 @ -b11011110011010110010001011001101 F -b11111111111011111011111011001111 ) -#221632000 -0& -#221648000 -b110110000111 , -#221664000 -1& -#221680000 -b11111111111111111111111001111111 " -b11111111111111111111111001111111 4 -b11111111111111111111111001111111 1 -b11111111111111111111111001111111 C -b11 0 -b11 H -09 -08 -b1000000100010010010100110100001 < -b101110100010001101010111000011 2 -b101110100010001101010111000011 = -b101110100010001101010111000011 : -b11101101111111111010110000100001 $ -b11101101111111111010110000100001 - -b11101101111111111010110000100001 5 -b11101101111111111010110000100001 ? -b11101101111111111010110000100001 D -b10111111011101101101011001011110 % -b10111111011101101101011001011110 . -b10111111011101101101011001011110 6 -b10111111011101101101011001011110 @ -b10111111011101101101011001011110 F -b11111111111111111111111001111111 ) -#221696000 -0& -#221712000 -b110110001000 , -#221728000 -1& -#221744000 -b11111111101111111101111111010101 " -b11111111101111111101111111010101 4 -b11111111101111111101111111010101 1 -b11111111101111111101111111010101 C -b111110110011111 0 -b111110110011111 H -18 -b10001010011000000110000010101110 < -b10000101101000000011101100110011 2 -b10000101101000000011101100110011 = -b10000101101000000011101100110011 : -b11111011001111111101101010000100 $ -b11111011001111111101101010000100 - -b11111011001111111101101010000100 5 -b11111011001111111101101010000100 ? -b11111011001111111101101010000100 D -b1110101100111111001111101010001 % -b1110101100111111001111101010001 . -b1110101100111111001111101010001 6 -b1110101100111111001111101010001 @ -b1110101100111111001111101010001 F -b11111111101111111101111111010101 ) -#221760000 -0& -#221776000 -b110110001001 , -#221792000 -1& -#221808000 -b11101111111111111011111011111111 " -b11101111111111111011111011111111 4 -b11101111111111111011111011111111 1 -b11101111111111111011111011111111 C -b1110101001 0 -b1110101001 H -09 -08 -b110000001000010110001100001001 < -b11010101000001000010101111001 2 -b11010101000001000010101111001 = -b11010101000001000010101111001 : -b11101010011111110010001001101111 $ -b11101010011111110010001001101111 - -b11101010011111110010001001101111 5 -b11101010011111110010001001101111 ? -b11101010011111110010001001101111 D -b11001111110111101001110011110110 % -b11001111110111101001110011110110 . -b11001111110111101001110011110110 6 -b11001111110111101001110011110110 @ -b11001111110111101001110011110110 F -b11101111111111111011111011111111 ) -#221824000 -0& -#221840000 -b110110001010 , -#221856000 -1& -#221872000 -b11111111111111111111111101010111 " -b11111111111111111111111101010111 4 -b11111111111111111111111101010111 1 -b11111111111111111111111101010111 C -b111111101111 0 -b111111101111 H -18 -b11010000000000011010011010101011 < -b11001110111111111010010110101111 2 -b11001110111111111010010110101111 = -b11001110111111111010010110101111 : -b11111110111111011111111100000011 $ -b11111110111111011111111100000011 - -b11111110111111011111111100000011 5 -b11111110111111011111111100000011 ? -b11111110111111011111111100000011 D -b101111111111100101100101010100 % -b101111111111100101100101010100 . -b101111111111100101100101010100 6 -b101111111111100101100101010100 @ -b101111111111100101100101010100 F -b11111111111111111111111101010111 ) -#221888000 -0& -#221904000 -b110110001011 , -#221920000 -1& -#221936000 -b11100101011111110001001111101011 " -b11100101011111110001001111101011 4 -b11100101011111110001001111101011 1 -b11100101011111110001001111101011 C -b101001010011111100010 0 -b101001010011111100010 H -09 -18 -b11110100000001111111001010100 < -b11000011110000000001000010110110 2 -b11000011110000000001000010110110 = -b11000011110000000001000010110110 : -b10100101001111110001001001100001 $ -b10100101001111110001001001100001 - -b10100101001111110001001001100001 5 -b10100101001111110001001001100001 ? -b10100101001111110001001001100001 D -b11100001011111110000000110101011 % -b11100001011111110000000110101011 . -b11100001011111110000000110101011 6 -b11100001011111110000000110101011 @ -b11100001011111110000000110101011 F -b11100101011111110001001111101011 ) -#221952000 -0& -#221968000 -b110110001100 , -#221984000 -1& -#222000000 -b11111111111110111011101111101001 " -b11111111111110111011101111101001 4 -b11111111111110111011101111101001 1 -b11111111111110111011101111101001 C -b101010110110101100111011 0 -b101010110110101100111011 H -b100000000001010111010000010111 < -b11001011011100001010111100011001 2 -b11001011011100001010111100011001 = -b11001011011100001010111100011001 : -b10101011011010110011101100000001 $ -b10101011011010110011101100000001 - -b10101011011010110011101100000001 5 -b10101011011010110011101100000001 ? -b10101011011010110011101100000001 D -b11011111111110101000101111101000 % -b11011111111110101000101111101000 . -b11011111111110101000101111101000 6 -b11011111111110101000101111101000 @ -b11011111111110101000101111101000 F -b11111111111110111011101111101001 ) -#222016000 -0& -#222032000 -b110110001101 , -#222048000 -1& -#222064000 -b11111111111111110100001110101111 " -b11111111111111110100001110101111 4 -b11111111111111110100001110101111 1 -b11111111111111110100001110101111 C -b111101111110011010000110 0 -b111101111110011010000110 H -08 -19 -b1000000000000001011111101011000 < -b10111011111101000000001010000111 2 -b10111011111101000000001010000111 = -b10111011111101000000001010000111 : -b1111011111100110100001100101110 $ -b1111011111100110100001100101110 - -b1111011111100110100001100101110 5 -b1111011111100110100001100101110 ? -b1111011111100110100001100101110 D -b10111111111111110100000010100111 % -b10111111111111110100000010100111 . -b10111111111111110100000010100111 6 -b10111111111111110100000010100111 @ -b10111111111111110100000010100111 F -b11111111111111110100001110101111 ) -#222080000 -0& -#222096000 -b110110001110 , -#222112000 -1& -#222128000 -b11100111111111011111100011011100 " -b11100111111111011111100011011100 4 -b11100111111111011111100011011100 1 -b11100111111111011111100011011100 C -b1010001111101101011100001101 0 -b1010001111101101011100001101 H -18 -09 -b111000000010110100011111111011 < -b11011011111110001011100011010100 2 -b11011011111110001011100011010100 = -b11011011111110001011100011010100 : -b10100011111011010111000011011000 $ -b10100011111011010111000011011000 - -b10100011111011010111000011011000 5 -b10100011111011010111000011011000 ? -b10100011111011010111000011011000 D -b11000111111101001011100000000100 % -b11000111111101001011100000000100 . -b11000111111101001011100000000100 6 -b11000111111101001011100000000100 @ -b11000111111101001011100000000100 F -b11100111111111011111100011011100 ) -#222144000 -0& -#222160000 -b110110001111 , -#222176000 -1& -#222192000 -b1111111111111111011001111111101 " -b1111111111111111011001111111101 4 -b1111111111111111011001111111101 1 -b1111111111111111011001111111101 C -b11110001100 0 -b11110001100 H -b10000000010000101100110000101011 < -b11111001000100100111111101010101 2 -b11111001000100100111111101010101 = -b11111001000100100111111101010101 : -b1111000110011111011001100101001 $ -b1111000110011111011001100101001 - -b1111000110011111011001100101001 5 -b1111000110011111011001100101001 ? -b1111000110011111011001100101001 D -b1111111101111010011001111010100 % -b1111111101111010011001111010100 . -b1111111101111010011001111010100 6 -b1111111101111010011001111010100 @ -b1111111101111010011001111010100 F -b1111111111111111011001111111101 ) -#222208000 -0& -#222224000 -b110110010000 , -#222240000 -1& -#222256000 -b11111111111111111011111000101101 " -b11111111111111111011111000101101 4 -b11111111111111111011111000101101 1 -b11111111111111111011111000101101 C -b1101110101111111001 0 -b1101110101111111001 H -18 -b10000000010000100100111110010 < -b11101101100001111000001000011011 2 -b11101101100001111000001000011011 = -b11101101100001111000001000011011 : -b11011101011111110011100000101000 $ -b11011101011111110011100000101000 - -b11011101011111110011100000101000 5 -b11011101011111110011100000101000 ? -b11011101011111110011100000101000 D -b11101111111101111011011000001101 % -b11101111111101111011011000001101 . -b11101111111101111011011000001101 6 -b11101111111101111011011000001101 @ -b11101111111101111011011000001101 F -b11111111111111111011111000101101 ) -#222272000 -0& -#222288000 -b110110010001 , -#222304000 -1& -#222320000 -b1111110001101111111111001001001 " -b1111110001101111111111001001001 4 -b1111110001101111111111001001001 1 -b1111110001101111111111001001001 C -b110110001101111001111000001001 0 -b110110001101111001111000001001 H -18 -b10000101110010000000000110111111 < -b10111011111111111001111111001001 2 -b10111011111111111001111111001001 = -b10111011111111111001111111001001 : -b110110001101111001111000001001 $ -b110110001101111001111000001001 - -b110110001101111001111000001001 5 -b110110001101111001111000001001 ? -b110110001101111001111000001001 D -b1111010001101111111111001000000 % -b1111010001101111111111001000000 . -b1111010001101111111111001000000 6 -b1111010001101111111111001000000 @ -b1111010001101111111111001000000 F -b1111110001101111111111001001001 ) -#222336000 -0& -#222352000 -b110110010010 , -#222368000 -1& -#222384000 -b1111111111011111111011110111111 " -b1111111111011111111011110111111 4 -b1111111111011111111011110111111 1 -b1111111111011111111011110111111 C -b10 0 -b10 H -08 -b11000001010101100010101011000010 < -b100001010001011001000101100101 2 -b100001010001011001000101100101 = -b100001010001011001000101100101 : -b1011111111011110110011010100010 $ -b1011111111011110110011010100010 - -b1011111111011110110011010100010 5 -b1011111111011110110011010100010 ? -b1011111111011110110011010100010 D -b111110101010011101010100111101 % -b111110101010011101010100111101 . -b111110101010011101010100111101 6 -b111110101010011101010100111101 @ -b111110101010011101010100111101 F -b1111111111011111111011110111111 ) -#222400000 -0& -#222416000 -b110110010011 , -#222432000 -1& -#222448000 -b11101111011011111111101111110100 " -b11101111011011111111101111110100 4 -b11101111011011111111101111110100 1 -b11101111011011111111101111110100 C -b1110011101101010 0 -b1110011101101010 H -18 -b10001100100100010010100001111 < -b11111000111111001101111010000100 2 -b11111000111111001101111010000100 = -b11111000111111001101111010000100 : -b11100111011010101011100101110100 $ -b11100111011010101011100101110100 - -b11100111011010101011100101110100 5 -b11100111011010101011100101110100 ? -b11100111011010101011100101110100 D -b11101110011011011101101011110000 % -b11101110011011011101101011110000 . -b11101110011011011101101011110000 6 -b11101110011011011101101011110000 @ -b11101110011011011101101011110000 F -b11101111011011111111101111110100 ) -#222464000 -0& -#222480000 -b110110010100 , -#222496000 -1& -#222512000 -b11111111111111111110011101100111 " -b11111111111111111110011101100111 4 -b11111111111111111110011101100111 1 -b11111111111111111110011101100111 C -b1110111011101011111001110 0 -b1110111011101011111001110 H -18 -b1100000010001001111110011000 < -b11111010111101001000011010011001 2 -b11111010111101001000011010011001 = -b11111010111101001000011010011001 : -b11101110111010111110011100000000 $ -b11101110111010111110011100000000 - -b11101110111010111110011100000000 5 -b11101110111010111110011100000000 ? -b11101110111010111110011100000000 D -b11110011111101110110000001100111 % -b11110011111101110110000001100111 . -b11110011111101110110000001100111 6 -b11110011111101110110000001100111 @ -b11110011111101110110000001100111 F -b11111111111111111110011101100111 ) -#222528000 -0& -#222544000 -b110110010101 , -#222560000 -1& -#222576000 -b11111111101011111010111111111101 " -b11111111101011111010111111111101 4 -b11111111101011111010111111111101 1 -b11111111101011111010111111111101 C -b101111101011010000010 0 -b101111101011010000010 H -08 -b100010100000101010110010110 < -b110011111111010101101110001011 2 -b110011111111010101101110001011 = -b110011111111010101101110001011 : -b101111101011010000010111110100 $ -b101111101011010000010111110100 - -b101111101011010000010111110100 5 -b101111101011010000010111110100 ? -b101111101011010000010111110100 D -b11111011101011111010101001101001 % -b11111011101011111010101001101001 . -b11111011101011111010101001101001 6 -b11111011101011111010101001101001 @ -b11111011101011111010101001101001 F -b11111111101011111010111111111101 ) -#222592000 -0& -#222608000 -b110110010110 , -#222624000 -1& -#222640000 -b11111111111111110111101111111110 " -b11111111111111110111101111111110 4 -b11111111111111110111101111111110 1 -b11111111111111110111101111111110 C -b110111111111 0 -b110111111111 H -b110101001010001000010110101101 < -b1101101001001101000100101101100 2 -b1101101001001101000100101101100 = -b1101101001001101000100101101100 : -b110111111111100000001110111110 $ -b110111111111100000001110111110 - -b110111111111100000001110111110 5 -b110111111111100000001110111110 ? -b110111111111100000001110111110 D -b11001010110101110111101001010010 % -b11001010110101110111101001010010 . -b11001010110101110111101001010010 6 -b11001010110101110111101001010010 @ -b11001010110101110111101001010010 F -b11111111111111110111101111111110 ) -#222656000 -0& -#222672000 -b110110010111 , -#222688000 -1& -#222704000 -b10111111111101110110111111111110 " -b10111111111101110110111111111110 4 -b10111111111101110110111111111110 1 -b10111111111101110110111111111110 C -b1010 0 -b1010 H -08 -b1100001000011011101010001000011 < -b1100101101010010010000110010 2 -b1100101101010010010000110010 = -b1100101101010010010000110010 : -b10101011101001110100111111101110 $ -b10101011101001110100111111101110 - -b10101011101001110100111111101110 5 -b10101011101001110100111111101110 ? -b10101011101001110100111111101110 D -b10011110111100100010101110111100 % -b10011110111100100010101110111100 . -b10011110111100100010101110111100 6 -b10011110111100100010101110111100 @ -b10011110111100100010101110111100 F -b10111111111101110110111111111110 ) -#222720000 -0& -#222736000 -b110110011000 , -#222752000 -1& -#222768000 -b1011111111111111111011111101111 " -b1011111111111111111011111101111 4 -b1011111111111111111011111101111 1 -b1011111111111111111011111101111 C -b10110111111011011110010011 0 -b10110111111011011110010011 H -18 -b10100000000000000111101000011010 < -b11111011111101110110110010001010 2 -b11111011111101110110110010001010 = -b11111011111101110110110010001010 : -b1011011111101101111001001101111 $ -b1011011111101101111001001101111 - -b1011011111101101111001001101111 5 -b1011011111101101111001001101111 ? -b1011011111101101111001001101111 D -b1011111111111111000010111100101 % -b1011111111111111000010111100101 . -b1011111111111111000010111100101 6 -b1011111111111111000010111100101 @ -b1011111111111111000010111100101 F -b1011111111111111111011111101111 ) -#222784000 -0& -#222800000 -b110110011001 , -#222816000 -1& -#222832000 -b11111111101111111001111111111111 " -b11111111101111111001111111111111 4 -b11111111101111111001111111111111 1 -b11111111101111111001111111111111 C -b1101101110 0 -b1101101110 H -19 -18 -b10010000110000000110100001001001 < -b1101100010111111111000011000101 2 -b1101100010111111111000011000101 = -b1101100010111111111000011000101 : -b11011011100111111000100001111011 $ -b11011011100111111000100001111011 - -b11011011100111111000100001111011 5 -b11011011100111111000100001111011 ? -b11011011100111111000100001111011 D -b1101111001111111001011110110110 % -b1101111001111111001011110110110 . -b1101111001111111001011110110110 6 -b1101111001111111001011110110110 @ -b1101111001111111001011110110110 F -b11111111101111111001111111111111 ) -#222848000 -0& -#222864000 -b110110011010 , -#222880000 -1& -#222896000 -b11111111111111111110111001111111 " -b11111111111111111110111001111111 4 -b11111111111111111110111001111111 1 -b11111111111111111110111001111111 C -b11110110 0 -b11110110 H -08 -19 -b10001000001000101100111101000 < -b10001100100000111100100001100101 2 -b10001100100000111100100001100101 = -b10001100100000111100100001100101 : -b1111011011111110110111001111100 $ -b1111011011111110110111001111100 - -b1111011011111110110111001111100 5 -b1111011011111110110111001111100 ? -b1111011011111110110111001111100 D -b11101110111110111010011000010111 % -b11101110111110111010011000010111 . -b11101110111110111010011000010111 6 -b11101110111110111010011000010111 @ -b11101110111110111010011000010111 F -b11111111111111111110111001111111 ) -#222912000 -0& -#222928000 -b110110011011 , -#222944000 -1& -#222960000 -b11111111111011111110110110111111 " -b11111111111011111110110110111111 4 -b11111111111011111110110110111111 1 -b11111111111011111110110110111111 C -b1000011111010110 0 -b1000011111010110 H -09 -08 -b10100100011101101010000 < -b1000100001111010110010100001101 2 -b1000100001111010110010100001101 = -b1000100001111010110010100001101 : -b1000011111010110010100110111100 $ -b1000011111010110010100110111100 - -b1000011111010110010100110111100 5 -b1000011111010110010100110111100 ? -b1000011111010110010100110111100 D -b11111111101011011100010010101111 % -b11111111101011011100010010101111 . -b11111111101011011100010010101111 6 -b11111111101011011100010010101111 @ -b11111111101011011100010010101111 F -b11111111111011111110110110111111 ) -#222976000 -0& -#222992000 -b110110011100 , -#223008000 -1& -#223024000 -b11111111111111111111101111011011 " -b11111111111111111111101111011011 4 -b11111111111111111111101111011011 1 -b11111111111111111111101111011011 C -b11111 0 -b11111 H -18 -09 -b11110000000000000101110000100100 < -b11101111110011100101010001110111 2 -b11101111110011100101010001110111 = -b11101111110011100101010001110111 : -b11111111110011011111100001010010 $ -b11111111110011011111100001010010 - -b11111111110011011111100001010010 5 -b11111111110011011111100001010010 ? -b11111111110011011111100001010010 D -b1111111111111010001111011011 % -b1111111111111010001111011011 . -b1111111111111010001111011011 6 -b1111111111111010001111011011 @ -b1111111111111010001111011011 F -b11111111111111111111101111011011 ) -#223040000 -0& -#223056000 -b110110011101 , -#223072000 -1& -#223088000 -b11111011111101110011110111100111 " -b11111011111101110011110111100111 4 -b11111011111101110011110111100111 1 -b11111011111101110011110111100111 C -b1000101011110110001101000 0 -b1000101011110110001101000 H -09 -18 -b1100010011001111001000011000 < -b10010111010000110010011001000000 2 -b10010111010000110010011001000000 = -b10010111010000110010011001000000 : -b10001010111101100011010000100111 $ -b10001010111101100011010000100111 - -b10001010111101100011010000100111 5 -b10001010111101100011010000100111 ? -b10001010111101100011010000100111 D -b11110011101100110000110111100111 % -b11110011101100110000110111100111 . -b11110011101100110000110111100111 6 -b11110011101100110000110111100111 @ -b11110011101100110000110111100111 F -b11111011111101110011110111100111 ) -#223104000 -0& -#223120000 -b110110011110 , -#223136000 -1& -#223152000 -b11111011111110110111011100111111 " -b11111011111110110111011100111111 4 -b11111011111110110111011100111111 1 -b11111011111110110111011100111111 C -b1101101111111000011100110 0 -b1101101111111000011100110 H -19 -18 -b10000101100001001001100111011000 < -b1100001011111010000110100010001 2 -b1100001011111010000110100010001 = -b1100001011111010000110100010001 : -b11011011111110000111001100111000 $ -b11011011111110000111001100111000 - -b11011011111110000111001100111000 5 -b11011011111110000111001100111000 ? -b11011011111110000111001100111000 D -b1111010011110110110011000100111 % -b1111010011110110110011000100111 . -b1111010011110110110011000100111 6 -b1111010011110110110011000100111 @ -b1111010011110110110011000100111 F -b11111011111110110111011100111111 ) -#223168000 -0& -#223184000 -b110110011111 , -#223200000 -1& -#223216000 -b11111111111110110011111000011000 " -b11111111111110110011111000011000 4 -b11111111111110110011111000011000 1 -b11111111111110110011111000011000 C -b111101110111001100100110 0 -b111101110111001100100110 H -09 -18 -b11000010010001001100001111110111 < -b10111001101101111110101000001000 2 -b10111001101101111110101000001000 = -b10111001101101111110101000001000 : -b11110111011100110010011000010000 $ -b11110111011100110010011000010000 - -b11110111011100110010011000010000 5 -b11110111011100110010011000010000 ? -b11110111011100110010011000010000 D -b111101101110110011110000001000 % -b111101101110110011110000001000 . -b111101101110110011110000001000 6 -b111101101110110011110000001000 @ -b111101101110110011110000001000 F -b11111111111110110011111000011000 ) -#223232000 -0& -#223248000 -b110110100000 , -#223264000 -1& -#223280000 -b1111110111111110110101110011111 " -b1111110111111110110101110011111 4 -b1111110111111110110101110011111 1 -b1111110111111110110101110011111 C -b0 0 -b0 H -18 -b10000001000000111001010001100000 < -b11101111100100101001111111100101 2 -b11101111100100101001111111100101 = -b11101111100100101001111111100101 : -b1101110100011110000101110000100 $ -b1101110100011110000101110000100 - -b1101110100011110000101110000100 5 -b1101110100011110000101110000100 ? -b1101110100011110000101110000100 D -b1111110111111000110101110011111 % -b1111110111111000110101110011111 . -b1111110111111000110101110011111 6 -b1111110111111000110101110011111 @ -b1111110111111000110101110011111 F -b1111110111111110110101110011111 ) -#223296000 -0& -#223312000 -b110110100001 , -#223328000 -1& -#223344000 -b11111101111111111111111111101000 " -b11111101111111111111111111101000 4 -b11111101111111111111111111101000 1 -b11111101111111111111111111101000 C -b11101101111111110100101000101000 0 -b11101101111111110100101000101000 H -08 -b101011101010100000100000011111 < -b11001101010010101001001001000 2 -b11001101010010101001001001000 = -b11001101010010101001001001000 : -b11101101111111110100101000101000 $ -b11101101111111110100101000101000 - -b11101101111111110100101000101000 5 -b11101101111111110100101000101000 ? -b11101101111111110100101000101000 D -b11010100010101011111011111100000 % -b11010100010101011111011111100000 . -b11010100010101011111011111100000 6 -b11010100010101011111011111100000 @ -b11010100010101011111011111100000 F -b11111101111111111111111111101000 ) -#223360000 -0& -#223376000 -b110110100010 , -#223392000 -1& -#223408000 -b11111111111111111111111011111111 " -b11111111111111111111111011111111 4 -b11111111111111111111111011111111 1 -b11111111111111111111111011111111 C -b11111101 0 -b11111101 H -18 -b11011101000001001100001100000111 < -b11011010011101001001000101111111 2 -b11011010011101001001000101111111 = -b11011010011101001001000101111111 : -b11111101011011111100111001110111 $ -b11111101011011111100111001110111 - -b11111101011011111100111001110111 5 -b11111101011011111100111001110111 ? -b11111101011011111100111001110111 D -b100010111110110011110011111000 % -b100010111110110011110011111000 . -b100010111110110011110011111000 6 -b100010111110110011110011111000 @ -b100010111110110011110011111000 F -b11111111111111111111111011111111 ) -#223424000 -0& -#223440000 -b110110100011 , -#223456000 -1& -#223472000 -b11111111111111110011111011110111 " -b11111111111111110011111011110111 4 -b11111111111111110011111011110111 1 -b11111111111111110011111011110111 C -b111111111 0 -b111111111 H -b11110000010100111110000101001000 < -b11110000010011110001000110011001 2 -b11110000010011110001000110011001 = -b11110000010011110001000110011001 : -b11111111111110110011000001010000 $ -b11111111111110110011000001010000 - -b11111111111110110011000001010000 5 -b11111111111110110011000001010000 ? -b11111111111110110011000001010000 D -b1111101011000001111010110111 % -b1111101011000001111010110111 . -b1111101011000001111010110111 6 -b1111101011000001111010110111 @ -b1111101011000001111010110111 F -b11111111111111110011111011110111 ) -#223488000 -0& -#223504000 -b110110100100 , -#223520000 -1& -#223536000 -b11111111111111111000111100111100 " -b11111111111111111000111100111100 4 -b11111111111111111000111100111100 1 -b11111111111111111000111100111100 C -b110011111111 0 -b110011111111 H -19 -18 -b10000001010000001111100011101011 < -b1010001001111001000010000101000 2 -b1010001001111001000010000101000 = -b1010001001111001000010000101000 : -b11001111111110111000101100111100 $ -b11001111111110111000101100111100 - -b11001111111110111000101100111100 5 -b11001111111110111000101100111100 ? -b11001111111110111000101100111100 D -b1111110101111110000011100010100 % -b1111110101111110000011100010100 . -b1111110101111110000011100010100 6 -b1111110101111110000011100010100 @ -b1111110101111110000011100010100 F -b11111111111111111000111100111100 ) -#223552000 -0& -#223568000 -b110110100101 , -#223584000 -1& -#223600000 -b11111111111111111110110011111110 " -b11111111111111111110110011111110 4 -b11111111111111111110110011111110 1 -b11111111111111111110110011111110 C -b1111111100 0 -b1111111100 H -19 -18 -b10000000000010000001001101001001 < -b1111111010000110001111110010010 2 -b1111111010000110001111110010010 = -b1111111010000110001111110010010 : -b11111111001110110000110001001000 $ -b11111111001110110000110001001000 - -b11111111001110110000110001001000 5 -b11111111001110110000110001001000 ? -b11111111001110110000110001001000 D -b1111111111101111110110010110110 % -b1111111111101111110110010110110 . -b1111111111101111110110010110110 6 -b1111111111101111110110010110110 @ -b1111111111101111110110010110110 F -b11111111111111111110110011111110 ) -#223616000 -0& -#223632000 -b110110100110 , -#223648000 -1& -#223664000 -b11111111111111111111001101111111 " -b11111111111111111111001101111111 4 -b11111111111111111111001101111111 1 -b11111111111111111111001101111111 C -b11110101111111111 0 -b11110101111111111 H -09 -18 -b10010000001010000110110010110000 < -b10000110001010000100111000101100 2 -b10000110001010000100111000101100 = -b10000110001010000100111000101100 : -b11110101111111111110000101111011 $ -b11110101111111111110000101111011 - -b11110101111111111110000101111011 5 -b11110101111111111110000101111011 ? -b11110101111111111110000101111011 D -b1101111110101111001001101001111 % -b1101111110101111001001101001111 . -b1101111110101111001001101001111 6 -b1101111110101111001001101001111 @ -b1101111110101111001001101001111 F -b11111111111111111111001101111111 ) -#223680000 -0& -#223696000 -b110110100111 , -#223712000 -1& -#223728000 -b1111111110111110001011111011111 " -b1111111110111110001011111011111 4 -b1111111110111110001011111011111 1 -b1111111110111110001011111011111 C -b1111111110110110000 0 -b1111111110110110000 H -08 -b10010101001010001110100100110011 < -b10101000000111110110100001111 2 -b10101000000111110110100001111 = -b10101000000111110110100001111 : -b1111111110110110000001111011011 $ -b1111111110110110000001111011011 - -b1111111110110110000001111011011 5 -b1111111110110110000001111011011 ? -b1111111110110110000001111011011 D -b1101010110101110001011011001100 % -b1101010110101110001011011001100 . -b1101010110101110001011011001100 6 -b1101010110101110001011011001100 @ -b1101010110101110001011011001100 F -b1111111110111110001011111011111 ) -#223744000 -0& -#223760000 -b110110101000 , -#223776000 -1& -#223792000 -b11111111111101111111111001110100 " -b11111111111101111111111001110100 4 -b11111111111101111111111001110100 1 -b11111111111101111111111001110100 C -b101100111101 0 -b101100111101 H -18 -b10001000010010100000110001011 < -b11000100110111001000101111101100 2 -b11000100110111001000101111101100 = -b11000100110111001000101111101100 : -b10110011110100110100101001100000 $ -b10110011110100110100101001100000 - -b10110011110100110100101001100000 5 -b10110011110100110100101001100000 ? -b10110011110100110100101001100000 D -b11101110111101101011111001110100 % -b11101110111101101011111001110100 . -b11101110111101101011111001110100 6 -b11101110111101101011111001110100 @ -b11101110111101101011111001110100 F -b11111111111101111111111001110100 ) -#223808000 -0& -#223824000 -b110110101001 , -#223840000 -1& -#223856000 -b11101111111111110110010111011011 " -b11101111111111110110010111011011 4 -b11101111111111110110010111011011 1 -b11101111111111110110010111011011 C -b11001 0 -b11001 H -b10000000101001011111100100100 < -b11011010110100011110010010110000 2 -b11011010110100011110010010110000 = -b11011010110100011110010010110000 : -b11001010101111010010010110001011 $ -b11001010101111010010010110001011 - -b11001010101111010010010110001011 5 -b11001010101111010010010110001011 ? -b11001010101111010010010110001011 D -b11101111111010110100000011011011 % -b11101111111010110100000011011011 . -b11101111111010110100000011011011 6 -b11101111111010110100000011011011 @ -b11101111111010110100000011011011 F -b11101111111111110110010111011011 ) -#223872000 -0& -#223888000 -b110110101010 , -#223904000 -1& -#223920000 -b1011111111100111001010011111111 " -b1011111111100111001010011111111 4 -b1011111111100111001010011111111 1 -b1011111111100111001010011111111 C -b110 0 -b110 H -18 -b10100000000011010110111100100110 < -b10101101100000001000001110100101 2 -b10101101100000001000001110100101 = -b10101101100000001000001110100101 : -b1101011100110001010001111110 $ -b1101011100110001010001111110 - -b1101011100110001010001111110 5 -b1101011100110001010001111110 ? -b1101011100110001010001111110 D -b1011111111100101001000011011001 % -b1011111111100101001000011011001 . -b1011111111100101001000011011001 6 -b1011111111100101001000011011001 @ -b1011111111100101001000011011001 F -b1011111111100111001010011111111 ) -#223936000 -0& -#223952000 -b110110101011 , -#223968000 -1& -#223984000 -b11111001110101110111111101111010 " -b11111001110101110111111101111010 4 -b11111001110101110111111101111010 1 -b11111001110101110111111101111010 C -b1110100111010000 0 -b1110100111010000 H -08 -b101110001010001100100011101111 < -b10111111110010010011101101010 2 -b10111111110010010011101101010 = -b10111111110010010011101101010 : -b11101001110100000101111001111010 $ -b11101001110100000101111001111010 - -b11101001110100000101111001111010 5 -b11101001110100000101111001111010 ? -b11101001110100000101111001111010 D -b11010001110101110011011100010000 % -b11010001110101110011011100010000 . -b11010001110101110011011100010000 6 -b11010001110101110011011100010000 @ -b11010001110101110011011100010000 F -b11111001110101110111111101111010 ) -#224000000 -0& -#224016000 -b110110101100 , -#224032000 -1& -#224048000 -b1110111111111111111111001101011 " -b1110111111111111111111001101011 4 -b1110111111111111111111001101011 1 -b1110111111111111111111001101011 C -b1011011111100101 0 -b1011011111100101 H -18 -b10001001000010010010000111110100 < -b10001110110010000101000001011000 2 -b10001110110010000101000001011000 = -b10001110110010000101000001011000 : -b101101111110010111001100011 $ -b101101111110010111001100011 - -b101101111110010111001100011 5 -b101101111110010111001100011 ? -b101101111110010111001100011 D -b1110110111101101101111000001011 % -b1110110111101101101111000001011 . -b1110110111101101101111000001011 6 -b1110110111101101101111000001011 @ -b1110110111101101101111000001011 F -b1110111111111111111111001101011 ) -#224064000 -0& -#224080000 -b110110101101 , -#224096000 -1& -#224112000 -b11111111111111111011111101110110 " -b11111111111111111011111101110110 4 -b11111111111111111011111101110110 1 -b11111111111111111011111101110110 C -b101111111110101010101110011101 0 -b101111111110101010101110011101 H -19 -18 -b10000000100000000100101011111101 < -b1000000011010101111100101110010 2 -b1000000011010101111100101110010 = -b1000000011010101111100101110010 : -b10111111111010101010111001110100 $ -b10111111111010101010111001110100 - -b10111111111010101010111001110100 5 -b10111111111010101010111001110100 ? -b10111111111010101010111001110100 D -b1111111011111111011010100000010 % -b1111111011111111011010100000010 . -b1111111011111111011010100000010 6 -b1111111011111111011010100000010 @ -b1111111011111111011010100000010 F -b11111111111111111011111101110110 ) -#224128000 -0& -#224144000 -b110110101110 , -#224160000 -1& -#224176000 -b11111110111111111111111110111111 " -b11111110111111111111111110111111 4 -b11111110111111111111111110111111 1 -b11111110111111111111111110111111 C -b11110110110111101010011 0 -b11110110110111101010011 H -08 -09 -b1000001000000000000000001010110 < -b110111110111101010011010010110 2 -b110111110111101010011010010110 = -b110111110111101010011010010110 : -b11110110110111101010011000111111 $ -b11110110110111101010011000111111 - -b11110110110111101010011000111111 5 -b11110110110111101010011000111111 ? -b11110110110111101010011000111111 D -b10111110111111111111111110101001 % -b10111110111111111111111110101001 . -b10111110111111111111111110101001 6 -b10111110111111111111111110101001 @ -b10111110111111111111111110101001 F -b11111110111111111111111110111111 ) -#224192000 -0& -#224208000 -b110110101111 , -#224224000 -1& -#224240000 -b11111111111111011111101101011111 " -b11111111111111011111101101011111 4 -b11111111111111011111101101011111 1 -b11111111111111011111101101011111 C -b111111 0 -b111111 H -18 -b10101000000000100010110010100101 < -b10100111100110111110010110110011 2 -b10100111100110111110010110110011 = -b10100111100110111110010110110011 : -b11111111100110011011100100001101 $ -b11111111100110011011100100001101 - -b11111111100110011011100100001101 5 -b11111111100110011011100100001101 ? -b11111111100110011011100100001101 D -b1010111111111011101001101011010 % -b1010111111111011101001101011010 . -b1010111111111011101001101011010 6 -b1010111111111011101001101011010 @ -b1010111111111011101001101011010 F -b11111111111111011111101101011111 ) -#224256000 -0& -#224272000 -b110110110000 , -#224288000 -1& -#224304000 -b11111111111111111101110111011111 " -b11111111111111111101110111011111 4 -b11111111111111111101110111011111 1 -b11111111111111111101110111011111 C -b11100111111 0 -b11100111111 H -b100001010110011011101010 < -b11101000100001010010101111000101 2 -b11101000100001010010101111000101 = -b11101000100001010010101111000101 : -b11100111111111111100010011011010 $ -b11100111111111111100010011011010 - -b11100111111111111100010011011010 5 -b11100111111111111100010011011010 ? -b11100111111111111100010011011010 D -b11111111011110101001100100010101 % -b11111111011110101001100100010101 . -b11111111011110101001100100010101 6 -b11111111011110101001100100010101 @ -b11111111011110101001100100010101 F -b11111111111111111101110111011111 ) -#224320000 -0& -#224336000 -b110110110001 , -#224352000 -1& -#224368000 -b11111111110111111101111110110111 " -b11111111110111111101111110110111 4 -b11111111110111111101111110110111 1 -b11111111110111111101111110110111 C -b1011111010111 0 -b1011111010111 H -19 -08 -b1000110001001001010110001001101 < -b10100101100000100111101011100011 2 -b10100101100000100111101011100011 = -b10100101100000100111101011100011 : -b1011111010111011100111010010101 $ -b1011111010111011100111010010101 - -b1011111010111011100111010010101 5 -b1011111010111011100111010010101 ? -b1011111010111011100111010010101 D -b10111001110110110101001110110010 % -b10111001110110110101001110110010 . -b10111001110110110101001110110010 6 -b10111001110110110101001110110010 @ -b10111001110110110101001110110010 F -b11111111110111111101111110110111 ) -#224384000 -0& -#224400000 -b110110110010 , -#224416000 -1& -#224432000 -b11111110111011100100101111001101 " -b11111110111011100100101111001101 4 -b11111110111011100100101111001101 1 -b11111110111011100100101111001101 C -b1101111011100110010 0 -b1101111011100110010 H -09 -18 -b1001000100011011111001110010 < -b11100111111110000000100010110111 2 -b11100111111110000000100010110111 = -b11100111111110000000100010110111 : -b11011110111001100100101001000100 $ -b11011110111001100100101001000100 - -b11011110111001100100101001000100 5 -b11011110111001100100101001000100 ? -b11011110111001100100101001000100 D -b11110110111011100100000110001101 % -b11110110111011100100000110001101 . -b11110110111011100100000110001101 6 -b11110110111011100100000110001101 @ -b11110110111011100100000110001101 F -b11111110111011100100101111001101 ) -#224448000 -0& -#224464000 -b110110110011 , -#224480000 -1& -#224496000 -b11111111111011111110111011011110 " -b11111111111011111110111011011110 4 -b11111111111011111110111011011110 1 -b11111111111011111110111011011110 C -b1110111111 0 -b1110111111 H -b10100010000100000001000100101001 < -b10010001111111111011111101001000 2 -b10010001111111111011111101001000 = -b10010001111111111011111101001000 : -b11101111111011111010111000011110 $ -b11101111111011111010111000011110 - -b11101111111011111010111000011110 5 -b11101111111011111010111000011110 ? -b11101111111011111010111000011110 D -b1011101111011111110111011010110 % -b1011101111011111110111011010110 . -b1011101111011111110111011010110 6 -b1011101111011111110111011010110 @ -b1011101111011111110111011010110 F -b11111111111011111110111011011110 ) -#224512000 -0& -#224528000 -b110110110100 , -#224544000 -1& -#224560000 -b111100111111011110111110101111 " -b111100111111011110111110101111 4 -b111100111111011110111110101111 1 -b111100111111011110111110101111 C -b11010011110101110 0 -b11010011110101110 H -08 -09 -b11100011011001101001011011010010 < -b11000010111000110010110000001 2 -b11000010111000110010110000001 = -b11000010111000110010110000001 : -b110100111101011100111010101110 $ -b110100111101011100111010101110 - -b110100111101011100111010101110 5 -b110100111101011100111010101110 ? -b110100111101011100111010101110 D -b11100100110010110100100101101 % -b11100100110010110100100101101 . -b11100100110010110100100101101 6 -b11100100110010110100100101101 @ -b11100100110010110100100101101 F -b111100111111011110111110101111 ) -#224576000 -0& -#224592000 -b110110110101 , -#224608000 -1& -#224624000 -b1110011110111110111101110101111 " -b1110011110111110111101110101111 4 -b1110011110111110111101110101111 1 -b1110011110111110111101110101111 C -b11000111011111001 0 -b11000111011111001 H -18 -b10111100001110001010010001110010 < -b11101110000101111100111100010110 2 -b11101110000101111100111100010110 = -b11101110000101111100111100010110 : -b110001110111110010101010100011 $ -b110001110111110010101010100011 - -b110001110111110010101010100011 5 -b110001110111110010101010100011 ? -b110001110111110010101010100011 D -b1000011110001110101101110001101 % -b1000011110001110101101110001101 . -b1000011110001110101101110001101 6 -b1000011110001110101101110001101 @ -b1000011110001110101101110001101 F -b1110011110111110111101110101111 ) -#224640000 -0& -#224656000 -b110110110110 , -#224672000 -1& -#224688000 -b10011111011111101111111110111010 " -b10011111011111101111111110111010 4 -b10011111011111101111111110111010 1 -b10011111011111101111111110111010 C -b10000110010110 0 -b10000110010110 H -18 -b1100000100100010100100111101101 < -b11100110111011000011100110101000 2 -b11100110111011000011100110101000 = -b11100110111011000011100110101000 : -b10000110010110101110111110111010 $ -b10000110010110101110111110111010 - -b10000110010110101110111110111010 5 -b10000110010110101110111110111010 ? -b10000110010110101110111110111010 D -b10011111011011101011011000010010 % -b10011111011011101011011000010010 . -b10011111011011101011011000010010 6 -b10011111011011101011011000010010 @ -b10011111011011101011011000010010 F -b10011111011111101111111110111010 ) -#224704000 -0& -#224720000 -b110110110111 , -#224736000 -1& -#224752000 -b11111111111100111011111111111111 " -b11111111111100111011111111111111 4 -b11111111111100111011111111111111 1 -b11111111111100111011111111111111 C -b11 0 -b11 H -08 -19 -b100000110111000101000101100010 < -b10100000110011011000110011010001 2 -b10100000110011011000110011010001 = -b10100000110011011000110011010001 : -b1111111111100010011101101101110 $ -b1111111111100010011101101101110 - -b1111111111100010011101101101110 5 -b1111111111100010011101101101110 ? -b1111111111100010011101101101110 D -b11011111001000111010111010011101 % -b11011111001000111010111010011101 . -b11011111001000111010111010011101 6 -b11011111001000111010111010011101 @ -b11011111001000111010111010011101 F -b11111111111100111011111111111111 ) -#224768000 -0& -#224784000 -b110110111000 , -#224800000 -1& -#224816000 -b11111111111111111100000111110111 " -b11111111111111111100000111110111 4 -b11111111111111111100000111110111 1 -b11111111111111111100000111110111 C -b11111111111101110100000111 0 -b11111111111101110100000111 H -09 -08 -b11000001100011111110011001 < -b10111111011000000110010001 2 -b10111111011000000110010001 = -b10111111011000000110010001 : -b11111111111101110100000111110111 $ -b11111111111101110100000111110111 - -b11111111111101110100000111110111 5 -b11111111111101110100000111110111 ? -b11111111111101110100000111110111 D -b11111100111110011100000001100110 % -b11111100111110011100000001100110 . -b11111100111110011100000001100110 6 -b11111100111110011100000001100110 @ -b11111100111110011100000001100110 F -b11111111111111111100000111110111 ) -#224832000 -0& -#224848000 -b110110111001 , -#224864000 -1& -#224880000 -b1110111011111110111111101111101 " -b1110111011111110111111101111101 4 -b1110111011111110111111101111101 1 -b1110111011111110111111101111101 C -b1110111010110010001111 0 -b1110111010110010001111 H -b10001010100000011001110111110110 < -b1110110101011110101101011 2 -b1110110101011110101101011 = -b1110110101011110101101011 : -b1110111010110010001111101110100 $ -b1110111010110010001111101110100 - -b1110111010110010001111101110100 5 -b1110111010110010001111101110100 ? -b1110111010110010001111101110100 D -b1110101011111100110001000001001 % -b1110101011111100110001000001001 . -b1110101011111100110001000001001 6 -b1110101011111100110001000001001 @ -b1110101011111100110001000001001 F -b1110111011111110111111101111101 ) -#224896000 -0& -#224912000 -b110110111010 , -#224928000 -1& -#224944000 -b11111111111011101110111101111111 " -b11111111111011101110111101111111 4 -b11111111111011101110111101111111 1 -b11111111111011101110111101111111 C -b111011100001 0 -b111011100001 H -b10000100011011010010001100 < -b1111001000110101010000010101011 2 -b1111001000110101010000010101011 = -b1111001000110101010000010101011 : -b1110111000010001110110000011110 $ -b1110111000010001110110000011110 - -b1110111000010001110110000011110 5 -b1110111000010001110110000011110 ? -b1110111000010001110110000011110 D -b11111101111011100100101101110011 % -b11111101111011100100101101110011 . -b11111101111011100100101101110011 6 -b11111101111011100100101101110011 @ -b11111101111011100100101101110011 F -b11111111111011101110111101111111 ) -#224960000 -0& -#224976000 -b110110111011 , -#224992000 -1& -#225008000 -b11101111111111111111100111011010 " -b11101111111111111111100111011010 4 -b11101111111111111111100111011010 1 -b11101111111111111111100111011010 C -b10101111111011 0 -b10101111111011 H -19 -18 -b10010001010000101011011000101101 < -b1000001001100010110111101001000 2 -b1000001001100010110111101001000 = -b1000001001100010110111101001000 : -b10101111111011101011100100011010 $ -b10101111111011101011100100011010 - -b10101111111011101011100100011010 5 -b10101111111011101011100100011010 ? -b10101111111011101011100100011010 D -b1101110101111010100100111010010 % -b1101110101111010100100111010010 . -b1101110101111010100100111010010 6 -b1101110101111010100100111010010 @ -b1101110101111010100100111010010 F -b11101111111111111111100111011010 ) -#225024000 -0& -#225040000 -b110110111100 , -#225056000 -1& -#225072000 -b11111111111111111001111011111111 " -b11111111111111111001111011111111 4 -b11111111111111111001111011111111 1 -b11111111111111111001111011111111 C -b10011111011101100001 0 -b10011111011101100001 H -09 -18 -b10000010001000111101111010011 < -b10101111101110101001101010101011 2 -b10101111101110101001101010101011 = -b10101111101110101001101010101011 : -b10011111011101100001111011010111 $ -b10011111011101100001111011010111 - -b10011111011101100001111011010111 5 -b10011111011101100001111011010111 ? -b10011111011101100001111011010111 D -b11101111101110111000010000101100 % -b11101111101110111000010000101100 . -b11101111101110111000010000101100 6 -b11101111101110111000010000101100 @ -b11101111101110111000010000101100 F -b11111111111111111001111011111111 ) -#225088000 -0& -#225104000 -b110110111101 , -#225120000 -1& -#225136000 -b1111111111111111110011111110010 " -b1111111111111111110011111110010 4 -b1111111111111111110011111110010 1 -b1111111111111111110011111110010 C -b11111011111111111100011001100 0 -b11111011111111111100011001100 H -08 -b11110100000000000111101000111101 < -b1110010000000000101110101110000 2 -b1110010000000000101110101110000 = -b1110010000000000101110101110000 : -b1111101111111111110001100110010 $ -b1111101111111111110001100110010 - -b1111101111111111110001100110010 5 -b1111101111111111110001100110010 ? -b1111101111111111110001100110010 D -b1011111111111000010111000010 % -b1011111111111000010111000010 . -b1011111111111000010111000010 6 -b1011111111111000010111000010 @ -b1011111111111000010111000010 F -b1111111111111111110011111110010 ) -#225152000 -0& -#225168000 -b110110111110 , -#225184000 -1& -#225200000 -b11011101011111110111011011101111 " -b11011101011111110111011011101111 4 -b11011101011111110111011011101111 1 -b11011101011111110111011011101111 C -b10001001011011110100011011001111 0 -b10001001011011110100011011001111 H -18 -b100011101000001100111101011111 < -b10101101000100000001011000101111 2 -b10101101000100000001011000101111 = -b10101101000100000001011000101111 : -b10001001011011110100011011001111 $ -b10001001011011110100011011001111 - -b10001001011011110100011011001111 5 -b10001001011011110100011011001111 ? -b10001001011011110100011011001111 D -b11011100010111110011000010100000 % -b11011100010111110011000010100000 . -b11011100010111110011000010100000 6 -b11011100010111110011000010100000 @ -b11011100010111110011000010100000 F -b11011101011111110111011011101111 ) -#225216000 -0& -#225232000 -b110110111111 , -#225248000 -1& -#225264000 -b11111111111111111111101111111110 " -b11111111111111111111101111111110 4 -b11111111111111111111101111111110 1 -b11111111111111111111101111111110 C -b11011111111111101 0 -b11011111111111101 H -08 -19 -b1000000001010000100010101010001 < -b10110000001001111001000101000110 2 -b10110000001001111001000101000110 = -b10110000001001111001000101000110 : -b1101111111111110100101111110100 $ -b1101111111111110100101111110100 - -b1101111111111110100101111110100 5 -b1101111111111110100101111110100 ? -b1101111111111110100101111110100 D -b10111111110101111011101010101110 % -b10111111110101111011101010101110 . -b10111111110101111011101010101110 6 -b10111111110101111011101010101110 @ -b10111111110101111011101010101110 F -b11111111111111111111101111111110 ) -#225280000 -0& -#225296000 -b110111000000 , -#225312000 -1& -#225328000 -b1011111111111111111111101011110 " -b1011111111111111111111101011110 4 -b1011111111111111111111101011110 1 -b1011111111111111111111101011110 C -b100 0 -b100 H -09 -18 -b10100000100100000000001011100101 < -b10110010011011100101110100111010 2 -b10110010011011100101110100111010 = -b10110010011011100101110100111010 : -b10001110111100101101001010100 $ -b10001110111100101101001010100 - -b10001110111100101101001010100 5 -b10001110111100101101001010100 ? -b10001110111100101101001010100 D -b1011111011011111111110100011010 % -b1011111011011111111110100011010 . -b1011111011011111111110100011010 6 -b1011111011011111111110100011010 @ -b1011111011011111111110100011010 F -b1011111111111111111111101011110 ) -#225344000 -0& -#225360000 -b110111000001 , -#225376000 -1& -#225392000 -b11111011111110110010101111011010 " -b11111011111110110010101111011010 4 -b11111011111110110010101111011010 1 -b11111011111110110010101111011010 C -b111110111011101000001000 0 -b111110111011101000001000 H -b11000100000001001101110000110111 < -b10111111101111101110010001010010 2 -b10111111101111101110010001010010 = -b10111111101111101110010001010010 : -b11111011101110100000100000011010 $ -b11111011101110100000100000011010 - -b11111011101110100000100000011010 5 -b11111011101110100000100000011010 ? -b11111011101110100000100000011010 D -b111011111110110010001111001000 % -b111011111110110010001111001000 . -b111011111110110010001111001000 6 -b111011111110110010001111001000 @ -b111011111110110010001111001000 F -b11111011111110110010101111011010 ) -#225408000 -0& -#225424000 -b110111000010 , -#225440000 -1& -#225456000 -b11111111111111111101111010110111 " -b11111111111111111101111010110111 4 -b11111111111111111101111010110111 1 -b11111111111111111101111010110111 C -b1111111111111 0 -b1111111111111 H -19 -08 -b11101000000000010001111001101 < -b10011100111111110110111001010011 2 -b10011100111111110110111001010011 = -b10011100111111110110111001010011 : -b1111111111111110100101010000101 $ -b1111111111111110100101010000101 - -b1111111111111110100101010000101 5 -b1111111111111110100101010000101 ? -b1111111111111110100101010000101 D -b11100010111111111101110000110010 % -b11100010111111111101110000110010 . -b11100010111111111101110000110010 6 -b11100010111111111101110000110010 @ -b11100010111111111101110000110010 F -b11111111111111111101111010110111 ) -#225472000 -0& -#225488000 -b110111000011 , -#225504000 -1& -#225520000 -b1111111111111100111011111111111 " -b1111111111111100111011111111111 4 -b1111111111111100111011111111111 1 -b1111111111111100111011111111111 C -b1110111111 0 -b1110111111 H -09 -08 -b10010110100000011010100000001010 < -b1110100000000000110001010101 2 -b1110100000000000110001010101 = -b1110100000000000110001010101 : -b1110111111111100110010001001010 $ -b1110111111111100110010001001010 - -b1110111111111100110010001001010 5 -b1110111111111100110010001001010 ? -b1110111111111100110010001001010 D -b1101001011111100101011111110101 % -b1101001011111100101011111110101 . -b1101001011111100101011111110101 6 -b1101001011111100101011111110101 @ -b1101001011111100101011111110101 F -b1111111111111100111011111111111 ) -#225536000 -0& -#225552000 -b110111000100 , -#225568000 -1& -#225584000 -b11111111111111100111111001110010 " -b11111111111111100111111001110010 4 -b11111111111111100111111001110010 1 -b11111111111111100111111001110010 C -b11111011110011100000111001010010 0 -b11111011110011100000111001010010 H -19 -18 -b10000000100000011000110110011111 < -b1111100010011111001101111110010 2 -b1111100010011111001101111110010 = -b1111100010011111001101111110010 : -b11111011110011100000111001010010 $ -b11111011110011100000111001010010 - -b11111011110011100000111001010010 5 -b11111011110011100000111001010010 ? -b11111011110011100000111001010010 D -b1111111011111100111001001100000 % -b1111111011111100111001001100000 . -b1111111011111100111001001100000 6 -b1111111011111100111001001100000 @ -b1111111011111100111001001100000 F -b11111111111111100111111001110010 ) -#225600000 -0& -#225616000 -b110111000101 , -#225632000 -1& -#225648000 -b11111111111111111111101111100110 " -b11111111111111111111101111100110 4 -b11111111111111111111101111100110 1 -b11111111111111111111101111100110 C -b11011111101111001010111100 0 -b11011111101111001010111100 H -09 -08 -b100000001010010011101011011 < -b111011111101000101001100011110 2 -b111011111101000101001100011110 = -b111011111101000101001100011110 : -b110111111011110010101111000010 $ -b110111111011110010101111000010 - -b110111111011110010101111000010 5 -b110111111011110010101111000010 ? -b110111111011110010101111000010 D -b11111011111110101101100010100100 % -b11111011111110101101100010100100 . -b11111011111110101101100010100100 6 -b11111011111110101101100010100100 @ -b11111011111110101101100010100100 F -b11111111111111111111101111100110 ) -#225664000 -0& -#225680000 -b110111000110 , -#225696000 -1& -#225712000 -b11111111101111101111001011111111 " -b11111111101111101111001011111111 4 -b11111111101111101111001011111111 1 -b11111111101111101111001011111111 C -b111011 0 -b111011 H -b10000010000110100000110 < -b1110111110101110111110110010110 2 -b1110111110101110111110110010110 = -b1110111110101110111110110010110 : -b1110111100101100111000010001111 $ -b1110111100101100111000010001111 - -b1110111100101100111000010001111 5 -b1110111100101100111000010001111 ? -b1110111100101100111000010001111 D -b11111111101111101111001011111001 % -b11111111101111101111001011111001 . -b11111111101111101111001011111001 6 -b11111111101111101111001011111001 @ -b11111111101111101111001011111001 F -b11111111101111101111001011111111 ) -#225728000 -0& -#225744000 -b110111000111 , -#225760000 -1& -#225776000 -b11111111111111101110101101010110 " -b11111111111111101110101101010110 4 -b11111111111111101110101101010110 1 -b11111111111111101110101101010110 C -b10111111111111100110101000010110 0 -b10111111111111100110101000010110 H -18 -b110000001001010011111010111111 < -b11110000001000111010100011010110 2 -b11110000001000111010100011010110 = -b11110000001000111010100011010110 : -b10111111111111100110101000010110 $ -b10111111111111100110101000010110 - -b10111111111111100110101000010110 5 -b10111111111111100110101000010110 ? -b10111111111111100110101000010110 D -b11001111110110101100000101000000 % -b11001111110110101100000101000000 . -b11001111110110101100000101000000 6 -b11001111110110101100000101000000 @ -b11001111110110101100000101000000 F -b11111111111111101110101101010110 ) -#225792000 -0& -#225808000 -b110111001000 , -#225824000 -1& -#225840000 -b1111111111111111101101011011010 " -b1111111111111111101101011011010 4 -b1111111111111111101101011011010 1 -b1111111111111111101101011011010 C -b10100111110111111010010100110 0 -b10100111110111111010010100110 H -b10000010000000010011011110111101 < -b11010101111100010000101001011000 2 -b11010101111100010000101001011000 = -b11010101111100010000101001011000 : -b1010011111011111101001010011010 $ -b1010011111011111101001010011010 - -b1010011111011111101001010011010 5 -b1010011111011111101001010011010 ? -b1010011111011111101001010011010 D -b1111101111111101100100001000010 % -b1111101111111101100100001000010 . -b1111101111111101100100001000010 6 -b1111101111111101100100001000010 @ -b1111101111111101100100001000010 F -b1111111111111111101101011011010 ) -#225856000 -0& -#225872000 -b110111001001 , -#225888000 -1& -#225904000 -b11111111011111111111111111001101 " -b11111111011111111111111111001101 4 -b11111111011111111111111111001101 1 -b11111111011111111111111111001101 C -b11101111011111011010110 0 -b11101111011111011010110 H -08 -b1101000100011000010010101110110 < -b1011000000010011101001010111011 2 -b1011000000010011101001010111011 = -b1011000000010011101001010111011 : -b11101111011111011010110101000100 $ -b11101111011111011010110101000100 - -b11101111011111011010110101000100 5 -b11101111011111011010110101000100 ? -b11101111011111011010110101000100 D -b10010111011100111101101010001001 % -b10010111011100111101101010001001 . -b10010111011100111101101010001001 6 -b10010111011100111101101010001001 @ -b10010111011100111101101010001001 F -b11111111011111111111111111001101 ) -#225920000 -0& -#225936000 -b110111001010 , -#225952000 -1& -#225968000 -b11111110011111111111111011101100 " -b11111110011111111111111011101100 4 -b11111110011111111111111011101100 1 -b11111110011111111111111011101100 C -b1100110010111110110 0 -b1100110010111110110 H -19 -08 -b1000001100010000110010100010011 < -b10100111111001111100101100010100 2 -b10100111111001111100101100010100 = -b10100111111001111100101100010100 : -b1100110010111110110011000000000 $ -b1100110010111110110011000000000 - -b1100110010111110110011000000000 5 -b1100110010111110110011000000000 ? -b1100110010111110110011000000000 D -b10111110011101111001101011101100 % -b10111110011101111001101011101100 . -b10111110011101111001101011101100 6 -b10111110011101111001101011101100 @ -b10111110011101111001101011101100 F -b11111110011111111111111011101100 ) -#225984000 -0& -#226000000 -b110111001011 , -#226016000 -1& -#226032000 -b1111111111111111111111101111110 " -b1111111111111111111111101111110 4 -b1111111111111111111111101111110 1 -b1111111111111111111111101111110 C -b11011 0 -b11011 H -09 -08 -b10100100000000000110100111000101 < -b10010011111011110011101000100 2 -b10010011111011110011101000100 = -b10010011111011110011101000100 : -b1101110011111010111110101111110 $ -b1101110011111010111110101111110 - -b1101110011111010111110101111110 5 -b1101110011111010111110101111110 ? -b1101110011111010111110101111110 D -b1011011111111111001011000111010 % -b1011011111111111001011000111010 . -b1011011111111111001011000111010 6 -b1011011111111111001011000111010 @ -b1011011111111111001011000111010 F -b1111111111111111111111101111110 ) -#226048000 -0& -#226064000 -b110111001100 , -#226080000 -1& -#226096000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 1 -b11111111111111111111111111111101 C -b1101111111110011101 0 -b1101111111110011101 H -19 -18 -b10001000000100100010010000010010 < -b1101000000001011101000100101100 2 -b1101000000001011101000100101100 = -b1101000000001011101000100101100 : -b11011111111100111010110100011001 $ -b11011111111100111010110100011001 - -b11011111111100111010110100011001 5 -b11011111111100111010110100011001 ? -b11011111111100111010110100011001 D -b1110111111011011101101111101101 % -b1110111111011011101101111101101 . -b1110111111011011101101111101101 6 -b1110111111011011101101111101101 @ -b1110111111011011101101111101101 F -b11111111111111111111111111111101 ) -#226112000 -0& -#226128000 -b110111001101 , -#226144000 -1& -#226160000 -b11111111111111111110111101111100 " -b11111111111111111110111101111100 4 -b11111111111111111110111101111100 1 -b11111111111111111110111101111100 C -b111111111111011100100011 0 -b111111111111011100100011 H -09 -18 -b10001000010000100011000010010111 < -b10001000001110010101001111001100 2 -b10001000001110010101001111001100 = -b10001000001110010101001111001100 : -b11111111111101110010001100110100 $ -b11111111111101110010001100110100 - -b11111111111101110010001100110100 5 -b11111111111101110010001100110100 ? -b11111111111101110010001100110100 D -b1110111101111011100111101101000 % -b1110111101111011100111101101000 . -b1110111101111011100111101101000 6 -b1110111101111011100111101101000 @ -b1110111101111011100111101101000 F -b11111111111111111110111101111100 ) -#226176000 -0& -#226192000 -b110111001110 , -#226208000 -1& -#226224000 -b11110111111110110110011111011011 " -b11110111111110110110011111011011 4 -b11110111111110110110011111011011 1 -b11110111111110110110011111011011 C -b11100111111110000110010 0 -b11100111111110000110010 H -09 -18 -b1000010011001111110000110110 < -b11110000010001010110000011001010 2 -b11110000010001010110000011001010 = -b11110000010001010110000011001010 : -b11100111111110000110010010010011 $ -b11100111111110000110010010010011 - -b11100111111110000110010010010011 5 -b11100111111110000110010010010011 ? -b11100111111110000110010010010011 D -b11110111101100110000001111001001 % -b11110111101100110000001111001001 . -b11110111101100110000001111001001 6 -b11110111101100110000001111001001 @ -b11110111101100110000001111001001 F -b11110111111110110110011111011011 ) -#226240000 -0& -#226256000 -b110111001111 , -#226272000 -1& -#226288000 -b11111111111111111111100111111100 " -b11111111111111111111100111111100 4 -b11111111111111111111100111111100 1 -b11111111111111111111100111111100 C -b11111111 0 -b11111111 H -18 -b10010001000000000000011100000111 < -b10010000111111111101100010000100 2 -b10010000111111111101100010000100 = -b10010000111111111101100010000100 : -b11111111111111111101000101111100 $ -b11111111111111111101000101111100 - -b11111111111111111101000101111100 5 -b11111111111111111101000101111100 ? -b11111111111111111101000101111100 D -b1101110111111111111100011111000 % -b1101110111111111111100011111000 . -b1101110111111111111100011111000 6 -b1101110111111111111100011111000 @ -b1101110111111111111100011111000 F -b11111111111111111111100111111100 ) -#226304000 -0& -#226320000 -b110111010000 , -#226336000 -1& -#226352000 -b11111111111111111110011110111111 " -b11111111111111111110011110111111 4 -b11111111111111111110011110111111 1 -b11111111111111111110011110111111 C -b1111101111 0 -b1111101111 H -b11100001001000001011101001001001 < -b11011101001000001010000110001001 2 -b11011101001000001010000110001001 = -b11011101001000001010000110001001 : -b11111011111111111110011100111111 $ -b11111011111111111110011100111111 - -b11111011111111111110011100111111 5 -b11111011111111111110011100111111 ? -b11111011111111111110011100111111 D -b11110110111110100010110110110 % -b11110110111110100010110110110 . -b11110110111110100010110110110 6 -b11110110111110100010110110110 @ -b11110110111110100010110110110 F -b11111111111111111110011110111111 ) -#226368000 -0& -#226384000 -b110111010001 , -#226400000 -1& -#226416000 -b11111111111101111111111101101011 " -b11111111111101111111111101101011 4 -b11111111111101111111111101101011 1 -b11111111111101111111111101101011 C -b111110011110011110011 0 -b111110011110011110011 H -b10100001101110110100 < -b11111001111100011011101011100000 2 -b11111001111100011011101011100000 = -b11111001111100011011101011100000 : -b11111001111001111001111100101011 $ -b11111001111001111001111100101011 - -b11111001111001111001111100101011 5 -b11111001111001111001111100101011 ? -b11111001111001111001111100101011 D -b11111111111101011110010001001011 % -b11111111111101011110010001001011 . -b11111111111101011110010001001011 6 -b11111111111101011110010001001011 @ -b11111111111101011110010001001011 F -b11111111111101111111111101101011 ) -#226432000 -0& -#226448000 -b110111010010 , -#226464000 -1& -#226480000 -b10111111111111110001101111111110 " -b10111111111111110001101111111110 4 -b10111111111111110001101111111110 1 -b10111111111111110001101111111110 C -b110101011111010001 0 -b110101011111010001 H -08 -b1000100000000011110010000010011 < -b1111001011111101111110001000110 2 -b1111001011111101111110001000110 = -b1111001011111101111110001000110 : -b110101011111010001100000110010 $ -b110101011111010001100000110010 - -b110101011111010001100000110010 5 -b110101011111010001100000110010 ? -b110101011111010001100000110010 D -b10111011111111100001101111101100 % -b10111011111111100001101111101100 . -b10111011111111100001101111101100 6 -b10111011111111100001101111101100 @ -b10111011111111100001101111101100 F -b10111111111111110001101111111110 ) -#226496000 -0& -#226512000 -b110111010011 , -#226528000 -1& -#226544000 -b11111111111111111110111011111111 " -b11111111111111111110111011111111 4 -b11111111111111111110111011111111 1 -b11111111111111111110111011111111 C -b11 0 -b11 H -19 -08 -b11110010000000011000101000010 < -b10011110001101111001011110110001 2 -b10011110001101111001011110110001 = -b10011110001101111001011110110001 : -b1111111111101110110011001101110 $ -b1111111111101110110011001101110 - -b1111111111101110110011001101110 5 -b1111111111101110110011001101110 ? -b1111111111101110110011001101110 D -b11100001101111111100111010111101 % -b11100001101111111100111010111101 . -b11100001101111111100111010111101 6 -b11100001101111111100111010111101 @ -b11100001101111111100111010111101 F -b11111111111111111110111011111111 ) -#226560000 -0& -#226576000 -b110111010100 , -#226592000 -1& -#226608000 -b11111111111111101111110110111111 " -b11111111111111101111110110111111 4 -b11111111111111101111110110111111 1 -b11111111111111101111110110111111 C -b1011111111111101 0 -b1011111111111101 H -09 -08 -b10011010001011110000 < -b1100000000010000101011110101001 2 -b1100000000010000101011110101001 = -b1100000000010000101011110101001 : -b1011111111111101011010010111000 $ -b1011111111111101011010010111000 - -b1011111111111101011010010111000 5 -b1011111111111101011010010111000 ? -b1011111111111101011010010111000 D -b11111111111101100101110100001111 % -b11111111111101100101110100001111 . -b11111111111101100101110100001111 6 -b11111111111101100101110100001111 @ -b11111111111101100101110100001111 F -b11111111111111101111110110111111 ) -#226624000 -0& -#226640000 -b110111010101 , -#226656000 -1& -#226672000 -b11101111111111011111011001111011 " -b11101111111111011111011001111011 4 -b11101111111111011111011001111011 1 -b11101111111111011111011001111011 C -b11100001111111 0 -b11100001111111 H -18 -b11110000101100100011110110101101 < -b11010010101011111011010000010111 2 -b11010010101011111011010000010111 = -b11010010101011111011010000010111 : -b11100001111111010111011001101001 $ -b11100001111111010111011001101001 - -b11100001111111010111011001101001 5 -b11100001111111010111011001101001 ? -b11100001111111010111011001101001 D -b1111010011011100001001010010 % -b1111010011011100001001010010 . -b1111010011011100001001010010 6 -b1111010011011100001001010010 @ -b1111010011011100001001010010 F -b11101111111111011111011001111011 ) -#226688000 -0& -#226704000 -b110111010110 , -#226720000 -1& -#226736000 -b11111111110111101101110111001111 " -b11111111110111101101110111001111 4 -b11111111110111101101110111001111 1 -b11111111110111101101110111001111 C -b11111010110110100101110 0 -b11111010110110100101110 H -08 -b100000111000010111101001110110 < -b11011101110111101011110111101 2 -b11011101110111101011110111101 = -b11011101110111101011110111101 : -b11111010110110100101110101000110 $ -b11111010110110100101110101000110 - -b11111010110110100101110101000110 5 -b11111010110110100101110101000110 ? -b11111010110110100101110101000110 D -b11011111000111101000010110001001 % -b11011111000111101000010110001001 . -b11011111000111101000010110001001 6 -b11011111000111101000010110001001 @ -b11011111000111101000010110001001 F -b11111111110111101101110111001111 ) -#226752000 -0& -#226768000 -b110111010111 , -#226784000 -1& -#226800000 -b11111101111111111111101110001111 " -b11111101111111111111101110001111 4 -b11111101111111111111101110001111 1 -b11111101111111111111101110001111 C -b1111110111111001111110011000111 0 -b1111110111111001111110011000111 H -18 -b10000110000010000011110011111110 < -b10000100000000100011011010001110 2 -b10000100000000100011011010001110 = -b10000100000000100011011010001110 : -b11111101111110011111100110001111 $ -b11111101111110011111100110001111 - -b11111101111110011111100110001111 5 -b11111101111110011111100110001111 ? -b11111101111110011111100110001111 D -b1111001111101111100001100000001 % -b1111001111101111100001100000001 . -b1111001111101111100001100000001 6 -b1111001111101111100001100000001 @ -b1111001111101111100001100000001 F -b11111101111111111111101110001111 ) -#226816000 -0& -#226832000 -b110111011000 , -#226848000 -1& -#226864000 -b11101111111111011111011110111111 " -b11101111111111011111011110111111 4 -b11101111111111011111011110111111 1 -b11101111111111011111011110111111 C -b10101 0 -b10101 H -19 -18 -b10011001000001100100101001100100 < -b1000010100000111001000110001100 2 -b1000010100000111001000110001100 = -b1000010100000111001000110001100 : -b10101001011111010100011100100111 $ -b10101001011111010100011100100111 - -b10101001011111010100011100100111 5 -b10101001011111010100011100100111 ? -b10101001011111010100011100100111 D -b1100110111110011011010110011011 % -b1100110111110011011010110011011 . -b1100110111110011011010110011011 6 -b1100110111110011011010110011011 @ -b1100110111110011011010110011011 F -b11101111111111011111011110111111 ) -#226880000 -0& -#226896000 -b110111011001 , -#226912000 -1& -#226928000 -b11110111111111110110111101111011 " -b11110111111111110110111101111011 4 -b11110111111111110110111101111011 1 -b11110111111111110110111101111011 C -b11110 0 -b11110 H -09 -08 -b1001000000000001001010011100100 < -b111100101011111101101101011101 2 -b111100101011111101101101011101 = -b111100101011111101101101011101 : -b11110100101011110100011001111000 $ -b11110100101011110100011001111000 - -b11110100101011110100011001111000 5 -b11110100101011110100011001111000 ? -b11110100101011110100011001111000 D -b10110111111111110110101100011011 % -b10110111111111110110101100011011 . -b10110111111111110110101100011011 6 -b10110111111111110110101100011011 @ -b10110111111111110110101100011011 F -b11110111111111110110111101111011 ) -#226944000 -0& -#226960000 -b110111011010 , -#226976000 -1& -#226992000 -b11111110110111111011111111011100 " -b11111110110111111011111111011100 4 -b11111110110111111011111111011100 1 -b11111110110111111011111111011100 C -b111111100000111110100111 0 -b111111100000111110100111 H -18 -b10110101001000010100010000110111 < -b10110011001100001110101111010100 2 -b10110011001100001110101111010100 = -b10110011001100001110101111010100 : -b11111110000011111010011110011100 $ -b11111110000011111010011110011100 - -b11111110000011111010011110011100 5 -b11111110000011111010011110011100 ? -b11111110000011111010011110011100 D -b1001010110111101011101111001000 % -b1001010110111101011101111001000 . -b1001010110111101011101111001000 6 -b1001010110111101011101111001000 @ -b1001010110111101011101111001000 F -b11111110110111111011111111011100 ) -#227008000 -0& -#227024000 -b110111011011 , -#227040000 -1& -#227056000 -b11111011101111110100011101111111 " -b11111011101111110100011101111111 4 -b11111011101111110100011101111111 1 -b11111011101111110100011101111111 C -b1111011101111110000001 0 -b1111011101111110000001 H -19 -08 -b101111000101011101010010110 < -b10000001101000011011110100010110 2 -b10000001101000011011110100010110 = -b10000001101000011011110100010110 : -b1111011101111110000001001111111 $ -b1111011101111110000001001111111 - -b1111011101111110000001001111111 5 -b1111011101111110000001001111111 ? -b1111011101111110000001001111111 D -b11111010000111010100010101101001 % -b11111010000111010100010101101001 . -b11111010000111010100010101101001 6 -b11111010000111010100010101101001 @ -b11111010000111010100010101101001 F -b11111011101111110100011101111111 ) -#227072000 -0& -#227088000 -b110111011100 , -#227104000 -1& -#227120000 -b1111011111111010110010101010001 " -b1111011111111010110010101010001 4 -b1111011111111010110010101010001 1 -b1111011111111010110010101010001 C -b110101101001100010000010101000 0 -b110101101001100010000010101000 H -09 -18 -b10000111000000101101101010111110 < -b11110010010011110001110000001111 2 -b11110010010011110001110000001111 = -b11110010010011110001110000001111 : -b1101011010011000100000101010000 $ -b1101011010011000100000101010000 - -b1101011010011000100000101010000 5 -b1101011010011000100000101010000 ? -b1101011010011000100000101010000 D -b1111000111111010010010101000001 % -b1111000111111010010010101000001 . -b1111000111111010010010101000001 6 -b1111000111111010010010101000001 @ -b1111000111111010010010101000001 F -b1111011111111010110010101010001 ) -#227136000 -0& -#227152000 -b110111011101 , -#227168000 -1& -#227184000 -b11111110111111111111011111101111 " -b11111110111111111111011111101111 4 -b11111110111111111111011111101111 1 -b11111110111111111111011111101111 C -b1011110011110110 0 -b1011110011110110 H -08 -b1101000100000100110000010000 < -b1101011100010111001001101111100 2 -b1101011100010111001001101111100 = -b1101011100010111001001101111100 : -b1011110011110110100011101101011 $ -b1011110011110110100011101101011 - -b1011110011110110100011101101011 5 -b1011110011110110100011101101011 ? -b1011110011110110100011101101011 D -b11110010111011111011001111101111 % -b11110010111011111011001111101111 . -b11110010111011111011001111101111 6 -b11110010111011111011001111101111 @ -b11110010111011111011001111101111 F -b11111110111111111111011111101111 ) -#227200000 -0& -#227216000 -b110111011110 , -#227232000 -1& -#227248000 -b1111111111111110111111111111011 " -b1111111111111110111111111111011 4 -b1111111111111110111111111111011 1 -b1111111111111110111111111111011 C -b111011110111001001101 0 -b111011110111001001101 H -09 -18 -b10000000001100001001001000010101 < -b11110111111010011100100101101001 2 -b11110111111010011100100101101001 = -b11110111111010011100100101101001 : -b1110111101110010011011101010011 $ -b1110111101110010011011101010011 - -b1110111101110010011011101010011 5 -b1110111101110010011011101010011 ? -b1110111101110010011011101010011 D -b1111111110011110110110111101010 % -b1111111110011110110110111101010 . -b1111111110011110110110111101010 6 -b1111111110011110110110111101010 @ -b1111111110011110110110111101010 F -b1111111111111110111111111111011 ) -#227264000 -0& -#227280000 -b110111011111 , -#227296000 -1& -#227312000 -b1111111111111111111111100110011 " -b1111111111111111111111100110011 4 -b1111111111111111111111100110011 1 -b1111111111111111111111100110011 C -b111101111101 0 -b111101111101 H -08 -b10100000000010000000100011001100 < -b11011111101011111010111111101 2 -b11011111101011111010111111101 = -b11011111101011111010111111101 : -b1111011111011011110110100110000 $ -b1111011111011011110110100110000 - -b1111011111011011110110100110000 5 -b1111011111011011110110100110000 ? -b1111011111011011110110100110000 D -b1011111111101111111011100110011 % -b1011111111101111111011100110011 . -b1011111111101111111011100110011 6 -b1011111111101111111011100110011 @ -b1011111111101111111011100110011 F -b1111111111111111111111100110011 ) -#227328000 -0& -#227344000 -b110111100000 , -#227360000 -1& -#227376000 -b1111110111111100010000110111001 " -b1111110111111100010000110111001 4 -b1111110111111100010000110111001 1 -b1111110111111100010000110111001 C -b111011010111010 0 -b111011010111010 H -18 -b10000011000010111101111011001111 < -b11111001110001011111111110001001 2 -b11111001110001011111111110001001 = -b11111001110001011111111110001001 : -b1110110101110100010000010111001 $ -b1110110101110100010000010111001 - -b1110110101110100010000010111001 5 -b1110110101110100010000010111001 ? -b1110110101110100010000010111001 D -b1111100111101000010000100110000 % -b1111100111101000010000100110000 . -b1111100111101000010000100110000 6 -b1111100111101000010000100110000 @ -b1111100111101000010000100110000 F -b1111110111111100010000110111001 ) -#227392000 -0& -#227408000 -b110111100001 , -#227424000 -1& -#227440000 -b1111111111111111111110101111110 " -b1111111111111111111110101111110 4 -b1111111111111111111110101111110 1 -b1111111111111111111110101111110 C -b0 0 -b0 H -b10010000010100000100001011000001 < -b11000111001011101010001100011010 2 -b11000111001011101010001100011010 = -b11000111001011101010001100011010 : -b110110110111100110000001011000 $ -b110110110111100110000001011000 - -b110110110111100110000001011000 5 -b110110110111100110000001011000 ? -b110110110111100110000001011000 D -b1101111101011111011110100111110 % -b1101111101011111011110100111110 . -b1101111101011111011110100111110 6 -b1101111101011111011110100111110 @ -b1101111101011111011110100111110 F -b1111111111111111111110101111110 ) -#227456000 -0& -#227472000 -b110111100010 , -#227488000 -1& -#227504000 -b1111111101110111111100111011011 " -b1111111101110111111100111011011 4 -b1111111101110111111100111011011 1 -b1111111101110111111100111011011 C -b11010111011101 0 -b11010111011101 H -08 -b11000001010001000001011000101110 < -b101100111111101000111001001001 2 -b101100111111101000111001001001 = -b101100111111101000111001001001 : -b1101011101110100111100000011010 $ -b1101011101110100111100000011010 - -b1101011101110100111100000011010 5 -b1101011101110100111100000011010 ? -b1101011101110100111100000011010 D -b111110101110111110100111010001 % -b111110101110111110100111010001 . -b111110101110111110100111010001 6 -b111110101110111110100111010001 @ -b111110101110111110100111010001 F -b1111111101110111111100111011011 ) -#227520000 -0& -#227536000 -b110111100011 , -#227552000 -1& -#227568000 -b11111110110101111010111110101111 " -b11111110110101111010111110101111 4 -b11111110110101111010111110101111 1 -b11111110110101111010111110101111 C -b1111111010000011100 0 -b1111111010000011100 H -19 -18 -b10000001001010000101011001010010 < -b1111111101010111110010101010110 2 -b1111111101010111110010101010110 = -b1111111101010111110010101010110 : -b11111110100000111000111100000011 $ -b11111110100000111000111100000011 - -b11111110100000111000111100000011 5 -b11111110100000111000111100000011 ? -b11111110100000111000111100000011 D -b1111110110101111010100110101101 % -b1111110110101111010100110101101 . -b1111110110101111010100110101101 6 -b1111110110101111010100110101101 @ -b1111110110101111010100110101101 F -b11111110110101111010111110101111 ) -#227584000 -0& -#227600000 -b110111100100 , -#227616000 -1& -#227632000 -b11111110101111111111111101101111 " -b11111110101111111111111101101111 4 -b11111110101111111111111101101111 1 -b11111110101111111111111101101111 C -b111111000011111001 0 -b111111000011111001 H -08 -09 -b100001010000100011000011010001 < -b11101100000001010010100011111 2 -b11101100000001010010100011111 = -b11101100000001010010100011111 : -b11111100001111100111010001001101 $ -b11111100001111100111010001001101 - -b11111100001111100111010001001101 5 -b11111100001111100111010001001101 ? -b11111100001111100111010001001101 D -b11011110101111011100111100101110 % -b11011110101111011100111100101110 . -b11011110101111011100111100101110 6 -b11011110101111011100111100101110 @ -b11011110101111011100111100101110 F -b11111110101111111111111101101111 ) -#227648000 -0& -#227664000 -b110111100101 , -#227680000 -1& -#227696000 -b11111110111010111111011111100111 " -b11111110111010111111011111100111 4 -b11111110111010111111011111100111 1 -b11111110111010111111011111100111 C -b1111110011001001111000000 0 -b1111110011001001111000000 H -b10001110101010010100001011000 < -b1110100111110000100010011101 2 -b1110100111110000100010011101 = -b1110100111110000100010011101 : -b11111100110010011110000001000100 $ -b11111100110010011110000001000100 - -b11111100110010011110000001000100 5 -b11111100110010011110000001000100 ? -b11111100110010011110000001000100 D -b11101110001010101101011110100111 % -b11101110001010101101011110100111 . -b11101110001010101101011110100111 6 -b11101110001010101101011110100111 @ -b11101110001010101101011110100111 F -b11111110111010111111011111100111 ) -#227712000 -0& -#227728000 -b110111100110 , -#227744000 -1& -#227760000 -b11111111111111110101110110010111 " -b11111111111111110101110110010111 4 -b11111111111111110101110110010111 1 -b11111111111111110101110110010111 C -b11111011 0 -b11111011 H -08 -b1000001001110011001101000 < -b1111110111001000011101011111101 2 -b1111110111001000011101011111101 = -b1111110111001000011101011111101 : -b1111101110111110101010010010100 $ -b1111101110111110101010010010100 - -b1111101110111110101010010010100 5 -b1111101110111110101010010010100 ? -b1111101110111110101010010010100 D -b11111110111110110001100110010111 % -b11111110111110110001100110010111 . -b11111110111110110001100110010111 6 -b11111110111110110001100110010111 @ -b11111110111110110001100110010111 F -b11111111111111110101110110010111 ) -#227776000 -0& -#227792000 -b110111100111 , -#227808000 -1& -#227824000 -b1111111111111011011101111001111 " -b1111111111111011011101111001111 4 -b1111111111111011011101111001111 1 -b1111111111111011011101111001111 C -b1100111111111010011001111001 0 -b1100111111111010011001111001 H -18 -b10000000000000100110011010111100 < -b11100111111111111001101010001100 2 -b11100111111111111001101010001100 = -b11100111111111111001101010001100 : -b1100111111111010011001111001111 $ -b1100111111111010011001111001111 - -b1100111111111010011001111001111 5 -b1100111111111010011001111001111 ? -b1100111111111010011001111001111 D -b1111111111111011001100101000011 % -b1111111111111011001100101000011 . -b1111111111111011001100101000011 6 -b1111111111111011001100101000011 @ -b1111111111111011001100101000011 F -b1111111111111011011101111001111 ) -#227840000 -0& -#227856000 -b110111101000 , -#227872000 -1& -#227888000 -b11111101110111011111110101001111 " -b11111101110111011111110101001111 4 -b11111101110111011111110101001111 1 -b11111101110111011111110101001111 C -b1010101100111010001010100001111 0 -b1010101100111010001010100001111 H -08 -b10001000100000001110111111 < -b1010111101111110001100011001111 2 -b1010111101111110001100011001111 = -b1010111101111110001100011001111 : -b1010101100111010001010100001111 $ -b1010101100111010001010100001111 - -b1010101100111010001010100001111 5 -b1010101100111010001010100001111 ? -b1010101100111010001010100001111 D -b11111101110111011111110001000000 % -b11111101110111011111110001000000 . -b11111101110111011111110001000000 6 -b11111101110111011111110001000000 @ -b11111101110111011111110001000000 F -b11111101110111011111110101001111 ) -#227904000 -0& -#227920000 -b110111101001 , -#227936000 -1& -#227952000 -b10111111111111111110110111001110 " -b10111111111111111110110111001110 4 -b10111111111111111110110111001110 1 -b10111111111111111110110111001110 C -b1001111111111111100100100011 0 -b1001111111111111100100100011 H -b1000000100000000111001010111101 < -b1101000100000000101011101001010 2 -b1101000100000000101011101001010 = -b1101000100000000101011101001010 : -b100111111111111110010010001100 $ -b100111111111111110010010001100 - -b100111111111111110010010001100 5 -b100111111111111110010010001100 ? -b100111111111111110010010001100 D -b10111111011111111000110101000010 % -b10111111011111111000110101000010 . -b10111111011111111000110101000010 6 -b10111111011111111000110101000010 @ -b10111111011111111000110101000010 F -b10111111111111111110110111001110 ) -#227968000 -0& -#227984000 -b110111101010 , -#228000000 -1& -#228016000 -b1011111011111111101111111111011 " -b1011111011111111101111111111011 4 -b1011111011111111101111111111011 1 -b1011111011111111101111111111011 C -b101100001101 0 -b101100001101 H -09 -08 -b10101000100000100010011010001100 < -b111100001010110100101000 2 -b111100001010110100101000 = -b111100001010110100101000 : -b1011000011011101000011010011011 $ -b1011000011011101000011010011011 - -b1011000011011101000011010011011 5 -b1011000011011101000011010011011 ? -b1011000011011101000011010011011 D -b1010111011111011101100101110011 % -b1010111011111011101100101110011 . -b1010111011111011101100101110011 6 -b1010111011111011101100101110011 @ -b1010111011111011101100101110011 F -b1011111011111111101111111111011 ) -#228032000 -0& -#228048000 -b110111101011 , -#228064000 -1& -#228080000 -b1110111111111111101111111010101 " -b1110111111111111101111111010101 4 -b1110111111111111101111111010101 1 -b1110111111111111101111111010101 C -b111010101101110110101111101 0 -b111010101101110110101111101 H -b10001100000000100110000000111011 < -b1011100010011100000010001 2 -b1011100010011100000010001 = -b1011100010011100000010001 : -b1110101011011101101011111010101 $ -b1110101011011101101011111010101 - -b1110101011011101101011111010101 5 -b1110101011011101101011111010101 ? -b1110101011011101101011111010101 D -b1110011111111011001111111000100 % -b1110011111111011001111111000100 . -b1110011111111011001111111000100 6 -b1110011111111011001111111000100 @ -b1110011111111011001111111000100 F -b1110111111111111101111111010101 ) -#228096000 -0& -#228112000 -b110111101100 , -#228128000 -1& -#228144000 -b1111111111111111111110010111001 " -b1111111111111111111110010111001 4 -b1111111111111111111110010111001 1 -b1111111111111111111110010111001 C -b11101111111101 0 -b11101111111101 H -b10010000000010010001011111101110 < -b1000000001001101010010101000 2 -b1000000001001101010010101000 = -b1000000001001101010010101000 : -b1110111111110111011110010111001 $ -b1110111111110111011110010111001 - -b1110111111110111011110010111001 5 -b1110111111110111011110010111001 ? -b1110111111110111011110010111001 D -b1101111111101101110100000010001 % -b1101111111101101110100000010001 . -b1101111111101101110100000010001 6 -b1101111111101101110100000010001 @ -b1101111111101101110100000010001 F -b1111111111111111111110010111001 ) -#228160000 -0& -#228176000 -b110111101101 , -#228192000 -1& -#228208000 -b11111111111111111101011110111101 " -b11111111111111111101011110111101 4 -b11111111111111111101011110111101 1 -b11111111111111111101011110111101 C -b11111011101111011101010 0 -b11111011101111011101010 H -18 -b10100000000101001110110011110110 < -b10011011110100101100001010110011 2 -b10011011110100101100001010110011 = -b10011011110100101100001010110011 : -b11111011101111011101010110111100 $ -b11111011101111011101010110111100 - -b11111011101111011101010110111100 5 -b11111011101111011101010110111100 ? -b11111011101111011101010110111100 D -b1011111111010110001001100001001 % -b1011111111010110001001100001001 . -b1011111111010110001001100001001 6 -b1011111111010110001001100001001 @ -b1011111111010110001001100001001 F -b11111111111111111101011110111101 ) -#228224000 -0& -#228240000 -b110111101110 , -#228256000 -1& -#228272000 -b11111101011111111100111110110110 " -b11111101011111111100111110110110 4 -b11111101011111111100111110110110 1 -b11111101011111111100111110110110 C -b11011101011110111000100110 0 -b11011101011110111000100110 H -09 -18 -b11100010001011000001011001 < -b11100001000001000011100111110000 2 -b11100001000001000011100111110000 = -b11100001000001000011100111110000 : -b11011101011110111000100110010110 $ -b11011101011110111000100110010110 - -b11011101011110111000100110010110 5 -b11011101011110111000100110010110 ? -b11011101011110111000100110010110 D -b11111100011101110100111110100110 % -b11111100011101110100111110100110 . -b11111100011101110100111110100110 6 -b11111100011101110100111110100110 @ -b11111100011101110100111110100110 F -b11111101011111111100111110110110 ) -#228288000 -0& -#228304000 -b110111101111 , -#228320000 -1& -#228336000 -b111111111111010110111111010111 " -b111111111111010110111111010111 4 -b111111111111010110111111010111 1 -b111111111111010110111111010111 C -b1111111 0 -b1111111 H -08 -b11100010000000101111011111101000 < -b100010000000000101111110111011 2 -b100010000000000101111110111011 = -b100010000000000101111110111011 : -b111111111111010110011111010010 $ -b111111111111010110011111010010 - -b111111111111010110011111010010 5 -b111111111111010110011111010010 ? -b111111111111010110011111010010 D -b11101111111010000100000010111 % -b11101111111010000100000010111 . -b11101111111010000100000010111 6 -b11101111111010000100000010111 @ -b11101111111010000100000010111 F -b111111111111010110111111010111 ) -#228352000 -0& -#228368000 -b110111110000 , -#228384000 -1& -#228400000 -b1111011011111111111101011111010 " -b1111011011111111111101011111010 4 -b1111011011111111111101011111010 1 -b1111011011111111111101011111010 C -b11010 0 -b11010 H -18 -b10000100100000000110011101000101 < -b11101110101011011101001001000000 2 -b11101110101011011101001001000000 = -b11101110101011011101001001000000 : -b1101010001011010110101011111010 $ -b1101010001011010110101011111010 - -b1101010001011010110101011111010 5 -b1101010001011010110101011111010 ? -b1101010001011010110101011111010 D -b1111011011111111001100010111010 % -b1111011011111111001100010111010 . -b1111011011111111001100010111010 6 -b1111011011111111001100010111010 @ -b1111011011111111001100010111010 F -b1111011011111111111101011111010 ) -#228416000 -0& -#228432000 -b110111110001 , -#228448000 -1& -#228464000 -b11111111110111111111111111111110 " -b11111111110111111111111111111110 4 -b11111111110111111111111111111110 1 -b11111111110111111111111111111110 C -b11 0 -b11 H -08 -b100010001001000100000100000011 < -b1011001011010111000010011001110 2 -b1011001011010111000010011001110 = -b1011001011010111000010011001110 : -b110111010001110100001111001010 $ -b110111010001110100001111001010 - -b110111010001110100001111001010 5 -b110111010001110100001111001010 ? -b110111010001110100001111001010 D -b11011101110110111011111011111100 % -b11011101110110111011111011111100 . -b11011101110110111011111011111100 6 -b11011101110110111011111011111100 @ -b11011101110110111011111011111100 F -b11111111110111111111111111111110 ) -#228480000 -0& -#228496000 -b110111110010 , -#228512000 -1& -#228528000 -b11111111111111110010011111111111 " -b11111111111111110010011111111111 4 -b11111111111111110010011111111111 1 -b11111111111111110010011111111111 C -b10111111111 0 -b10111111111 H -19 -18 -b10001010110000001101110000001010 < -b1001010101111001110001110001010 2 -b1001010101111001110001110001010 = -b1001010101111001110001110001010 : -b10111111111111000000011101111111 $ -b10111111111111000000011101111111 - -b10111111111111000000011101111111 5 -b10111111111111000000011101111111 ? -b10111111111111000000011101111111 D -b1110101001111110010001111110101 % -b1110101001111110010001111110101 . -b1110101001111110010001111110101 6 -b1110101001111110010001111110101 @ -b1110101001111110010001111110101 F -b11111111111111110010011111111111 ) -#228544000 -0& -#228560000 -b110111110011 , -#228576000 -1& -#228592000 -b11111101110111110110111111111111 " -b11111101110111110110111111111111 4 -b11111101110111110110111111111111 1 -b11111101110111110110111111111111 C -b1101110111 0 -b1101110111 H -08 -09 -b1000011101000101001101001101001 < -b100001011010001110010111100101 2 -b100001011010001110010111100101 = -b100001011010001110010111100101 : -b11011101110001100100101101111011 $ -b11011101110001100100101101111011 - -b11011101110001100100101101111011 5 -b11011101110001100100101101111011 ? -b11011101110001100100101101111011 D -b10111100010111010110010110010110 % -b10111100010111010110010110010110 . -b10111100010111010110010110010110 6 -b10111100010111010110010110010110 @ -b10111100010111010110010110010110 F -b11111101110111110110111111111111 ) -#228608000 -0& -#228624000 -b110111110100 , -#228640000 -1& -#228656000 -b11111111101111111011110011011111 " -b11111111101111111011110011011111 4 -b11111111101111111011110011011111 1 -b11111111101111111011110011011111 C -b111110110110 0 -b111110110110 H -19 -08 -b1000110101000101001111101100 < -b10000110100010110000110011001010 2 -b10000110100010110000110011001010 = -b10000110100010110000110011001010 : -b1111101101101101011100011011101 $ -b1111101101101101011100011011101 - -b1111101101101101011100011011101 5 -b1111101101101101011100011011101 ? -b1111101101101101011100011011101 D -b11110111001010111010110000010011 % -b11110111001010111010110000010011 . -b11110111001010111010110000010011 6 -b11110111001010111010110000010011 @ -b11110111001010111010110000010011 F -b11111111101111111011110011011111 ) -#228672000 -0& -#228688000 -b110111110101 , -#228704000 -1& -#228720000 -b1111111101111111110011011010011 " -b1111111101111111110011011010011 4 -b1111111101111111110011011010011 1 -b1111111101111111110011011010011 C -b10111110111 0 -b10111110111 H -09 -18 -b10000001010100001011101100101100 < -b10110001000010011001110101101111 2 -b10110001000010011001110101101111 = -b10110001000010011001110101101111 : -b101111101110001110001001000010 $ -b101111101110001110001001000010 - -b101111101110001110001001000010 5 -b101111101110001110001001000010 ? -b101111101110001110001001000010 D -b1111110101011110100010011010011 % -b1111110101011110100010011010011 . -b1111110101011110100010011010011 6 -b1111110101011110100010011010011 @ -b1111110101011110100010011010011 F -b1111111101111111110011011010011 ) -#228736000 -0& -#228752000 -b110111110110 , -#228768000 -1& -#228784000 -b11111011111111111011110110111110 " -b11111011111111111011110110111110 4 -b11111011111111111011110110111110 1 -b11111011111111111011110110111110 C -b1001101101110110000111010001 0 -b1001101101110110000111010001 H -18 -b100000000000100001101011011 < -b10011111011101100110000001111010 2 -b10011111011101100110000001111010 = -b10011111011101100110000001111010 : -b10011011011101100001110100011110 $ -b10011011011101100001110100011110 - -b10011011011101100001110100011110 5 -b10011011011101100001110100011110 ? -b10011011011101100001110100011110 D -b11111011111111111011110010100100 % -b11111011111111111011110010100100 . -b11111011111111111011110010100100 6 -b11111011111111111011110010100100 @ -b11111011111111111011110010100100 F -b11111011111111111011110110111110 ) -#228800000 -0& -#228816000 -b110111110111 , -#228832000 -1& -#228848000 -b11111111110110110111100001111111 " -b11111111110110110111100001111111 4 -b11111111110110110111100001111111 1 -b11111111110110110111100001111111 C -b11110011110100110111000 0 -b11110011110100110111000 H -b1101111111011110110110 < -b11110100000010110110100000110110 2 -b11110100000010110110100000110110 = -b11110100000010110110100000110110 : -b11110011110100110111000001111111 $ -b11110011110100110111000001111111 - -b11110011110100110111000001111111 5 -b11110011110100110111000001111111 ? -b11110011110100110111000001111111 D -b11111111110010000000100001001001 % -b11111111110010000000100001001001 . -b11111111110010000000100001001001 6 -b11111111110010000000100001001001 @ -b11111111110010000000100001001001 F -b11111111110110110111100001111111 ) -#228864000 -0& -#228880000 -b110111111000 , -#228896000 -1& -#228912000 -b1111111111111010111111111001110 " -b1111111111111010111111111001110 4 -b1111111111111010111111111001110 1 -b1111111111111010111111111001110 C -b11110110111110101 0 -b11110110111110101 H -08 -b10010000000000101101010100110001 < -b1011100000000010110011000000 2 -b1011100000000010110011000000 = -b1011100000000010110011000000 : -b1111011011111010101011110001110 $ -b1111011011111010101011110001110 - -b1111011011111010101011110001110 5 -b1111011011111010101011110001110 ? -b1111011011111010101011110001110 D -b1101111111111010010101011001110 % -b1101111111111010010101011001110 . -b1101111111111010010101011001110 6 -b1101111111111010010101011001110 @ -b1101111111111010010101011001110 F -b1111111111111010111111111001110 ) -#228928000 -0& -#228944000 -b110111111001 , -#228960000 -1& -#228976000 -b11111111101111111111111101111001 " -b11111111101111111111111101111001 4 -b11111111101111111111111101111001 1 -b11111111101111111111111101111001 C -b1001111 0 -b1001111 H -08 -b1111000100011001110000111 < -b1010001101000011110111011000001 2 -b1010001101000011110111011000001 = -b1010001101000011110111011000001 : -b1001111101111111011101100111001 $ -b1001111101111111011101100111001 - -b1001111101111111011101100111001 5 -b1001111101111111011101100111001 ? -b1001111101111111011101100111001 D -b11111110000111011100110001111000 % -b11111110000111011100110001111000 . -b11111110000111011100110001111000 6 -b11111110000111011100110001111000 @ -b11111110000111011100110001111000 F -b11111111101111111111111101111001 ) -#228992000 -0& -#229008000 -b110111111010 , -#229024000 -1& -#229040000 -b111111111111110111010111110111 " -b111111111111110111010111110111 4 -b111111111111110111010111110111 1 -b111111111111110111010111110111 C -b111101111100 0 -b111101111100 H -b11100100000100011110101010101101 < -b100010000001010100111110010011 2 -b100010000001010100111110010011 = -b100010000001010100111110010011 : -b111101111100110110010011100101 $ -b111101111100110110010011100101 - -b111101111100110110010011100101 5 -b111101111100110110010011100101 ? -b111101111100110110010011100101 D -b11011111011100001010101010010 % -b11011111011100001010101010010 . -b11011111011100001010101010010 6 -b11011111011100001010101010010 @ -b11011111011100001010101010010 F -b111111111111110111010111110111 ) -#229056000 -0& -#229072000 -b110111111011 , -#229088000 -1& -#229104000 -b11101111111110111100111011101101 " -b11101111111110111100111011101101 4 -b11101111111110111100111011101101 1 -b11101111111110111100111011101101 C -b11001111101100111000111 0 -b11001111101100111000111 H -19 -18 -b10010000001001101011000101010110 < -b1011111110110100100000001000100 2 -b1011111110110100100000001000100 = -b1011111110110100100000001000100 : -b11001111101100111000111011101101 $ -b11001111101100111000111011101101 - -b11001111101100111000111011101101 5 -b11001111101100111000111011101101 ? -b11001111101100111000111011101101 D -b1101111110110010100111010101001 % -b1101111110110010100111010101001 . -b1101111110110010100111010101001 6 -b1101111110110010100111010101001 @ -b1101111110110010100111010101001 F -b11101111111110111100111011101101 ) -#229120000 -0& -#229136000 -b110111111100 , -#229152000 -1& -#229168000 -b1111111111111110110111111111111 " -b1111111111111110110111111111111 4 -b1111111111111110110111111111111 1 -b1111111111111110110111111111111 C -b11111111111111001 0 -b11111111111111001 H -08 -09 -b11001010010010001101110000010001 < -b1001010010001110010101001000101 2 -b1001010010001110010101001000101 = -b1001010010001110010101001000101 : -b1111111111111100100111000110011 $ -b1111111111111100100111000110011 - -b1111111111111100100111000110011 5 -b1111111111111100100111000110011 ? -b1111111111111100100111000110011 D -b110101101101110010001111101110 % -b110101101101110010001111101110 . -b110101101101110010001111101110 6 -b110101101101110010001111101110 @ -b110101101101110010001111101110 F -b1111111111111110110111111111111 ) -#229184000 -0& -#229200000 -b110111111101 , -#229216000 -1& -#229232000 -b11101111111111110010111111010000 " -b11101111111111110010111111010000 4 -b11101111111111110010111111010000 1 -b11101111111111110010111111010000 C -b1110101111101011 0 -b1110101111101011 H -19 -18 -b10010010010000111111010010101111 < -b1111110001011110001101000110000 2 -b1111110001011110001101000110000 = -b1111110001011110001101000110000 : -b11101011111010110010010110000000 $ -b11101011111010110010010110000000 - -b11101011111010110010010110000000 5 -b11101011111010110010010110000000 ? -b11101011111010110010010110000000 D -b1101101101111000000101101010000 % -b1101101101111000000101101010000 . -b1101101101111000000101101010000 6 -b1101101101111000000101101010000 @ -b1101101101111000000101101010000 F -b11101111111111110010111111010000 ) -#229248000 -0& -#229264000 -b110111111110 , -#229280000 -1& -#229296000 -b11111111111111111111011010110110 " -b11111111111111111111011010110110 4 -b11111111111111111111011010110110 1 -b11111111111111111111011010110110 C -b1110101111 0 -b1110101111 H -09 -18 -b1000101010001111100101101001 < -b11110100101001101110101000001110 2 -b11110100101001101110101000001110 = -b11110100101001101110101000001110 : -b11101011111111011111000010100100 $ -b11101011111111011111000010100100 - -b11101011111111011111000010100100 5 -b11101011111111011111000010100100 ? -b11101011111111011111000010100100 D -b11110111010101110000011010010110 % -b11110111010101110000011010010110 . -b11110111010101110000011010010110 6 -b11110111010101110000011010010110 @ -b11110111010101110000011010010110 F -b11111111111111111111011010110110 ) -#229312000 -0& -#229328000 -b110111111111 , -#229344000 -1& -#229360000 -b1111111111101111111101111111111 " -b1111111111101111111101111111111 4 -b1111111111101111111101111111111 1 -b1111111111101111111101111111111 C -b11110111 0 -b11110111 H -b10000000001010000100010000001000 < -b11111100000110100011110101100010 2 -b11111100000110100011110101100010 = -b11111100000110100011110101100010 : -b1111011111100011111100101011001 $ -b1111011111100011111100101011001 - -b1111011111100011111100101011001 5 -b1111011111100011111100101011001 ? -b1111011111100011111100101011001 D -b1111111110101111011101111110111 % -b1111111110101111011101111110111 . -b1111111110101111011101111110111 6 -b1111111110101111011101111110111 @ -b1111111110101111011101111110111 F -b1111111111101111111101111111111 ) -#229376000 -0& -#229392000 -b111000000000 , -#229408000 -1& -#229424000 -b11111111111111111111111101111011 " -b11111111111111111111111101111011 4 -b11111111111111111111111101111011 1 -b11111111111111111111111101111011 C -b1111111100011100001011 0 -b1111111100011100001011 H -08 -19 -b101000000000100000011010110110 < -b10100111100100000001110011101010 2 -b10100111100100000001110011101010 = -b10100111100100000001110011101010 : -b1111111100011100001011000110011 $ -b1111111100011100001011000110011 - -b1111111100011100001011000110011 5 -b1111111100011100001011000110011 ? -b1111111100011100001011000110011 D -b11010111111111011111100101001001 % -b11010111111111011111100101001001 . -b11010111111111011111100101001001 6 -b11010111111111011111100101001001 @ -b11010111111111011111100101001001 F -b11111111111111111111111101111011 ) -#229440000 -0& -#229456000 -b111000000001 , -#229472000 -1& -#229488000 -b1011111111111111010111110101111 " -b1011111111111111010111110101111 4 -b1011111111111111010111110101111 1 -b1011111111111111010111110101111 C -b101011110111111101000 0 -b101011110111111101000 H -09 -08 -b11110000000100000101000001010101 < -b1000111110011111111000001111011 2 -b1000111110011111111000001111011 = -b1000111110011111111000001111011 : -b1010111101111111010000000100101 $ -b1010111101111111010000000100101 - -b1010111101111111010000000100101 5 -b1010111101111111010000000100101 ? -b1010111101111111010000000100101 D -b1111111011111010111110101010 % -b1111111011111010111110101010 . -b1111111011111010111110101010 6 -b1111111011111010111110101010 @ -b1111111011111010111110101010 F -b1011111111111111010111110101111 ) -#229504000 -0& -#229520000 -b111000000010 , -#229536000 -1& -#229552000 -b11111111111111110101001001101110 " -b11111111111111110101001001101110 4 -b11111111111111110101001001101110 1 -b11111111111111110101001001101110 C -b111010111111110101 0 -b111010111111110101 H -18 -b100001011110110010001 < -b11101100000011100000111111100000 2 -b11101100000011100000111111100000 = -b11101100000011100000111111100000 : -b11101011111111010101001001001110 $ -b11101011111111010101001001001110 - -b11101011111111010101001001001110 5 -b11101011111111010101001001001110 ? -b11101011111111010101001001001110 D -b11111111111011110100001001101110 % -b11111111111011110100001001101110 . -b11111111111011110100001001101110 6 -b11111111111011110100001001101110 @ -b11111111111011110100001001101110 F -b11111111111111110101001001101110 ) -#229568000 -0& -#229584000 -b111000000011 , -#229600000 -1& -#229616000 -b1111111111010111000110111000101 " -b1111111111010111000110111000101 4 -b1111111111010111000110111000101 1 -b1111111111010111000110111000101 C -b11111111100000100001001110 0 -b11111111100000100001001110 H -08 -b10010000000101000111101001111010 < -b1111110101011000010000111100 2 -b1111110101011000010000111100 = -b1111110101011000010000111100 : -b1111111110000010000100111000001 $ -b1111111110000010000100111000001 - -b1111111110000010000100111000001 5 -b1111111110000010000100111000001 ? -b1111111110000010000100111000001 D -b1101111111010111000010110000101 % -b1101111111010111000010110000101 . -b1101111111010111000010110000101 6 -b1101111111010111000010110000101 @ -b1101111111010111000010110000101 F -b1111111111010111000110111000101 ) -#229632000 -0& -#229648000 -b111000000100 , -#229664000 -1& -#229680000 -b10111111111111110111010101111110 " -b10111111111111110111010101111110 4 -b10111111111111110111010101111110 1 -b10111111111111110111010101111110 C -b0 0 -b0 H -19 -08 -b1010000001010001000111110000001 < -b10000111000101111100010010111100 2 -b10000111000101111100010010111100 = -b10000111000101111100010010111100 : -b110110111011110011010100111010 $ -b110110111011110011010100111010 - -b110110111011110011010100111010 5 -b110110111011110011010100111010 ? -b110110111011110011010100111010 D -b10101111110101110111000001111110 % -b10101111110101110111000001111110 . -b10101111110101110111000001111110 6 -b10101111110101110111000001111110 @ -b10101111110101110111000001111110 F -b10111111111111110111010101111110 ) -#229696000 -0& -#229712000 -b111000000101 , -#229728000 -1& -#229744000 -b11111110101101110111111110111101 " -b11111110101101110111111110111101 4 -b11111110101101110111111110111101 1 -b11111110101101110111111110111101 C -b1111100001 0 -b1111100001 H -b110101010010001001100011101010 < -b10110001011111101101100010101000 2 -b10110001011111101101100010101000 = -b10110001011111101101100010101000 : -b1111100001101100011111110111101 $ -b1111100001101100011111110111101 - -b1111100001101100011111110111101 5 -b1111100001101100011111110111101 ? -b1111100001101100011111110111101 D -b11001010101101110110011100010101 % -b11001010101101110110011100010101 . -b11001010101101110110011100010101 6 -b11001010101101110110011100010101 @ -b11001010101101110110011100010101 F -b11111110101101110111111110111101 ) -#229760000 -0& -#229776000 -b111000000110 , -#229792000 -1& -#229808000 -b11111101111111111111010011111111 " -b11111101111111111111010011111111 4 -b11111101111111111111010011111111 1 -b11111101111111111111010011111111 C -b1011110111111111111100001 0 -b1011110111111111111100001 H -18 -09 -b110110000100001101101111000 < -b11000100110000100000110001110111 2 -b11000100110000100000110001110111 = -b11000100110000100000110001110111 : -b10111101111111111111000011111110 $ -b10111101111111111111000011111110 - -b10111101111111111111000011111110 5 -b10111101111111111111000011111110 ? -b10111101111111111111000011111110 D -b11111001001111011110010010000111 % -b11111001001111011110010010000111 . -b11111001001111011110010010000111 6 -b11111001001111011110010010000111 @ -b11111001001111011110010010000111 F -b11111101111111111111010011111111 ) -#229824000 -0& -#229840000 -b111000000111 , -#229856000 -1& -#229872000 -b11111011111111110111101011111110 " -b11111011111111110111101011111110 4 -b11111011111111110111101011111110 1 -b11111011111111110111101011111110 C -b10 0 -b10 H -b100000100011010010101000001 < -b10111101111010010000011000111000 2 -b10111101111010010000011000111000 = -b10111101111010010000011000111000 : -b10111001110101110110000011110110 $ -b10111001110101110110000011110110 - -b10111001110101110110000011110110 5 -b10111001110101110110000011110110 ? -b10111001110101110110000011110110 D -b11111011111011100101101010111110 % -b11111011111011100101101010111110 . -b11111011111011100101101010111110 6 -b11111011111011100101101010111110 @ -b11111011111011100101101010111110 F -b11111011111111110111101011111110 ) -#229888000 -0& -#229904000 -b111000001000 , -#229920000 -1& -#229936000 -b11111111111111111000011110111111 " -b11111111111111111000011110111111 4 -b11111111111111111000011110111111 1 -b11111111111111111000011110111111 C -b10100110111110111 0 -b10100110111110111 H -b100000001010001111101101010000 < -b11000111001001001000001011101000 2 -b11000111001001001000001011101000 = -b11000111001001001000001011101000 : -b10100110111110111000011110010111 $ -b10100110111110111000011110010111 - -b10100110111110111000011110010111 5 -b10100110111110111000011110010111 ? -b10100110111110111000011110010111 D -b11011111110101110000010010101111 % -b11011111110101110000010010101111 . -b11011111110101110000010010101111 6 -b11011111110101110000010010101111 @ -b11011111110101110000010010101111 F -b11111111111111111000011110111111 ) -#229952000 -0& -#229968000 -b111000001001 , -#229984000 -1& -#230000000 -b11110111111111101011111111110101 " -b11110111111111101011111111110101 4 -b11110111111111101011111111110101 1 -b11110111111111101011111111110101 C -b1000011111111110101111100111 0 -b1000011111111110101111100111 H -b1110000001011110010000111011 < -b10010110000001001010001010101101 2 -b10010110000001001010001010101101 = -b10010110000001001010001010101101 : -b10000111111111101011111001110001 $ -b10000111111111101011111001110001 - -b10000111111111101011111001110001 5 -b10000111111111101011111001110001 ? -b10000111111111101011111001110001 D -b11110001111110100001101111000100 % -b11110001111110100001101111000100 . -b11110001111110100001101111000100 6 -b11110001111110100001101111000100 @ -b11110001111110100001101111000100 F -b11110111111111101011111111110101 ) -#230016000 -0& -#230032000 -b111000001010 , -#230048000 -1& -#230064000 -b11101101110111110110111111011101 " -b11101101110111110110111111011101 4 -b11101101110111110110111111011101 1 -b11101101110111110110111111011101 C -b11100101110111010100 0 -b11100101110111010100 H -b10010011000001101011001110011 < -b11111000001111100001111001000101 2 -b11111000001111100001111001000101 = -b11111000001111100001111001000101 : -b11100101110111010100011111010001 $ -b11100101110111010100011111010001 - -b11100101110111010100011111010001 5 -b11100101110111010100011111010001 ? -b11100101110111010100011111010001 D -b11101101100111110010100110001100 % -b11101101100111110010100110001100 . -b11101101100111110010100110001100 6 -b11101101100111110010100110001100 @ -b11101101100111110010100110001100 F -b11101101110111110110111111011101 ) -#230080000 -0& -#230096000 -b111000001011 , -#230112000 -1& -#230128000 -b11111111111111110111111111110010 " -b11111111111111110111111111110010 4 -b11111111111111110111111111110010 1 -b11111111111111110111111111110010 C -b10111111111101 0 -b10111111111101 H -b100000011110000001001101 < -b11000000011101110100001111000000 2 -b11000000011101110100001111000000 = -b11000000011101110100001111000000 : -b10111111111101010110001101110010 $ -b10111111111101010110001101110010 - -b10111111111101010110001101110010 5 -b10111111111101010110001101110010 ? -b10111111111101010110001101110010 D -b11111111011111100001111110110010 % -b11111111011111100001111110110010 . -b11111111011111100001111110110010 6 -b11111111011111100001111110110010 @ -b11111111011111100001111110110010 F -b11111111111111110111111111110010 ) -#230144000 -0& -#230160000 -b111000001100 , -#230176000 -1& -#230192000 -b11011111110110111101110101100110 " -b11011111110110111101110101100110 4 -b11011111110110111101110101100110 1 -b11011111110110111101110101100110 C -b10110010101101011010100010001 0 -b10110010101101011010100010001 H -08 -b100000001111100111001010011101 < -b1111001100110010100011011100010 2 -b1111001100110010100011011100010 = -b1111001100110010100011011100010 : -b1011001010110101101010001000100 $ -b1011001010110101101010001000100 - -b1011001010110101101010001000100 5 -b1011001010110101101010001000100 ? -b1011001010110101101010001000100 D -b11011111110000011000110101100010 % -b11011111110000011000110101100010 . -b11011111110000011000110101100010 6 -b11011111110000011000110101100010 @ -b11011111110000011000110101100010 F -b11011111110110111101110101100110 ) -#230208000 -0& -#230224000 -b111000001101 , -#230240000 -1& -#230256000 -b11111111111111110101100101111111 " -b11111111111111110101100101111111 4 -b11111111111111110101100101111111 1 -b11111111111111110101100101111111 C -b101011 0 -b101011 H -b10000001101110011111100111 < -b101101011001100010100101011111 2 -b101101011001100010100101011111 = -b101101011001100010100101011111 : -b101011010111110100000101110111 $ -b101011010111110100000101110111 - -b101011010111110100000101110111 5 -b101011010111110100000101110111 ? -b101011010111110100000101110111 D -b11111101111110010001100000011000 % -b11111101111110010001100000011000 . -b11111101111110010001100000011000 6 -b11111101111110010001100000011000 @ -b11111101111110010001100000011000 F -b11111111111111110101100101111111 ) -#230272000 -0& -#230288000 -b111000001110 , -#230304000 -1& -#230320000 -b11111111111111111100011101111011 " -b11111111111111111100011101111011 4 -b11111111111111111100011101111011 1 -b11111111111111111100011101111011 C -b11101 0 -b11101 H -08 -b101010000100001011100110100100 < -b11001001100000011111011001111 2 -b11001001100000011111011001111 = -b11001001100000011111011001111 : -b11101111000111111000010100101010 $ -b11101111000111111000010100101010 - -b11101111000111111000010100101010 5 -b11101111000111111000010100101010 ? -b11101111000111111000010100101010 D -b11010101111011110100011001011011 % -b11010101111011110100011001011011 . -b11010101111011110100011001011011 6 -b11010101111011110100011001011011 @ -b11010101111011110100011001011011 F -b11111111111111111100011101111011 ) -#230336000 -0& -#230352000 -b111000001111 , -#230368000 -1& -#230384000 -b1101111111111111111010110110100 " -b1101111111111111111010110110100 4 -b1101111111111111111010110110100 1 -b1101111111111111111010110110100 C -b110101111111011011100001011 0 -b110101111111011011100001011 H -18 -b10010000000010100011101011011011 < -b11111100000001011010101110010000 2 -b11111100000001011010101110010000 = -b11111100000001011010101110010000 : -b1101011111110110111000010110100 $ -b1101011111110110111000010110100 - -b1101011111110110111000010110100 5 -b1101011111110110111000010110100 ? -b1101011111110110111000010110100 D -b1101111111101011100010100100100 % -b1101111111101011100010100100100 . -b1101111111101011100010100100100 6 -b1101111111101011100010100100100 @ -b1101111111101011100010100100100 F -b1101111111111111111010110110100 ) -#230400000 -0& -#230416000 -b111000010000 , -#230432000 -1& -#230448000 -b11111110111111111110111101101111 " -b11111110111111111110111101101111 4 -b11111110111111111110111101101111 1 -b11111110111111111110111101101111 C -b111111101111111111 0 -b111111101111111111 H -b11001001010000000101010110010001 < -b11001000010000000011110010011111 2 -b11001000010000000011110010011111 = -b11001000010000000011110010011111 : -b11111110111111111110011100001101 $ -b11111110111111111110011100001101 - -b11111110111111111110011100001101 5 -b11111110111111111110011100001101 ? -b11111110111111111110011100001101 D -b110110101111111010101001101110 % -b110110101111111010101001101110 . -b110110101111111010101001101110 6 -b110110101111111010101001101110 @ -b110110101111111010101001101110 F -b11111110111111111110111101101111 ) -#230464000 -0& -#230480000 -b111000010001 , -#230496000 -1& -#230512000 -b1111111111111111101011111110011 " -b1111111111111111101011111110011 4 -b1111111111111111101011111110011 1 -b1111111111111111101011111110011 C -b1100111011111 0 -b1100111011111 H -18 -b10000100001010000010100010101101 < -b11101011101001110011111101010001 2 -b11101011101001110011111101010001 = -b11101011101001110011111101010001 : -b1100111011111110001011010100011 $ -b1100111011111110001011010100011 - -b1100111011111110001011010100011 5 -b1100111011111110001011010100011 ? -b1100111011111110001011010100011 D -b1111011110101111101011101010010 % -b1111011110101111101011101010010 . -b1111011110101111101011101010010 6 -b1111011110101111101011101010010 @ -b1111011110101111101011101010010 F -b1111111111111111101011111110011 ) -#230528000 -0& -#230544000 -b111000010010 , -#230560000 -1& -#230576000 -b11111111111111100111111001111011 " -b11111111111111100111111001111011 4 -b11111111111111100111111001111011 1 -b11111111111111100111111001111011 C -b10010111111111 0 -b10010111111111 H -19 -18 -b10000100000010011000011110001101 < -b11100000010000000010111110111 2 -b11100000010000000010111110111 = -b11100000010000000010111110111 : -b10010111111111100111111001101001 $ -b10010111111111100111111001101001 - -b10010111111111100111111001101001 5 -b10010111111111100111111001101001 ? -b10010111111111100111111001101001 D -b1111011111101100111100001110010 % -b1111011111101100111100001110010 . -b1111011111101100111100001110010 6 -b1111011111101100111100001110010 @ -b1111011111101100111100001110010 F -b11111111111111100111111001111011 ) -#230592000 -0& -#230608000 -b111000010011 , -#230624000 -1& -#230640000 -b11111111111111011110011111011001 " -b11111111111111011110011111011001 4 -b11111111111111011110011111011001 1 -b11111111111111011110011111011001 C -b1011111111111001 0 -b1011111111111001 H -09 -18 -b111011011010111101111001101111 < -b11111011011001011100010010111001 2 -b11111011011001011100010010111001 = -b11111011011001011100010010111001 : -b10111111111110011110011001001001 $ -b10111111111110011110011001001001 - -b10111111111110011110011001001001 5 -b10111111111110011110011001001001 ? -b10111111111110011110011001001001 D -b11000100100101000010000110010000 % -b11000100100101000010000110010000 . -b11000100100101000010000110010000 6 -b11000100100101000010000110010000 @ -b11000100100101000010000110010000 F -b11111111111111011110011111011001 ) -#230656000 -0& -#230672000 -b111000010100 , -#230688000 -1& -#230704000 -b11110111111111111110101111110111 " -b11110111111111111110101111110111 4 -b11110111111111111110101111110111 1 -b11110111111111111110101111110111 C -b1001011000101101010010110 0 -b1001011000101101010010110 H -b1110000000000001110100111000 < -b10100100001011010110100010101110 2 -b10100100001011010110100010101110 = -b10100100001011010110100010101110 : -b10010110001011010100101101110101 $ -b10010110001011010100101101110101 - -b10010110001011010100101101110101 5 -b10010110001011010100101101110101 ? -b10010110001011010100101101110101 D -b11110001111111111110001011000111 % -b11110001111111111110001011000111 . -b11110001111111111110001011000111 6 -b11110001111111111110001011000111 @ -b11110001111111111110001011000111 F -b11110111111111111110101111110111 ) -#230720000 -0& -#230736000 -b111000010101 , -#230752000 -1& -#230768000 -b11110101111100111111111011110011 " -b11110101111100111111111011110011 4 -b11110101111100111111111011110011 1 -b11110101111100111111111011110011 C -b1110010010110 0 -b1110010010110 H -b1110001011000100010110101100 < -b11110010110111100001101010100000 2 -b11110010110111100001101010100000 = -b11110010110111100001101010100000 : -b11100100101100011101010011110011 $ -b11100100101100011101010011110011 - -b11100100101100011101010011110011 5 -b11100100101100011101010011110011 ? -b11100100101100011101010011110011 D -b11110001110100111011101001010011 % -b11110001110100111011101001010011 . -b11110001110100111011101001010011 6 -b11110001110100111011101001010011 @ -b11110001110100111011101001010011 F -b11110101111100111111111011110011 ) -#230784000 -0& -#230800000 -b111000010110 , -#230816000 -1& -#230832000 -b11111011111111111111001001111111 " -b11111011111111111111001001111111 4 -b11111011111111111111001001111111 1 -b11111011111111111111001001111111 C -b1111100011111011000 0 -b1111100011111011000 H -18 -b11000100111010000001111111010010 < -b10111101111000110011001000101101 2 -b10111101111000110011001000101101 = -b10111101111000110011001000101101 : -b11111000111110110001001001011010 $ -b11111000111110110001001001011010 - -b11111000111110110001001001011010 5 -b11111000111110110001001001011010 ? -b11111000111110110001001001011010 D -b111011000101111110000000101101 % -b111011000101111110000000101101 . -b111011000101111110000000101101 6 -b111011000101111110000000101101 @ -b111011000101111110000000101101 F -b11111011111111111111001001111111 ) -#230848000 -0& -#230864000 -b111000010111 , -#230880000 -1& -#230896000 -b11111111111111110011101110111001 " -b11111111111111110011101110111001 4 -b11111111111111110011101110111001 1 -b11111111111111110011101110111001 C -b1111111 0 -b1111111 H -b10101000010010011100110011000110 < -b10100111010010010000011101111000 2 -b10100111010010010000011101111000 = -b10100111010010010000011101111000 : -b11111110111111110011101010110001 $ -b11111110111111110011101010110001 - -b11111110111111110011101010110001 5 -b11111110111111110011101010110001 ? -b11111110111111110011101010110001 D -b1010111101101100011001100111001 % -b1010111101101100011001100111001 . -b1010111101101100011001100111001 6 -b1010111101101100011001100111001 @ -b1010111101101100011001100111001 F -b11111111111111110011101110111001 ) -#230912000 -0& -#230928000 -b111000011000 , -#230944000 -1& -#230960000 -b11101111011111111101111100111011 " -b11101111011111111101111100111011 4 -b11101111011111111101111100111011 1 -b11101111011111111101111100111011 C -b111011 0 -b111011 H -08 -b10100100100000010010111000101 < -b111001110010110011101001 2 -b111001110010110011101001 = -b111001110010110011101001 : -b11101100010101110000011100100011 $ -b11101100010101110000011100100011 - -b11101100010101110000011100100011 5 -b11101100010101110000011100100011 ? -b11101100010101110000011100100011 D -b11101011011011111101101000111010 % -b11101011011011111101101000111010 . -b11101011011011111101101000111010 6 -b11101011011011111101101000111010 @ -b11101011011011111101101000111010 F -b11101111011111111101111100111011 ) -#230976000 -0& -#230992000 -b111000011001 , -#231008000 -1& -#231024000 -b11111111101111100111110001111111 " -b11111111101111100111110001111111 4 -b11111111101111100111110001111111 1 -b11111111101111100111110001111111 C -b1001110101111100111110 0 -b1001110101111100111110 H -b1000010000111100011111010110 < -b1010111000000100100010000101101 2 -b1010111000000100100010000101101 = -b1010111000000100100010000101101 : -b1001110101111100111110001010110 $ -b1001110101111100111110001010110 - -b1001110101111100111110001010110 5 -b1001110101111100111110001010110 ? -b1001110101111100111110001010110 D -b11110111101111000011100000101001 % -b11110111101111000011100000101001 . -b11110111101111000011100000101001 6 -b11110111101111000011100000101001 @ -b11110111101111000011100000101001 F -b11111111101111100111110001111111 ) -#231040000 -0& -#231056000 -b111000011010 , -#231072000 -1& -#231088000 -b11111111111111111110110111101111 " -b11111111111111111110110111101111 4 -b11111111111111111110110111101111 1 -b11111111111111111110110111101111 C -b1111011011111111 0 -b1111011011111111 H -19 -08 -b1000000000000101001001010110000 < -b10111011100000100011011101011110 2 -b10111011100000100011011101011110 = -b10111011100000100011011101011110 : -b1111011011111111010010010101101 $ -b1111011011111111010010010101101 - -b1111011011111111010010010101101 5 -b1111011011111111010010010101101 ? -b1111011011111111010010010101101 D -b10111111111111010110110101001111 % -b10111111111111010110110101001111 . -b10111111111111010110110101001111 6 -b10111111111111010110110101001111 @ -b10111111111111010110110101001111 F -b11111111111111111110110111101111 ) -#231104000 -0& -#231120000 -b111000011011 , -#231136000 -1& -#231152000 -b1111111111110111001100110101101 " -b1111111111110111001100110101101 4 -b1111111111110111001100110101101 1 -b1111111111110111001100110101101 C -b1110101111010111001100 0 -b1110101111010111001100 H -09 -08 -b11000000000001001110011001010110 < -b110101111100001000000000000100 2 -b110101111100001000000000000100 = -b110101111100001000000000000100 : -b1110101111010111001100110101101 $ -b1110101111010111001100110101101 - -b1110101111010111001100110101101 5 -b1110101111010111001100110101101 ? -b1110101111010111001100110101101 D -b111111111110110001100110101001 % -b111111111110110001100110101001 . -b111111111110110001100110101001 6 -b111111111110110001100110101001 @ -b111111111110110001100110101001 F -b1111111111110111001100110101101 ) -#231168000 -0& -#231184000 -b111000011100 , -#231200000 -1& -#231216000 -b11111111111101011111111110111111 " -b11111111111101011111111110111111 4 -b11111111111101011111111110111111 1 -b11111111111101011111111110111111 C -b11111 0 -b11111 H -08 -b101000000011100010000101000100 < -b100100001000111110000011100001 2 -b100100001000111110000011100001 = -b100100001000111110000011100001 : -b11111100000101011011111110011100 $ -b11111100000101011011111110011100 - -b11111100000101011011111110011100 5 -b11111100000101011011111110011100 ? -b11111100000101011011111110011100 D -b11010111111100011101111010111011 % -b11010111111100011101111010111011 . -b11010111111100011101111010111011 6 -b11010111111100011101111010111011 @ -b11010111111100011101111010111011 F -b11111111111101011111111110111111 ) -#231232000 -0& -#231248000 -b111000011101 , -#231264000 -1& -#231280000 -b11110111111111110011001111011111 " -b11110111111111110011001111011111 4 -b11110111111111110011001111011111 1 -b11110111111111110011001111011111 C -b111 0 -b111 H -18 -b1000011000001111110010100010 < -b11111011011000000010111000101101 2 -b11111011011000000010111000101101 = -b11111011011000000010111000101101 : -b11110010111111110011000110001010 $ -b11110010111111110011000110001010 - -b11110010111111110011000110001010 5 -b11110010111111110011000110001010 ? -b11110010111111110011000110001010 D -b11110111100111110000001101011101 % -b11110111100111110000001101011101 . -b11110111100111110000001101011101 6 -b11110111100111110000001101011101 @ -b11110111100111110000001101011101 F -b11110111111111110011001111011111 ) -#231296000 -0& -#231312000 -b111000011110 , -#231328000 -1& -#231344000 -b11111011111011111111101111101110 " -b11111011111011111111101111101110 4 -b11111011111011111111101111101110 1 -b11111011111011111111101111101110 C -b1110111110100111010011010011 0 -b1110111110100111010011010011 H -08 -b10100000100001101011100011101 < -b1001111111110101010101001101100 2 -b1001111111110101010101001101100 = -b1001111111110101010101001101100 : -b111011111010011101001101001110 $ -b111011111010011101001101001110 - -b111011111010011101001101001110 5 -b111011111010011101001101001110 ? -b111011111010011101001101001110 D -b11101011111011110010100011100010 % -b11101011111011110010100011100010 . -b11101011111011110010100011100010 6 -b11101011111011110010100011100010 @ -b11101011111011110010100011100010 F -b11111011111011111111101111101110 ) -#231360000 -0& -#231376000 -b111000011111 , -#231392000 -1& -#231408000 -b11111111111111111111011101111111 " -b11111111111111111111011101111111 4 -b11111111111111111111011101111111 1 -b11111111111111111111011101111111 C -b0 0 -b0 H -b1001000000001100110010100000 < -b1010110111110101011000011010000 2 -b1010110111110101011000011010000 = -b1010110111110101011000011010000 : -b1001101111110011110010000101111 $ -b1001101111110011110010000101111 - -b1001101111110011110010000101111 5 -b1001101111110011110010000101111 ? -b1001101111110011110010000101111 D -b11110110111111110011001101011111 % -b11110110111111110011001101011111 . -b11110110111111110011001101011111 6 -b11110110111111110011001101011111 @ -b11110110111111110011001101011111 F -b11111111111111111111011101111111 ) -#231424000 -0& -#231440000 -b111000100000 , -#231456000 -1& -#231472000 -b11111111111101111110111111111111 " -b11111111111101111110111111111111 4 -b11111111111101111110111111111111 1 -b11111111111101111110111111111111 C -b1011110111110 0 -b1011110111110 H -19 -18 -b10000000000010000001001100001100 < -b111101111111110101011001111100 2 -b111101111111110101011001111100 = -b111101111111110101011001111100 : -b10111101111101110100001101101111 $ -b10111101111101110100001101101111 - -b10111101111101110100001101101111 5 -b10111101111101110100001101101111 ? -b10111101111101110100001101101111 D -b1111111111101111110110011110011 % -b1111111111101111110110011110011 . -b1111111111101111110110011110011 6 -b1111111111101111110110011110011 @ -b1111111111101111110110011110011 F -b11111111111101111110111111111111 ) -#231488000 -0& -#231504000 -b111000100001 , -#231520000 -1& -#231536000 -b11101111111111111111101111100110 " -b11101111111111111111101111100110 4 -b11101111111111111111101111100110 1 -b11101111111111111111101111100110 C -b11001101111111111010000111 0 -b11001101111111111010000111 H -b10010000000000001000010101011001 < -b1011110000000000010011100011010 2 -b1011110000000000010011100011010 = -b1011110000000000010011100011010 : -b11001101111111111010000111000000 $ -b11001101111111111010000111000000 - -b11001101111111111010000111000000 5 -b11001101111111111010000111000000 ? -b11001101111111111010000111000000 D -b1101111111111110111101010100110 % -b1101111111111110111101010100110 . -b1101111111111110111101010100110 6 -b1101111111111110111101010100110 @ -b1101111111111110111101010100110 F -b11101111111111111111101111100110 ) -#231552000 -0& -#231568000 -b111000100010 , -#231584000 -1& -#231600000 -b1111111110111111010110111111110 " -b1111111110111111010110111111110 4 -b1111111110111111010110111111110 1 -b1111111110111111010110111111110 C -b101110011011111 0 -b101110011011111 H -09 -18 -b10010000101000000101101100101111 < -b11101101011111111110100100011110 2 -b11101101011111111110100100011110 = -b11101101011111111110100100011110 : -b1011100110111111000110111101110 $ -b1011100110111111000110111101110 - -b1011100110111111000110111101110 5 -b1011100110111111000110111101110 ? -b1011100110111111000110111101110 D -b1101111010111111010010011010000 % -b1101111010111111010010011010000 . -b1101111010111111010010011010000 6 -b1101111010111111010010011010000 @ -b1101111010111111010010011010000 F -b1111111110111111010110111111110 ) -#231616000 -0& -#231632000 -b111000100011 , -#231648000 -1& -#231664000 -b11111111111111111001110110101111 " -b11111111111111111001110110101111 4 -b11111111111111111001110110101111 1 -b11111111111111111001110110101111 C -b111101101111111100 0 -b111101101111111100 H -08 -b10000000010110101011010010 < -b1111101100000001111100010000010 2 -b1111101100000001111100010000010 = -b1111101100000001111100010000010 : -b1111011011111111000110110101111 $ -b1111011011111111000110110101111 - -b1111011011111111000110110101111 5 -b1111011011111111000110110101111 ? -b1111011011111111000110110101111 D -b11111101111111101001010100101101 % -b11111101111111101001010100101101 . -b11111101111111101001010100101101 6 -b11111101111111101001010100101101 @ -b11111101111111101001010100101101 F -b11111111111111111001110110101111 ) -#231680000 -0& -#231696000 -b111000100100 , -#231712000 -1& -#231728000 -b11111111111111111101111010111011 " -b11111111111111111101111010111011 4 -b11111111111111111101111010111011 1 -b11111111111111111101111010111011 C -b1111111111111101010101 0 -b1111111111111101010101 H -18 -09 -b10101000010000000010011111010101 < -b10101000001111010111111010010001 2 -b10101000001111010111111010010001 = -b10101000001111010111111010010001 : -b11111111111111010101011010111011 $ -b11111111111111010101011010111011 - -b11111111111111010101011010111011 5 -b11111111111111010101011010111011 ? -b11111111111111010101011010111011 D -b1010111101111111101100000101010 % -b1010111101111111101100000101010 . -b1010111101111111101100000101010 6 -b1010111101111111101100000101010 @ -b1010111101111111101100000101010 F -b11111111111111111101111010111011 ) -#231744000 -0& -#231760000 -b111000100101 , -#231776000 -1& -#231792000 -b11111111111111111111110010101011 " -b11111111111111111111110010101011 4 -b11111111111111111111110010101011 1 -b11111111111111111111110010101011 C -b111101111101101101110 0 -b111101111101101101110 H -b11010000000100100100001101010100 < -b11000111111011011011001101011000 2 -b11000111111011011011001101011000 = -b11000111111011011011001101011000 : -b11110111110110110111000000000011 $ -b11110111110110110111000000000011 - -b11110111110110110111000000000011 5 -b11110111110110110111000000000011 ? -b11110111110110110111000000000011 D -b101111111011011011110010101011 % -b101111111011011011110010101011 . -b101111111011011011110010101011 6 -b101111111011011011110010101011 @ -b101111111011011011110010101011 F -b11111111111111111111110010101011 ) -#231808000 -0& -#231824000 -b111000100110 , -#231840000 -1& -#231856000 -b11111111111111110111111001011111 " -b11111111111111110111111001011111 4 -b11111111111111110111111001011111 1 -b11111111111111110111111001011111 C -b11111111111011110100101000 0 -b11111111111011110100101000 H -08 -b10000001000001110111001 < -b1011111100110111010011 2 -b1011111100110111010011 = -b1011111100110111010011 : -b11111111111011110100101000011001 $ -b11111111111011110100101000011001 - -b11111111111011110100101000011001 5 -b11111111111011110100101000011001 ? -b11111111111011110100101000011001 D -b11111111101111110111110001000110 % -b11111111101111110111110001000110 . -b11111111101111110111110001000110 6 -b11111111101111110111110001000110 @ -b11111111101111110111110001000110 F -b11111111111111110111111001011111 ) -#231872000 -0& -#231888000 -b111000100111 , -#231904000 -1& -#231920000 -b11111111111011111011011111111110 " -b11111111111011111011011111111110 4 -b11111111111011111011011111111110 1 -b11111111111011111011011111111110 C -b11 0 -b11 H -18 -b10111100000110000100110110000001 < -b10111100000001011110001101110000 2 -b10111100000001011110001101110000 = -b10111100000001011110001101110000 : -b11111111111011011001010111101110 $ -b11111111111011011001010111101110 - -b11111111111011011001010111101110 5 -b11111111111011011001010111101110 ? -b11111111111011011001010111101110 D -b1000011111001111011001001111110 % -b1000011111001111011001001111110 . -b1000011111001111011001001111110 6 -b1000011111001111011001001111110 @ -b1000011111001111011001001111110 F -b11111111111011111011011111111110 ) -#231936000 -0& -#231952000 -b111000101000 , -#231968000 -1& -#231984000 -b10111111111011111101101111111101 " -b10111111111011111101101111111101 4 -b10111111111011111101101111111101 1 -b10111111111011111101101111111101 C -b10101111110 0 -b10101111110 H -19 -18 -b11001000000111100010110100001010 < -b1110111111011010000100000010111 2 -b1110111111011010000100000010111 = -b1110111111011010000100000010111 : -b10101111110011101101101100001100 $ -b10101111110011101101101100001100 - -b10101111110011101101101100001100 5 -b10101111110011101101101100001100 ? -b10101111110011101101101100001100 D -b110111111000011101001011110101 % -b110111111000011101001011110101 . -b110111111000011101001011110101 6 -b110111111000011101001011110101 @ -b110111111000011101001011110101 F -b10111111111011111101101111111101 ) -#232000000 -0& -#232016000 -b111000101001 , -#232032000 -1& -#232048000 -b11111111111111110101100011100111 " -b11111111111111110101100011100111 4 -b11111111111111110101100011100111 1 -b11111111111111110101100011100111 C -b1111111101111111000010001100011 0 -b1111111101111111000010001100011 H -09 -18 -b10000010000101011010011101011110 < -b10000001100101001011000000100110 2 -b10000001100101001011000000100110 = -b10000001100101001011000000100110 : -b11111111011111110000100011000111 $ -b11111111011111110000100011000111 - -b11111111011111110000100011000111 5 -b11111111011111110000100011000111 ? -b11111111011111110000100011000111 D -b1111101111010100101100010100001 % -b1111101111010100101100010100001 . -b1111101111010100101100010100001 6 -b1111101111010100101100010100001 @ -b1111101111010100101100010100001 F -b11111111111111110101100011100111 ) -#232064000 -0& -#232080000 -b111000101010 , -#232096000 -1& -#232112000 -b11111111111111111110111011011011 " -b11111111111111111110111011011011 4 -b11111111111111111110111011011011 1 -b11111111111111111110111011011011 C -b1101110 0 -b1101110 H -09 -08 -b1010000001000110011000111100110 < -b101110000100101001100010101010 2 -b101110000100101001100010101010 = -b101110000100101001100010101010 : -b11011101111011110110011011000011 $ -b11011101111011110110011011000011 - -b11011101111011110110011011000011 5 -b11011101111011110110011011000011 ? -b11011101111011110110011011000011 D -b10101111110111001100111000011001 % -b10101111110111001100111000011001 . -b10101111110111001100111000011001 6 -b10101111110111001100111000011001 @ -b10101111110111001100111000011001 F -b11111111111111111110111011011011 ) -#232128000 -0& -#232144000 -b111000101011 , -#232160000 -1& -#232176000 -b11111110111111111111111111011111 " -b11111110111111111111111111011111 4 -b11111110111111111111111111011111 1 -b11111110111111111111111111011111 C -b1 0 -b1 H -18 -b1010010000100000110100000 < -b11100000001001010000011100111110 2 -b11100000001001010000011100111110 = -b11100000001001010000011100111110 : -b11011110110111001100010110011101 $ -b11011110110111001100010110011101 - -b11011110110111001100010110011101 5 -b11011110110111001100010110011101 ? -b11011110110111001100010110011101 D -b11111110101101111011111001011111 % -b11111110101101111011111001011111 . -b11111110101101111011111001011111 6 -b11111110101101111011111001011111 @ -b11111110101101111011111001011111 F -b11111110111111111111111111011111 ) -#232192000 -0& -#232208000 -b111000101100 , -#232224000 -1& -#232240000 -b1111111110111011111111111111001 " -b1111111110111011111111111111001 4 -b1111111110111011111111111111001 1 -b1111111110111011111111111111001 C -b1111111 0 -b1111111 H -08 -b10000110101001100000000000100111 < -b110010000101111100011011001 2 -b110010000101111100011011001 = -b110010000101111100011011001 : -b1111111100111001111100010110001 $ -b1111111100111001111100010110001 - -b1111111100111001111100010110001 5 -b1111111100111001111100010110001 ? -b1111111100111001111100010110001 D -b1111001010110011111111111011000 % -b1111001010110011111111111011000 . -b1111001010110011111111111011000 6 -b1111001010110011111111111011000 @ -b1111001010110011111111111011000 F -b1111111110111011111111111111001 ) -#232256000 -0& -#232272000 -b111000101101 , -#232288000 -1& -#232304000 -b11111011111111111111110111110111 " -b11111011111111111111110111110111 4 -b11111011111111111111110111110111 1 -b11111011111111111111110111110111 C -b11111011101 0 -b11111011101 H -08 -b1100001000000011001001001010 < -b111110110111010011010001110 2 -b111110110111010011010001110 = -b111110110111010011010001110 : -b11111011101110110111010001000011 $ -b11111011101110110111010001000011 - -b11111011101110110111010001000011 5 -b11111011101110110111010001000011 ? -b11111011101110110111010001000011 D -b11110011110111111100110110110101 % -b11110011110111111100110110110101 . -b11110011110111111100110110110101 6 -b11110011110111111100110110110101 @ -b11110011110111111100110110110101 F -b11111011111111111111110111110111 ) -#232320000 -0& -#232336000 -b111000101110 , -#232352000 -1& -#232368000 -b11111111111011110001110111111010 " -b11111111111011110001110111111010 4 -b11111111111011110001110111111010 1 -b11111111111011110001110111111010 C -b10011111100011100010001 0 -b10011111100011100010001 H -b100100100101110001110010111 < -b1010100010110011111010100110010 2 -b1010100010110011111010100110010 = -b1010100010110011111010100110010 : -b1001111110001110001000110011010 $ -b1001111110001110001000110011010 - -b1001111110001110001000110011010 5 -b1001111110001110001000110011010 ? -b1001111110001110001000110011010 D -b11111011011011010001110001101000 % -b11111011011011010001110001101000 . -b11111011011011010001110001101000 6 -b11111011011011010001110001101000 @ -b11111011011011010001110001101000 F -b11111111111011110001110111111010 ) -#232384000 -0& -#232400000 -b111000101111 , -#232416000 -1& -#232432000 -b1111101111011111010111011111011 " -b1111101111011111010111011111011 4 -b1111101111011111010111011111011 1 -b1111101111011111010111011111011 C -b1101101 0 -b1101101 H -b10101110011100111101000100000111 < -b11100010110110101111111100011 2 -b11100010110110101111111100011 = -b11100010110110101111111100011 : -b1101101111001111000111011011011 $ -b1101101111001111000111011011011 - -b1101101111001111000111011011011 5 -b1101101111001111000111011011011 ? -b1101101111001111000111011011011 D -b1010001100011000010111011111000 % -b1010001100011000010111011111000 . -b1010001100011000010111011111000 6 -b1010001100011000010111011111000 @ -b1010001100011000010111011111000 F -b1111101111011111010111011111011 ) -#232448000 -0& -#232464000 -b111000110000 , -#232480000 -1& -#232496000 -b11111111111111110111100111110010 " -b11111111111111110111100111110010 4 -b11111111111111110111100111110010 1 -b11111111111111110111100111110010 C -b11010101101111 0 -b11010101101111 H -18 -b11100111000001101 < -b11010101110000010011011101010000 2 -b11010101110000010011011101010000 = -b11010101110000010011011101010000 : -b11010101101111110110100101000010 $ -b11010101101111110110100101000010 - -b11010101101111110110100101000010 5 -b11010101101111110110100101000010 ? -b11010101101111110110100101000010 D -b11111111111111100011000111110010 % -b11111111111111100011000111110010 . -b11111111111111100011000111110010 6 -b11111111111111100011000111110010 @ -b11111111111111100011000111110010 F -b11111111111111110111100111110010 ) -#232512000 -0& -#232528000 -b111000110001 , -#232544000 -1& -#232560000 -b1101111111111111110110111111010 " -b1101111111111111110110111111010 4 -b1101111111111111110110111111010 1 -b1101111111111111110110111111010 C -b11001110111111111101001 0 -b11001110111111111101001 H -18 -b10010010000000001001001100110111 < -b11111001100000000111110100110010 2 -b11111001100000000111110100110010 = -b11111001100000000111110100110010 : -b1100111011111111110100111111010 $ -b1100111011111111110100111111010 - -b1100111011111111110100111111010 5 -b1100111011111111110100111111010 ? -b1100111011111111110100111111010 D -b1101101111111110110110011001000 % -b1101101111111110110110011001000 . -b1101101111111110110110011001000 6 -b1101101111111110110110011001000 @ -b1101101111111110110110011001000 F -b1101111111111111110110111111010 ) -#232576000 -0& -#232592000 -b111000110010 , -#232608000 -1& -#232624000 -b11111011111111111111011011011101 " -b11111011111111111111011011011101 4 -b11111011111111111111011011011101 1 -b11111011111111111111011011011101 C -b1111001011111110110 0 -b1111001011111110110 H -19 -18 -b10000100011101001101100100110010 < -b1110111011100111010101111001111 2 -b1110111011100111010101111001111 = -b1110111011100111010101111001111 : -b11110010111111101101001010011100 $ -b11110010111111101101001010011100 - -b11110010111111101101001010011100 5 -b11110010111111101101001010011100 ? -b11110010111111101101001010011100 D -b1111011100010110010011011001101 % -b1111011100010110010011011001101 . -b1111011100010110010011011001101 6 -b1111011100010110010011011001101 @ -b1111011100010110010011011001101 F -b11111011111111111111011011011101 ) -#232640000 -0& -#232656000 -b111000110011 , -#232672000 -1& -#232688000 -b11111111111101110111111111011101 " -b11111111111101110111111111011101 4 -b11111111111101110111111111011101 1 -b11111111111101110111111111011101 C -b10011111 0 -b10011111 H -b10010100001010011001001011100111 < -b110011100110101110110110101101 2 -b110011100110101110110110101101 = -b110011100110101110110110101101 : -b10011111011100010101101011000101 $ -b10011111011100010101101011000101 - -b10011111011100010101101011000101 5 -b10011111011100010101101011000101 ? -b10011111011100010101101011000101 D -b1101011110101100110110100011000 % -b1101011110101100110110100011000 . -b1101011110101100110110100011000 6 -b1101011110101100110110100011000 @ -b1101011110101100110110100011000 F -b11111111111101110111111111011101 ) -#232704000 -0& -#232720000 -b111000110100 , -#232736000 -1& -#232752000 -b1111111111111111100101111101011 " -b1111111111111111100101111101011 4 -b1111111111111111100101111101011 1 -b1111111111111111100101111101011 C -b11111111111110111001 0 -b11111111111110111001 H -08 -09 -b11110001000110000111010101110100 < -b1110001000101100100000101011101 2 -b1110001000101100100000101011101 = -b1110001000101100100000101011101 : -b1111111111111011100101111101000 $ -b1111111111111011100101111101000 - -b1111111111111011100101111101000 5 -b1111111111111011100101111101000 ? -b1111111111111011100101111101000 D -b1110111001111000101010001011 % -b1110111001111000101010001011 . -b1110111001111000101010001011 6 -b1110111001111000101010001011 @ -b1110111001111000101010001011 F -b1111111111111111100101111101011 ) -#232768000 -0& -#232784000 -b111000110101 , -#232800000 -1& -#232816000 -b10110111111111111111111111111111 " -b10110111111111111111111111111111 4 -b10110111111111111111111111111111 1 -b10110111111111111111111111111111 C -b101 0 -b101 H -18 -b11001000100000000111011010100010 < -b10000000011101000110111010001101 2 -b10000000011101000110111010001101 = -b10000000011101000110111010001101 : -b10110111111100111111011111101010 $ -b10110111111100111111011111101010 - -b10110111111100111111011111101010 5 -b10110111111100111111011111101010 ? -b10110111111100111111011111101010 D -b110111011111111000100101011101 % -b110111011111111000100101011101 . -b110111011111111000100101011101 6 -b110111011111111000100101011101 @ -b110111011111111000100101011101 F -b10110111111111111111111111111111 ) -#232832000 -0& -#232848000 -b111000110110 , -#232864000 -1& -#232880000 -b1111011111111011011011001011111 " -b1111011111111011011011001011111 4 -b1111011111111011011011001011111 1 -b1111011111111011011011001011111 C -b11 0 -b11 H -18 -b10000110000000100100111110100010 < -b11111001011110110101011000000010 2 -b11111001011110110101011000000010 = -b11111001011110110101011000000010 : -b1110011011110010000011001011111 $ -b1110011011110010000011001011111 - -b1110011011110010000011001011111 5 -b1110011011110010000011001011111 ? -b1110011011110010000011001011111 D -b1111001111111011011000001011101 % -b1111001111111011011000001011101 . -b1111001111111011011000001011101 6 -b1111001111111011011000001011101 @ -b1111001111111011011000001011101 F -b1111011111111011011011001011111 ) -#232896000 -0& -#232912000 -b111000110111 , -#232928000 -1& -#232944000 -b11111111111110011111111111101111 " -b11111111111110011111111111101111 4 -b11111111111110011111111111101111 1 -b11111111111110011111111111101111 C -b11111110110110011 0 -b11111110110110011 H -08 -b10010101100000110101010000 < -b1001011111001110100010010 2 -b1001011111001110100010010 = -b1001011111001110100010010 : -b11111110110110011000111111000001 $ -b11111110110110011000111111000001 - -b11111110110110011000111111000001 5 -b11111110110110011000111111000001 ? -b11111110110110011000111111000001 D -b11111101101010011111001010101111 % -b11111101101010011111001010101111 . -b11111101101010011111001010101111 6 -b11111101101010011111001010101111 @ -b11111101101010011111001010101111 F -b11111111111110011111111111101111 ) -#232960000 -0& -#232976000 -b111000111000 , -#232992000 -1& -#233008000 -b11111111111110111011010000111111 " -b11111111111110111011010000111111 4 -b11111111111110111011010000111111 1 -b11111111111110111011010000111111 C -b11 0 -b11 H -18 -b11100000000101000110101111100001 < -b11001010001001010010000000000111 2 -b11001010001001010010000000000111 = -b11001010001001010010000000000111 : -b11101010000100001011010000100101 $ -b11101010000100001011010000100101 - -b11101010000100001011010000100101 5 -b11101010000100001011010000100101 ? -b11101010000100001011010000100101 D -b11111111010111001010000011110 % -b11111111010111001010000011110 . -b11111111010111001010000011110 6 -b11111111010111001010000011110 @ -b11111111010111001010000011110 F -b11111111111110111011010000111111 ) -#233024000 -0& -#233040000 -b111000111001 , -#233056000 -1& -#233072000 -b11111111111111111111010101100110 " -b11111111111111111111010101100110 4 -b11111111111111111111010101100110 1 -b11111111111111111111010101100110 C -b10111111111110111100001011001 0 -b10111111111110111100001011001 H -08 -b1000110101110011101 < -b1100000000000100100110100000100 2 -b1100000000000100100110100000100 = -b1100000000000100100110100000100 : -b1011111111111011110000101100110 $ -b1011111111111011110000101100110 - -b1011111111111011110000101100110 5 -b1011111111111011110000101100110 ? -b1011111111111011110000101100110 D -b11111111111110111001010001100010 % -b11111111111110111001010001100010 . -b11111111111110111001010001100010 6 -b11111111111110111001010001100010 @ -b11111111111110111001010001100010 F -b11111111111111111111010101100110 ) -#233088000 -0& -#233104000 -b111000111010 , -#233120000 -1& -#233136000 -b11101111101111100011111111111011 " -b11101111101111100011111111111011 4 -b11101111101111100011111111111011 1 -b11101111101111100011111111111011 C -b1110111010101 0 -b1110111010101 H -08 -b1010000010000011100110001101100 < -b111110111011111101100101010110 2 -b111110111011111101100101010110 = -b111110111011111101100101010110 : -b11101110101011100000110011101001 $ -b11101110101011100000110011101001 - -b11101110101011100000110011101001 5 -b11101110101011100000110011101001 ? -b11101110101011100000110011101001 D -b10101111101111100011001110010011 % -b10101111101111100011001110010011 . -b10101111101111100011001110010011 6 -b10101111101111100011001110010011 @ -b10101111101111100011001110010011 F -b11101111101111100011111111111011 ) -#233152000 -0& -#233168000 -b111000111011 , -#233184000 -1& -#233200000 -b111110111111110110101111011111 " -b111110111111110110101111011111 4 -b111110111111110110101111011111 1 -b111110111111110110101111011111 C -b1011001 0 -b1011001 H -b11101001000100101001011001101000 < -b10110000100011010000111000010 2 -b10110000100011010000111000010 = -b10110000100011010000111000010 : -b101100111111110000101101011001 $ -b101100111111110000101101011001 - -b101100111111110000101101011001 5 -b101100111111110000101101011001 ? -b101100111111110000101101011001 D -b10110111011010110100110010111 % -b10110111011010110100110010111 . -b10110111011010110100110010111 6 -b10110111011010110100110010111 @ -b10110111011010110100110010111 F -b111110111111110110101111011111 ) -#233216000 -0& -#233232000 -b111000111100 , -#233248000 -1& -#233264000 -b11111111101111111111011001011111 " -b11111111101111111111011001011111 4 -b11111111101111111111011001011111 1 -b11111111101111111111011001011111 C -b1110101011111110110100000111 0 -b1110101011111110110100000111 H -b11000001001110110111101 < -b111011001000000101000111011011 2 -b111011001000000101000111011011 = -b111011001000000101000111011011 : -b111010101111111011010000011101 $ -b111010101111111011010000011101 - -b111010101111111011010000011101 5 -b111010101111111011010000011101 ? -b111010101111111011010000011101 D -b11111111100111110110001001000010 % -b11111111100111110110001001000010 . -b11111111100111110110001001000010 6 -b11111111100111110110001001000010 @ -b11111111100111110110001001000010 F -b11111111101111111111011001011111 ) -#233280000 -0& -#233296000 -b111000111101 , -#233312000 -1& -#233328000 -b11111110111111111111101111111101 " -b11111110111111111111101111111101 4 -b11111110111111111111101111111101 1 -b11111110111111111111101111111101 C -b1110 0 -b1110 H -18 -b1000000100001011000100011 < -b11101111100000001011000111010101 2 -b11101111100000001011000111010101 = -b11101111100000001011000111010101 : -b11101110011111101001101110110001 $ -b11101110011111101001101110110001 - -b11101110011111101001101110110001 5 -b11101110011111101001101110110001 ? -b11101110011111101001101110110001 D -b11111110111111011110100111011100 % -b11111110111111011110100111011100 . -b11111110111111011110100111011100 6 -b11111110111111011110100111011100 @ -b11111110111111011110100111011100 F -b11111110111111111111101111111101 ) -#233344000 -0& -#233360000 -b111000111110 , -#233376000 -1& -#233392000 -b11111111111111111111111111101101 " -b11111111111111111111111111101101 4 -b11111111111111111111111111101101 1 -b11111111111111111111111111101101 C -b101111110110101101111001100 0 -b101111110110101101111001100 H -b100000010000010101000000011010 < -b11011111101011001100100110100011 2 -b11011111101011001100100110100011 = -b11011111101011001100100110100011 : -b10111111011010110111100110001000 $ -b10111111011010110111100110001000 - -b10111111011010110111100110001000 5 -b10111111011010110111100110001000 ? -b10111111011010110111100110001000 D -b11011111101111101010111111100101 % -b11011111101111101010111111100101 . -b11011111101111101010111111100101 6 -b11011111101111101010111111100101 @ -b11011111101111101010111111100101 F -b11111111111111111111111111101101 ) -#233408000 -0& -#233424000 -b111000111111 , -#233440000 -1& -#233456000 -b1101010111011111111011011110101 " -b1101010111011111111011011110101 4 -b1101010111011111111011011110101 1 -b1101010111011111111011011110101 C -b1010101110111 0 -b1010101110111 H -18 -b10010111011100010010100110001110 < -b11000010011000010001110001110011 2 -b11000010011000010001110001110011 = -b11000010011000010001110001110011 : -b101010111011111111001011100100 $ -b101010111011111111001011100100 - -b101010111011111111001011100100 5 -b101010111011111111001011100100 ? -b101010111011111111001011100100 D -b1101000100011101101011001110001 % -b1101000100011101101011001110001 . -b1101000100011101101011001110001 6 -b1101000100011101101011001110001 @ -b1101000100011101101011001110001 F -b1101010111011111111011011110101 ) -#233472000 -0& -#233488000 -b111001000000 , -#233504000 -1& -#233520000 -b11111110111111110111111111111100 " -b11111110111111110111111111111100 4 -b11111110111111110111111111111100 1 -b11111110111111110111111111111100 C -b111110101011 0 -b111110101011 H -b11010011101001001001110101101011 < -b11001110010111000001101101010100 2 -b11001110010111000001101101010100 = -b11001110010111000001101101010100 : -b11111010101101110111110111101000 $ -b11111010101101110111110111101000 - -b11111010101101110111110111101000 5 -b11111010101101110111110111101000 ? -b11111010101101110111110111101000 D -b101100010110110110001010010100 % -b101100010110110110001010010100 . -b101100010110110110001010010100 6 -b101100010110110110001010010100 @ -b101100010110110110001010010100 F -b11111110111111110111111111111100 ) -#233536000 -0& -#233552000 -b111001000001 , -#233568000 -1& -#233584000 -b11111111111110111111011111111111 " -b11111111111110111111011111111111 4 -b11111111111110111111011111111111 1 -b11111111111110111111011111111111 C -b111 0 -b111 H -08 -b101000000001000001100000100010 < -b100111101011111010101111100001 2 -b100111101011111010101111100001 = -b100111101011111010101111100001 : -b11111111101010111001001110111110 $ -b11111111101010111001001110111110 - -b11111111101010111001001110111110 5 -b11111111101010111001001110111110 ? -b11111111101010111001001110111110 D -b11010111111110111110011111011101 % -b11010111111110111110011111011101 . -b11010111111110111110011111011101 6 -b11010111111110111110011111011101 @ -b11010111111110111110011111011101 F -b11111111111110111111011111111111 ) -#233600000 -0& -#233616000 -b111001000010 , -#233632000 -1& -#233648000 -b11111111111111110111010111011111 " -b11111111111111110111010111011111 4 -b11111111111111110111010111011111 1 -b11111111111111110111010111011111 C -b11011001011111110110010111011 0 -b11011001011111110110010111011 H -18 -19 -b10000000000001101010101001111100 < -b1011001100001100001000001011001 2 -b1011001100001100001000001011001 = -b1011001100001100001000001011001 : -b11011001011111110110010111011100 $ -b11011001011111110110010111011100 - -b11011001011111110110010111011100 5 -b11011001011111110110010111011100 ? -b11011001011111110110010111011100 D -b1111111111110010101010110000011 % -b1111111111110010101010110000011 . -b1111111111110010101010110000011 6 -b1111111111110010101010110000011 @ -b1111111111110010101010110000011 F -b11111111111111110111010111011111 ) -#233664000 -0& -#233680000 -b111001000011 , -#233696000 -1& -#233712000 -b11101111111111111111100111111011 " -b11101111111111111111100111111011 4 -b11101111111111111111100111111011 1 -b11101111111111111111100111111011 C -b110111111111101111100 0 -b110111111111101111100 H -08 -b1010001000100001010011010110101 < -b11000001000011101001011101110001 2 -b11000001000011101001011101110001 = -b11000001000011101001011101110001 : -b1101111111111011111000010111011 $ -b1101111111111011111000010111011 - -b1101111111111011111000010111011 5 -b1101111111111011111000010111011 ? -b1101111111111011111000010111011 D -b10101110111011110101100101001010 % -b10101110111011110101100101001010 . -b10101110111011110101100101001010 6 -b10101110111011110101100101001010 @ -b10101110111011110101100101001010 F -b11101111111111111111100111111011 ) -#233728000 -0& -#233744000 -b111001000100 , -#233760000 -1& -#233776000 -b1110101111111110010111110011101 " -b1110101111111110010111110011101 4 -b1110101111111110010111110011101 1 -b1110101111111110010111110011101 C -b101010111111100000 0 -b101010111111100000 H -18 -09 -b10011010000110001101000001110010 < -b11110000000101001101000100001111 2 -b11110000000101001101000100001111 = -b11110000000101001101000100001111 : -b1010101111111000000000010011100 $ -b1010101111111000000000010011100 - -b1010101111111000000000010011100 5 -b1010101111111000000000010011100 ? -b1010101111111000000000010011100 D -b1100101111001110010111110001101 % -b1100101111001110010111110001101 . -b1100101111001110010111110001101 6 -b1100101111001110010111110001101 @ -b1100101111001110010111110001101 F -b1110101111111110010111110011101 ) -#233792000 -0& -#233808000 -b111001000101 , -#233824000 -1& -#233840000 -b11111111111100110100111001111111 " -b11111111111100110100111001111111 4 -b11111111111100110100111001111111 1 -b11111111111100110100111001111111 C -b0 0 -b0 H -19 -08 -b1100000110011001011010110100000 < -b11010000101111011100000111001010 2 -b11010000101111011100000111001010 = -b11010000101111011100000111001010 : -b1101111111100010000110000101001 $ -b1101111111100010000110000101001 - -b1101111111100010000110000101001 5 -b1101111111100010000110000101001 ? -b1101111111100010000110000101001 D -b10011111001100110100101001011111 % -b10011111001100110100101001011111 . -b10011111001100110100101001011111 6 -b10011111001100110100101001011111 @ -b10011111001100110100101001011111 F -b11111111111100110100111001111111 ) -#233856000 -0& -#233872000 -b111001000110 , -#233888000 -1& -#233904000 -b11101111111111110011011111011111 " -b11101111111111110011011111011111 4 -b11101111111111110011011111011111 1 -b11101111111111110011011111011111 C -b1110111111011 0 -b1110111111011 H -09 -18 -b10010010000000101100110001101100 < -b10000001111000011101000110111011 2 -b10000001111000011101000110111011 = -b10000001111000011101000110111011 : -b11101111110111110000010101001110 $ -b11101111110111110000010101001110 - -b11101111110111110000010101001110 5 -b11101111110111110000010101001110 ? -b11101111110111110000010101001110 D -b1101101111111010011001110010011 % -b1101101111111010011001110010011 . -b1101101111111010011001110010011 6 -b1101101111111010011001110010011 @ -b1101101111111010011001110010011 F -b11101111111111110011011111011111 ) -#233920000 -0& -#233936000 -b111001000111 , -#233952000 -1& -#233968000 -b1111001111111111101001101011011 " -b1111001111111111101001101011011 4 -b1111001111111111101001101011011 1 -b1111001111111111101001101011011 C -b111100011101 0 -b111100011101 H -08 -b11001110010000010010110110101100 < -b1000111001100000011111011001000 2 -b1000111001100000011111011001000 = -b1000111001100000011111011001000 : -b1111000111011110001000100011011 $ -b1111000111011110001000100011011 - -b1111000111011110001000100011011 5 -b1111000111011110001000100011011 ? -b1111000111011110001000100011011 D -b110001101111101101001001010011 % -b110001101111101101001001010011 . -b110001101111101101001001010011 6 -b110001101111101101001001010011 @ -b110001101111101101001001010011 F -b1111001111111111101001101011011 ) -#233984000 -0& -#234000000 -b111001001000 , -#234016000 -1& -#234032000 -b11101111111111011101111111101111 " -b11101111111111011101111111101111 4 -b11101111111111011101111111101111 1 -b11101111111111011101111111101111 C -b110001000111101110 0 -b110001000111101110 H -19 -08 -b1010000000001110111001000010010 < -b10110010010001010101000111011010 2 -b10110010010001010101000111011010 = -b10110010010001010101000111011010 : -b1100010001111011101111111000111 $ -b1100010001111011101111111000111 - -b1100010001111011101111111000111 5 -b1100010001111011101111111000111 ? -b1100010001111011101111111000111 D -b10101111111110001000110111101101 % -b10101111111110001000110111101101 . -b10101111111110001000110111101101 6 -b10101111111110001000110111101101 @ -b10101111111110001000110111101101 F -b11101111111111011101111111101111 ) -#234048000 -0& -#234064000 -b111001001001 , -#234080000 -1& -#234096000 -b11111110111111111110111110100111 " -b11111110111111111110111110100111 4 -b11111110111111111110111110100111 1 -b11111110111111111110111110100111 C -b1111111011111011011010000 0 -b1111111011111011011010000 H -09 -08 -b1001001000010000011000001011000 < -b1001000000000111001100001111111 2 -b1001000000000111001100001111111 = -b1001000000000111001100001111111 : -b11111110111110110110100000100110 $ -b11111110111110110110100000100110 - -b11111110111110110110100000100110 5 -b11111110111110110110100000100110 ? -b11111110111110110110100000100110 D -b10110110111101111100111110100111 % -b10110110111101111100111110100111 . -b10110110111101111100111110100111 6 -b10110110111101111100111110100111 @ -b10110110111101111100111110100111 F -b11111110111111111110111110100111 ) -#234112000 -0& -#234128000 -b111001001010 , -#234144000 -1& -#234160000 -b11111111111111111011110111011111 " -b11111111111111111011110111011111 4 -b11111111111111111011110111011111 1 -b11111111111111111011110111011111 C -b1 0 -b1 H -b10100010100000101101110100000 < -b1011010011111111010100110001 2 -b1011010011111111010100110001 = -b1011010011111111010100110001 : -b11110110111111111001100110010000 $ -b11110110111111111001100110010000 - -b11110110111111111001100110010000 5 -b11110110111111111001100110010000 ? -b11110110111111111001100110010000 D -b11101011101011111010010001011111 % -b11101011101011111010010001011111 . -b11101011101011111010010001011111 6 -b11101011101011111010010001011111 @ -b11101011101011111010010001011111 F -b11111111111111111011110111011111 ) -#234176000 -0& -#234192000 -b111001001011 , -#234208000 -1& -#234224000 -b11111111011111111111101111101111 " -b11111111011111111111101111101111 4 -b11111111011111111111101111101111 1 -b11111111011111111111101111101111 C -b101111100111111011110 0 -b101111100111111011110 H -b110101000100101011000010101 < -b1100101111000011101000001011101 2 -b1100101111000011101000001011101 = -b1100101111000011101000001011101 : -b1011111001111110111101001000111 $ -b1011111001111110111101001000111 - -b1011111001111110111101001000111 5 -b1011111001111110111101001000111 ? -b1011111001111110111101001000111 D -b11111001010111011010100111101010 % -b11111001010111011010100111101010 . -b11111001010111011010100111101010 6 -b11111001010111011010100111101010 @ -b11111001010111011010100111101010 F -b11111111011111111111101111101111 ) -#234240000 -0& -#234256000 -b111001001100 , -#234272000 -1& -#234288000 -b11110111111111111111011111111111 " -b11110111111111111111011111111111 4 -b11110111111111111111011111111111 1 -b11110111111111111111011111111111 C -b11 0 -b11 H -19 -08 -b111000000000100110100100000010 < -b10101111111110100110000010000010 2 -b10101111111110100110000010000010 = -b10101111111110100110000010000010 : -b1110111111101111111011101111111 $ -b1110111111101111111011101111111 - -b1110111111101111111011101111111 5 -b1110111111101111111011101111111 ? -b1110111111101111111011101111111 D -b11000111111111011001011011111101 % -b11000111111111011001011011111101 . -b11000111111111011001011011111101 6 -b11000111111111011001011011111101 @ -b11000111111111011001011011111101 F -b11110111111111111111011111111111 ) -#234304000 -0& -#234320000 -b111001001101 , -#234336000 -1& -#234352000 -b11111111111111111010110101111101 " -b11111111111111111010110101111101 4 -b11111111111111111010110101111101 1 -b11111111111111111010110101111101 C -b1011111101111011101 0 -b1011111101111011101 H -18 -09 -b10000001101001010010010 < -b10111111101111000111101110101000 2 -b10111111101111000111101110101000 = -b10111111101111000111101110101000 : -b10111111011110111010100100010101 $ -b10111111011110111010100100010101 - -b10111111011110111010100100010101 5 -b10111111011110111010100100010101 ? -b10111111011110111010100100010101 D -b11111111101111110010110101101101 % -b11111111101111110010110101101101 . -b11111111101111110010110101101101 6 -b11111111101111110010110101101101 @ -b11111111101111110010110101101101 F -b11111111111111111010110101111101 ) -#234368000 -0& -#234384000 -b111001001110 , -#234400000 -1& -#234416000 -b11111111111111110101011011111111 " -b11111111111111110101011011111111 4 -b11111111111111110101011011111111 1 -b11111111111111110101011011111111 C -b11111011 0 -b11111011 H -19 -08 -b1001000010011110100110001000 < -b10000110110110010011110000100001 2 -b10000110110110010011110000100001 = -b10000110110110010011110000100001 : -b1111101110011110101001010011000 $ -b1111101110011110101001010011000 - -b1111101110011110101001010011000 5 -b1111101110011110101001010011000 ? -b1111101110011110101001010011000 D -b11110110111101100001011001110111 % -b11110110111101100001011001110111 . -b11110110111101100001011001110111 6 -b11110110111101100001011001110111 @ -b11110110111101100001011001110111 F -b11111111111111110101011011111111 ) -#234432000 -0& -#234448000 -b111001001111 , -#234464000 -1& -#234480000 -b1111111111110101111110001110111 " -b1111111111110101111110001110111 4 -b1111111111110101111110001110111 1 -b1111111111110101111110001110111 C -b111110 0 -b111110 H -09 -18 -b10000101000101010001001110001001 < -b10010100110011110010001111111111 2 -b10010100110011110010001111111111 = -b10010100110011110010001111111111 : -b1111101110100001000001110101 $ -b1111101110100001000001110101 - -b1111101110100001000001110101 5 -b1111101110100001000001110101 ? -b1111101110100001000001110101 D -b1111010111010101110110001110110 % -b1111010111010101110110001110110 . -b1111010111010101110110001110110 6 -b1111010111010101110110001110110 @ -b1111010111010101110110001110110 F -b1111111111110101111110001110111 ) -#234496000 -0& -#234512000 -b111001010000 , -#234528000 -1& -#234544000 -b11111111111111101111111111101111 " -b11111111111111101111111111101111 4 -b11111111111111101111111111101111 1 -b11111111111111101111111111101111 C -b1110101111110101001101111101 0 -b1110101111110101001101111101 H -08 -b100100111000101101111100 < -b1110110100011100010011101101001 2 -b1110110100011100010011101101001 = -b1110110100011100010011101101001 : -b1110101111110101001101111101100 $ -b1110101111110101001101111101100 - -b1110101111110101001101111101100 5 -b1110101111110101001101111101100 ? -b1110101111110101001101111101100 D -b11111111011011000111010010000011 % -b11111111011011000111010010000011 . -b11111111011011000111010010000011 6 -b11111111011011000111010010000011 @ -b11111111011011000111010010000011 F -b11111111111111101111111111101111 ) -#234560000 -0& -#234576000 -b111001010001 , -#234592000 -1& -#234608000 -b11111111101111111110111111110111 " -b11111111101111111110111111110111 4 -b11111111101111111110111111110111 1 -b11111111101111111110111111110111 C -b1111111000010110000101000110 0 -b1111111000010110000101000110 H -19 -08 -b100000010000000001001000111100 < -b10011111010010110001110001110100 2 -b10011111010010110001110001110100 = -b10011111010010110001110001110100 : -b1111111000010110000101000110111 $ -b1111111000010110000101000110111 - -b1111111000010110000101000110111 5 -b1111111000010110000101000110111 ? -b1111111000010110000101000110111 D -b11011111101111111110110111000011 % -b11011111101111111110110111000011 . -b11011111101111111110110111000011 6 -b11011111101111111110110111000011 @ -b11011111101111111110110111000011 F -b11111111101111111110111111110111 ) -#234624000 -0& -#234640000 -b111001010010 , -#234656000 -1& -#234672000 -b11101111111111111000000111011001 " -b11101111111111111000000111011001 4 -b11101111111111111000000111011001 1 -b11101111111111111000000111011001 C -b1110011 0 -b1110011 H -09 -18 -b10000000000010111111001100110 < -b11110111110101000111111100111000 2 -b11110111110101000111111100111000 = -b11110111110101000111111100111000 : -b11100111110100110000000011010001 $ -b11100111110100110000000011010001 - -b11100111110100110000000011010001 5 -b11100111110100110000000011010001 ? -b11100111110100110000000011010001 D -b11101111111111101000000110011001 % -b11101111111111101000000110011001 . -b11101111111111101000000110011001 6 -b11101111111111101000000110011001 @ -b11101111111111101000000110011001 F -b11101111111111111000000111011001 ) -#234688000 -0& -#234704000 -b111001010011 , -#234720000 -1& -#234736000 -b11111111111111111101101011001111 " -b11111111111111111101101011001111 4 -b11111111111111111101101011001111 1 -b11111111111111111101101011001111 C -b1011101011010110010 0 -b1011101011010110010 H -19 -18 -b10010000000100100110010100110010 < -b1001010111010001010111101110101 2 -b1001010111010001010111101110101 = -b1001010111010001010111101110101 : -b10111010110101100100101001000010 $ -b10111010110101100100101001000010 - -b10111010110101100100101001000010 5 -b10111010110101100100101001000010 ? -b10111010110101100100101001000010 D -b1101111111011011001101011001101 % -b1101111111011011001101011001101 . -b1101111111011011001101011001101 6 -b1101111111011011001101011001101 @ -b1101111111011011001101011001101 F -b11111111111111111101101011001111 ) -#234752000 -0& -#234768000 -b111001010100 , -#234784000 -1& -#234800000 -b1111111011111111101101111111111 " -b1111111011111111101101111111111 4 -b1111111011111111101101111111111 1 -b1111111011111111101101111111111 C -b11100 0 -b11100 H -09 -b10000000100011000010011000000101 < -b11110010111010011111100010100011 2 -b11110010111010011111100010100011 = -b11110010111010011111100010100011 : -b1110010010111011101001010011101 $ -b1110010010111011101001010011101 - -b1110010010111011101001010011101 5 -b1110010010111011101001010011101 ? -b1110010010111011101001010011101 D -b1111111011100111101100111111010 % -b1111111011100111101100111111010 . -b1111111011100111101100111111010 6 -b1111111011100111101100111111010 @ -b1111111011100111101100111111010 F -b1111111011111111101101111111111 ) -#234816000 -0& -#234832000 -b111001010101 , -#234848000 -1& -#234864000 -b11111110101111111011101111011101 " -b11111110101111111011101111011101 4 -b11111110101111111011101111011101 1 -b11111110101111111011101111011101 C -b1111110010101111101 0 -b1111110010101111101 H -08 -b101011000000101010101110010 < -b10000100000001000011000100 2 -b10000100000001000011000100 = -b10000100000001000011000100 : -b11111100101011111011101101010001 $ -b11111100101011111011101101010001 - -b11111100101011111011101101010001 5 -b11111100101011111011101101010001 ? -b11111100101011111011101101010001 D -b11111010100111111010101010001101 % -b11111010100111111010101010001101 . -b11111010100111111010101010001101 6 -b11111010100111111010101010001101 @ -b11111010100111111010101010001101 F -b11111110101111111011101111011101 ) -#234880000 -0& -#234896000 -b111001010110 , -#234912000 -1& -#234928000 -b10111111101111111111111111001111 " -b10111111101111111111111111001111 4 -b10111111101111111111111111001111 1 -b10111111101111111111111111001111 C -b11111110010111011 0 -b11111110010111011 H -b1000000010100010101110000110010 < -b1111111111010001101100101111001 2 -b1111111111010001101100101111001 = -b1111111111010001101100101111001 : -b111111100101110111110101000110 $ -b111111100101110111110101000110 - -b111111100101110111110101000110 5 -b111111100101110111110101000110 ? -b111111100101110111110101000110 D -b10111111101011101010001111001101 % -b10111111101011101010001111001101 . -b10111111101011101010001111001101 6 -b10111111101011101010001111001101 @ -b10111111101011101010001111001101 F -b10111111101111111111111111001111 ) -#234944000 -0& -#234960000 -b111001010111 , -#234976000 -1& -#234992000 -b11111111111011111111011011111101 " -b11111111111011111111011011111101 4 -b11111111111011111111011011111101 1 -b11111111111011111111011011111101 C -b11111111101 0 -b11111111101 H -18 -09 -b11000001101100001001100100001010 < -b11000001010101000010111110011000 2 -b11000001010101000010111110011000 = -b11000001010101000010111110011000 : -b11111111101000111001011010001101 $ -b11111111101000111001011010001101 - -b11111111101000111001011010001101 5 -b11111111101000111001011010001101 ? -b11111111101000111001011010001101 D -b111110010011110110011011110101 % -b111110010011110110011011110101 . -b111110010011110110011011110101 6 -b111110010011110110011011110101 @ -b111110010011110110011011110101 F -b11111111111011111111011011111101 ) -#235008000 -0& -#235024000 -b111001011000 , -#235040000 -1& -#235056000 -b1111111111111110011111011011111 " -b1111111111111110011111011011111 4 -b1111111111111110011111011011111 1 -b1111111111111110011111011011111 C -b111011111011011000 0 -b111011111011011000 H -18 -b10000010110010001100010110110010 < -b11111010101000111101101010001001 2 -b11111010101000111101101010001001 = -b11111010101000111101101010001001 : -b1110111110110110001010011010110 $ -b1110111110110110001010011010110 - -b1110111110110110001010011010110 5 -b1110111110110110001010011010110 ? -b1110111110110110001010011010110 D -b1111101001101110011101001001101 % -b1111101001101110011101001001101 . -b1111101001101110011101001001101 6 -b1111101001101110011101001001101 @ -b1111101001101110011101001001101 F -b1111111111111110011111011011111 ) -#235072000 -0& -#235088000 -b111001011001 , -#235104000 -1& -#235120000 -b11111111111111101110111101101111 " -b11111111111111101110111101101111 4 -b11111111111111101110111101101111 1 -b11111111111111101110111101101111 C -b11010101011111101100 0 -b11010101011111101100 H -08 -b1000000001000110001111010110011 < -b10101101000011110110011011111 2 -b10101101000011110110011011111 = -b10101101000011110110011011111 : -b11010101011111101100111000101011 $ -b11010101011111101100111000101011 - -b11010101011111101100111000101011 5 -b11010101011111101100111000101011 ? -b11010101011111101100111000101011 D -b10111111110111001110000101001100 % -b10111111110111001110000101001100 . -b10111111110111001110000101001100 6 -b10111111110111001110000101001100 @ -b10111111110111001110000101001100 F -b11111111111111101110111101101111 ) -#235136000 -0& -#235152000 -b111001011010 , -#235168000 -1& -#235184000 -b1101111111111111111111101111100 " -b1101111111111111111111101111100 4 -b1101111111111111111111101111100 1 -b1101111111111111111111101111100 C -b11001101111101110010101 0 -b11001101111101110010101 H -08 -b11010100000000010001010011010111 < -b111010111111001010101001010100 2 -b111010111111001010101001010100 = -b111010111111001010101001010100 : -b1100110111110111001010101111100 $ -b1100110111110111001010101111100 - -b1100110111110111001010101111100 5 -b1100110111110111001010101111100 ? -b1100110111110111001010101111100 D -b101011111111101110101100101000 % -b101011111111101110101100101000 . -b101011111111101110101100101000 6 -b101011111111101110101100101000 @ -b101011111111101110101100101000 F -b1101111111111111111111101111100 ) -#235200000 -0& -#235216000 -b111001011011 , -#235232000 -1& -#235248000 -b11111111111111111010111111100111 " -b11111111111111111010111111100111 4 -b11111111111111111010111111100111 1 -b11111111111111111010111111100111 C -b1111110101110111000000111010 0 -b1111110101110111000000111010 H -18 -b10010101010000110101001010111011 < -b10010010101110100101011001011111 2 -b10010010101110100101011001011111 = -b10010010101110100101011001011111 : -b11111101011101110000001110100011 $ -b11111101011101110000001110100011 - -b11111101011101110000001110100011 5 -b11111101011101110000001110100011 ? -b11111101011101110000001110100011 D -b1101010101111001010110101000100 % -b1101010101111001010110101000100 . -b1101010101111001010110101000100 6 -b1101010101111001010110101000100 @ -b1101010101111001010110101000100 F -b11111111111111111010111111100111 ) -#235264000 -0& -#235280000 -b111001011100 , -#235296000 -1& -#235312000 -b11111111111111100011111001111101 " -b11111111111111100011111001111101 4 -b11111111111111100011111001111101 1 -b11111111111111100011111001111101 C -b1111011 0 -b1111011 H -19 -18 -b10000010100010011100000110000110 < -b1111010011101011111111110110100 2 -b1111010011101011111111110110100 = -b1111010011101011111111110110100 : -b11110111111011000011111000101101 $ -b11110111111011000011111000101101 - -b11110111111011000011111000101101 5 -b11110111111011000011111000101101 ? -b11110111111011000011111000101101 D -b1111101011101100011111001111001 % -b1111101011101100011111001111001 . -b1111101011101100011111001111001 6 -b1111101011101100011111001111001 @ -b1111101011101100011111001111001 F -b11111111111111100011111001111101 ) -#235328000 -0& -#235344000 -b111001011101 , -#235360000 -1& -#235376000 -b10111111111111011111010110111011 " -b10111111111111011111010110111011 4 -b10111111111111011111010110111011 1 -b10111111111111011111010110111011 C -b101110111011010011100 0 -b101110111011010011100 H -b11000001000000101100111101010100 < -b1111100101101111011010011110000 2 -b1111100101101111011010011110000 = -b1111100101101111011010011110000 : -b10111011101101001110010110011011 $ -b10111011101101001110010110011011 - -b10111011101101001110010110011011 5 -b10111011101101001110010110011011 ? -b10111011101101001110010110011011 D -b111110111111010011000010101011 % -b111110111111010011000010101011 . -b111110111111010011000010101011 6 -b111110111111010011000010101011 @ -b111110111111010011000010101011 F -b10111111111111011111010110111011 ) -#235392000 -0& -#235408000 -b111001011110 , -#235424000 -1& -#235440000 -b11001011111111111111110111001110 " -b11001011111111111111110111001110 4 -b11001011111111111111110111001110 1 -b11001011111111111111110111001110 C -b1001001111111100101110100 0 -b1001001111111100101110100 H -08 -19 -b111100001011000000111000111001 < -b10000110001010100110101101000110 2 -b10000110001010100110101101000110 = -b10000110001010100110101101000110 : -b1001001111111100101110100001100 $ -b1001001111111100101110100001100 - -b1001001111111100101110100001100 5 -b1001001111111100101110100001100 ? -b1001001111111100101110100001100 D -b11000011110100111111000111000110 % -b11000011110100111111000111000110 . -b11000011110100111111000111000110 6 -b11000011110100111111000111000110 @ -b11000011110100111111000111000110 F -b11001011111111111111110111001110 ) -#235456000 -0& -#235472000 -b111001011111 , -#235488000 -1& -#235504000 -b11111111111111111110011101111110 " -b11111111111111111110011101111110 4 -b11111111111111111110011101111110 1 -b11111111111111111110011101111110 C -b1111 0 -b1111 H -09 -08 -b1010110000100000101111010000011 < -b1010110000011001010010010101010 2 -b1010110000011001010010010101010 = -b1010110000011001010010010101010 : -b11111111111111000100011000100110 $ -b11111111111111000100011000100110 - -b11111111111111000100011000100110 5 -b11111111111111000100011000100110 ? -b11111111111111000100011000100110 D -b10101001111011111010000101111100 % -b10101001111011111010000101111100 . -b10101001111011111010000101111100 6 -b10101001111011111010000101111100 @ -b10101001111011111010000101111100 F -b11111111111111111110011101111110 ) -#235520000 -0& -#235536000 -b111001100000 , -#235552000 -1& -#235568000 -b11111111111111111111111111101111 " -b11111111111111111111111111101111 4 -b11111111111111111111111111101111 1 -b11111111111111111111111111101111 C -b1111101111111011111111101 0 -b1111101111111011111111101 H -b100000110100000010010000111000 < -b11100110011000010001100100011 2 -b11100110011000010001100100011 = -b11100110011000010001100100011 : -b11111011111110111111111011101010 $ -b11111011111110111111111011101010 - -b11111011111110111111111011101010 5 -b11111011111110111111111011101010 ? -b11111011111110111111111011101010 D -b11011111001011111101101111000111 % -b11011111001011111101101111000111 . -b11011111001011111101101111000111 6 -b11011111001011111101101111000111 @ -b11011111001011111101101111000111 F -b11111111111111111111111111101111 ) -#235584000 -0& -#235600000 -b111001100001 , -#235616000 -1& -#235632000 -b11111101100111111111111111111001 " -b11111101100111111111111111111001 4 -b11111101100111111111111111111001 1 -b11111101100111111111111111111001 C -b111011011001011 0 -b111011011001011 H -18 -b11001010011000011000100000001110 < -b10110111111110010011000100000111 2 -b10110111111110010011000100000111 = -b10110111111110010011000100000111 : -b11101101100101111010100011111000 $ -b11101101100101111010100011111000 - -b11101101100101111010100011111000 5 -b11101101100101111010100011111000 ? -b11101101100101111010100011111000 D -b110101100111100111011111110001 % -b110101100111100111011111110001 . -b110101100111100111011111110001 6 -b110101100111100111011111110001 @ -b110101100111100111011111110001 F -b11111101100111111111111111111001 ) -#235648000 -0& -#235664000 -b111001100010 , -#235680000 -1& -#235696000 -b11101111111111111010101110011111 " -b11101111111111111010101110011111 4 -b11101111111111111010101110011111 1 -b11101111111111111010101110011111 C -b111011100101111110100011100 0 -b111011100101111110100011100 H -19 -18 -b10010000000010101101010101111010 < -b1111110011010100111100100010110 2 -b1111110011010100111100100010110 = -b1111110011010100111100100010110 : -b11101110010111111010001110011011 $ -b11101110010111111010001110011011 - -b11101110010111111010001110011011 5 -b11101110010111111010001110011011 ? -b11101110010111111010001110011011 D -b1101111111101010010101010000101 % -b1101111111101010010101010000101 . -b1101111111101010010101010000101 6 -b1101111111101010010101010000101 @ -b1101111111101010010101010000101 F -b11101111111111111010101110011111 ) -#235712000 -0& -#235728000 -b111001100011 , -#235744000 -1& -#235760000 -b11111111111111111110111110111111 " -b11111111111111111110111110111111 4 -b11111111111111111110111110111111 1 -b11111111111111111110111110111111 C -b101110111010011101 0 -b101110111010011101 H -b10000011100000110001100001010001 < -b111111001010101000000100001011 2 -b111111001010101000000100001011 = -b111111001010101000000100001011 : -b10111011101001110110100010111001 $ -b10111011101001110110100010111001 - -b10111011101001110110100010111001 5 -b10111011101001110110100010111001 ? -b10111011101001110110100010111001 D -b1111100011111001110011110101110 % -b1111100011111001110011110101110 . -b1111100011111001110011110101110 6 -b1111100011111001110011110101110 @ -b1111100011111001110011110101110 F -b11111111111111111110111110111111 ) -#235776000 -0& -#235792000 -b111001100100 , -#235808000 -1& -#235824000 -b1111111111111111100111101111110 " -b1111111111111111100111101111110 4 -b1111111111111111100111101111110 1 -b1111111111111111100111101111110 C -b11111111111011110 0 -b11111111111011110 H -08 -09 -b11000011000101001011101111010001 < -b1000011000011000100011101010000 2 -b1000011000011000100011101010000 = -b1000011000011000100011101010000 : -b1111111111101111000101101111110 $ -b1111111111101111000101101111110 - -b1111111111101111000101101111110 5 -b1111111111101111000101101111110 ? -b1111111111101111000101101111110 D -b111100111010110100010000101110 % -b111100111010110100010000101110 . -b111100111010110100010000101110 6 -b111100111010110100010000101110 @ -b111100111010110100010000101110 F -b1111111111111111100111101111110 ) -#235840000 -0& -#235856000 -b111001100101 , -#235872000 -1& -#235888000 -b11110111111101110001011011111101 " -b11110111111101110001011011111101 4 -b11110111111101110001011011111101 1 -b11110111111101110001011011111101 C -b111 0 -b111 H -19 -08 -b1011011001010011110100110100011 < -b11010010100111001110111010000101 2 -b11010010100111001110111010000101 = -b11010010100111001110111010000101 : -b1110111011100110000010011100001 $ -b1110111011100110000010011100001 - -b1110111011100110000010011100001 5 -b1110111011100110000010011100001 ? -b1110111011100110000010011100001 D -b10100100110101100001011001011100 % -b10100100110101100001011001011100 . -b10100100110101100001011001011100 6 -b10100100110101100001011001011100 @ -b10100100110101100001011001011100 F -b11110111111101110001011011111101 ) -#235904000 -0& -#235920000 -b111001100110 , -#235936000 -1& -#235952000 -b11111111111101011101110110011111 " -b11111111111101011101110110011111 4 -b11111111111101011101110110011111 1 -b11111111111101011101110110011111 C -b1111101101001011 0 -b1111101101001011 H -b1100100000011101110001011110000 < -b11100001101101001010110010001010 2 -b11100001101101001010110010001010 = -b11100001101101001010110010001010 : -b1111101101001011100100110011001 $ -b1111101101001011100100110011001 - -b1111101101001011100100110011001 5 -b1111101101001011100100110011001 ? -b1111101101001011100100110011001 D -b10011011111100010001110100001111 % -b10011011111100010001110100001111 . -b10011011111100010001110100001111 6 -b10011011111100010001110100001111 @ -b10011011111100010001110100001111 F -b11111111111101011101110110011111 ) -#235968000 -0& -#235984000 -b111001100111 , -#236000000 -1& -#236016000 -b11101111111110110111101101011111 " -b11101111111110110111101101011111 4 -b11101111111110110111101101011111 1 -b11101111111110110111101101011111 C -b11101110110010100 0 -b11101110110010100 H -09 -18 -b10011000010001101000011011110000 < -b10000111000100001010101001010000 2 -b10000111000100001010101001010000 = -b10000111000100001010101001010000 : -b11101110110010100010001101011111 $ -b11101110110010100010001101011111 - -b11101110110010100010001101011111 5 -b11101110110010100010001101011111 ? -b11101110110010100010001101011111 D -b1100111101110010111100100001111 % -b1100111101110010111100100001111 . -b1100111101110010111100100001111 6 -b1100111101110010111100100001111 @ -b1100111101110010111100100001111 F -b11101111111110110111101101011111 ) -#236032000 -0& -#236048000 -b111001101000 , -#236064000 -1& -#236080000 -b11111111101111110101110111101010 " -b11111111101111110101110111101010 4 -b11111111101111110101110111101010 1 -b11111111101111110101110111101010 C -b1110101110001111000100 0 -b1110101110001111000100 H -b10010001010001101110101 < -b11101011110101111011010011011110 2 -b11101011110101111011010011011110 = -b11101011110101111011010011011110 : -b11101011100011110001000101101000 $ -b11101011100011110001000101101000 - -b11101011100011110001000101101000 5 -b11101011100011110001000101101000 ? -b11101011100011110001000101101000 D -b11111111101101110101110010001010 % -b11111111101101110101110010001010 . -b11111111101101110101110010001010 6 -b11111111101101110101110010001010 @ -b11111111101101110101110010001010 F -b11111111101111110101110111101010 ) -#236096000 -0& -#236112000 -b111001101001 , -#236128000 -1& -#236144000 -b11111001111111111101001011111111 " -b11111001111111111101001011111111 4 -b11111001111111111101001011111111 1 -b11111001111111111101001011111111 C -b11111000 0 -b11111000 H -b110110001101010110100000111 < -b11111111101101100110110111011111 2 -b11111111101101100110110111011111 = -b11111111101101100110110111011111 : -b11111000111011111100000011010111 $ -b11111000111011111100000011010111 - -b11111000111011111100000011010111 5 -b11111000111011111100000011010111 ? -b11111000111011111100000011010111 D -b11111001001110010101001011111000 % -b11111001001110010101001011111000 . -b11111001001110010101001011111000 6 -b11111001001110010101001011111000 @ -b11111001001110010101001011111000 F -b11111001111111111101001011111111 ) -#236160000 -0& -#236176000 -b111001101010 , -#236192000 -1& -#236208000 -b11011111111111011111111111111111 " -b11011111111111011111111111111111 4 -b11011111111111011111111111111111 1 -b11011111111111011111111111111111 C -b1001001110111011111000 0 -b1001001110111011111000 H -08 -b100000100100110001000101110110 < -b1101010011100010000001101110110 2 -b1101010011100010000001101110110 = -b1101010011100010000001101110110 : -b1001001110111011111000111111111 $ -b1001001110111011111000111111111 - -b1001001110111011111000111111111 5 -b1001001110111011111000111111111 ? -b1001001110111011111000111111111 D -b11011111011011001110111010001001 % -b11011111011011001110111010001001 . -b11011111011011001110111010001001 6 -b11011111011011001110111010001001 @ -b11011111011011001110111010001001 F -b11011111111111011111111111111111 ) -#236224000 -0& -#236240000 -b111001101011 , -#236256000 -1& -#236272000 -b11111111101111111111111111011011 " -b11111111101111111111111111011011 4 -b11111111101111111111111111011011 1 -b11111111101111111111111111011011 C -b11110111101111100000011 0 -b11110111101111100000011 H -08 -09 -b1000000010001000000010001110110 < -b111000000000100000110001010001 2 -b111000000000100000110001010001 = -b111000000000100000110001010001 : -b11110111101111100000011111011010 $ -b11110111101111100000011111011010 - -b11110111101111100000011111011010 5 -b11110111101111100000011111011010 ? -b11110111101111100000011111011010 D -b10111111101110111111101110001001 % -b10111111101110111111101110001001 . -b10111111101110111111101110001001 6 -b10111111101110111111101110001001 @ -b10111111101110111111101110001001 F -b11111111101111111111111111011011 ) -#236288000 -0& -#236304000 -b111001101100 , -#236320000 -1& -#236336000 -b11111101111111001101001111111101 " -b11111101111111001101001111111101 4 -b11111101111111001101001111111101 1 -b11111101111111001101001111111101 C -b1110 0 -b1110 H -18 -b11100110000100110011110000000011 < -b11010011001100000000110010101101 2 -b11010011001100000000110010101101 = -b11010011001100000000110010101101 : -b11101101000111001101000010101001 $ -b11101101000111001101000010101001 - -b11101101000111001101000010101001 5 -b11101101000111001101000010101001 ? -b11101101000111001101000010101001 D -b11001111011001100001111111100 % -b11001111011001100001111111100 . -b11001111011001100001111111100 6 -b11001111011001100001111111100 @ -b11001111011001100001111111100 F -b11111101111111001101001111111101 ) -#236352000 -0& -#236368000 -b111001101101 , -#236384000 -1& -#236400000 -b11111011111111110110101011110100 " -b11111011111111110110101011110100 4 -b11111011111111110110101011110100 1 -b11111011111111110110101011110100 C -b11111001101111110100101001110100 0 -b11111001101111110100101001110100 H -b11000101100001101101010100111111 < -b10111111010001100001111110110100 2 -b10111111010001100001111110110100 = -b10111111010001100001111110110100 : -b11111001101111110100101001110100 $ -b11111001101111110100101001110100 - -b11111001101111110100101001110100 5 -b11111001101111110100101001110100 ? -b11111001101111110100101001110100 D -b111010011110010010101011000000 % -b111010011110010010101011000000 . -b111010011110010010101011000000 6 -b111010011110010010101011000000 @ -b111010011110010010101011000000 F -b11111011111111110110101011110100 ) -#236416000 -0& -#236432000 -b111001101110 , -#236448000 -1& -#236464000 -b11111111111111111111011111111110 " -b11111111111111111111011111111110 4 -b11111111111111111111011111111110 1 -b11111111111111111111011111111110 C -b111111111101 0 -b111111111101 H -08 -b1000000000010011000100100001011 < -b111111111001110111110001001010 2 -b111111111001110111110001001010 = -b111111111001110111110001001010 : -b11111111110111011111001100111110 $ -b11111111110111011111001100111110 - -b11111111110111011111001100111110 5 -b11111111110111011111001100111110 ? -b11111111110111011111001100111110 D -b10111111111101100111011011110100 % -b10111111111101100111011011110100 . -b10111111111101100111011011110100 6 -b10111111111101100111011011110100 @ -b10111111111101100111011011110100 F -b11111111111111111111011111111110 ) -#236480000 -0& -#236496000 -b111001101111 , -#236512000 -1& -#236528000 -b11111101011111111110110110110011 " -b11111101011111111110110110110011 4 -b11111101011111111110110110110011 1 -b11111101011111111110110110110011 C -b1111010100111111 0 -b1111010100111111 H -18 -b11000010100000001001101011101111 < -b10110111110000000110011110010011 2 -b10110111110000000110011110010011 = -b10110111110000000110011110010011 : -b11110101001111111100110010100011 $ -b11110101001111111100110010100011 - -b11110101001111111100110010100011 5 -b11110101001111111100110010100011 ? -b11110101001111111100110010100011 D -b111101011111110110010100010000 % -b111101011111110110010100010000 . -b111101011111110110010100010000 6 -b111101011111110110010100010000 @ -b111101011111110110010100010000 F -b11111101011111111110110110110011 ) -#236544000 -0& -#236560000 -b111001110000 , -#236576000 -1& -#236592000 -b11111110111111110111111011111 " -b11111110111111110111111011111 4 -b11111110111111110111111011111 1 -b11111110111111110111111011111 C -b11010010110110 0 -b11010010110110 H -18 -09 -b11100000011010000001000010110000 < -b11111010110000110011100110000111 2 -b11111010110000110011100110000111 = -b11111010110000110011100110000111 : -b11010010110110010100011010110 $ -b11010010110110010100011010110 - -b11010010110110010100011010110 5 -b11010010110110010100011010110 ? -b11010010110110010100011010110 D -b11111100101111110111101001111 % -b11111100101111110111101001111 . -b11111100101111110111101001111 6 -b11111100101111110111101001111 @ -b11111100101111110111101001111 F -b11111110111111110111111011111 ) -#236608000 -0& -#236624000 -b111001110001 , -#236640000 -1& -#236656000 -b1111111111111111101111001101110 " -b1111111111111111101111001101110 4 -b1111111111111111101111001101110 1 -b1111111111111111101111001101110 C -b111011101111101001 0 -b111011101111101001 H -18 -b10100010000101001010101110010011 < -b11011101110100110100010110111010 2 -b11011101110100110100010110111010 = -b11011101110100110100010110111010 : -b111011101111101001101000100110 $ -b111011101111101001101000100110 - -b111011101111101001101000100110 5 -b111011101111101001101000100110 ? -b111011101111101001101000100110 D -b1011101111010110101010001101100 % -b1011101111010110101010001101100 . -b1011101111010110101010001101100 6 -b1011101111010110101010001101100 @ -b1011101111010110101010001101100 F -b1111111111111111101111001101110 ) -#236672000 -0& -#236688000 -b111001110010 , -#236704000 -1& -#236720000 -b11111110111011111110111111101011 " -b11111110111011111110111111101011 4 -b11111110111011111110111111101011 1 -b11111110111011111110111111101011 C -b101111101110110110001 0 -b101111101110110110001 H -19 -18 -b10011011110111001001110001010100 < -b1011010110010100010100100111111 2 -b1011010110010100010100100111111 = -b1011010110010100010100100111111 : -b10111110111011011000110011101010 $ -b10111110111011011000110011101010 - -b10111110111011011000110011101010 5 -b10111110111011011000110011101010 ? -b10111110111011011000110011101010 D -b1100100001000110110001110101011 % -b1100100001000110110001110101011 . -b1100100001000110110001110101011 6 -b1100100001000110110001110101011 @ -b1100100001000110110001110101011 F -b11111110111011111110111111101011 ) -#236736000 -0& -#236752000 -b111001110011 , -#236768000 -1& -#236784000 -b10111111111111111111111001111111 " -b10111111111111111111111001111111 4 -b10111111111111111111111001111111 1 -b10111111111111111111111001111111 C -b10110 0 -b10110 H -b11000000001010000100010111000100 < -b1110111001001111011010000101100 2 -b1110111001001111011010000101100 = -b1110111001001111011010000101100 : -b10110110111111110110111001100111 $ -b10110110111111110110111001100111 - -b10110110111111110110111001100111 5 -b10110110111111110110111001100111 ? -b10110110111111110110111001100111 D -b111111110101111011101000111011 % -b111111110101111011101000111011 . -b111111110101111011101000111011 6 -b111111110101111011101000111011 @ -b111111110101111011101000111011 F -b10111111111111111111111001111111 ) -#236800000 -0& -#236816000 -b111001110100 , -#236832000 -1& -#236848000 -b11111110111111111111011110100111 " -b11111110111111111111011110100111 4 -b11111110111111111111011110100111 1 -b11111110111111111111011110100111 C -b11111100111111100110101101001 0 -b11111100111111100110101101001 H -08 -19 -b10001001000000010100001011101 < -b10001111100111110101111000000011 2 -b10001111100111110101111000000011 = -b10001111100111110101111000000011 : -b1111110011111110011010110100101 $ -b1111110011111110011010110100101 - -b1111110011111110011010110100101 5 -b1111110011111110011010110100101 ? -b1111110011111110011010110100101 D -b11101110110111111101011110100010 % -b11101110110111111101011110100010 . -b11101110110111111101011110100010 6 -b11101110110111111101011110100010 @ -b11101110110111111101011110100010 F -b11111110111111111111011110100111 ) -#236864000 -0& -#236880000 -b111001110101 , -#236896000 -1& -#236912000 -b11111111111110110111111111111001 " -b11111111111110110111111111111001 4 -b11111111111110110111111111111001 1 -b11111111111110110111111111111001 C -b1110111 0 -b1110111 H -09 -18 -b11001010000001100110 < -b11101111111001011100011001001000 2 -b11101111111001011100011001001000 = -b11101111111001011100011001001000 : -b11101111110110010010010111100001 $ -b11101111110110010010010111100001 - -b11101111110110010010010111100001 5 -b11101111110110010010010111100001 ? -b11101111110110010010010111100001 D -b11111111111100110101111110011001 % -b11111111111100110101111110011001 . -b11111111111100110101111110011001 6 -b11111111111100110101111110011001 @ -b11111111111100110101111110011001 F -b11111111111110110111111111111001 ) -#236928000 -0& -#236944000 -b111001110110 , -#236960000 -1& -#236976000 -b11111110111111011001111110111110 " -b11111110111111011001111110111110 4 -b11111110111111011001111110111110 1 -b11111110111111011001111110111110 C -b101110000 0 -b101110000 H -08 -b1000000100110010001101001 < -b1011101001110111000001010100010 2 -b1011101001110111000001010100010 = -b1011101001110111000001010100010 : -b1011100001110010001111000111000 $ -b1011100001110010001111000111000 - -b1011100001110010001111000111000 5 -b1011100001110010001111000111000 ? -b1011100001110010001111000111000 D -b11111110111111011001101110010110 % -b11111110111111011001101110010110 . -b11111110111111011001101110010110 6 -b11111110111111011001101110010110 @ -b11111110111111011001101110010110 F -b11111110111111011001111110111110 ) -#236992000 -0& -#237008000 -b111001110111 , -#237024000 -1& -#237040000 -b10111111111111110001110010111010 " -b10111111111111110001110010111010 4 -b10111111111111110001110010111010 1 -b10111111111111110001110010111010 C -b101110 0 -b101110 H -18 -b11101010000010001110101101000101 < -b10100100110001111111011111110110 2 -b10100100110001111111011111110110 = -b10100100110001111111011111110110 : -b10111010101111110000110010110000 $ -b10111010101111110000110010110000 - -b10111010101111110000110010110000 5 -b10111010101111110000110010110000 ? -b10111010101111110000110010110000 D -b10101111101110001010010111010 % -b10101111101110001010010111010 . -b10101111101110001010010111010 6 -b10101111101110001010010111010 @ -b10101111101110001010010111010 F -b10111111111111110001110010111010 ) -#237056000 -0& -#237072000 -b111001111000 , -#237088000 -1& -#237104000 -b11111111111011111111001111101111 " -b11111111111011111111001111101111 4 -b11111111111011111111001111101111 1 -b11111111111011111111001111101111 C -b111110111110111111 0 -b111110111110111111 H -08 -b100000000100001100111000010001 < -b11100000000001100000011000001 2 -b11100000000001100000011000001 = -b11100000000001100000011000001 : -b11111011111011111111001010101111 $ -b11111011111011111111001010101111 - -b11111011111011111111001010101111 5 -b11111011111011111111001010101111 ? -b11111011111011111111001010101111 D -b11011111111011110011000111101110 % -b11011111111011110011000111101110 . -b11011111111011110011000111101110 6 -b11011111111011110011000111101110 @ -b11011111111011110011000111101110 F -b11111111111011111111001111101111 ) -#237120000 -0& -#237136000 -b111001111001 , -#237152000 -1& -#237168000 -b11101111111111111111111111111110 " -b11101111111111111111111111111110 4 -b11101111111111111111111111111110 1 -b11101111111111111111111111111110 C -b1111 0 -b1111 H -b10100100000000010001100000111 < -b100100010111011010001011100110 2 -b100100010111011010001011100110 = -b100100010111011010001011100110 : -b1111110111010111111111011110 $ -b1111110111010111111111011110 - -b1111110111010111111111011110 5 -b1111110111010111111111011110 ? -b1111110111010111111111011110 D -b11101011011111111101110011111000 % -b11101011011111111101110011111000 . -b11101011011111111101110011111000 6 -b11101011011111111101110011111000 @ -b11101011011111111101110011111000 F -b11101111111111111111111111111110 ) -#237184000 -0& -#237200000 -b111001111010 , -#237216000 -1& -#237232000 -b11111101111111111011011111111011 " -b11111101111111111011011111111011 4 -b11111101111111111011011111111011 1 -b11111101111111111011011111111011 C -b11111001101111100110 0 -b11111001101111100110 H -19 -08 -b1000010000000000100100010010100 < -b10111110110111110111101010010000 2 -b10111110110111110111101010010000 = -b10111110110111110111101010010000 : -b1111100110111110011000111111011 $ -b1111100110111110011000111111011 - -b1111100110111110011000111111011 5 -b1111100110111110011000111111011 ? -b1111100110111110011000111111011 D -b10111101111111111011011101101011 % -b10111101111111111011011101101011 . -b10111101111111111011011101101011 6 -b10111101111111111011011101101011 @ -b10111101111111111011011101101011 F -b11111101111111111011011111111011 ) -#237248000 -0& -#237264000 -b111001111011 , -#237280000 -1& -#237296000 -b11111111111111110011110111101111 " -b11111111111111110011110111101111 4 -b11111111111111110011110111101111 1 -b11111111111111110011110111101111 C -b1111111111011110001111011110 0 -b1111111111011110001111011110 H -09 -18 -b10010010100000001100111000011011 < -b10010010010111110000110000001011 2 -b10010010010111110000110000001011 = -b10010010010111110000110000001011 : -b11111111110111100011110111101111 $ -b11111111110111100011110111101111 - -b11111111110111100011110111101111 5 -b11111111110111100011110111101111 ? -b11111111110111100011110111101111 D -b1101101011111110011000111100100 % -b1101101011111110011000111100100 . -b1101101011111110011000111100100 6 -b1101101011111110011000111100100 @ -b1101101011111110011000111100100 F -b11111111111111110011110111101111 ) -#237312000 -0& -#237328000 -b111001111100 , -#237344000 -1& -#237360000 -b11111111111111111111101100111010 " -b11111111111111111111101100111010 4 -b11111111111111111111101100111010 1 -b11111111111111111111101100111010 C -b1001111111010001111110 0 -b1001111111010001111110 H -19 -18 -b10000000010000010001010011010101 < -b100000000100110000110011101000 2 -b100000000100110000110011101000 = -b100000000100110000110011101000 : -b10011111110100011111100000010010 $ -b10011111110100011111100000010010 - -b10011111110100011111100000010010 5 -b10011111110100011111100000010010 ? -b10011111110100011111100000010010 D -b1111111101111101110101100101010 % -b1111111101111101110101100101010 . -b1111111101111101110101100101010 6 -b1111111101111101110101100101010 @ -b1111111101111101110101100101010 F -b11111111111111111111101100111010 ) -#237376000 -0& -#237392000 -b111001111101 , -#237408000 -1& -#237424000 -b1111111011111111111010111111111 " -b1111111011111111111010111111111 4 -b1111111011111111111010111111111 1 -b1111111011111111111010111111111 C -b11101010111011110110101 0 -b11101010111011110110101 H -09 -08 -b10010000110000100001101000110111 < -b110001110011100111101110111 2 -b110001110011100111101110111 = -b110001110011100111101110111 : -b1110101011101111011010100111111 $ -b1110101011101111011010100111111 - -b1110101011101111011010100111111 5 -b1110101011101111011010100111111 ? -b1110101011101111011010100111111 D -b1101111001111011110010111001000 % -b1101111001111011110010111001000 . -b1101111001111011110010111001000 6 -b1101111001111011110010111001000 @ -b1101111001111011110010111001000 F -b1111111011111111111010111111111 ) -#237440000 -0& -#237456000 -b111001111110 , -#237472000 -1& -#237488000 -b11111111111111111011111111110111 " -b11111111111111111011111111110111 4 -b11111111111111111011111111110111 1 -b11111111111111111011111111110111 C -b1101111111 0 -b1101111111 H -18 -b10001000000000111001001101001 < -b11110000111111010010010101001111 2 -b11110000111111010010010101001111 = -b11110000111111010010010101001111 : -b11011111111111001011001011100101 $ -b11011111111111001011001011100101 - -b11011111111111001011001011100101 5 -b11011111111111001011001011100101 ? -b11011111111111001011001011100101 D -b11101110111111111000110110010110 % -b11101110111111111000110110010110 . -b11101110111111111000110110010110 6 -b11101110111111111000110110010110 @ -b11101110111111111000110110010110 F -b11111111111111111011111111110111 ) -#237504000 -0& -#237520000 -b111001111111 , -#237536000 -1& -#237552000 -b10111111111111111111011110101111 " -b10111111111111111111011110101111 4 -b10111111111111111111011110101111 1 -b10111111111111111111011110101111 C -b1011111101101101111 0 -b1011111101101101111 H -b11010000010010011100110001110010 < -b10001111101101111100001100010101 2 -b10001111101101111100001100010101 = -b10001111101101111100001100010101 : -b10111111011011011111011010100010 $ -b10111111011011011111011010100010 - -b10111111011011011111011010100010 5 -b10111111011011011111011010100010 ? -b10111111011011011111011010100010 D -b101111101101100011001110001101 % -b101111101101100011001110001101 . -b101111101101100011001110001101 6 -b101111101101100011001110001101 @ -b101111101101100011001110001101 F -b10111111111111111111011110101111 ) -#237568000 -0& -#237584000 -b111010000000 , -#237600000 -1& -#237616000 -b1111111111111011011101101111100 " -b1111111111111011011101101111100 4 -b1111111111111011011101101111100 1 -b1111111111111011011101101111100 C -b111 0 -b111 H -18 -b10000100001000100100010110000011 < -b11111011100101110100111011011100 2 -b11111011100101110100111011011100 = -b11111011100101110100111011011100 : -b1110111011101010000100101011000 $ -b1110111011101010000100101011000 - -b1110111011101010000100101011000 5 -b1110111011101010000100101011000 ? -b1110111011101010000100101011000 D -b1111011110111011011101001111100 % -b1111011110111011011101001111100 . -b1111011110111011011101001111100 6 -b1111011110111011011101001111100 @ -b1111011110111011011101001111100 F -b1111111111111011011101101111100 ) -#237632000 -0& -#237648000 -b111010000001 , -#237664000 -1& -#237680000 -b11111111111111011111011111011111 " -b11111111111111011111011111011111 4 -b11111111111111011111011111011111 1 -b11111111111111011111011111011111 C -b11 0 -b11 H -08 -19 -b100000101000100000110001100010 < -b10010000010111110000001010100110 2 -b10010000010111110000001010100110 = -b10010000010111110000001010100110 : -b1101111101111001111011001000011 $ -b1101111101111001111011001000011 - -b1101111101111001111011001000011 5 -b1101111101111001111011001000011 ? -b1101111101111001111011001000011 D -b11011111010111011111001110011101 % -b11011111010111011111001110011101 . -b11011111010111011111001110011101 6 -b11011111010111011111001110011101 @ -b11011111010111011111001110011101 F -b11111111111111011111011111011111 ) -#237696000 -0& -#237712000 -b111010000010 , -#237728000 -1& -#237744000 -b11111111111111111110111111011010 " -b11111111111111111110111111011010 4 -b11111111111111111110111111011010 1 -b11111111111111111110111111011010 C -b111111 0 -b111111 H -09 -08 -b101010000010000001100001100101 < -b101001110001110110011110101000 2 -b101001110001110110011110101000 = -b101001110001110110011110101000 : -b11111111101111110100111101000010 $ -b11111111101111110100111101000010 - -b11111111101111110100111101000010 5 -b11111111101111110100111101000010 ? -b11111111101111110100111101000010 D -b11010101111101111110011110011010 % -b11010101111101111110011110011010 . -b11010101111101111110011110011010 6 -b11010101111101111110011110011010 @ -b11010101111101111110011110011010 F -b11111111111111111110111111011010 ) -#237760000 -0& -#237776000 -b111010000011 , -#237792000 -1& -#237808000 -b1011111111111111111111100111011 " -b1011111111111111111111100111011 4 -b1011111111111111111111100111011 1 -b1011111111111111111111100111011 C -b10111111111110111101001 0 -b10111111111110111101001 H -08 -b10100011010101000100000011110111 < -b11010100100010101000110011 2 -b11010100100010101000110011 = -b11010100100010101000110011 : -b1011111111111011110100100111011 $ -b1011111111111011110100100111011 - -b1011111111111011110100100111011 5 -b1011111111111011110100100111011 ? -b1011111111111011110100100111011 D -b1011100101010111011111100001000 % -b1011100101010111011111100001000 . -b1011100101010111011111100001000 6 -b1011100101010111011111100001000 @ -b1011100101010111011111100001000 F -b1011111111111111111111100111011 ) -#237824000 -0& -#237840000 -b111010000100 , -#237856000 -1& -#237872000 -b11111111111111110111111111001011 " -b11111111111111110111111111001011 4 -b11111111111111110111111111001011 1 -b11111111111111110111111111001011 C -b111111111111101000111011010010 0 -b111111111111101000111011010010 H -08 -b10010001011000100111101 < -b10000101110110010001001 2 -b10000101110110010001001 = -b10000101110110010001001 : -b11111111111110100011101101001011 $ -b11111111111110100011101101001011 - -b11111111111110100011101101001011 5 -b11111111111110100011101101001011 ? -b11111111111110100011101101001011 D -b11111111101101110100111011000010 % -b11111111101101110100111011000010 . -b11111111101101110100111011000010 6 -b11111111101101110100111011000010 @ -b11111111101101110100111011000010 F -b11111111111111110111111111001011 ) -#237888000 -0& -#237904000 -b111010000101 , -#237920000 -1& -#237936000 -b11111110111111111011111101101111 " -b11111110111111111011111101101111 4 -b11111110111111111011111101101111 1 -b11111110111111111011111101101111 C -b1011101011001101101110010 0 -b1011101011001101101110010 H -19 -18 -b10000001000000000101000110111000 < -b111011110011100000101100100101 2 -b111011110011100000101100100101 = -b111011110011100000101100100101 : -b10111010110011011011100101101100 $ -b10111010110011011011100101101100 - -b10111010110011011011100101101100 5 -b10111010110011011011100101101100 ? -b10111010110011011011100101101100 D -b1111110111111111010111001000111 % -b1111110111111111010111001000111 . -b1111110111111111010111001000111 6 -b1111110111111111010111001000111 @ -b1111110111111111010111001000111 F -b11111110111111111011111101101111 ) -#237952000 -0& -#237968000 -b111010000110 , -#237984000 -1& -#238000000 -b11111111111111111011011110111101 " -b11111111111111111011011110111101 4 -b11111111111111111011011110111101 1 -b11111111111111111011011110111101 C -b110 0 -b110 H -b10010000000100010101100001100010 < -b1101101110000101110111100000100 2 -b1101101110000101110111100000100 = -b1101101110000101110111100000100 : -b11011101101100011001011010100001 $ -b11011101101100011001011010100001 - -b11011101101100011001011010100001 5 -b11011101101100011001011010100001 ? -b11011101101100011001011010100001 D -b1101111111011101010011110011101 % -b1101111111011101010011110011101 . -b1101111111011101010011110011101 6 -b1101111111011101010011110011101 @ -b1101111111011101010011110011101 F -b11111111111111111011011110111101 ) -#238016000 -0& -#238032000 -b111010000111 , -#238048000 -1& -#238064000 -b11111011111111111111011001111111 " -b11111011111111111111011001111111 4 -b11111011111111111111011001111111 1 -b11111011111111111111011001111111 C -b11110111111 0 -b11110111111 H -08 -19 -b100000001100110110111101011 < -b10000000000001100110001001011011 2 -b10000000000001100110001001011011 = -b10000000000001100110001001011011 : -b1111011111111111111010001101111 $ -b1111011111111111111010001101111 - -b1111011111111111111010001101111 5 -b1111011111111111111010001101111 ? -b1111011111111111111010001101111 D -b11111011111110011001001000010100 % -b11111011111110011001001000010100 . -b11111011111110011001001000010100 6 -b11111011111110011001001000010100 @ -b11111011111110011001001000010100 F -b11111011111111111111011001111111 ) -#238080000 -0& -#238096000 -b111010001000 , -#238112000 -1& -#238128000 -b11111111111111111101100111110011 " -b11111111111111111101100111110011 4 -b11111111111111111101100111110011 1 -b11111111111111111101100111110011 C -b10011111001111 0 -b10011111001111 H -18 -09 -b1000000010000011011010001101 < -b10100111010001111100100000011111 2 -b10100111010001111100100000011111 = -b10100111010001111100100000011111 : -b10011111001111111001000110010001 $ -b10011111001111111001000110010001 - -b10011111001111111001000110010001 5 -b10011111001111111001000110010001 ? -b10011111001111111001000110010001 D -b11110111111101111100100101110010 % -b11110111111101111100100101110010 . -b11110111111101111100100101110010 6 -b11110111111101111100100101110010 @ -b11110111111101111100100101110010 F -b11111111111111111101100111110011 ) -#238144000 -0& -#238160000 -b111010001001 , -#238176000 -1& -#238192000 -b11111111011111111111111001111011 " -b11111111011111111111111001111011 4 -b11111111011111111111111001111011 1 -b11111111011111111111111001111011 C -b1111111 0 -b1111111 H -08 -19 -b1000100000000101001111100111 < -b10001000000000000010111001010011 2 -b10001000000000000010111001010011 = -b10001000000000000010111001010011 : -b1111111011111111101101001101011 $ -b1111111011111111101101001101011 - -b1111111011111111101101001101011 5 -b1111111011111111101101001101011 ? -b1111111011111111101101001101011 D -b11110111011111111010110000011000 % -b11110111011111111010110000011000 . -b11110111011111111010110000011000 6 -b11110111011111111010110000011000 @ -b11110111011111111010110000011000 F -b11111111011111111111111001111011 ) -#238208000 -0& -#238224000 -b111010001010 , -#238240000 -1& -#238256000 -b11111111111111111011001011101110 " -b11111111111111111011001011101110 4 -b11111111111111111011001011101110 1 -b11111111111111111011001011101110 C -b11000001110010101001001010101110 0 -b11000001110010101001001010101110 H -18 -09 -b10000000101111110011111 < -b11000010000010101111001001001110 2 -b11000010000010101111001001001110 = -b11000010000010101111001001001110 : -b11000001110010101001001010101110 $ -b11000001110010101001001010101110 - -b11000001110010101001001010101110 5 -b11000001110010101001001010101110 ? -b11000001110010101001001010101110 D -b11111111101111111010000001100000 % -b11111111101111111010000001100000 . -b11111111101111111010000001100000 6 -b11111111101111111010000001100000 @ -b11111111101111111010000001100000 F -b11111111111111111011001011101110 ) -#238272000 -0& -#238288000 -b111010001011 , -#238304000 -1& -#238320000 -b11111111111111100111101111111111 " -b11111111111111100111101111111111 4 -b11111111111111100111101111111111 1 -b11111111111111100111101111111111 C -b1111101111100 0 -b1111101111100 H -08 -b1000001010111001011010010000 < -b10111111010100001001000100011 2 -b10111111010100001001000100011 = -b10111111010100001001000100011 : -b1111101111100111101110010010 $ -b1111101111100111101110010010 - -b1111101111100111101110010010 5 -b1111101111100111101110010010 ? -b1111101111100111101110010010 D -b11110111110101000110100101101111 % -b11110111110101000110100101101111 . -b11110111110101000110100101101111 6 -b11110111110101000110100101101111 @ -b11110111110101000110100101101111 F -b11111111111111100111101111111111 ) -#238336000 -0& -#238352000 -b111010001100 , -#238368000 -1& -#238384000 -b11111011111101101011111111111101 " -b11111011111101101011111111111101 4 -b11111011111101101011111111111101 1 -b11111011111101101011111111111101 C -b11110001001011010110000110 0 -b11110001001011010110000110 H -19 -08 -b11100000010111110000001011010 < -b10010100101000101001000100110100 2 -b10010100101000101001000100110100 = -b10010100101000101001000100110100 : -b1111000100101101011000011011001 $ -b1111000100101101011000011011001 - -b1111000100101101011000011011001 5 -b1111000100101101011000011011001 ? -b1111000100101101011000011011001 D -b11100011111101000001111110100101 % -b11100011111101000001111110100101 . -b11100011111101000001111110100101 6 -b11100011111101000001111110100101 @ -b11100011111101000001111110100101 F -b11111011111101101011111111111101 ) -#238400000 -0& -#238416000 -b111010001101 , -#238432000 -1& -#238448000 -b11111111111110101101111111110100 " -b11111111111110101101111111110100 4 -b11111111111110101101111111110100 1 -b11111111111110101101111111110100 C -b10111011111100101001100011110100 0 -b10111011111100101001100011110100 H -18 -09 -b10110001011011100001011111 < -b10111110101110000101000101010100 2 -b10111110101110000101000101010100 = -b10111110101110000101000101010100 : -b10111011111100101001100011110100 $ -b10111011111100101001100011110100 - -b10111011111100101001100011110100 5 -b10111011111100101001100011110100 ? -b10111011111100101001100011110100 D -b11111101001110100100011110100000 % -b11111101001110100100011110100000 . -b11111101001110100100011110100000 6 -b11111101001110100100011110100000 @ -b11111101001110100100011110100000 F -b11111111111110101101111111110100 ) -#238464000 -0& -#238480000 -b111010001110 , -#238496000 -1& -#238512000 -b10111111111101111100011111011011 " -b10111111111101111100011111011011 4 -b10111111111101111100011111011011 1 -b10111111111101111100011111011011 C -b10111 0 -b10111 H -b11001000000010000111111111100100 < -b10000111010111111100011110111110 2 -b10000111010111111100011110111110 = -b10000111010111111100011110111110 : -b10111111010101110100011111011001 $ -b10111111010101110100011111011001 - -b10111111010101110100011111011001 5 -b10111111010101110100011111011001 ? -b10111111010101110100011111011001 D -b110111111101111000000000011011 % -b110111111101111000000000011011 . -b110111111101111000000000011011 6 -b110111111101111000000000011011 @ -b110111111101111000000000011011 F -b10111111111101111100011111011011 ) -#238528000 -0& -#238544000 -b111010001111 , -#238560000 -1& -#238576000 -b11111111111011111101111111111001 " -b11111111111011111101111111111001 4 -b11111111111011111101111111111001 1 -b11111111111011111101111111111001 C -b11001101111011101101111 0 -b11001101111011101101111 H -b110001110000110010110 < -b11001110000001111100000100100111 2 -b11001110000001111100000100100111 = -b11001110000001111100000100100111 : -b11001101111011101101111110010000 $ -b11001101111011101101111110010000 - -b11001101111011101101111110010000 5 -b11001101111011101101111110010000 ? -b11001101111011101101111110010000 D -b11111111111001110001111001101001 % -b11111111111001110001111001101001 . -b11111111111001110001111001101001 6 -b11111111111001110001111001101001 @ -b11111111111001110001111001101001 F -b11111111111011111101111111111001 ) -#238592000 -0& -#238608000 -b111010010000 , -#238624000 -1& -#238640000 -b10111111111111111111111111111011 " -b10111111111111111111111111111011 4 -b10111111111111111111111111111011 1 -b10111111111111111111111111111011 C -b1011111111111 0 -b1011111111111 H -08 -b1001000001000001011101001001100 < -b1000000111111011010110010110 2 -b1000000111111011010110010110 = -b1000000111111011010110010110 : -b10111111111111101111101101001001 $ -b10111111111111101111101101001001 - -b10111111111111101111101101001001 5 -b10111111111111101111101101001001 ? -b10111111111111101111101101001001 D -b10110111110111110100010110110011 % -b10110111110111110100010110110011 . -b10110111110111110100010110110011 6 -b10110111110111110100010110110011 @ -b10110111110111110100010110110011 F -b10111111111111111111111111111011 ) -#238656000 -0& -#238672000 -b111010010001 , -#238688000 -1& -#238704000 -b11111111111110111111011011110111 " -b11111111111110111111011011110111 4 -b11111111111110111111011011110111 1 -b11111111111110111111011011110111 C -b111111111011100101110110111 0 -b111111111011100101110110111 H -18 -b11001010001001000110111110111010 < -b11001001110111011110011010101101 2 -b11001001110111011110011010101101 = -b11001001110111011110011010101101 : -b11111111101110010111011011110010 $ -b11111111101110010111011011110010 - -b11111111101110010111011011110010 5 -b11111111101110010111011011110010 ? -b11111111101110010111011011110010 D -b110101110110111001000001000101 % -b110101110110111001000001000101 . -b110101110110111001000001000101 6 -b110101110110111001000001000101 @ -b110101110110111001000001000101 F -b11111111111110111111011011110111 ) -#238720000 -0& -#238736000 -b111010010010 , -#238752000 -1& -#238768000 -b11111111111111111111100111011111 " -b11111111111111111111100111011111 4 -b11111111111111111111100111011111 1 -b11111111111111111111100111011111 C -b1111111011 0 -b1111111011 H -b11001010001100001100011000101001 < -b11001001001001101000011001110011 2 -b11001001001001101000011001110011 = -b11001001001001101000011001110011 : -b11111110111101011100000001001001 $ -b11111110111101011100000001001001 - -b11111110111101011100000001001001 5 -b11111110111101011100000001001001 ? -b11111110111101011100000001001001 D -b110101110011110011100111010110 % -b110101110011110011100111010110 . -b110101110011110011100111010110 6 -b110101110011110011100111010110 @ -b110101110011110011100111010110 F -b11111111111111111111100111011111 ) -#238784000 -0& -#238800000 -b111010010011 , -#238816000 -1& -#238832000 -b11110011111111111111111011111110 " -b11110011111111111111111011111110 4 -b11110011111111111111111011111110 1 -b11110011111111111111111011111110 C -b111000111010111110111001111 0 -b111000111010111110111001111 H -08 -b1100000000000000010101111011 < -b1111101110101111110001001110110 2 -b1111101110101111110001001110110 = -b1111101110101111110001001110110 : -b1110001110101111101110011111010 $ -b1110001110101111101110011111010 - -b1110001110101111101110011111010 5 -b1110001110101111101110011111010 ? -b1110001110101111101110011111010 D -b11110011111111111111101010000100 % -b11110011111111111111101010000100 . -b11110011111111111111101010000100 6 -b11110011111111111111101010000100 @ -b11110011111111111111101010000100 F -b11110011111111111111111011111110 ) -#238848000 -0& -#238864000 -b111010010100 , -#238880000 -1& -#238896000 -b1111111111111111111100010110101 " -b1111111111111111111100010110101 4 -b1111111111111111111100010110101 1 -b1111111111111111111100010110101 C -b1001111101111 0 -b1001111101111 H -18 -b10000000100100001001111111101110 < -b10101000011100000111100010010100 2 -b10101000011100000111100010010100 = -b10101000011100000111100010010100 : -b100111110111111101100010100101 $ -b100111110111111101100010100101 - -b100111110111111101100010100101 5 -b100111110111111101100010100101 ? -b100111110111111101100010100101 D -b1111111011011110110000000010001 % -b1111111011011110110000000010001 . -b1111111011011110110000000010001 6 -b1111111011011110110000000010001 @ -b1111111011011110110000000010001 F -b1111111111111111111100010110101 ) -#238912000 -0& -#238928000 -b111010010101 , -#238944000 -1& -#238960000 -b1011111111111101111011111111110 " -b1011111111111101111011111111110 4 -b1011111111111101111011111111110 1 -b1011111111111101111011111111110 C -b10101 0 -b10101 H -b10100000000000010000100010000101 < -b11110111111101011011111100111010 2 -b11110111111101011011111100111010 = -b11110111111101011011111100111010 : -b1010111111101001011011010110100 $ -b1010111111101001011011010110100 - -b1010111111101001011011010110100 5 -b1010111111101001011011010110100 ? -b1010111111101001011011010110100 D -b1011111111111101111011101111010 % -b1011111111111101111011101111010 . -b1011111111111101111011101111010 6 -b1011111111111101111011101111010 @ -b1011111111111101111011101111010 F -b1011111111111101111011111111110 ) -#238976000 -0& -#238992000 -b111010010110 , -#239008000 -1& -#239024000 -b11111101111111110110011111101111 " -b11111101111111110110011111101111 4 -b11111101111111110110011111101111 1 -b11111101111111110110011111101111 C -b1001110111000011001001 0 -b1001110111000011001001 H -19 -18 -b10000010100000101001110010110101 < -b100000010001011100000101100101 2 -b100000010001011100000101100101 = -b100000010001011100000101100101 : -b10011101110000110010010010101111 $ -b10011101110000110010010010101111 - -b10011101110000110010010010101111 5 -b10011101110000110010010010101111 ? -b10011101110000110010010010101111 D -b1111101011111010110001101001010 % -b1111101011111010110001101001010 . -b1111101011111010110001101001010 6 -b1111101011111010110001101001010 @ -b1111101011111010110001101001010 F -b11111101111111110110011111101111 ) -#239040000 -0& -#239056000 -b111010010111 , -#239072000 -1& -#239088000 -b11111111111111111111111011011011 " -b11111111111111111111111011011011 4 -b11111111111111111111111011011011 1 -b11111111111111111111111011011011 C -b101111110001110110111110000110 0 -b101111110001110110111110000110 H -09 -18 -b1011000000000000100100111101 < -b11001010000111011100011101011001 2 -b11001010000111011100011101011001 = -b11001010000111011100011101011001 : -b10111111000111011011111000011011 $ -b10111111000111011011111000011011 - -b10111111000111011011111000011011 5 -b10111111000111011011111000011011 ? -b10111111000111011011111000011011 D -b11110100111111111111011011000010 % -b11110100111111111111011011000010 . -b11110100111111111111011011000010 6 -b11110100111111111111011011000010 @ -b11110100111111111111011011000010 F -b11111111111111111111111011011011 ) -#239104000 -0& -#239120000 -b111010011000 , -#239136000 -1& -#239152000 -b1110111111101111111101111111110 " -b1110111111101111111101111111110 4 -b1110111111101111111101111111110 1 -b1110111111101111111101111111110 C -b111011010110111111010101011 0 -b111011010110111111010101011 H -b10001000000010001010110000111011 < -b11111110110000001001011011110110 2 -b11111110110000001001011011110110 = -b11111110110000001001011011110110 : -b1110110101101111110101010111010 $ -b1110110101101111110101010111010 - -b1110110101101111110101010111010 5 -b1110110101101111110101010111010 ? -b1110110101101111110101010111010 D -b1110111111101110101001111000100 % -b1110111111101110101001111000100 . -b1110111111101110101001111000100 6 -b1110111111101110101001111000100 @ -b1110111111101110101001111000100 F -b1110111111101111111101111111110 ) -#239168000 -0& -#239184000 -b111010011001 , -#239200000 -1& -#239216000 -b11111101111111111011010001111010 " -b11111101111111111011010001111010 4 -b11111101111111111011010001111010 1 -b11111101111111111011010001111010 C -b1011010011111101101101 0 -b1011010011111101101101 H -18 -b10010100000111111110010101 < -b10110111010011100011001110101110 2 -b10110111010011100011001110101110 = -b10110111010011100011001110101110 : -b10110100111111011011010000011000 $ -b10110100111111011011010000011000 - -b10110100111111011011010000011000 5 -b10110100111111011011010000011000 ? -b10110100111111011011010000011000 D -b11111101101011111000000001101010 % -b11111101101011111000000001101010 . -b11111101101011111000000001101010 6 -b11111101101011111000000001101010 @ -b11111101101011111000000001101010 F -b11111101111111111011010001111010 ) -#239232000 -0& -#239248000 -b111010011010 , -#239264000 -1& -#239280000 -b11111111111111111010011111111111 " -b11111111111111111010011111111111 4 -b11111111111111111010011111111111 1 -b11111111111111111010011111111111 C -b1110111111110111 0 -b1110111111110111 H -b101001100010111101000001111 < -b11110101001010010001110000101111 2 -b11110101001010010001110000101111 = -b11110101001010010001110000101111 : -b11101111111101111010001000011111 $ -b11101111111101111010001000011111 - -b11101111111101111010001000011111 5 -b11101111111101111010001000011111 ? -b11101111111101111010001000011111 D -b11111010110011101000010111110000 % -b11111010110011101000010111110000 . -b11111010110011101000010111110000 6 -b11111010110011101000010111110000 @ -b11111010110011101000010111110000 F -b11111111111111111010011111111111 ) -#239296000 -0& -#239312000 -b111010011011 , -#239328000 -1& -#239344000 -b1111101111011110111101101000100 " -b1111101111011110111101101000100 4 -b1111101111011110111101101000100 1 -b1111101111011110111101101000100 C -b111110111101101001110000100 0 -b111110111101101001110000100 H -08 -b11100010000100001000110010111011 < -b1011111111111011100010011111100 2 -b1011111111111011100010011111100 = -b1011111111111011100010011111100 : -b1111101111011010011100001000000 $ -b1111101111011010011100001000000 - -b1111101111011010011100001000000 5 -b1111101111011010011100001000000 ? -b1111101111011010011100001000000 D -b11101111011110111001101000100 % -b11101111011110111001101000100 . -b11101111011110111001101000100 6 -b11101111011110111001101000100 @ -b11101111011110111001101000100 F -b1111101111011110111101101000100 ) -#239360000 -0& -#239376000 -b111010011100 , -#239392000 -1& -#239408000 -b11111111111111111101110101101111 " -b11111111111111111101110101101111 4 -b11111111111111111101110101101111 1 -b11111111111111111101110101101111 C -b11111101101101111011 0 -b11111101101101111011 H -b100110101110010100 < -b1111110110111100100100100000100 2 -b1111110110111100100100100000100 = -b1111110110111100100100100000100 : -b1111110110110111101110101101111 $ -b1111110110110111101110101101111 - -b1111110110110111101110101101111 5 -b1111110110110111101110101101111 ? -b1111110110110111101110101101111 D -b11111111111111011001010001101011 % -b11111111111111011001010001101011 . -b11111111111111011001010001101011 6 -b11111111111111011001010001101011 @ -b11111111111111011001010001101011 F -b11111111111111111101110101101111 ) -#239424000 -0& -#239440000 -b111010011101 , -#239456000 -1& -#239472000 -b1111101111111111100111010101100 " -b1111101111111111100111010101100 4 -b1111101111111111100111010101100 1 -b1111101111111111100111010101100 C -b11010111111011010010101010 0 -b11010111111011010010101010 H -18 -b10010011000000000011001101111011 < -b11001000111110110111111000101000 2 -b11001000111110110111111000101000 = -b11001000111110110111111000101000 : -b110101111110110100101010101100 $ -b110101111110110100101010101100 - -b110101111110110100101010101100 5 -b110101111110110100101010101100 ? -b110101111110110100101010101100 D -b1101100111111111100110010000100 % -b1101100111111111100110010000100 . -b1101100111111111100110010000100 6 -b1101100111111111100110010000100 @ -b1101100111111111100110010000100 F -b1111101111111111100111010101100 ) -#239488000 -0& -#239504000 -b111010011110 , -#239520000 -1& -#239536000 -b111111111111110101001111111110 " -b111111111111110101001111111110 4 -b111111111111110101001111111110 1 -b111111111111110101001111111110 C -b1101111111111101 0 -b1101111111111101 H -b11000011000010011010111010010001 < -b11111011000010001111001000101100 2 -b11111011000010001111001000101100 = -b11111011000010001111001000101100 : -b110111111111110100001110011010 $ -b110111111111110100001110011010 - -b110111111111110100001110011010 5 -b110111111111110100001110011010 ? -b110111111111110100001110011010 D -b111100111101100101000101101110 % -b111100111101100101000101101110 . -b111100111101100101000101101110 6 -b111100111101100101000101101110 @ -b111100111101100101000101101110 F -b111111111111110101001111111110 ) -#239552000 -0& -#239568000 -b111010011111 , -#239584000 -1& -#239600000 -b11111101101101110101100110001110 " -b11111101101101110101100110001110 4 -b11111101101101110101100110001110 1 -b11111101101101110101100110001110 C -b11011011011101 0 -b11011011011101 H -08 -b1111110011011010111011110001 < -b11101100001010000100001110110 2 -b11101100001010000100001110110 = -b11101100001010000100001110110 : -b1101101101110101100110000100 $ -b1101101101110101100110000100 - -b1101101101110101100110000100 5 -b1101101101110101100110000100 ? -b1101101101110101100110000100 D -b11110000001100100101000100001110 % -b11110000001100100101000100001110 . -b11110000001100100101000100001110 6 -b11110000001100100101000100001110 @ -b11110000001100100101000100001110 F -b11111101101101110101100110001110 ) -#239616000 -0& -#239632000 -b111010100000 , -#239648000 -1& -#239664000 -b11111111111111111101111111011111 " -b11111111111111111101111111011111 4 -b11111111111111111101111111011111 1 -b11111111111111111101111111011111 C -b110111111110111 0 -b110111111110111 H -19 -18 -b10000000100000101011001100101110 < -b1100000011100011000101100001101 2 -b1100000011100011000101100001101 = -b1100000011100011000101100001101 : -b11011111111011101101011111011110 $ -b11011111111011101101011111011110 - -b11011111111011101101011111011110 5 -b11011111111011101101011111011110 ? -b11011111111011101101011111011110 D -b1111111011111010100110011010001 % -b1111111011111010100110011010001 . -b1111111011111010100110011010001 6 -b1111111011111010100110011010001 @ -b1111111011111010100110011010001 F -b11111111111111111101111111011111 ) -#239680000 -0& -#239696000 -b111010100001 , -#239712000 -1& -#239728000 -b1111111111111111111111111101110 " -b1111111111111111111111111101110 4 -b1111111111111111111111111101110 1 -b1111111111111111111111111101110 C -b111110111110111011 0 -b111110111110111011 H -09 -18 -b10001000000000001010000011010011 < -b11000110111111000110000010011110 2 -b11000110111111000110000010011110 = -b11000110111111000110000010011110 : -b111110111110111011111111001010 $ -b111110111110111011111111001010 - -b111110111110111011111111001010 5 -b111110111110111011111111001010 ? -b111110111110111011111111001010 D -b1110111111111110101111100101100 % -b1110111111111110101111100101100 . -b1110111111111110101111100101100 6 -b1110111111111110101111100101100 @ -b1110111111111110101111100101100 F -b1111111111111111111111111101110 ) -#239744000 -0& -#239760000 -b111010100010 , -#239776000 -1& -#239792000 -b1111101111111111101100011111001 " -b1111101111111111101100011111001 4 -b1111101111111111101100011111001 1 -b1111101111111111101100011111001 C -b111010 0 -b111010 H -b10000010001001101110011100000110 < -b11111000000011101011011100100111 2 -b11111000000011101011011100100111 = -b11111000000011101011011100100111 : -b1110101111001111101000000100000 $ -b1110101111001111101000000100000 - -b1110101111001111101000000100000 5 -b1110101111001111101000000100000 ? -b1110101111001111101000000100000 D -b1111101110110010001100011111001 % -b1111101110110010001100011111001 . -b1111101110110010001100011111001 6 -b1111101110110010001100011111001 @ -b1111101110110010001100011111001 F -b1111101111111111101100011111001 ) -#239808000 -0& -#239824000 -b111010100011 , -#239840000 -1& -#239856000 -b11111111111111110011011011010011 " -b11111111111111110011011011010011 4 -b11111111111111110011011011010011 1 -b11111111111111110011011011010011 C -b101111111111111100010100010100 0 -b101111111111111100010100010100 H -18 -b10000000100011100100100111101 < -b11010000000100001101110110001111 2 -b11010000000100001101110110001111 = -b11010000000100001101110110001111 : -b10111111111111110001010001010001 $ -b10111111111111110001010001010001 - -b10111111111111110001010001010001 5 -b10111111111111110001010001010001 ? -b10111111111111110001010001010001 D -b11101111111011100011011011000010 % -b11101111111011100011011011000010 . -b11101111111011100011011011000010 6 -b11101111111011100011011011000010 @ -b11101111111011100011011011000010 F -b11111111111111110011011011010011 ) -#239872000 -0& -#239888000 -b111010100100 , -#239904000 -1& -#239920000 -b1111011111001101111010000111111 " -b1111011111001101111010000111111 4 -b1111011111001101111010000111111 1 -b1111011111001101111010000111111 C -b0 0 -b0 H -08 -b11000100000110110001101111000000 < -b110111010111010110101111100111 2 -b110111010111010110101111100111 = -b110111010111010110101111100111 : -b1110011010000100101000000100110 $ -b1110011010000100101000000100110 - -b1110011010000100101000000100110 5 -b1110011010000100101000000100110 ? -b1110011010000100101000000100110 D -b111011111001001110010000111111 % -b111011111001001110010000111111 . -b111011111001001110010000111111 6 -b111011111001001110010000111111 @ -b111011111001001110010000111111 F -b1111011111001101111010000111111 ) -#239936000 -0& -#239952000 -b111010100101 , -#239968000 -1& -#239984000 -b11111111111111111000011101111110 " -b11111111111111111000011101111110 4 -b11111111111111111000011101111110 1 -b11111111111111111000011101111110 C -b1111101011 0 -b1111101011 H -18 -b10011000010000000111100110101001 < -b10010011001111010111110011010110 2 -b10010011001111010111110011010110 = -b10010011001111010111110011010110 : -b11111010111111010000001100101100 $ -b11111010111111010000001100101100 - -b11111010111111010000001100101100 5 -b11111010111111010000001100101100 ? -b11111010111111010000001100101100 D -b1100111101111111000011001010110 % -b1100111101111111000011001010110 . -b1100111101111111000011001010110 6 -b1100111101111111000011001010110 @ -b1100111101111111000011001010110 F -b11111111111111111000011101111110 ) -#240000000 -0& -#240016000 -b111010100110 , -#240032000 -1& -#240048000 -b11101111011111110110100110110111 " -b11101111011111110110100110110111 4 -b11101111011111110110100110110111 1 -b11101111011111110110100110110111 C -b1010101101111111011000010 0 -b1010101101111111011000010 H -09 -18 -b10010100010011011011101111000 < -b10111110000010010001100010101001 2 -b10111110000010010001100010101001 = -b10111110000010010001100010101001 : -b10101011011111110110000100110000 $ -b10101011011111110110000100110000 - -b10101011011111110110000100110000 5 -b10101011011111110110000100110000 ? -b10101011011111110110000100110000 D -b11101101011101100100100010000111 % -b11101101011101100100100010000111 . -b11101101011101100100100010000111 6 -b11101101011101100100100010000111 @ -b11101101011101100100100010000111 F -b11101111011111110110100110110111 ) -#240064000 -0& -#240080000 -b111010100111 , -#240096000 -1& -#240112000 -b11111111110111111100110111111010 " -b11111111110111111100110111111010 4 -b11111111110111111100110111111010 1 -b11111111110111111100110111111010 C -b111111 0 -b111111 H -18 -b11101000001000000111001000000101 < -b11100110111011110011011011101000 2 -b11100110111011110011011011101000 = -b11100110111011110011011011101000 : -b11111110110011101100010011100010 $ -b11111110110011101100010011100010 - -b11111110110011101100010011100010 5 -b11111110110011101100010011100010 ? -b11111110110011101100010011100010 D -b10111110111111000110111111010 % -b10111110111111000110111111010 . -b10111110111111000110111111010 6 -b10111110111111000110111111010 @ -b10111110111111000110111111010 F -b11111111110111111100110111111010 ) -#240128000 -0& -#240144000 -b111010101000 , -#240160000 -1& -#240176000 -b11111111111111111101011100111110 " -b11111111111111111101011100111110 4 -b11111111111111111101011100111110 1 -b11111111111111111101011100111110 C -b11110111111111111 0 -b11110111111111111 H -19 -08 -b110000100010001110110111010001 < -b10101100100010001011001011100010 2 -b10101100100010001011001011100010 = -b10101100100010001011001011100010 : -b1111011111111111100010100010000 $ -b1111011111111111100010100010000 - -b1111011111111111100010100010000 5 -b1111011111111111100010100010000 ? -b1111011111111111100010100010000 D -b11001111011101110001001000101110 % -b11001111011101110001001000101110 . -b11001111011101110001001000101110 6 -b11001111011101110001001000101110 @ -b11001111011101110001001000101110 F -b11111111111111111101011100111110 ) -#240192000 -0& -#240208000 -b111010101001 , -#240224000 -1& -#240240000 -b10110111111111111111100101110000 " -b10110111111111111111100101110000 4 -b10110111111111111111100101110000 1 -b10110111111111111111100101110000 C -b1011010011111111 0 -b1011010011111111 H -18 -09 -b1001000000100000010111010001111 < -b11111101000011111001011110100000 2 -b11111101000011111001011110100000 = -b11111101000011111001011110100000 : -b10110100111111110110100100010000 $ -b10110100111111110110100100010000 - -b10110100111111110110100100010000 5 -b10110100111111110110100100010000 ? -b10110100111111110110100100010000 D -b10110111111011111101000101110000 % -b10110111111011111101000101110000 . -b10110111111011111101000101110000 6 -b10110111111011111101000101110000 @ -b10110111111011111101000101110000 F -b10110111111111111111100101110000 ) -#240256000 -0& -#240272000 -b111010101010 , -#240288000 -1& -#240304000 -b1111110111111111111101111101011 " -b1111110111111111111101111101011 4 -b1111110111111111111101111101011 1 -b1111110111111111111101111101011 C -b10111101011010111110011111010 0 -b10111101011010111110011111010 H -b10000001100001011110010100011101 < -b11100000001110111101100100000111 2 -b11100000001110111101100100000111 = -b11100000001110111101100100000111 : -b1011110101101011111001111101001 $ -b1011110101101011111001111101001 - -b1011110101101011111001111101001 5 -b1011110101101011111001111101001 ? -b1011110101101011111001111101001 D -b1111110011110100001101011100010 % -b1111110011110100001101011100010 . -b1111110011110100001101011100010 6 -b1111110011110100001101011100010 @ -b1111110011110100001101011100010 F -b1111110111111111111101111101011 ) -#240320000 -0& -#240336000 -b111010101011 , -#240352000 -1& -#240368000 -b11111110111111111011111011111110 " -b11111110111111111011111011111110 4 -b11111110111111111011111011111110 1 -b11111110111111111011111011111110 C -b111111 0 -b111111 H -08 -b101110000000111100100000101 < -b100101111100011000101011010 2 -b100101111100011000101011010 = -b100101111100011000101011010 : -b11111110111111011011100001010100 $ -b11111110111111011011100001010100 - -b11111110111111011011100001010100 5 -b11111110111111011011100001010100 ? -b11111110111111011011100001010100 D -b11111010001111111000011011111010 % -b11111010001111111000011011111010 . -b11111010001111111000011011111010 6 -b11111010001111111000011011111010 @ -b11111010001111111000011011111010 F -b11111110111111111011111011111110 ) -#240384000 -0& -#240400000 -b111010101100 , -#240416000 -1& -#240432000 -b11110111111111111111010011111111 " -b11110111111111111111010011111111 4 -b11110111111111111111010011111111 1 -b11110111111111111111010011111111 C -b11100 0 -b11100 H -19 -18 -b10001000100001000010111101100100 < -b1110000010100111101001111011010 2 -b1110000010100111101001111011010 = -b1110000010100111101001111011010 : -b11100111110011111010010001110101 $ -b11100111110011111010010001110101 - -b11100111110011111010010001110101 5 -b11100111110011111010010001110101 ? -b11100111110011111010010001110101 D -b1110111011110111101000010011011 % -b1110111011110111101000010011011 . -b1110111011110111101000010011011 6 -b1110111011110111101000010011011 @ -b1110111011110111101000010011011 F -b11110111111111111111010011111111 ) -#240448000 -0& -#240464000 -b111010101101 , -#240480000 -1& -#240496000 -b11111111111111110011110100111111 " -b11111111111111110011110100111111 4 -b11111111111111110011110100111111 1 -b11111111111111110011110100111111 C -b1111010110111 0 -b1111010110111 H -08 -09 -b1010100001000001100101111001100 < -b1001001110111000000100100001010 2 -b1001001110111000000100100001010 = -b1001001110111000000100100001010 : -b11110101101110110011110100111101 $ -b11110101101110110011110100111101 - -b11110101101110110011110100111101 5 -b11110101101110110011110100111101 ? -b11110101101110110011110100111101 D -b10101011110111110011010000110011 % -b10101011110111110011010000110011 . -b10101011110111110011010000110011 6 -b10101011110111110011010000110011 @ -b10101011110111110011010000110011 F -b11111111111111110011110100111111 ) -#240512000 -0& -#240528000 -b111010101110 , -#240544000 -1& -#240560000 -b1111111111111111101011111101110 " -b1111111111111111101011111101110 4 -b1111111111111111101011111101110 1 -b1111111111111111101011111101110 C -b1111110111101011000111100011 0 -b1111110111101011000111100011 H -18 -b10000000011000000010100100011101 < -b10111111110110101111000010101100 2 -b10111111110110101111000010101100 = -b10111111110110101111000010101100 : -b111111011110101100011110001110 $ -b111111011110101100011110001110 - -b111111011110101100011110001110 5 -b111111011110101100011110001110 ? -b111111011110101100011110001110 D -b1111111100111111101011011100010 % -b1111111100111111101011011100010 . -b1111111100111111101011011100010 6 -b1111111100111111101011011100010 @ -b1111111100111111101011011100010 F -b1111111111111111101011111101110 ) -#240576000 -0& -#240592000 -b111010101111 , -#240608000 -1& -#240624000 -b11111110111110110011010101110111 " -b11111110111110110011010101110111 4 -b11111110111110110011010101110111 1 -b11111110111110110011010101110111 C -b111001101110 0 -b111001101110 H -18 -b10100001000001001101111110001011 < -b10000111111011100001010011101111 2 -b10000111111011100001010011101111 = -b10000111111011100001010011101111 : -b11100110111010010011010101100011 $ -b11100110111010010011010101100011 - -b11100110111010010011010101100011 5 -b11100110111010010011010101100011 ? -b11100110111010010011010101100011 D -b1011110111110110010000001110100 % -b1011110111110110010000001110100 . -b1011110111110110010000001110100 6 -b1011110111110110010000001110100 @ -b1011110111110110010000001110100 F -b11111110111110110011010101110111 ) -#240640000 -0& -#240656000 -b111010110000 , -#240672000 -1& -#240688000 -b11111111111111110111011111011011 " -b11111111111111110111011111011011 4 -b11111111111111110111011111011011 1 -b11111111111111110111011111011011 C -b11111 0 -b11111 H -08 -b1001001000001001110101001100100 < -b1000100100000110101000100111111 2 -b1000100100000110101000100111111 = -b1000100100000110101000100111111 : -b11111011011111100110011011011010 $ -b11111011011111100110011011011010 - -b11111011011111100110011011011010 5 -b11111011011111100110011011011010 ? -b11111011011111100110011011011010 D -b10110110111110110001010110011011 % -b10110110111110110001010110011011 . -b10110110111110110001010110011011 6 -b10110110111110110001010110011011 @ -b10110110111110110001010110011011 F -b11111111111111110111011111011011 ) -#240704000 -0& -#240720000 -b111010110001 , -#240736000 -1& -#240752000 -b11101111111111111111111011010001 " -b11101111111111111111111011010001 4 -b11101111111111111111111011010001 1 -b11101111111111111111111011010001 C -b11101111111001111101111001010001 0 -b11101111111001111101111001010001 H -b110000000000100101110101111111 < -b11111111010100011101111010001 2 -b11111111010100011101111010001 = -b11111111010100011101111010001 : -b11101111111001111101111001010001 $ -b11101111111001111101111001010001 - -b11101111111001111101111001010001 5 -b11101111111001111101111001010001 ? -b11101111111001111101111001010001 D -b11001111111111011010001010000000 % -b11001111111111011010001010000000 . -b11001111111111011010001010000000 6 -b11001111111111011010001010000000 @ -b11001111111111011010001010000000 F -b11101111111111111111111011010001 ) -#240768000 -0& -#240784000 -b111010110010 , -#240800000 -1& -#240816000 -b11111111111111111111011111111101 " -b11111111111111111111011111111101 4 -b11111111111111111111011111111101 1 -b11111111111111111111011111111101 C -b110111110101111 0 -b110111110101111 H -18 -19 -b10000001000000000011100100101110 < -b1100000010111111010111010011011 2 -b1100000010111111010111010011011 = -b1100000010111111010111010011011 : -b11011111010111110111010101101100 $ -b11011111010111110111010101101100 - -b11011111010111110111010101101100 5 -b11011111010111110111010101101100 ? -b11011111010111110111010101101100 D -b1111110111111111100011011010001 % -b1111110111111111100011011010001 . -b1111110111111111100011011010001 6 -b1111110111111111100011011010001 @ -b1111110111111111100011011010001 F -b11111111111111111111011111111101 ) -#240832000 -0& -#240848000 -b111010110011 , -#240864000 -1& -#240880000 -b1111111011011111111101101111110 " -b1111111011011111111101101111110 4 -b1111111011011111111101101111110 1 -b1111111011011111111101101111110 C -b11111 0 -b11111 H -08 -09 -b10001010100101001001111011000101 < -b1001110110100101100101000010 2 -b1001110110100101100101000010 = -b1001110110100101100101000010 : -b1111111010001011011101001111100 $ -b1111111010001011011101001111100 - -b1111111010001011011101001111100 5 -b1111111010001011011101001111100 ? -b1111111010001011011101001111100 D -b1110101011010110110000100111010 % -b1110101011010110110000100111010 . -b1110101011010110110000100111010 6 -b1110101011010110110000100111010 @ -b1110101011010110110000100111010 F -b1111111011011111111101101111110 ) -#240896000 -0& -#240912000 -b111010110100 , -#240928000 -1& -#240944000 -b11011011101111110101111111111011 " -b11011011101111110101111111111011 4 -b11011011101111110101111111111011 1 -b11011011101111110101111111111011 C -b101001 0 -b101001 H -08 -b100100110000001010010110000110 < -b1110111011111111010101100010001 2 -b1110111011111111010101100010001 = -b1110111011111111010101100010001 : -b1010010101111110000010110001010 $ -b1010010101111110000010110001010 - -b1010010101111110000010110001010 5 -b1010010101111110000010110001010 ? -b1010010101111110000010110001010 D -b11011011001111110101101001111001 % -b11011011001111110101101001111001 . -b11011011001111110101101001111001 6 -b11011011001111110101101001111001 @ -b11011011001111110101101001111001 F -b11011011101111110101111111111011 ) -#240960000 -0& -#240976000 -b111010110101 , -#240992000 -1& -#241008000 -b11111111010111111111100101001011 " -b11111111010111111111100101001011 4 -b11111111010111111111100101001011 1 -b11111111010111111111100101001011 C -b11101110000111111111100 0 -b11101110000111111111100 H -18 -19 -b10000110101000010000111110110110 < -b1110100110000010000100010111010 2 -b1110100110000010000100010111010 = -b1110100110000010000100010111010 : -b11101110000111111111100100000011 $ -b11101110000111111111100100000011 - -b11101110000111111111100100000011 5 -b11101110000111111111100100000011 ? -b11101110000111111111100100000011 D -b1111001010111101111000001001001 % -b1111001010111101111000001001001 . -b1111001010111101111000001001001 6 -b1111001010111101111000001001001 @ -b1111001010111101111000001001001 F -b11111111010111111111100101001011 ) -#241024000 -0& -#241040000 -b111010110110 , -#241056000 -1& -#241072000 -b11111111111111110111011011011111 " -b11111111111111110111011011011111 4 -b11111111111111110111011011011111 1 -b11111111111111110111011011011111 C -b10101010101111110111011011011 0 -b10101010101111110111011011011 H -09 -18 -b1000001101111100111100 < -b10101010111000000101011000011011 2 -b10101010111000000101011000011011 = -b10101010111000000101011000011011 : -b10101010101111110111011011011110 $ -b10101010101111110111011011011110 - -b10101010101111110111011011011110 5 -b10101010101111110111011011011110 ? -b10101010101111110111011011011110 D -b11111111110111110010000011000011 % -b11111111110111110010000011000011 . -b11111111110111110010000011000011 6 -b11111111110111110010000011000011 @ -b11111111110111110010000011000011 F -b11111111111111110111011011011111 ) -#241088000 -0& -#241104000 -b111010110111 , -#241120000 -1& -#241136000 -b11111111001111111111100010110101 " -b11111111001111111111100010110101 4 -b11111111001111111111100010110101 1 -b11111111001111111111100010110101 C -b11110100000 0 -b11110100000 H -08 -b1000100110110100100111111001010 < -b111000111110100011100001101111 2 -b111000111110100011100001101111 = -b111000111110100011100001101111 : -b11110100000111111110100010100100 $ -b11110100000111111110100010100100 - -b11110100000111111110100010100100 5 -b11110100000111111110100010100100 ? -b11110100000111111110100010100100 D -b10111011001001011011000000110101 % -b10111011001001011011000000110101 . -b10111011001001011011000000110101 6 -b10111011001001011011000000110101 @ -b10111011001001011011000000110101 F -b11111111001111111111100010110101 ) -#241152000 -0& -#241168000 -b111010111000 , -#241184000 -1& -#241200000 -b11111111111111100111011010111011 " -b11111111111111100111011010111011 4 -b11111111111111100111011010111011 1 -b11111111111111100111011010111011 C -b111111111101100 0 -b111111111101100 H -b10000000000011000101111001110 < -b1111110110011110111001101010 2 -b1111110110011110111001101010 = -b1111110110011110111001101010 : -b11111111110110000110001010011011 $ -b11111111110110000110001010011011 - -b11111111110110000110001010011011 5 -b11111111110110000110001010011011 ? -b11111111110110000110001010011011 D -b11101111111111100111010000110001 % -b11101111111111100111010000110001 . -b11101111111111100111010000110001 6 -b11101111111111100111010000110001 @ -b11101111111111100111010000110001 F -b11111111111111100111011010111011 ) -#241216000 -0& -#241232000 -b111010111001 , -#241248000 -1& -#241264000 -b11111111111110010011001111011011 " -b11111111111110010011001111011011 4 -b11111111111110010011001111011011 1 -b11111111111110010011001111011011 C -b11111101111100100110011000110 0 -b11111101111100100110011000110 H -08 -b101011101101111100111101 < -b1111111101010000001001001010111 2 -b1111111101010000001001001010111 = -b1111111101010000001001001010111 : -b1111110111110010011001100011001 $ -b1111110111110010011001100011001 - -b1111110111110010011001100011001 5 -b1111110111110010011001100011001 ? -b1111110111110010011001100011001 D -b11111111010100010010000011000010 % -b11111111010100010010000011000010 . -b11111111010100010010000011000010 6 -b11111111010100010010000011000010 @ -b11111111010100010010000011000010 F -b11111111111110010011001111011011 ) -#241280000 -0& -#241296000 -b111010111010 , -#241312000 -1& -#241328000 -b11111111110111111011111111001001 " -b11111111110111111011111111001001 4 -b11111111110111111011111111001001 1 -b11111111110111111011111111001001 C -b11011111110111111011111 0 -b11011111110111111011111 H -08 -b1001111001110001101101000110110 < -b101111000110001001100100111111 2 -b101111000110001001100100111111 = -b101111000110001001100100111111 : -b11011111110111111011111100001000 $ -b11011111110111111011111100001000 - -b11011111110111111011111100001000 5 -b11011111110111111011111100001000 ? -b11011111110111111011111100001000 D -b10110000110001110010010111001001 % -b10110000110001110010010111001001 . -b10110000110001110010010111001001 6 -b10110000110001110010010111001001 @ -b10110000110001110010010111001001 F -b11111111110111111011111111001001 ) -#241344000 -0& -#241360000 -b111010111011 , -#241376000 -1& -#241392000 -b11110111111111111101110111111100 " -b11110111111111111101110111111100 4 -b11110111111111111101110111111100 1 -b11110111111111111101110111111100 C -b101101111011111101000101 0 -b101101111011111101000101 H -18 -b1101000011000010001101110111 < -b11000100110010110110100011110100 2 -b11000100110010110110100011110100 = -b11000100110010110110100011110100 : -b10110111101111110100010101111100 $ -b10110111101111110100010101111100 - -b10110111101111110100010101111100 5 -b10110111101111110100010101111100 ? -b10110111101111110100010101111100 D -b11110010111100111101110010001000 % -b11110010111100111101110010001000 . -b11110010111100111101110010001000 6 -b11110010111100111101110010001000 @ -b11110010111100111101110010001000 F -b11110111111111111101110111111100 ) -#241408000 -0& -#241424000 -b111010111100 , -#241440000 -1& -#241456000 -b1111111111111111111110110111110 " -b1111111111111111111110110111110 4 -b1111111111111111111110110111110 1 -b1111111111111111111110110111110 C -b1111101111111110111100110 0 -b1111101111111110111100110 H -08 -b10010001000010100001101001111001 < -b1111000010011001010000110010 2 -b1111000010011001010000110010 = -b1111000010011001010000110010 : -b1111101111111110111100110111000 $ -b1111101111111110111100110111000 - -b1111101111111110111100110111000 5 -b1111101111111110111100110111000 ? -b1111101111111110111100110111000 D -b1101110111101011110010110000110 % -b1101110111101011110010110000110 . -b1101110111101011110010110000110 6 -b1101110111101011110010110000110 @ -b1101110111101011110010110000110 F -b1111111111111111111110110111110 ) -#241472000 -0& -#241488000 -b111010111101 , -#241504000 -1& -#241520000 -b11111111111111111101011101110110 " -b11111111111111111101011101110110 4 -b11111111111111111101011101110110 1 -b11111111111111111101011101110110 C -b11111111111111111000011001 0 -b11111111111111111000011001 H -18 -b10100011010000000010110011111001 < -b10100011001111111011001101101110 2 -b10100011001111111011001101101110 = -b10100011001111111011001101101110 : -b11111111111111111000011001110100 $ -b11111111111111111000011001110100 - -b11111111111111111000011001110100 5 -b11111111111111111000011001110100 ? -b11111111111111111000011001110100 D -b1011100101111111101001100000110 % -b1011100101111111101001100000110 . -b1011100101111111101001100000110 6 -b1011100101111111101001100000110 @ -b1011100101111111101001100000110 F -b11111111111111111101011101110110 ) -#241536000 -0& -#241552000 -b111010111110 , -#241568000 -1& -#241584000 -b11111111111111110111101101111111 " -b11111111111111110111101101111111 4 -b11111111111111110111101101111111 1 -b11111111111111110111101101111111 C -b111111110111100111 0 -b111111110111100111 H -08 -09 -b1000001101010111110110011 < -b1000000111001010010101100110011 2 -b1000000111001010010101100110011 = -b1000000111001010010101100110011 : -b111111110111100111101101111111 $ -b111111110111100111101101111111 - -b111111110111100111101101111111 5 -b111111110111100111101101111111 ? -b111111110111100111101101111111 D -b11111110111110010101000001001100 % -b11111110111110010101000001001100 . -b11111110111110010101000001001100 6 -b11111110111110010101000001001100 @ -b11111110111110010101000001001100 F -b11111111111111110111101101111111 ) -#241600000 -0& -#241616000 -b111010111111 , -#241632000 -1& -#241648000 -b11111111111110110101011111101000 " -b11111111111110110101011111101000 4 -b11111111111110110101011111101000 1 -b11111111111110110101011111101000 C -b11011110010110110001011111101000 0 -b11011110010110110001011111101000 H -18 -b10010001001010101010011111 < -b11100000100111111100001010001000 2 -b11100000100111111100001010001000 = -b11100000100111111100001010001000 : -b11011110010110110001011111101000 $ -b11011110010110110001011111101000 - -b11011110010110110001011111101000 5 -b11011110010110110001011111101000 ? -b11011110010110110001011111101000 D -b11111101101110110101010101100000 % -b11111101101110110101010101100000 . -b11111101101110110101010101100000 6 -b11111101101110110101010101100000 @ -b11111101101110110101010101100000 F -b11111111111110110101011111101000 ) -#241664000 -0& -#241680000 -b111011000000 , -#241696000 -1& -#241712000 -b11111111111111111110110111011111 " -b11111111111111111110110111011111 4 -b11111111111111111110110111011111 1 -b11111111111111111110110111011111 C -b11111111011111100001100000 0 -b11111111011111100001100000 H -08 -19 -b1000001101100010001101000111010 < -b11000001011100000010011001010101 2 -b11000001011100000010011001010101 = -b11000001011100000010011001010101 : -b1111111101111110000110000011010 $ -b1111111101111110000110000011010 - -b1111111101111110000110000011010 5 -b1111111101111110000110000011010 ? -b1111111101111110000110000011010 D -b10111110010011101110010111000101 % -b10111110010011101110010111000101 . -b10111110010011101110010111000101 6 -b10111110010011101110010111000101 @ -b10111110010011101110010111000101 F -b11111111111111111110110111011111 ) -#241728000 -0& -#241744000 -b111011000001 , -#241760000 -1& -#241776000 -b11111111111111111011111010111110 " -b11111111111111111011111010111110 4 -b11111111111111111011111010111110 1 -b11111111111111111011111010111110 C -b1111111110111111 0 -b1111111110111111 H -09 -08 -b100000000000100011101001111 < -b11101111110111010101101110 2 -b11101111110111010101101110 = -b11101111110111010101101110 : -b11111111101111110010111000011110 $ -b11111111101111110010111000011110 - -b11111111101111110010111000011110 5 -b11111111101111110010111000011110 ? -b11111111101111110010111000011110 D -b11111011111111111011100010110000 % -b11111011111111111011100010110000 . -b11111011111111111011100010110000 6 -b11111011111111111011100010110000 @ -b11111011111111111011100010110000 F -b11111111111111111011111010111110 ) -#241792000 -0& -#241808000 -b111011000010 , -#241824000 -1& -#241840000 -b1111111111111111001101001110011 " -b1111111111111111001101001110011 4 -b1111111111111111001101001110011 1 -b1111111111111111001101001110011 C -b110101111111 0 -b110101111111 H -b11001000000100100110111111001100 < -b110100000100000111101000001101 2 -b110100000100000111101000001101 = -b110100000100000111101000001101 : -b1101011111111100000101001000000 $ -b1101011111111100000101001000000 - -b1101011111111100000101001000000 5 -b1101011111111100000101001000000 ? -b1101011111111100000101001000000 D -b110111111011011001000000110011 % -b110111111011011001000000110011 . -b110111111011011001000000110011 6 -b110111111011011001000000110011 @ -b110111111011011001000000110011 F -b1111111111111111001101001110011 ) -#241856000 -0& -#241872000 -b111011000011 , -#241888000 -1& -#241904000 -b10011110111111111101111111101001 " -b10011110111111111101111111101001 4 -b10011110111111111101111111101001 1 -b10011110111111111101111111101001 C -b11110111110111100111 0 -b11110111110111100111 H -19 -08 -b1100111110000110110000101010110 < -b10000110101111110011000100111111 2 -b10000110101111110011000100111111 = -b10000110101111110011000100111111 : -b11110111110111100111111101000 $ -b11110111110111100111111101000 - -b11110111110111100111111101000 5 -b11110111110111100111111101000 ? -b11110111110111100111111101000 D -b10011000001111001001111010101001 % -b10011000001111001001111010101001 . -b10011000001111001001111010101001 6 -b10011000001111001001111010101001 @ -b10011000001111001001111010101001 F -b10011110111111111101111111101001 ) -#241920000 -0& -#241936000 -b111011000100 , -#241952000 -1& -#241968000 -b1111111111111101111101101111111 " -b1111111111111101111101101111111 4 -b1111111111111101111101101111111 1 -b1111111111111101111101101111111 C -b11 0 -b11 H -18 -09 -b10000100000000010100010010000010 < -b11110001011111100010110111001110 2 -b11110001011111100010110111001110 = -b11110001011111100010110111001110 : -b1101101011111001110100101001011 $ -b1101101011111001110100101001011 - -b1101101011111001110100101001011 5 -b1101101011111001110100101001011 ? -b1101101011111001110100101001011 D -b1111011111111101011101101111101 % -b1111011111111101011101101111101 . -b1111011111111101011101101111101 6 -b1111011111111101011101101111101 @ -b1111011111111101011101101111101 F -b1111111111111101111101101111111 ) -#241984000 -0& -#242000000 -b111011000101 , -#242016000 -1& -#242032000 -b1111111111111111111011111111111 " -b1111111111111111111011111111111 4 -b1111111111111111111011111111111 1 -b1111111111111111111011111111111 C -b1 0 -b1 H -b10010001000010000000100001000001 < -b11101001000000000001100100001111 2 -b11101001000000000001100100001111 = -b11101001000000000001100100001111 : -b1010111111110000001000011001101 $ -b1010111111110000001000011001101 - -b1010111111110000001000011001101 5 -b1010111111110000001000011001101 ? -b1010111111110000001000011001101 D -b1101110111101111111011110111110 % -b1101110111101111111011110111110 . -b1101110111101111111011110111110 6 -b1101110111101111111011110111110 @ -b1101110111101111111011110111110 F -b1111111111111111111011111111111 ) -#242048000 -0& -#242064000 -b111011000110 , -#242080000 -1& -#242096000 -b1111111111111110111101111000111 " -b1111111111111110111101111000111 4 -b1111111111111110111101111000111 1 -b1111111111111110111101111000111 C -b1101111110011100101000111000111 0 -b1101111110011100101000111000111 H -08 -b11100001100000001100010011111111 < -b1010001010011110001011011000111 2 -b1010001010011110001011011000111 = -b1010001010011110001011011000111 : -b1101111110011100101000111000111 $ -b1101111110011100101000111000111 - -b1101111110011100101000111000111 5 -b1101111110011100101000111000111 ? -b1101111110011100101000111000111 D -b11110011111110011101100000000 % -b11110011111110011101100000000 . -b11110011111110011101100000000 6 -b11110011111110011101100000000 @ -b11110011111110011101100000000 F -b1111111111111110111101111000111 ) -#242112000 -0& -#242128000 -b111011000111 , -#242144000 -1& -#242160000 -b11111111111111111111110111111111 " -b11111111111111111111110111111111 4 -b11111111111111111111110111111111 1 -b11111111111111111111110111111111 C -b11111111111110101111110 0 -b11111111111110101111110 H -08 -b11000110000000011101000010110 < -b11000101110110011011011101110 2 -b11000101110110011011011101110 = -b11000101110110011011011101110 : -b11111111111110101111110011010111 $ -b11111111111110101111110011010111 - -b11111111111110101111110011010111 5 -b11111111111110101111110011010111 ? -b11111111111110101111110011010111 D -b11100111001111111100010111101001 % -b11100111001111111100010111101001 . -b11100111001111111100010111101001 6 -b11100111001111111100010111101001 @ -b11100111001111111100010111101001 F -b11111111111111111111110111111111 ) -#242176000 -0& -#242192000 -b111011001000 , -#242208000 -1& -#242224000 -b1111111101111111010111010111101 " -b1111111101111111010111010111101 4 -b1111111101111111010111010111101 1 -b1111111101111111010111010111101 C -b101110110111011100 0 -b101110110111011100 H -18 -b10001000110000101101111101110010 < -b11100110011111100110110110101100 2 -b11100110011111100110110110101100 = -b11100110011111100110110110101100 : -b1011101101110111000111000111001 $ -b1011101101110111000111000111001 - -b1011101101110111000111000111001 5 -b1011101101110111000111000111001 ? -b1011101101110111000111000111001 D -b1110111001111010010000010001101 % -b1110111001111010010000010001101 . -b1110111001111010010000010001101 6 -b1110111001111010010000010001101 @ -b1110111001111010010000010001101 F -b1111111101111111010111010111101 ) -#242240000 -0& -#242256000 -b111011001001 , -#242272000 -1& -#242288000 -b1111111111101111111101110101111 " -b1111111111101111111101110101111 4 -b1111111111101111111101110101111 1 -b1111111111101111111101110101111 C -b1100111111101100001 0 -b1100111111101100001 H -b10000100000010000001011011110011 < -b11101011111111100010101010100011 2 -b11101011111111100010101010100011 = -b11101011111111100010101010100011 : -b1100111111101100001001110101111 $ -b1100111111101100001001110101111 - -b1100111111101100001001110101111 5 -b1100111111101100001001110101111 ? -b1100111111101100001001110101111 D -b1111011111101111110100100001100 % -b1111011111101111110100100001100 . -b1111011111101111110100100001100 6 -b1111011111101111110100100001100 @ -b1111011111101111110100100001100 F -b1111111111101111111101110101111 ) -#242304000 -0& -#242320000 -b111011001010 , -#242336000 -1& -#242352000 -b11111111111111111111111110111011 " -b11111111111111111111111110111011 4 -b11111111111111111111111110111011 1 -b11111111111111111111111110111011 C -b100000011111111110101110001101 0 -b100000011111111110101110001101 H -08 -b110000100101001001011110 < -b1000001110000100010100101111001 2 -b1000001110000100010100101111001 = -b1000001110000100010100101111001 : -b1000000111111111101011100011010 $ -b1000000111111111101011100011010 - -b1000000111111111101011100011010 5 -b1000000111111111101011100011010 ? -b1000000111111111101011100011010 D -b11111111001111011010110110100001 % -b11111111001111011010110110100001 . -b11111111001111011010110110100001 6 -b11111111001111011010110110100001 @ -b11111111001111011010110110100001 F -b11111111111111111111111110111011 ) -#242368000 -0& -#242384000 -b111011001011 , -#242400000 -1& -#242416000 -b11111111101100110100111111111111 " -b11111111101100110100111111111111 4 -b11111111101100110100111111111111 1 -b11111111101100110100111111111111 C -b0 0 -b0 H -19 -08 -b100010011001011001000000000 < -b10000000011000000000000011100110 2 -b10000000011000000000000011100110 = -b10000000011000000000000011100110 : -b1111100000100110100111011100101 $ -b1111100000100110100111011100101 - -b1111100000100110100111011100101 5 -b1111100000100110100111011100101 ? -b1111100000100110100111011100101 D -b11111011101100110100110111111111 % -b11111011101100110100110111111111 . -b11111011101100110100110111111111 6 -b11111011101100110100110111111111 @ -b11111011101100110100110111111111 F -b11111111101100110100111111111111 ) -#242432000 -0& -#242448000 -b111011001100 , -#242464000 -1& -#242480000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 1 -b11111111111111111111111111111011 C -b11111 0 -b11111 H -09 -18 -b10001000010000000010010010000100 < -b10000101101001011000110001101110 2 -b10000101101001011000110001101110 = -b10000101101001011000110001101110 : -b11111101011001010110011111101001 $ -b11111101011001010110011111101001 - -b11111101011001010110011111101001 5 -b11111101011001010110011111101001 ? -b11111101011001010110011111101001 D -b1110111101111111101101101111011 % -b1110111101111111101101101111011 . -b1110111101111111101101101111011 6 -b1110111101111111101101101111011 @ -b1110111101111111101101101111011 F -b11111111111111111111111111111011 ) -#242496000 -0& -#242512000 -b111011001101 , -#242528000 -1& -#242544000 -b11111111111101111111111011111111 " -b11111111111101111111111011111111 4 -b11111111111101111111111011111111 1 -b11111111111101111111111011111111 C -b1 0 -b1 H -19 -18 -b10100000100010001001010100000000 < -b1010110011011010110101110111111 2 -b1010110011011010110101110111111 = -b1010110011011010110101110111111 : -b10110101111001001101011010111110 $ -b10110101111001001101011010111110 - -b10110101111001001101011010111110 5 -b10110101111001001101011010111110 ? -b10110101111001001101011010111110 D -b1011111011101110110101011111111 % -b1011111011101110110101011111111 . -b1011111011101110110101011111111 6 -b1011111011101110110101011111111 @ -b1011111011101110110101011111111 F -b11111111111101111111111011111111 ) -#242560000 -0& -#242576000 -b111011001110 , -#242592000 -1& -#242608000 -b11111111111111111101011111011001 " -b11111111111111111101011111011001 4 -b11111111111111111101011111011001 1 -b11111111111111111101011111011001 C -b110011111011011011010111 0 -b110011111011011011010111 H -09 -18 -b11000000000000000010100100110111 < -b10001111101101110000000010010001 2 -b10001111101101110000000010010001 = -b10001111101101110000000010010001 : -b11001111101101101101011101011001 $ -b11001111101101101101011101011001 - -b11001111101101101101011101011001 5 -b11001111101101101101011101011001 ? -b11001111101101101101011101011001 D -b111111111111111101011011001000 % -b111111111111111101011011001000 . -b111111111111111101011011001000 6 -b111111111111111101011011001000 @ -b111111111111111101011011001000 F -b11111111111111111101011111011001 ) -#242624000 -0& -#242640000 -b111011001111 , -#242656000 -1& -#242672000 -b11011111111111111111011110101111 " -b11011111111111111111011110101111 4 -b11011111111111111111011110101111 1 -b11011111111111111111011110101111 C -b1100111101111010 0 -b1100111101111010 H -08 -09 -b100000100000000100110001110010 < -b111010011011111001001000010110 2 -b111010011011111001001000010110 = -b111010011011111001001000010110 : -b11001111011110100010110100011 $ -b11001111011110100010110100011 - -b11001111011110100010110100011 5 -b11001111011110100010110100011 ? -b11001111011110100010110100011 D -b11011111011111111011001110001101 % -b11011111011111111011001110001101 . -b11011111011111111011001110001101 6 -b11011111011111111011001110001101 @ -b11011111011111111011001110001101 F -b11011111111111111111011110101111 ) -#242688000 -0& -#242704000 -b111011010000 , -#242720000 -1& -#242736000 -b11111111111111111111101100111011 " -b11111111111111111111101100111011 4 -b11111111111111111111101100111011 1 -b11111111111111111111101100111011 C -b111110111111110110001011 0 -b111110111111110110001011 H -08 -09 -b10000111100001000011011010111 < -b1100111011100001001000010011 2 -b1100111011100001001000010011 = -b1100111011100001001000010011 : -b11111011111111011000101100111011 $ -b11111011111111011000101100111011 - -b11111011111111011000101100111011 5 -b11111011111111011000101100111011 ? -b11111011111111011000101100111011 D -b11101111000011110111100100101000 % -b11101111000011110111100100101000 . -b11101111000011110111100100101000 6 -b11101111000011110111100100101000 @ -b11101111000011110111100100101000 F -b11111111111111111111101100111011 ) -#242752000 -0& -#242768000 -b111011010001 , -#242784000 -1& -#242800000 -b11111111111111111011110111111110 " -b11111111111111111011110111111110 4 -b11111111111111111011110111111110 1 -b11111111111111111011110111111110 C -b1101111111111111011110011111110 0 -b1101111111111111011110011111110 H -08 -b1011100100110101011001011111 < -b1111011100100110001001101011110 2 -b1111011100100110001001101011110 = -b1111011100100110001001101011110 : -b1101111111111111011110011111110 $ -b1101111111111111011110011111110 - -b1101111111111111011110011111110 5 -b1101111111111111011110011111110 ? -b1101111111111111011110011111110 D -b11110100011011001010100110100000 % -b11110100011011001010100110100000 . -b11110100011011001010100110100000 6 -b11110100011011001010100110100000 @ -b11110100011011001010100110100000 F -b11111111111111111011110111111110 ) -#242816000 -0& -#242832000 -b111011010010 , -#242848000 -1& -#242864000 -b11111111111011111111110011111111 " -b11111111111011111111110011111111 4 -b11111111111011111111110011111111 1 -b11111111111011111111110011111111 C -b111101111001 0 -b111101111001 H -19 -08 -b100000000100010000101100001100 < -b10011011110111000110001111101011 2 -b10011011110111000110001111101011 = -b10011011110111000110001111101011 : -b1111011110010110101100011011110 $ -b1111011110010110101100011011110 - -b1111011110010110101100011011110 5 -b1111011110010110101100011011110 ? -b1111011110010110101100011011110 D -b11011111111011101111010011110011 % -b11011111111011101111010011110011 . -b11011111111011101111010011110011 6 -b11011111111011101111010011110011 @ -b11011111111011101111010011110011 F -b11111111111011111111110011111111 ) -#242880000 -0& -#242896000 -b111011010011 , -#242912000 -1& -#242928000 -b11111111110111110101111111100101 " -b11111111110111110101111111100101 4 -b11111111110111110101111111100101 1 -b11111111110111110101111111100101 C -b10101101010010110100101110100101 0 -b10101101010010110100101110100101 H -18 -19 -b10000000001000011110000100011111 < -b101101011011010010110011000101 2 -b101101011011010010110011000101 = -b101101011011010010110011000101 : -b10101101010010110100101110100101 $ -b10101101010010110100101110100101 - -b10101101010010110100101110100101 5 -b10101101010010110100101110100101 ? -b10101101010010110100101110100101 D -b1111111110111100001111011100000 % -b1111111110111100001111011100000 . -b1111111110111100001111011100000 6 -b1111111110111100001111011100000 @ -b1111111110111100001111011100000 F -b11111111110111110101111111100101 ) -#242944000 -0& -#242960000 -b111011010100 , -#242976000 -1& -#242992000 -b11111111111111110110110011100100 " -b11111111111111110110110011100100 4 -b11111111111111110110110011100100 1 -b11111111111111110110110011100100 C -b111101111010011011011001010 0 -b111101111010011011011001010 H -08 -b1100000010100011001101100111011 < -b11011100001001010000011111011100 2 -b11011100001001010000011111011100 = -b11011100001001010000011111011100 : -b1111011110100110110110010100000 $ -b1111011110100110110110010100000 - -b1111011110100110110110010100000 5 -b1111011110100110110110010100000 ? -b1111011110100110110110010100000 D -b10011111101011100110010011000100 % -b10011111101011100110010011000100 . -b10011111101011100110010011000100 6 -b10011111101011100110010011000100 @ -b10011111101011100110010011000100 F -b11111111111111110110110011100100 ) -#243008000 -0& -#243024000 -b111011010101 , -#243040000 -1& -#243056000 -b10111111111111111101011100111111 " -b10111111111111111101011100111111 4 -b10111111111111111101011100111111 1 -b10111111111111111101011100111111 C -b10111111 0 -b10111111 H -09 -08 -b1000011100000010111110011000111 < -b11011100001101000011001111 2 -b11011100001101000011001111 = -b11011100001101000011001111 : -b10111111111011110101010000000111 $ -b10111111111011110101010000000111 - -b10111111111011110101010000000111 5 -b10111111111011110101010000000111 ? -b10111111111011110101010000000111 D -b10111100011111101000001100111000 % -b10111100011111101000001100111000 . -b10111100011111101000001100111000 6 -b10111100011111101000001100111000 @ -b10111100011111101000001100111000 F -b10111111111111111101011100111111 ) -#243072000 -0& -#243088000 -b111011010110 , -#243104000 -1& -#243120000 -b11111111111111111011111111111011 " -b11111111111111111011111111111011 4 -b11111111111111111011111111111011 1 -b11111111111111111011111111111011 C -b110111 0 -b110111 H -b1000010000010011110010000000101 < -b100010000010011001101010011001 2 -b100010000010011001101010011001 = -b100010000010011001101010011001 : -b11011111111111111011011010010011 $ -b11011111111111111011011010010011 - -b11011111111111111011011010010011 5 -b11011111111111111011011010010011 ? -b11011111111111111011011010010011 D -b10111101111101100001101111111010 % -b10111101111101100001101111111010 . -b10111101111101100001101111111010 6 -b10111101111101100001101111111010 @ -b10111101111101100001101111111010 F -b11111111111111111011111111111011 ) -#243136000 -0& -#243152000 -b111011010111 , -#243168000 -1& -#243184000 -b11110011101111111110110110111110 " -b11110011101111111110110110111110 4 -b11110011101111111110110110111110 1 -b11110011101111111110110110111110 C -b1101000110 0 -b1101000110 H -b1011100011000001001011111101001 < -b101110001000000100010110011000 2 -b101110001000000100010110011000 = -b101110001000000100010110011000 : -b11010001101111111010110110101110 $ -b11010001101111111010110110101110 - -b11010001101111111010110110101110 5 -b11010001101111111010110110101110 ? -b11010001101111111010110110101110 D -b10100011100111110110100000010110 % -b10100011100111110110100000010110 . -b10100011100111110110100000010110 6 -b10100011100111110110100000010110 @ -b10100011100111110110100000010110 F -b11110011101111111110110110111110 ) -#243200000 -0& -#243216000 -b111011011000 , -#243232000 -1& -#243248000 -b10111101111111101111111111111111 " -b10111101111111101111111111111111 4 -b10111101111111101111111111111111 1 -b10111101111111101111111111111111 C -b101111011101101001111011001 0 -b101111011101101001111011001 H -b1111010000010010011101100111010 < -b110111111000111011011001111010 2 -b110111111000111011011001111010 = -b110111111000111011011001111010 : -b10111101110110100111101100111111 $ -b10111101110110100111101100111111 - -b10111101110110100111101100111111 5 -b10111101110110100111101100111111 ? -b10111101110110100111101100111111 D -b10000101111101101100010011000101 % -b10000101111101101100010011000101 . -b10000101111101101100010011000101 6 -b10000101111101101100010011000101 @ -b10000101111101101100010011000101 F -b10111101111111101111111111111111 ) -#243264000 -0& -#243280000 -b111011011001 , -#243296000 -1& -#243312000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 1 -b11111111111111111111111111111101 C -b11111111101 0 -b11111111101 H -19 -08 -b10001010000001000001010001011 < -b10010001001000000110001000010101 2 -b10010001001000000110001000010101 = -b10010001001000000110001000010101 : -b1111111110111111101111110001001 $ -b1111111110111111101111110001001 - -b1111111110111111101111110001001 5 -b1111111110111111101111110001001 ? -b1111111110111111101111110001001 D -b11101110101111110111110101110100 % -b11101110101111110111110101110100 . -b11101110101111110111110101110100 6 -b11101110101111110111110101110100 @ -b11101110101111110111110101110100 F -b11111111111111111111111111111101 ) -#243328000 -0& -#243344000 -b111011011010 , -#243360000 -1& -#243376000 -b1111111110111111111011110111101 " -b1111111110111111111011110111101 4 -b1111111110111111111011110111101 1 -b1111111110111111111011110111101 C -b111111 0 -b111111 H -09 -08 -b10011000001000000000110001100110 < -b10111111101111110001000001100 2 -b10111111101111110001000001100 = -b10111111101111110001000001100 : -b1111111110101111101010110100101 $ -b1111111110101111101010110100101 - -b1111111110101111101010110100101 5 -b1111111110101111101010110100101 ? -b1111111110101111101010110100101 D -b1100111110111111111001110011001 % -b1100111110111111111001110011001 . -b1100111110111111111001110011001 6 -b1100111110111111111001110011001 @ -b1100111110111111111001110011001 F -b1111111110111111111011110111101 ) -#243392000 -0& -#243408000 -b111011011011 , -#243424000 -1& -#243440000 -b11111111111111111001111101110111 " -b11111111111111111001111101110111 4 -b11111111111111111001111101110111 1 -b11111111111111111001111101110111 C -b11110111101 0 -b11110111101 H -08 -b110001000000100110000011001010 < -b101000101110011111001100101110 2 -b101000101110011111001100101110 = -b101000101110011111001100101110 : -b11110111101101111001001001100011 $ -b11110111101101111001001001100011 - -b11110111101101111001001001100011 5 -b11110111101101111001001001100011 ? -b11110111101101111001001001100011 D -b11001110111111011001111100110101 % -b11001110111111011001111100110101 . -b11001110111111011001111100110101 6 -b11001110111111011001111100110101 @ -b11001110111111011001111100110101 F -b11111111111111111001111101110111 ) -#243456000 -0& -#243472000 -b111011011100 , -#243488000 -1& -#243504000 -b1111111111110111011111111101011 " -b1111111111110111011111111101011 4 -b1111111111110111011111111101011 1 -b1111111111110111011111111101011 C -b111111111111011101101 0 -b111111111111011101101 H -08 -b10001110110001111111001001010101 < -b1110110000111010100100010111 2 -b1110110000111010100100010111 = -b1110110000111010100100010111 : -b1111111111110111011011011000001 $ -b1111111111110111011011011000001 - -b1111111111110111011011011000001 5 -b1111111111110111011011011000001 ? -b1111111111110111011011011000001 D -b1110001001110000000110110101010 % -b1110001001110000000110110101010 . -b1110001001110000000110110101010 6 -b1110001001110000000110110101010 @ -b1110001001110000000110110101010 F -b1111111111110111011111111101011 ) -#243520000 -0& -#243536000 -b111011011101 , -#243552000 -1& -#243568000 -b11101111111111111111111101111011 " -b11101111111111111111111101111011 4 -b11101111111111111111111101111011 1 -b11101111111111111111111101111011 C -b100111111101110000110010101101 0 -b100111111101110000110010101101 H -08 -b10000001001100000000010011110 < -b1100000000101000001100111111010 2 -b1100000000101000001100111111010 = -b1100000000101000001100111111010 : -b1001111111011100001100101011011 $ -b1001111111011100001100101011011 - -b1001111111011100001100101011011 5 -b1001111111011100001100101011011 ? -b1001111111011100001100101011011 D -b11101111110110011111111101100001 % -b11101111110110011111111101100001 . -b11101111110110011111111101100001 6 -b11101111110110011111111101100001 @ -b11101111110110011111111101100001 F -b11101111111111111111111101111011 ) -#243584000 -0& -#243600000 -b111011011110 , -#243616000 -1& -#243632000 -b11111111111111110011011101100101 " -b11111111111111110011011101100101 4 -b11111111111111110011011101100101 1 -b11111111111111110011011101100101 C -b111110111111111001101010110 0 -b111110111111111001101010110 H -19 -08 -b101100000100011101110110111011 < -b10101010000100010001001100011101 2 -b10101010000100010001001100011101 = -b10101010000100010001001100011101 : -b1111101111111110011010101100001 $ -b1111101111111110011010101100001 - -b1111101111111110011010101100001 5 -b1111101111111110011010101100001 ? -b1111101111111110011010101100001 D -b11010011111011100010001001000100 % -b11010011111011100010001001000100 . -b11010011111011100010001001000100 6 -b11010011111011100010001001000100 @ -b11010011111011100010001001000100 F -b11111111111111110011011101100101 ) -#243648000 -0& -#243664000 -b111011011111 , -#243680000 -1& -#243696000 -b11101111111111111111011111101101 " -b11101111111111111111011111101101 4 -b11101111111111111111011111101101 1 -b11101111111111111111011111101101 C -b110010111111111110110111 0 -b110010111111111110110111 H -18 -19 -b10010000001001000001101111010111 < -b1011100001000111101001111000101 2 -b1011100001000111101001111000101 = -b1011100001000111101001111000101 : -b11001011111111111011011111101101 $ -b11001011111111111011011111101101 - -b11001011111111111011011111101101 5 -b11001011111111111011011111101101 ? -b11001011111111111011011111101101 D -b1101111110110111110010000101000 % -b1101111110110111110010000101000 . -b1101111110110111110010000101000 6 -b1101111110110111110010000101000 @ -b1101111110110111110010000101000 F -b11101111111111111111011111101101 ) -#243712000 -0& -#243728000 -b111011100000 , -#243744000 -1& -#243760000 -b1110111101111110011011111110111 " -b1110111101111110011011111110111 4 -b1110111101111110011011111110111 1 -b1110111101111110011011111110111 C -b1101111010011100100000111101 0 -b1101111010011100100000111101 H -09 -18 -b10001011010000011110100000011101 < -b11000010111010010000100100010101 2 -b11000010111010010000100100010101 = -b11000010111010010000100100010101 : -b110111101001110010000011110111 $ -b110111101001110010000011110111 - -b110111101001110010000011110111 5 -b110111101001110010000011110111 ? -b110111101001110010000011110111 D -b1110100101111100001011111100010 % -b1110100101111100001011111100010 . -b1110100101111100001011111100010 6 -b1110100101111100001011111100010 @ -b1110100101111100001011111100010 F -b1110111101111110011011111110111 ) -#243776000 -0& -#243792000 -b111011100001 , -#243808000 -1& -#243824000 -b1110111111111110011101101111010 " -b1110111111111110011101101111010 4 -b1110111111111110011101101111010 1 -b1110111111111110011101101111010 C -b110111111111 0 -b110111111111 H -b10011010000000001101110010101101 < -b11010001111111101111011011010110 2 -b11010001111111101111011011010110 = -b11010001111111101111011011010110 : -b110111111111100001101000101000 $ -b110111111111100001101000101000 - -b110111111111100001101000101000 5 -b110111111111100001101000101000 ? -b110111111111100001101000101000 D -b1100101111111110010001101010010 % -b1100101111111110010001101010010 . -b1100101111111110010001101010010 6 -b1100101111111110010001101010010 @ -b1100101111111110010001101010010 F -b1110111111111110011101101111010 ) -#243840000 -0& -#243856000 -b111011100010 , -#243872000 -1& -#243888000 -b11111111011111110101110111111001 " -b11111111011111110101110111111001 4 -b11111111011111110101110111111001 1 -b11111111011111110101110111111001 C -b1110111 0 -b1110111 H -08 -b1000000100000001010111110100110 < -b101111111010111100110110010000 2 -b101111111010111100110110010000 = -b101111111010111100110110010000 : -b11101111011010110001110111101001 $ -b11101111011010110001110111101001 - -b11101111011010110001110111101001 5 -b11101111011010110001110111101001 ? -b11101111011010110001110111101001 D -b10111111011111110101000001011001 % -b10111111011111110101000001011001 . -b10111111011111110101000001011001 6 -b10111111011111110101000001011001 @ -b10111111011111110101000001011001 F -b11111111011111110101110111111001 ) -#243904000 -0& -#243920000 -b111011100011 , -#243936000 -1& -#243952000 -b11111111110111110000110111111100 " -b11111111110111110000110111111100 4 -b11111111110111110000110111111100 1 -b11111111110111110000110111111100 C -b111101101110100000100 0 -b111101101110100000100 H -b11000101010001111011010110111 < -b110111100001011111101101110100 2 -b110111100001011111101101110100 = -b110111100001011111101101110100 : -b11110110111010000010010111100 $ -b11110110111010000010010111100 - -b11110110111010000010010111100 5 -b11110110111010000010010111100 ? -b11110110111010000010010111100 D -b11100111010101110000100101001000 % -b11100111010101110000100101001000 . -b11100111010101110000100101001000 6 -b11100111010101110000100101001000 @ -b11100111010101110000100101001000 F -b11111111110111110000110111111100 ) -#243968000 -0& -#243984000 -b111011100100 , -#244000000 -1& -#244016000 -b11111011111111111101100011010111 " -b11111011111111111101100011010111 4 -b11111011111111111101100011010111 1 -b11111011111111111101100011010111 C -b111101111111111010000001 0 -b111101111111111010000001 H -19 -08 -b111110000110100110011110111000 < -b10111010000110011010100010001011 2 -b10111010000110011010100010001011 = -b10111010000110011010100010001011 : -b1111011111111110100000011010010 $ -b1111011111111110100000011010010 - -b1111011111111110100000011010010 5 -b1111011111111110100000011010010 ? -b1111011111111110100000011010010 D -b11000001111001011001100001000111 % -b11000001111001011001100001000111 . -b11000001111001011001100001000111 6 -b11000001111001011001100001000111 @ -b11000001111001011001100001000111 F -b11111011111111111101100011010111 ) -#244032000 -0& -#244048000 -b111011100101 , -#244064000 -1& -#244080000 -b11111111111111110101011111111111 " -b11111111111111110101011111111111 4 -b11111111111111110101011111111111 1 -b11111111111111110101011111111111 C -b10 0 -b10 H -09 -08 -b1100000011001111100010000010 < -b1101011100010110100100100011101 2 -b1101011100010110100100100011101 = -b1101011100010110100100100011101 : -b1011111011111100101000010011010 $ -b1011111011111100101000010011010 - -b1011111011111100101000010011010 5 -b1011111011111100101000010011010 ? -b1011111011111100101000010011010 D -b11110011111100110000011101111101 % -b11110011111100110000011101111101 . -b11110011111100110000011101111101 6 -b11110011111100110000011101111101 @ -b11110011111100110000011101111101 F -b11111111111111110101011111111111 ) -#244096000 -0& -#244112000 -b111011100110 , -#244128000 -1& -#244144000 -b11111111111111111111110011101010 " -b11111111111111111111110011101010 4 -b11111111111111111111110011101010 1 -b11111111111111111111110011101010 C -b1101111110011111110000 0 -b1101111110011111110000 H -08 -b1001001000010101100001101010101 < -b101000101010101000010000011110 2 -b101000101010101000010000011110 = -b101000101010101000010000011110 : -b11011111100111111100000011001000 $ -b11011111100111111100000011001000 - -b11011111100111111100000011001000 5 -b11011111100111111100000011001000 ? -b11011111100111111100000011001000 D -b10110110111101010011110010101010 % -b10110110111101010011110010101010 . -b10110110111101010011110010101010 6 -b10110110111101010011110010101010 @ -b10110110111101010011110010101010 F -b11111111111111111111110011101010 ) -#244160000 -0& -#244176000 -b111011100111 , -#244192000 -1& -#244208000 -b11111110111111111110111101101000 " -b11111110111111111110111101101000 4 -b11111110111111111110111101101000 1 -b11111110111111111110111101101000 C -b111110001111011111001011 0 -b111110001111011111001011 H -b110001100100111001001010010111 < -b101010100010110101110111000000 2 -b101010100010110101110111000000 = -b101010100010110101110111000000 : -b11111000111101111100101100101000 $ -b11111000111101111100101100101000 - -b11111000111101111100101100101000 5 -b11111000111101111100101100101000 ? -b11111000111101111100101100101000 D -b11001110011011000110110101101000 % -b11001110011011000110110101101000 . -b11001110011011000110110101101000 6 -b11001110011011000110110101101000 @ -b11001110011011000110110101101000 F -b11111110111111111110111101101000 ) -#244224000 -0& -#244240000 -b111011101000 , -#244256000 -1& -#244272000 -b11111111111111011111010111101111 " -b11111111111111011111010111101111 4 -b11111111111111011111010111101111 1 -b11111111111111011111010111101111 C -b1110111111111101111 0 -b1110111111111101111 H -18 -19 -b10000100001010100100101100010010 < -b1110100001010000100000001111101 2 -b1110100001010000100000001111101 = -b1110100001010000100000001111101 : -b11101111111111011111010101101010 $ -b11101111111111011111010101101010 - -b11101111111111011111010101101010 5 -b11101111111111011111010101101010 ? -b11101111111111011111010101101010 D -b1111011110101011011010011101101 % -b1111011110101011011010011101101 . -b1111011110101011011010011101101 6 -b1111011110101011011010011101101 @ -b1111011110101011011010011101101 F -b11111111111111011111010111101111 ) -#244288000 -0& -#244304000 -b111011101001 , -#244320000 -1& -#244336000 -b11111111111111011110101110111011 " -b11111111111111011110101110111011 4 -b11111111111111011110101110111011 1 -b11111111111111011110101110111011 C -b1110 0 -b1110 H -08 -b10000100100100101010001100100 < -b10000110100011110011010100010110 2 -b10000110100011110011010100010110 = -b10000110100011110011010100010110 : -b1110101111111001110000010110001 $ -b1110101111111001110000010110001 - -b1110101111111001110000010110001 5 -b1110101111111001110000010110001 ? -b1110101111111001110000010110001 D -b11101111011011011010101110011011 % -b11101111011011011010101110011011 . -b11101111011011011010101110011011 6 -b11101111011011011010101110011011 @ -b11101111011011011010101110011011 F -b11111111111111011110101110111011 ) -#244352000 -0& -#244368000 -b111011101010 , -#244384000 -1& -#244400000 -b1111111111111101101011111111110 " -b1111111111111101101011111111110 4 -b1111111111111101101011111111110 1 -b1111111111111101101011111111110 C -b111001111111010 0 -b111001111111010 H -18 -09 -b10000000011010011010100011101111 < -b11110100011001000011000011101110 2 -b11110100011001000011000011101110 = -b11110100011001000011000011101110 : -b1110011111110101000011111111110 $ -b1110011111110101000011111111110 - -b1110011111110101000011111111110 5 -b1110011111110101000011111111110 ? -b1110011111110101000011111111110 D -b1111111100101100101011100010000 % -b1111111100101100101011100010000 . -b1111111100101100101011100010000 6 -b1111111100101100101011100010000 @ -b1111111100101100101011100010000 F -b1111111111111101101011111111110 ) -#244416000 -0& -#244432000 -b111011101011 , -#244448000 -1& -#244464000 -b1111111011111111110010110101011 " -b1111111011111111110010110101011 4 -b1111111011111111110010110101011 1 -b1111111011111111110010110101011 C -b11101101010110111001011010101 0 -b11101101010110111001011010101 H -b10001010110001001001111101111110 < -b11000110000110111000010100101001 2 -b11000110000110111000010100101001 = -b11000110000110111000010100101001 : -b111011010101101110010110101010 $ -b111011010101101110010110101010 - -b111011010101101110010110101010 5 -b111011010101101110010110101010 ? -b111011010101101110010110101010 D -b1110101001110110110000010000001 % -b1110101001110110110000010000001 . -b1110101001110110110000010000001 6 -b1110101001110110110000010000001 @ -b1110101001110110110000010000001 F -b1111111011111111110010110101011 ) -#244480000 -0& -#244496000 -b111011101100 , -#244512000 -1& -#244528000 -b11111111111111111111001110011010 " -b11111111111111111111001110011010 4 -b11111111111111111111001110011010 1 -b11111111111111111111001110011010 C -b1110100110111111 0 -b1110100110111111 H -18 -b1100000100001110011101111 < -b11101011010000010101000001111010 2 -b11101011010000010101000001111010 = -b11101011010000010101000001111010 : -b11101001101111110011001110001010 $ -b11101001101111110011001110001010 - -b11101001101111110011001110001010 5 -b11101001101111110011001110001010 ? -b11101001101111110011001110001010 D -b11111110011111011110001100010000 % -b11111110011111011110001100010000 . -b11111110011111011110001100010000 6 -b11111110011111011110001100010000 @ -b11111110011111011110001100010000 F -b11111111111111111111001110011010 ) -#244544000 -0& -#244560000 -b111011101101 , -#244576000 -1& -#244592000 -b11111111111111111101100110010010 " -b11111111111111111101100110010010 4 -b11111111111111111101100110010010 1 -b11111111111111111101100110010010 C -b1111111010111 0 -b1111111010111 H -08 -19 -b1000000000001101110011001101101 < -b10111111011001101011111111111110 2 -b10111111011001101011111111111110 = -b10111111011001101011111111111110 : -b1111111010111111101100110010000 $ -b1111111010111111101100110010000 - -b1111111010111111101100110010000 5 -b1111111010111111101100110010000 ? -b1111111010111111101100110010000 D -b10111111111110010001100110010010 % -b10111111111110010001100110010010 . -b10111111111110010001100110010010 6 -b10111111111110010001100110010010 @ -b10111111111110010001100110010010 F -b11111111111111111101100110010010 ) -#244608000 -0& -#244624000 -b111011101110 , -#244640000 -1& -#244656000 -b11111111111101110011011110111111 " -b11111111111101110011011110111111 4 -b11111111111101110011011110111111 1 -b11111111111101110011011110111111 C -b11011100 0 -b11011100 H -09 -18 -b11000000000010001111101001100111 < -b10011100011010110010111000011111 2 -b10011100011010110010111000011111 = -b10011100011010110010111000011111 : -b11011100011000100011001110110111 $ -b11011100011000100011001110110111 - -b11011100011000100011001110110111 5 -b11011100011000100011001110110111 ? -b11011100011000100011001110110111 D -b111111111101110000010110011000 % -b111111111101110000010110011000 . -b111111111101110000010110011000 6 -b111111111101110000010110011000 @ -b111111111101110000010110011000 F -b11111111111101110011011110111111 ) -#244672000 -0& -#244688000 -b111011101111 , -#244704000 -1& -#244720000 -b11111111110111100101111111111001 " -b11111111110111100101111111111001 4 -b11111111110111100101111111111001 1 -b11111111110111100101111111111001 C -b110011 0 -b110011 H -19 -08 -b1000100001000011111101100100110 < -b10101010111111100101101010000111 2 -b10101010111111100101101010000111 = -b10101010111111100101101010000111 : -b1100110110111000101111101100000 $ -b1100110110111000101111101100000 - -b1100110110111000101111101100000 5 -b1100110110111000101111101100000 ? -b1100110110111000101111101100000 D -b10111011110111100000010011011001 % -b10111011110111100000010011011001 . -b10111011110111100000010011011001 6 -b10111011110111100000010011011001 @ -b10111011110111100000010011011001 F -b11111111110111100101111111111001 ) -#244736000 -0& -#244752000 -b111011110000 , -#244768000 -1& -#244784000 -b11111111111111111010101111100110 " -b11111111111111111010101111100110 4 -b11111111111111111010101111100110 1 -b11111111111111111010101111100110 C -b11111101111111110100001101001 0 -b11111101111111110100001101001 H -b1000000000110001111010000111101 < -b10111111000110001001010111100100 2 -b10111111000110001001010111100100 = -b10111111000110001001010111100100 : -b1111110111111111010000110100110 $ -b1111110111111111010000110100110 - -b1111110111111111010000110100110 5 -b1111110111111111010000110100110 ? -b1111110111111111010000110100110 D -b10111111111001110000101111000010 % -b10111111111001110000101111000010 . -b10111111111001110000101111000010 6 -b10111111111001110000101111000010 @ -b10111111111001110000101111000010 F -b11111111111111111010101111100110 ) -#244800000 -0& -#244816000 -b111011110001 , -#244832000 -1& -#244848000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b1111110111010 0 -b1111110111010 H -09 -08 -b1000001010000100001100001001100 < -b111111000110011101011001001011 2 -b111111000110011101011001001011 = -b111111000110011101011001001011 : -b11111101110101111011110111111110 $ -b11111101110101111011110111111110 - -b11111101110101111011110111111110 5 -b11111101110101111011110111111110 ? -b11111101110101111011110111111110 D -b10111110101111011110011110110011 % -b10111110101111011110011110110011 . -b10111110101111011110011110110011 6 -b10111110101111011110011110110011 @ -b10111110101111011110011110110011 F -b11111111111111111111111111111111 ) -#244864000 -0& -#244880000 -b111011110010 , -#244896000 -1& -#244912000 -b11111111111111111011010011010011 " -b11111111111111111011010011010011 4 -b11111111111111111011010011010011 1 -b11111111111111111011010011010011 C -b111111101111110 0 -b111111101111110 H -18 -b10100000001001010100101100101110 < -b10011111001000100111111110000010 2 -b10011111001000100111111110000010 = -b10011111001000100111111110000010 : -b11111110111111010011010001010011 $ -b11111110111111010011010001010011 - -b11111110111111010011010001010011 5 -b11111110111111010011010001010011 ? -b11111110111111010011010001010011 D -b1011111110110101011010011010001 % -b1011111110110101011010011010001 . -b1011111110110101011010011010001 6 -b1011111110110101011010011010001 @ -b1011111110110101011010011010001 F -b11111111111111111011010011010011 ) -#244928000 -0& -#244944000 -b111011110011 , -#244960000 -1& -#244976000 -b1111111111111110110101111111110 " -b1111111111111110110101111111110 4 -b1111111111111110110101111111110 1 -b1111111111111110110101111111110 C -b1111111101 0 -b1111111101 H -18 -09 -b10100001010010011101010010001011 < -b11100001001000110001011000101010 2 -b11100001001000110001011000101010 = -b11100001001000110001011000101010 : -b111111110110010100000110011110 $ -b111111110110010100000110011110 - -b111111110110010100000110011110 5 -b111111110110010100000110011110 ? -b111111110110010100000110011110 D -b1011110101101100010101101110100 % -b1011110101101100010101101110100 . -b1011110101101100010101101110100 6 -b1011110101101100010101101110100 @ -b1011110101101100010101101110100 F -b1111111111111110110101111111110 ) -#244992000 -0& -#245008000 -b111011110100 , -#245024000 -1& -#245040000 -b11111111111111111011111111101111 " -b11111111111111111011111111101111 4 -b11111111111111111011111111101111 1 -b11111111111111111011111111101111 C -b111111110011101110 0 -b111111110011101110 H -b10001100000000100100001001010001 < -b10001011001111011101010010111011 2 -b10001011001111011101010010111011 = -b10001011001111011101010010111011 : -b11111111001110111001001001101001 $ -b11111111001110111001001001101001 - -b11111111001110111001001001101001 5 -b11111111001110111001001001101001 ? -b11111111001110111001001001101001 D -b1110011111111011011110110101110 % -b1110011111111011011110110101110 . -b1110011111111011011110110101110 6 -b1110011111111011011110110101110 @ -b1110011111111011011110110101110 F -b11111111111111111011111111101111 ) -#245056000 -0& -#245072000 -b111011110101 , -#245088000 -1& -#245104000 -b11111111111111110110111000000110 " -b11111111111111110110111000000110 4 -b11111111111111110110111000000110 1 -b11111111111111110110111000000110 C -b11111111111110010110111000 0 -b11111111111110010110111000 H -08 -b1001000001101000111111001 < -b1000110100011111111111010 2 -b1000110100011111111111010 = -b1000110100011111111111010 : -b11111111111110010110111000000000 $ -b11111111111110010110111000000000 - -b11111111111110010110111000000000 5 -b11111111111110010110111000000000 ? -b11111111111110010110111000000000 D -b11111110110111110010111000000110 % -b11111110110111110010111000000110 . -b11111110110111110010111000000110 6 -b11111110110111110010111000000110 @ -b11111110110111110010111000000110 F -b11111111111111110110111000000110 ) -#245120000 -0& -#245136000 -b111011110110 , -#245152000 -1& -#245168000 -b11101111110111111111100110111101 " -b11101111110111111111100110111101 4 -b11101111110111111111100110111101 1 -b11101111110111111111100110111101 C -b10101111 0 -b10101111 H -19 -18 -b10010000101001110000011111100111 < -b1000000011111101001100110100101 2 -b1000000011111101001100110100101 = -b1000000011111101001100110100101 : -b10101111110101111001000110111101 $ -b10101111110101111001000110111101 - -b10101111110101111001000110111101 5 -b10101111110101111001000110111101 ? -b10101111110101111001000110111101 D -b1101111010110001111100000011000 % -b1101111010110001111100000011000 . -b1101111010110001111100000011000 6 -b1101111010110001111100000011000 @ -b1101111010110001111100000011000 F -b11101111110111111111100110111101 ) -#245184000 -0& -#245200000 -b111011110111 , -#245216000 -1& -#245232000 -b111111111110111101111110011111 " -b111111111110111101111110011111 4 -b111111111110111101111110011111 1 -b111111111110111101111110011111 C -b1101111111100001010 0 -b1101111111100001010 H -09 -18 -b11000101000001000011000001110100 < -b11111100111111001000010010001010 2 -b11111100111111001000010010001010 = -b11111100111111001000010010001010 : -b110111111110000101010000010101 $ -b110111111110000101010000010101 - -b110111111110000101010000010101 5 -b110111111110000101010000010101 ? -b110111111110000101010000010101 D -b111010111110111100111110001011 % -b111010111110111100111110001011 . -b111010111110111100111110001011 6 -b111010111110111100111110001011 @ -b111010111110111100111110001011 F -b111111111110111101111110011111 ) -#245248000 -0& -#245264000 -b111011111000 , -#245280000 -1& -#245296000 -b11101111111111111111111101100101 " -b11101111111111111111111101100101 4 -b11101111111111111111111101100101 1 -b11101111111111111111111101100101 C -b1110111111111111110111000110 0 -b1110111111111111110111000110 H -08 -b10001110001101001010010111011 < -b1110001100111000100100001 2 -b1110001100111000100100001 = -b1110001100111000100100001 : -b11101111111111111101110001100101 $ -b11101111111111111101110001100101 - -b11101111111111111101110001100101 5 -b11101111111111111101110001100101 ? -b11101111111111111101110001100101 D -b11101110001110010110101101000100 % -b11101110001110010110101101000100 . -b11101110001110010110101101000100 6 -b11101110001110010110101101000100 @ -b11101110001110010110101101000100 F -b11101111111111111111111101100101 ) -#245312000 -0& -#245328000 -b111011111001 , -#245344000 -1& -#245360000 -b1111111111111111111111011110111 " -b1111111111111111111111011110111 4 -b1111111111111111111111011110111 1 -b1111111111111111111111011110111 C -b1101100110 0 -b1101100110 H -b11001100000100000010111111001010 < -b111000111011111110111010110001 2 -b111000111011111110111010110001 = -b111000111011111110111010110001 : -b1101100110111111011111011100110 $ -b1101100110111111011111011100110 - -b1101100110111111011111011100110 5 -b1101100110111111011111011100110 ? -b1101100110111111011111011100110 D -b110011111011111101000000110101 % -b110011111011111101000000110101 . -b110011111011111101000000110101 6 -b110011111011111101000000110101 @ -b110011111011111101000000110101 F -b1111111111111111111111011110111 ) -#245376000 -0& -#245392000 -b111011111010 , -#245408000 -1& -#245424000 -b1111111111111111111111111011110 " -b1111111111111111111111111011110 4 -b1111111111111111111111111011110 1 -b1111111111111111111111111011110 C -b11111 0 -b11111 H -b10110100000100000000101100100101 < -b110001000010110110101010000100 2 -b110001000010110110101010000100 = -b110001000010110110101010000100 : -b1111100111110110101111101011110 $ -b1111100111110110101111101011110 - -b1111100111110110101111101011110 5 -b1111100111110110101111101011110 ? -b1111100111110110101111101011110 D -b1001011111011111111010011011010 % -b1001011111011111111010011011010 . -b1001011111011111111010011011010 6 -b1001011111011111111010011011010 @ -b1001011111011111111010011011010 F -b1111111111111111111111111011110 ) -#245440000 -0& -#245456000 -b111011111011 , -#245472000 -1& -#245488000 -b11111111111111111101111011100101 " -b11111111111111111101111011100101 4 -b11111111111111111101111011100101 1 -b11111111111111111101111011100101 C -b1111110101111100001110110 0 -b1111110101111100001110110 H -08 -b1100010011101011010 < -b111111011001010011011000100000 2 -b111111011001010011011000100000 = -b111111011001010011011000100000 : -b111111010111110000111011000101 $ -b111111010111110000111011000101 - -b111111010111110000111011000101 5 -b111111010111110000111011000101 ? -b111111010111110000111011000101 D -b11111111111110011101100010100101 % -b11111111111110011101100010100101 . -b11111111111110011101100010100101 6 -b11111111111110011101100010100101 @ -b11111111111110011101100010100101 F -b11111111111111111101111011100101 ) -#245504000 -0& -#245520000 -b111011111100 , -#245536000 -1& -#245552000 -b1111111111111111111011111100111 " -b1111111111111111111011111100111 4 -b1111111111111111111011111100111 1 -b1111111111111111111011111100111 C -b1011101111110110001000111 0 -b1011101111110110001000111 H -18 -b10000000001000000001100011011001 < -b11011110000110110010101011000001 2 -b11011110000110110010101011000001 = -b11011110000110110010101011000001 : -b1011101111110110001000111100111 $ -b1011101111110110001000111100111 - -b1011101111110110001000111100111 5 -b1011101111110110001000111100111 ? -b1011101111110110001000111100111 D -b1111111110111111110011100100110 % -b1111111110111111110011100100110 . -b1111111110111111110011100100110 6 -b1111111110111111110011100100110 @ -b1111111110111111110011100100110 F -b1111111111111111111011111100111 ) -#245568000 -0& -#245584000 -b111011111101 , -#245600000 -1& -#245616000 -b11101111110111110110101011001111 " -b11101111110111110110101011001111 4 -b11101111110111110110101011001111 1 -b11101111110111110110101011001111 C -b111011111101111101 0 -b111011111101111101 H -08 -b110011001000001101010100110001 < -b100011000000000001111110110011 2 -b100011000000000001111110110011 = -b100011000000000001111110110011 : -b11101111110111110100101010000001 $ -b11101111110111110100101010000001 - -b11101111110111110100101010000001 5 -b11101111110111110100101010000001 ? -b11101111110111110100101010000001 D -b11001100110111110010101011001110 % -b11001100110111110010101011001110 . -b11001100110111110010101011001110 6 -b11001100110111110010101011001110 @ -b11001100110111110010101011001110 F -b11101111110111110110101011001111 ) -#245632000 -0& -#245648000 -b111011111110 , -#245664000 -1& -#245680000 -b1111111111111111111111111111110 " -b1111111111111111111111111111110 4 -b1111111111111111111111111111110 1 -b1111111111111111111111111111110 C -b1 0 -b1 H -18 -b10000000010000000010001001000001 < -b11100000001111101100111000001000 2 -b11100000001111101100111000001000 = -b11100000001111101100111000001000 : -b1011111111111101010101111000110 $ -b1011111111111101010101111000110 - -b1011111111111101010101111000110 5 -b1011111111111101010101111000110 ? -b1011111111111101010101111000110 D -b1111111101111111101110110111110 % -b1111111101111111101110110111110 . -b1111111101111111101110110111110 6 -b1111111101111111101110110111110 @ -b1111111101111111101110110111110 F -b1111111111111111111111111111110 ) -#245696000 -0& -#245712000 -b111011111111 , -#245728000 -1& -#245744000 -b11111111111111111101010100101111 " -b11111111111111111101010100101111 4 -b11111111111111111101010100101111 1 -b11111111111111111101010100101111 C -b1111111111110010101000 0 -b1111111111110010101000 H -08 -b11010001001000011111011011000 < -b111010001000101001001011100001 2 -b111010001000101001001011100001 = -b111010001000101001001011100001 : -b11111111111100101010000001000 $ -b11111111111100101010000001000 - -b11111111111100101010000001000 5 -b11111111111100101010000001000 ? -b11111111111100101010000001000 D -b11100101110110111100000100100111 % -b11100101110110111100000100100111 . -b11100101110110111100000100100111 6 -b11100101110110111100000100100111 @ -b11100101110110111100000100100111 F -b11111111111111111101010100101111 ) -#245760000 -0& -#245776000 -b111100000000 , -#245792000 -1& -#245808000 -b11111111101111111101001111110111 " -b11111111101111111101001111110111 4 -b11111111101111111101001111110111 1 -b11111111101111111101001111110111 C -b11110111 0 -b11110111 H -19 -08 -b101010010000000010110001001000 < -b10100101111101111010111110101011 2 -b10100101111101111010111110101011 = -b10100101111101111010111110101011 : -b1111011101101111000001101100010 $ -b1111011101101111000001101100010 - -b1111011101101111000001101100010 5 -b1111011101101111000001101100010 ? -b1111011101101111000001101100010 D -b11010101101111111101001110110111 % -b11010101101111111101001110110111 . -b11010101101111111101001110110111 6 -b11010101101111111101001110110111 @ -b11010101101111111101001110110111 F -b11111111101111111101001111110111 ) -#245824000 -0& -#245840000 -b111100000001 , -#245856000 -1& -#245872000 -b11111111111111110010111111110011 " -b11111111111111110010111111110011 4 -b11111111111111110010111111110011 1 -b11111111111111110010111111110011 C -b11111111110111 0 -b11111111110111 H -09 -08 -b101100000010001111000000001101 < -b101011111010000001001001010001 2 -b101011111010000001001001010001 = -b101011111010000001001001010001 : -b11111111110111110010001001000011 $ -b11111111110111110010001001000011 - -b11111111110111110010001001000011 5 -b11111111110111110010001001000011 ? -b11111111110111110010001001000011 D -b11010011111101110000111111110010 % -b11010011111101110000111111110010 . -b11010011111101110000111111110010 6 -b11010011111101110000111111110010 @ -b11010011111101110000111111110010 F -b11111111111111110010111111110011 ) -#245888000 -0& -#245904000 -b111100000010 , -#245920000 -1& -#245936000 -b11011111111111111011001011101001 " -b11011111111111111011001011101001 4 -b11011111111111111011001011101001 1 -b11011111111111111011001011101001 C -b1010011011111001001 0 -b1010011011111001001 H -b100000000001101101110100010110 < -b101010011101100110111111100000 2 -b101010011101100110111111100000 = -b101010011101100110111111100000 : -b1010011011111001001011001001 $ -b1010011011111001001011001001 - -b1010011011111001001011001001 5 -b1010011011111001001011001001 ? -b1010011011111001001011001001 D -b11011111111110010010001011101001 % -b11011111111110010010001011101001 . -b11011111111110010010001011101001 6 -b11011111111110010010001011101001 @ -b11011111111110010010001011101001 F -b11011111111111111011001011101001 ) -#245952000 -0& -#245968000 -b111100000011 , -#245984000 -1& -#246000000 -b11111101101111111101111001110101 " -b11111101101111111101111001110101 4 -b11111101101111111101111001110101 1 -b11111101101111111101111001110101 C -b1101000000 0 -b1101000000 H -19 -08 -b1100010010001100011000111001010 < -b11001010010111100000010000001011 2 -b11001010010111100000010000001011 = -b11001010010111100000010000001011 : -b1101000000101111101001001000000 $ -b1101000000101111101001001000000 - -b1101000000101111101001001000000 5 -b1101000000101111101001001000000 ? -b1101000000101111101001001000000 D -b10011101101110011100111000110101 % -b10011101101110011100111000110101 . -b10011101101110011100111000110101 6 -b10011101101110011100111000110101 @ -b10011101101110011100111000110101 F -b11111101101111111101111001110101 ) -#246016000 -0& -#246032000 -b111100000100 , -#246048000 -1& -#246064000 -b1111111111111110111011101111011 " -b1111111111111110111011101111011 4 -b1111111111111110111011101111011 1 -b1111111111111110111011101111011 C -b10011 0 -b10011 H -18 -09 -b10000100000000001001101111000110 < -b10101011111111111111001101000001 2 -b10101011111111111111001101000001 = -b10101011111111111111001101000001 : -b100111111111110101011101111010 $ -b100111111111110101011101111010 - -b100111111111110101011101111010 5 -b100111111111110101011101111010 ? -b100111111111110101011101111010 D -b1111011111111110110010000111001 % -b1111011111111110110010000111001 . -b1111011111111110110010000111001 6 -b1111011111111110110010000111001 @ -b1111011111111110110010000111001 F -b1111111111111110111011101111011 ) -#246080000 -0& -#246096000 -b111100000101 , -#246112000 -1& -#246128000 -b1111111001111111110010101111111 " -b1111111001111111110010101111111 4 -b1111111001111111110010101111111 1 -b1111111001111111110010101111111 C -b111 0 -b111 H -b10000001110000000001101011100110 < -b10010000111111111001110001010101 2 -b10010000111111111001110001010101 = -b10010000111111111001110001010101 : -b1111001111111000000101101110 $ -b1111001111111000000101101110 - -b1111001111111000000101101110 5 -b1111001111111000000101101110 ? -b1111001111111000000101101110 D -b1111110001111111110010100011001 % -b1111110001111111110010100011001 . -b1111110001111111110010100011001 6 -b1111110001111111110010100011001 @ -b1111110001111111110010100011001 F -b1111111001111111110010101111111 ) -#246144000 -0& -#246160000 -b111100000110 , -#246176000 -1& -#246192000 -b11111111111111110101111111010111 " -b11111111111111110101111111010111 4 -b11111111111111110101111111010111 1 -b11111111111111110101111111010111 C -b1110101011111111 0 -b1110101011111111 H -18 -b1000000000011010011010101111 < -b11110011000000001010110101110111 2 -b11110011000000001010110101110111 = -b11110011000000001010110101110111 : -b11101010111111110000011011000111 $ -b11101010111111110000011011000111 - -b11101010111111110000011011000111 5 -b11101010111111110000011011000111 ? -b11101010111111110000011011000111 D -b11110111111111100101100101010000 % -b11110111111111100101100101010000 . -b11110111111111100101100101010000 6 -b11110111111111100101100101010000 @ -b11110111111111100101100101010000 F -b11111111111111110101111111010111 ) -#246208000 -0& -#246224000 -b111100000111 , -#246240000 -1& -#246256000 -b11111111111110110100110111111011 " -b11111111111110110100110111111011 4 -b11111111111110110100110111111011 1 -b11111111111110110100110111111011 C -b111101 0 -b111101 H -b10010100100011001011101000100101 < -b10001010001101111100100000000111 2 -b10001010001101111100100000000111 = -b10001010001101111100100000000111 : -b11110101101010110000110111100001 $ -b11110101101010110000110111100001 - -b11110101101010110000110111100001 5 -b11110101101010110000110111100001 ? -b11110101101010110000110111100001 D -b1101011011100110100010111011010 % -b1101011011100110100010111011010 . -b1101011011100110100010111011010 6 -b1101011011100110100010111011010 @ -b1101011011100110100010111011010 F -b11111111111110110100110111111011 ) -#246272000 -0& -#246288000 -b111100001000 , -#246304000 -1& -#246320000 -b1111111111011111111101111110101 " -b1111111111011111111101111110101 4 -b1111111111011111111101111110101 1 -b1111111111011111111101111110101 C -b10101111 0 -b10101111 H -18 -09 -b10010000000100101011010100001010 < -b10100110000000010111000010110000 2 -b10100110000000010111000010110000 = -b10100110000000010111000010110000 : -b10101111011101011101110100101 $ -b10101111011101011101110100101 - -b10101111011101011101110100101 5 -b10101111011101011101110100101 ? -b10101111011101011101110100101 D -b1101111111011010100101011110101 % -b1101111111011010100101011110101 . -b1101111111011010100101011110101 6 -b1101111111011010100101011110101 @ -b1101111111011010100101011110101 F -b1111111111011111111101111110101 ) -#246336000 -0& -#246352000 -b111100001001 , -#246368000 -1& -#246384000 -b11111111111111111111011110111001 " -b11111111111111111111011110111001 4 -b11111111111111111111011110111001 1 -b11111111111111111111011110111001 C -b1111011 0 -b1111011 H -08 -b10110001000110111101000111 < -b1111110101011000110011001100001 2 -b1111110101011000110011001100001 = -b1111110101011000110011001100001 : -b1111011111001111111011100011001 $ -b1111011111001111111011100011001 - -b1111011111001111111011100011001 5 -b1111011111001111111011100011001 ? -b1111011111001111111011100011001 D -b11111101001110111001000010111000 % -b11111101001110111001000010111000 . -b11111101001110111001000010111000 6 -b11111101001110111001000010111000 @ -b11111101001110111001000010111000 F -b11111111111111111111011110111001 ) -#246400000 -0& -#246416000 -b111100001010 , -#246432000 -1& -#246448000 -b1111111010111111110111100111111 " -b1111111010111111110111100111111 4 -b1111111010111111110111100111111 1 -b1111111010111111110111100111111 C -b1111 0 -b1111 H -18 -b10000010111000100101101011100101 < -b11000010001111011010101000100011 2 -b11000010001111011010101000100011 = -b11000010001111011010101000100011 : -b111111010110110100111100111101 $ -b111111010110110100111100111101 - -b111111010110110100111100111101 5 -b111111010110110100111100111101 ? -b111111010110110100111100111101 D -b1111101000111011010010100011010 % -b1111101000111011010010100011010 . -b1111101000111011010010100011010 6 -b1111101000111011010010100011010 @ -b1111101000111011010010100011010 F -b1111111010111111110111100111111 ) -#246464000 -0& -#246480000 -b111100001011 , -#246496000 -1& -#246512000 -b11111110111111110101111111110110 " -b11111110111111110101111111110110 4 -b11111110111111110101111111110110 1 -b11111110111111110101111111110110 C -b11011110111111 0 -b11011110111111 H -19 -18 -b10011001010001001111011001101101 < -b1111000010000110101011001010100 2 -b1111000010000110101011001010100 = -b1111000010000110101011001010100 : -b11011110111111100101111111100110 $ -b11011110111111100101111111100110 - -b11011110111111100101111111100110 5 -b11011110111111100101111111100110 ? -b11011110111111100101111111100110 D -b1100110101110110000100110010010 % -b1100110101110110000100110010010 . -b1100110101110110000100110010010 6 -b1100110101110110000100110010010 @ -b1100110101110110000100110010010 F -b11111110111111110101111111110110 ) -#246528000 -0& -#246544000 -b111100001100 , -#246560000 -1& -#246576000 -b11111111111111111001111100111111 " -b11111111111111111001111100111111 4 -b11111111111111111001111100111111 1 -b11111111111111111001111100111111 C -b111110111 0 -b111110111 H -09 -18 -b11000000100000111110100011001000 < -b10111100000010110111100000000110 2 -b10111100000010110111100000000110 = -b10111100000010110111100000000110 : -b11111011100001111000111100111101 $ -b11111011100001111000111100111101 - -b11111011100001111000111100111101 5 -b11111011100001111000111100111101 ? -b11111011100001111000111100111101 D -b111111011111000001011100110111 % -b111111011111000001011100110111 . -b111111011111000001011100110111 6 -b111111011111000001011100110111 @ -b111111011111000001011100110111 F -b11111111111111111001111100111111 ) -#246592000 -0& -#246608000 -b111100001101 , -#246624000 -1& -#246640000 -b11111101111110110111101011110001 " -b11111101111110110111101011110001 4 -b11111101111110110111101011110001 1 -b11111101111110110111101011110001 C -b11011011010101 0 -b11011011010101 H -19 -08 -b100010100001011000010101001110 < -b10010000001100001111110110001111 2 -b10010000001100001111110110001111 = -b10010000001100001111110110001111 : -b1101101101010110111100001000000 $ -b1101101101010110111100001000000 - -b1101101101010110111100001000000 5 -b1101101101010110111100001000000 ? -b1101101101010110111100001000000 D -b11011101011110100111101010110001 % -b11011101011110100111101010110001 . -b11011101011110100111101010110001 6 -b11011101011110100111101010110001 @ -b11011101011110100111101010110001 F -b11111101111110110111101011110001 ) -#246656000 -0& -#246672000 -b111100001110 , -#246688000 -1& -#246704000 -b11111111111011010011111101010001 " -b11111111111011010011111101010001 4 -b11111111111011010011111101010001 1 -b11111111111011010011111101010001 C -b111111101110110 0 -b111111101110110 H -09 -18 -b10100101100000010101110 < -b11111111001111101100110111101111 2 -b11111111001111101100110111101111 = -b11111111001111101100110111101111 : -b11111110111011000000110101000000 $ -b11111110111011000000110101000000 - -b11111110111011000000110101000000 5 -b11111110111011000000110101000000 ? -b11111110111011000000110101000000 D -b11111111101011010011111101010001 % -b11111111101011010011111101010001 . -b11111111101011010011111101010001 6 -b11111111101011010011111101010001 @ -b11111111101011010011111101010001 F -b11111111111011010011111101010001 ) -#246720000 -0& -#246736000 -b111100001111 , -#246752000 -1& -#246768000 -b11111111111111111111111011101101 " -b11111111111111111111111011101101 4 -b11111111111111111111111011101101 1 -b11111111111111111111111011101101 C -b111111111111111100010010111 0 -b111111111111111100010010111 H -18 -b10010000000000010000001101111010 < -b10010000000000000001011001100111 2 -b10010000000000000001011001100111 = -b10010000000000000001011001100111 : -b11111111111111110001001011101100 $ -b11111111111111110001001011101100 - -b11111111111111110001001011101100 5 -b11111111111111110001001011101100 ? -b11111111111111110001001011101100 D -b1101111111111101111110010000101 % -b1101111111111101111110010000101 . -b1101111111111101111110010000101 6 -b1101111111111101111110010000101 @ -b1101111111111101111110010000101 F -b11111111111111111111111011101101 ) -#246784000 -0& -#246800000 -b111100010000 , -#246816000 -1& -#246832000 -b11111111111111111111111011101011 " -b11111111111111111111111011101011 4 -b11111111111111111111111011101011 1 -b11111111111111111111111011101011 C -b1011111010110111111011 0 -b1011111010110111111011 H -19 -18 -b10001000000100001000110100010101 < -b1000110110010000111100101011001 2 -b1000110110010000111100101011001 = -b1000110110010000111100101011001 : -b10111110101101111110110001000011 $ -b10111110101101111110110001000011 - -b10111110101101111110110001000011 5 -b10111110101101111110110001000011 ? -b10111110101101111110110001000011 D -b1110111111011110111001011101010 % -b1110111111011110111001011101010 . -b1110111111011110111001011101010 6 -b1110111111011110111001011101010 @ -b1110111111011110111001011101010 F -b11111111111111111111111011101011 ) -#246848000 -0& -#246864000 -b111100010001 , -#246880000 -1& -#246896000 -b11111111111111111111111101111111 " -b11111111111111111111111101111111 4 -b11111111111111111111111101111111 1 -b11111111111111111111111101111111 C -b11111101111110101 0 -b11111101111110101 H -08 -09 -b1010010111101010110001 < -b1111111001001101111100011100011 2 -b1111111001001101111100011100011 = -b1111111001001101111100011100011 : -b1111110111111010111111000110001 $ -b1111110111111010111111000110001 - -b1111110111111010111111000110001 5 -b1111110111111010111111000110001 ? -b1111110111111010111111000110001 D -b11111111110101101000010101001110 % -b11111111110101101000010101001110 . -b11111111110101101000010101001110 6 -b11111111110101101000010101001110 @ -b11111111110101101000010101001110 F -b11111111111111111111111101111111 ) -#246912000 -0& -#246928000 -b111100010010 , -#246944000 -1& -#246960000 -b11101111111111111111111011111111 " -b11101111111111111111111011111111 4 -b11101111111111111111111011111111 1 -b11101111111111111111111011111111 C -b1110011110 0 -b1110011110 H -08 -b110010000000001011100101101001 < -b11001101100000111010111010111 2 -b11001101100000111010111010111 = -b11001101100000111010111010111 : -b11100111101011111011110001101101 $ -b11100111101011111011110001101101 - -b11100111101011111011110001101101 5 -b11100111101011111011110001101101 ? -b11100111101011111011110001101101 D -b11001101111111110100011010010110 % -b11001101111111110100011010010110 . -b11001101111111110100011010010110 6 -b11001101111111110100011010010110 @ -b11001101111111110100011010010110 F -b11101111111111111111111011111111 ) -#246976000 -0& -#246992000 -b111100010011 , -#247008000 -1& -#247024000 -b11101111111111111000111101111011 " -b11101111111111111000111101111011 4 -b11101111111111111000111101111011 1 -b11101111111111111000111101111011 C -b100111111010110000001 0 -b100111111010110000001 H -b10000100000010111001011010110 < -b111000011011000111010101010010 2 -b111000011011000111010101010010 = -b111000011011000111010101010010 : -b100111111010110000001001111011 $ -b100111111010110000001001111011 - -b100111111010110000001001111011 5 -b100111111010110000001001111011 ? -b100111111010110000001001111011 D -b11101111011111101000110100101001 % -b11101111011111101000110100101001 . -b11101111011111101000110100101001 6 -b11101111011111101000110100101001 @ -b11101111011111101000110100101001 F -b11101111111111111000111101111011 ) -#247040000 -0& -#247056000 -b111100010100 , -#247072000 -1& -#247088000 -b11111111111111110111101101011110 " -b11111111111111110111101101011110 4 -b11111111111111110111101101011110 1 -b11111111111111110111101101011110 C -b10111100111101110110101001 0 -b10111100111101110110101001 H -19 -18 -b10000000100001001100110011111001 < -b111101011111000011011101011000 2 -b111101011111000011011101011000 = -b111101011111000011011101011000 : -b10111100111101110110101001011110 $ -b10111100111101110110101001011110 - -b10111100111101110110101001011110 5 -b10111100111101110110101001011110 ? -b10111100111101110110101001011110 D -b1111111011110110011001100000110 % -b1111111011110110011001100000110 . -b1111111011110110011001100000110 6 -b1111111011110110011001100000110 @ -b1111111011110110011001100000110 F -b11111111111111110111101101011110 ) -#247104000 -0& -#247120000 -b111100010101 , -#247136000 -1& -#247152000 -b1101111110111011011111110111011 " -b1101111110111011011111110111011 4 -b1101111110111011011111110111011 1 -b1101111110111011011111110111011 C -b1 0 -b1 H -09 -18 -b10010000001000100101011001000100 < -b10011100001111111000110110000000 2 -b10011100001111111000110110000000 = -b10011100001111111000110110000000 : -b1100000111010011011100111011 $ -b1100000111010011011100111011 - -b1100000111010011011100111011 5 -b1100000111010011011100111011 ? -b1100000111010011011100111011 D -b1101111110111011010100110111011 % -b1101111110111011010100110111011 . -b1101111110111011010100110111011 6 -b1101111110111011010100110111011 @ -b1101111110111011010100110111011 F -b1101111110111011011111110111011 ) -#247168000 -0& -#247184000 -b111100010110 , -#247200000 -1& -#247216000 -b1111101111111110101011111111111 " -b1111101111111110101011111111111 4 -b1111101111111110101011111111111 1 -b1111101111111110101011111111111 C -b110110101111111000101 0 -b110110101111111000101 H -08 -b10100111001001111011100001010101 < -b10100101001101100111010110011 2 -b10100101001101100111010110011 = -b10100101001101100111010110011 : -b1101101011111110001011001011101 $ -b1101101011111110001011001011101 - -b1101101011111110001011001011101 5 -b1101101011111110001011001011101 ? -b1101101011111110001011001011101 D -b1011000110110000100011110101010 % -b1011000110110000100011110101010 . -b1011000110110000100011110101010 6 -b1011000110110000100011110101010 @ -b1011000110110000100011110101010 F -b1111101111111110101011111111111 ) -#247232000 -0& -#247248000 -b111100010111 , -#247264000 -1& -#247280000 -b111111111111110101001111110001 " -b111111111111110101001111110001 4 -b111111111111110101001111110001 1 -b111111111111110101001111110001 C -b11111111011111010100101101000 0 -b11111111011111010100101101000 H -08 -b11000000110000011110110000011110 < -b101000010011111011110000 2 -b101000010011111011110000 = -b101000010011111011110000 : -b111111110111110101001011010001 $ -b111111110111110101001011010001 - -b111111110111110101001011010001 5 -b111111110111110101001011010001 ? -b111111110111110101001011010001 D -b111111001111100001001111100001 % -b111111001111100001001111100001 . -b111111001111100001001111100001 6 -b111111001111100001001111100001 @ -b111111001111100001001111100001 F -b111111111111110101001111110001 ) -#247296000 -0& -#247312000 -b111100011000 , -#247328000 -1& -#247344000 -b1111111111111110110111011011111 " -b1111111111111110110111011011111 4 -b1111111111111110110111011011111 1 -b1111111111111110110111011011111 C -b111111101111 0 -b111111101111 H -18 -b10000000100010001111000111101101 < -b11000000010010000101011010111101 2 -b11000000010010000101011010111101 = -b11000000010010000101011010111101 : -b111111101111110110010011001111 $ -b111111101111110110010011001111 - -b111111101111110110010011001111 5 -b111111101111110110010011001111 ? -b111111101111110110010011001111 D -b1111111011101110000111000010010 % -b1111111011101110000111000010010 . -b1111111011101110000111000010010 6 -b1111111011101110000111000010010 @ -b1111111011101110000111000010010 F -b1111111111111110110111011011111 ) -#247360000 -0& -#247376000 -b111100011001 , -#247392000 -1& -#247408000 -b11111101111111111110000010010111 " -b11111101111111111110000010010111 4 -b11111101111111111110000010010111 1 -b11111101111111111110000010010111 C -b11101100101101101110000010 0 -b11101100101101101110000010 H -08 -b1000010000000100101111111111001 < -b101110101110010100000010001111 2 -b101110101110010100000010001111 = -b101110101110010100000010001111 : -b11101100101101101110000010010101 $ -b11101100101101101110000010010101 - -b11101100101101101110000010010101 5 -b11101100101101101110000010010101 ? -b11101100101101101110000010010101 D -b10111101111111011010000000000110 % -b10111101111111011010000000000110 . -b10111101111111011010000000000110 6 -b10111101111111011010000000000110 @ -b10111101111111011010000000000110 F -b11111101111111111110000010010111 ) -#247424000 -0& -#247440000 -b111100011010 , -#247456000 -1& -#247472000 -b1111111111111110011110111111111 " -b1111111111111110011110111111111 4 -b1111111111111110011110111111111 1 -b1111111111111110011110111111111 C -b1001011111 0 -b1001011111 H -18 -b10000000001000001100011100001010 < -b11001100000011011111110010111001 2 -b11001100000011011111110010111001 = -b11001100000011011111110010111001 : -b1001011111011010011010110101110 $ -b1001011111011010011010110101110 - -b1001011111011010011010110101110 5 -b1001011111011010011010110101110 ? -b1001011111011010011010110101110 D -b1111111110111110011100011110101 % -b1111111110111110011100011110101 . -b1111111110111110011100011110101 6 -b1111111110111110011100011110101 @ -b1111111110111110011100011110101 F -b1111111111111110011110111111111 ) -#247488000 -0& -#247504000 -b111100011011 , -#247520000 -1& -#247536000 -b11011111111111110111111110101111 " -b11011111111111110111111110101111 4 -b11011111111111110111111110101111 1 -b11011111111111110111111110101111 C -b110101011110111000111111001011 0 -b110101011110111000111111001011 H -19 -18 -b10100100111001001001111101111101 < -b1111010110100101101111010101101 2 -b1111010110100101101111010101101 = -b1111010110100101101111010101101 : -b11010101111011100011111100101111 $ -b11010101111011100011111100101111 - -b11010101111011100011111100101111 5 -b11010101111011100011111100101111 ? -b11010101111011100011111100101111 D -b1011011000110110110000010000010 % -b1011011000110110110000010000010 . -b1011011000110110110000010000010 6 -b1011011000110110110000010000010 @ -b1011011000110110110000010000010 F -b11011111111111110111111110101111 ) -#247552000 -0& -#247568000 -b111100011100 , -#247584000 -1& -#247600000 -b11111101111111111111011101111111 " -b11111101111111111111011101111111 4 -b11111101111111111111011101111111 1 -b11111101111111111111011101111111 C -b1111011111111111 0 -b1111011111111111 H -09 -08 -b100010101101001011100010110001 < -b1100000101101001010110011100111 2 -b1100000101101001010110011100111 = -b1100000101101001010110011100111 : -b111101111111111111010000110101 $ -b111101111111111111010000110101 - -b111101111111111111010000110101 5 -b111101111111111111010000110101 ? -b111101111111111111010000110101 D -b11011101010010110100011101001110 % -b11011101010010110100011101001110 . -b11011101010010110100011101001110 6 -b11011101010010110100011101001110 @ -b11011101010010110100011101001110 F -b11111101111111111111011101111111 ) -#247616000 -0& -#247632000 -b111100011101 , -#247648000 -1& -#247664000 -b11111111111111111101101011111101 " -b11111111111111111101101011111101 4 -b11111111111111111101101011111101 1 -b11111111111111111101101011111101 C -b101110 0 -b101110 H -09 -08 -b1000000001010010010101000110 < -b1100101111111001110111000100011 2 -b1100101111111001110111000100011 = -b1100101111111001110111000100011 : -b1011101111101111100100011011100 $ -b1011101111101111100100011011100 - -b1011101111101111100100011011100 5 -b1011101111101111100100011011100 ? -b1011101111101111100100011011100 D -b11110111111110101101101010111001 % -b11110111111110101101101010111001 . -b11110111111110101101101010111001 6 -b11110111111110101101101010111001 @ -b11110111111110101101101010111001 F -b11111111111111111101101011111101 ) -#247680000 -0& -#247696000 -b111100011110 , -#247712000 -1& -#247728000 -b11111111111111110101000111111111 " -b11111111111111110101000111111111 4 -b11111111111111110101000111111111 1 -b11111111111111110101000111111111 C -b11111111111011110 0 -b11111111111011110 H -18 -09 -b10000010001011101110111100010000 < -b10000010000111100011000000100100 2 -b10000010000111100011000000100100 = -b10000010000111100011000000100100 : -b11111111111011110100000100010011 $ -b11111111111011110100000100010011 - -b11111111111011110100000100010011 5 -b11111111111011110100000100010011 ? -b11111111111011110100000100010011 D -b1111101110100010001000011101111 % -b1111101110100010001000011101111 . -b1111101110100010001000011101111 6 -b1111101110100010001000011101111 @ -b1111101110100010001000011101111 F -b11111111111111110101000111111111 ) -#247744000 -0& -#247760000 -b111100011111 , -#247776000 -1& -#247792000 -b11111111111111111111011111111111 " -b11111111111111111111011111111111 4 -b11111111111111111111011111111111 1 -b11111111111111111111011111111111 C -b111110111111011101100111100111 0 -b111110111111011101100111100111 H -09 -08 -b11000000000000100101110011101 < -b10011111101111011001100111011 2 -b10011111101111011001100111011 = -b10011111101111011001100111011 : -b11111011111101110110011110011101 $ -b11111011111101110110011110011101 - -b11111011111101110110011110011101 5 -b11111011111101110110011110011101 ? -b11111011111101110110011110011101 D -b11100111111111111011010001100010 % -b11100111111111111011010001100010 . -b11100111111111111011010001100010 6 -b11100111111111111011010001100010 @ -b11100111111111111011010001100010 F -b11111111111111111111011111111111 ) -#247808000 -0& -#247824000 -b111100100000 , -#247840000 -1& -#247856000 -b11111111111011111111111111111101 " -b11111111111011111111111111111101 4 -b11111111111011111111111111111101 1 -b11111111111011111111111111111101 C -b110011111110 0 -b110011111110 H -08 -b1000000000101000101101000101011 < -b10000000000111101010111100101 2 -b10000000000111101010111100101 = -b10000000000111101010111100101 : -b11001111111011110111101110111001 $ -b11001111111011110111101110111001 - -b11001111111011110111101110111001 5 -b11001111111011110111101110111001 ? -b11001111111011110111101110111001 D -b10111111111010111010010111010100 % -b10111111111010111010010111010100 . -b10111111111010111010010111010100 6 -b10111111111010111010010111010100 @ -b10111111111010111010010111010100 F -b11111111111011111111111111111101 ) -#247872000 -0& -#247888000 -b111100100001 , -#247904000 -1& -#247920000 -b1111111111111111100011010111010 " -b1111111111111111100011010111010 4 -b1111111111111111100011010111010 1 -b1111111111111111100011010111010 C -b111 0 -b111 H -18 -b10000000011000001111110101100101 < -b10100000010110111100001000001000 2 -b10100000010110111100001000001000 = -b10100000010110111100001000001000 : -b11111111110101100010010100010 $ -b11111111110101100010010100010 - -b11111111110101100010010100010 5 -b11111111110101100010010100010 ? -b11111111110101100010010100010 D -b1111111100111110000001010011010 % -b1111111100111110000001010011010 . -b1111111100111110000001010011010 6 -b1111111100111110000001010011010 @ -b1111111100111110000001010011010 F -b1111111111111111100011010111010 ) -#247936000 -0& -#247952000 -b111100100010 , -#247968000 -1& -#247984000 -b11111111111111110101111101111001 " -b11111111111111110101111101111001 4 -b11111111111111110101111101111001 1 -b11111111111111110101111101111001 C -b111110011111101001101 0 -b111110011111101001101 H -08 -b110000011001110100110110111 < -b100101010011000011011011110001 2 -b100101010011000011011011110001 = -b100101010011000011011011110001 : -b11111001111110100110100111001 $ -b11111001111110100110100111001 - -b11111001111110100110100111001 5 -b11111001111110100110100111001 ? -b11111001111110100110100111001 D -b11111001111100110001011001001000 % -b11111001111100110001011001001000 . -b11111001111100110001011001001000 6 -b11111001111100110001011001001000 @ -b11111001111100110001011001001000 F -b11111111111111110101111101111001 ) -#248000000 -0& -#248016000 -b111100100011 , -#248032000 -1& -#248048000 -b11111111111111111011010111111111 " -b11111111111111111011010111111111 4 -b11111111111111111011010111111111 1 -b11111111111111111011010111111111 C -b1 0 -b1 H -08 -09 -b1100000110000110111111000100000 < -b1100000101100110011010000001000 2 -b1100000101100110011010000001000 = -b1100000101100110011010000001000 : -b11111111111011111011010111100111 $ -b11111111111011111011010111100111 - -b11111111111011111011010111100111 5 -b11111111111011111011010111100111 ? -b11111111111011111011010111100111 D -b10011111001111001000000111011111 % -b10011111001111001000000111011111 . -b10011111001111001000000111011111 6 -b10011111001111001000000111011111 @ -b10011111001111001000000111011111 F -b11111111111111111011010111111111 ) -#248064000 -0& -#248080000 -b111100100100 , -#248096000 -1& -#248112000 -b1111111111111101111101111110110 " -b1111111111111101111101111110110 4 -b1111111111111101111101111110110 1 -b1111111111111101111101111110110 C -b110011111 0 -b110011111 H -08 -b10100000111000011010010000001001 < -b1000111000000100010011000000 2 -b1000111000000100010011000000 = -b1000111000000100010011000000 : -b1100111111111101010000010110110 $ -b1100111111111101010000010110110 - -b1100111111111101010000010110110 5 -b1100111111111101010000010110110 ? -b1100111111111101010000010110110 D -b1011111000111100101101111110110 % -b1011111000111100101101111110110 . -b1011111000111100101101111110110 6 -b1011111000111100101101111110110 @ -b1011111000111100101101111110110 F -b1111111111111101111101111110110 ) -#248128000 -0& -#248144000 -b111100100101 , -#248160000 -1& -#248176000 -b11111111111111110111111011111110 " -b11111111111111110111111011111110 4 -b11111111111111110111111011111110 1 -b11111111111111110111111011111110 C -b111000111110 0 -b111000111110 H -19 -18 -b10000000100000001100000111001011 < -b1100100011011110000011010100110 2 -b1100100011011110000011010100110 = -b1100100011011110000011010100110 : -b11100011111011100100010011011010 $ -b11100011111011100100010011011010 - -b11100011111011100100010011011010 5 -b11100011111011100100010011011010 ? -b11100011111011100100010011011010 D -b1111111011111110011111000110100 % -b1111111011111110011111000110100 . -b1111111011111110011111000110100 6 -b1111111011111110011111000110100 @ -b1111111011111110011111000110100 F -b11111111111111110111111011111110 ) -#248192000 -0& -#248208000 -b111100100110 , -#248224000 -1& -#248240000 -b11111111110011101111011101111011 " -b11111111110011101111011101111011 4 -b11111111110011101111011101111011 1 -b11111111110011101111011101111011 C -b1111111 0 -b1111111 H -09 -18 -b11001100001110010001100010100110 < -b11001010100001111110110000001010 2 -b11001010100001111110110000001010 = -b11001010100001111110110000001010 : -b11111110010011101101001101100011 $ -b11111110010011101101001101100011 - -b11111110010011101101001101100011 5 -b11111110010011101101001101100011 ? -b11111110010011101101001101100011 D -b110011110001101110011101011001 % -b110011110001101110011101011001 . -b110011110001101110011101011001 6 -b110011110001101110011101011001 @ -b110011110001101110011101011001 F -b11111111110011101111011101111011 ) -#248256000 -0& -#248272000 -b111100100111 , -#248288000 -1& -#248304000 -b11111111111111011110111010111011 " -b11111111111111011110111010111011 4 -b11111111111111011110111010111011 1 -b11111111111111011110111010111011 C -b1001110 0 -b1001110 H -09 -18 -b1001100100101000111000110 < -b10011111001100000011001001101010 2 -b10011111001100000011001001101010 = -b10011111001100000011001001101010 : -b10011101111111011110000010100011 $ -b10011101111111011110000010100011 - -b10011101111111011110000010100011 5 -b10011101111111011110000010100011 ? -b10011101111111011110000010100011 D -b11111110110011011010111000111001 % -b11111110110011011010111000111001 . -b11111110110011011010111000111001 6 -b11111110110011011010111000111001 @ -b11111110110011011010111000111001 F -b11111111111111011110111010111011 ) -#248320000 -0& -#248336000 -b111100101000 , -#248352000 -1& -#248368000 -b11111111111111111111110111001111 " -b11111111111111111111110111001111 4 -b11111111111111111111110111001111 1 -b11111111111111111111110111001111 C -b1101111111111111 0 -b1101111111111111 H -08 -b1010000000000100101010110000 < -b1111010000000000001100010000000 2 -b1111010000000000001100010000000 = -b1111010000000000001100010000000 : -b1101111111111111100110111001111 $ -b1101111111111111100110111001111 - -b1101111111111111100110111001111 5 -b1101111111111111100110111001111 ? -b1101111111111111100110111001111 D -b11110101111111111011010101001111 % -b11110101111111111011010101001111 . -b11110101111111111011010101001111 6 -b11110101111111111011010101001111 @ -b11110101111111111011010101001111 F -b11111111111111111111110111001111 ) -#248384000 -0& -#248400000 -b111100101001 , -#248416000 -1& -#248432000 -b1111111111111101101110101011111 " -b1111111111111101101110101011111 4 -b1111111111111101101110101011111 1 -b1111111111111101101110101011111 C -b10 0 -b10 H -18 -b10000000010000010010001110100010 < -b11100000001100000000000011100101 2 -b11100000001100000000000011100101 = -b11100000001100000000000011100101 : -b1011111111011101101110101000010 $ -b1011111111011101101110101000010 - -b1011111111011101101110101000010 5 -b1011111111011101101110101000010 ? -b1011111111011101101110101000010 D -b1111111101111101101110001011101 % -b1111111101111101101110001011101 . -b1111111101111101101110001011101 6 -b1111111101111101101110001011101 @ -b1111111101111101101110001011101 F -b1111111111111101101110101011111 ) -#248448000 -0& -#248464000 -b111100101010 , -#248480000 -1& -#248496000 -b11111111111111111111111111101011 " -b11111111111111111111111111101011 4 -b11111111111111111111111111101011 1 -b11111111111111111111111111101011 C -b110100111111111110111011011010 0 -b110100111111111110111011011010 H -19 -18 -b10010010011010001001101100111101 < -b1100110011010000101011010100111 2 -b1100110011010000101011010100111 = -b1100110011010000101011010100111 : -b11010011111111111011101101101001 $ -b11010011111111111011101101101001 - -b11010011111111111011101101101001 5 -b11010011111111111011101101101001 ? -b11010011111111111011101101101001 D -b1101101100101110110010011000010 % -b1101101100101110110010011000010 . -b1101101100101110110010011000010 6 -b1101101100101110110010011000010 @ -b1101101100101110110010011000010 F -b11111111111111111111111111101011 ) -#248512000 -0& -#248528000 -b111100101011 , -#248544000 -1& -#248560000 -b1111111011101111110101101111010 " -b1111111011101111110101101111010 4 -b1111111011101111110101101111010 1 -b1111111011101111110101101111010 C -b11110100111010101100011011110 0 -b11110100111010101100011011110 H -09 -b10000000100010010011011010111101 < -b11111010111111101001101000111000 2 -b11111010111111101001101000111000 = -b11111010111111101001101000111000 : -b1111010011101010110001101111010 $ -b1111010011101010110001101111010 - -b1111010011101010110001101111010 5 -b1111010011101010110001101111010 ? -b1111010011101010110001101111010 D -b1111111011101101100100101000010 % -b1111111011101101100100101000010 . -b1111111011101101100100101000010 6 -b1111111011101101100100101000010 @ -b1111111011101101100100101000010 F -b1111111011101111110101101111010 ) -#248576000 -0& -#248592000 -b111100101100 , -#248608000 -1& -#248624000 -b1111111111011111111111111011111 " -b1111111111011111111111111011111 4 -b1111111111011111111111111011111 1 -b1111111111011111111111111011111 C -b1111101111 0 -b1111101111 H -08 -b11100000000100000110000000101010 < -b1011101111111100101101101111010 2 -b1011101111111100101101101111010 = -b1011101111111100101101101111010 : -b1111101111011011111101101001111 $ -b1111101111011011111101101001111 - -b1111101111011011111101101001111 5 -b1111101111011011111101101001111 ? -b1111101111011011111101101001111 D -b11111111011111001111111010101 % -b11111111011111001111111010101 . -b11111111011111001111111010101 6 -b11111111011111001111111010101 @ -b11111111011111001111111010101 F -b1111111111011111111111111011111 ) -#248640000 -0& -#248656000 -b111100101101 , -#248672000 -1& -#248688000 -b1101111111111011111110111101011 " -b1101111111111011111110111101011 4 -b1101111111111011111110111101011 1 -b1101111111111011111110111101011 C -b11000101111110101001 0 -b11000101111110101001 H -b10110000000000110000111010010100 < -b10011000000000101110001000000 2 -b10011000000000101110001000000 = -b10011000000000101110001000000 : -b1100010111111010100110110101011 $ -b1100010111111010100110110101011 - -b1100010111111010100110110101011 5 -b1100010111111010100110110101011 ? -b1100010111111010100110110101011 D -b1001111111111001111000101101011 % -b1001111111111001111000101101011 . -b1001111111111001111000101101011 6 -b1001111111111001111000101101011 @ -b1001111111111001111000101101011 F -b1101111111111011111110111101011 ) -#248704000 -0& -#248720000 -b111100101110 , -#248736000 -1& -#248752000 -b11111111111011011111111101011111 " -b11111111111011011111111101011111 4 -b11111111111011011111111101011111 1 -b11111111111011011111111101011111 C -b1 0 -b1 H -18 -b10010000000100110000100010100000 < -b10001110101111001000010111100110 2 -b10001110101111001000010111100110 = -b10001110101111001000010111100110 : -b11111110101010010111110101000101 $ -b11111110101010010111110101000101 - -b11111110101010010111110101000101 5 -b11111110101010010111110101000101 ? -b11111110101010010111110101000101 D -b1101111111011001111011101011111 % -b1101111111011001111011101011111 . -b1101111111011001111011101011111 6 -b1101111111011001111011101011111 @ -b1101111111011001111011101011111 F -b11111111111011011111111101011111 ) -#248768000 -0& -#248784000 -b111100101111 , -#248800000 -1& -#248816000 -b11011111111101111110110001111101 " -b11011111111101111110110001111101 4 -b11011111111101111110110001111101 1 -b11011111111101111110110001111101 C -b110 0 -b110 H -09 -08 -b1100010011010000001011110000010 < -b110010010111100010001110110100 2 -b110010010111100010001110110100 = -b110010010111100010001110110100 : -b11001111111101100000110000110001 $ -b11001111111101100000110000110001 - -b11001111111101100000110000110001 5 -b11001111111101100000110000110001 ? -b11001111111101100000110000110001 D -b10011101100101111110100001111101 % -b10011101100101111110100001111101 . -b10011101100101111110100001111101 6 -b10011101100101111110100001111101 @ -b10011101100101111110100001111101 F -b11011111111101111110110001111101 ) -#248832000 -0& -#248848000 -b111100110000 , -#248864000 -1& -#248880000 -b11111111111111111101001111011111 " -b11111111111111111101001111011111 4 -b11111111111111111101001111011111 1 -b11111111111111111101001111011111 C -b0 0 -b0 H -19 -08 -b1000000011000101010111100100000 < -b10110101010011101000001010100101 2 -b10110101010011101000001010100101 = -b10110101010011101000001010100101 : -b1110100111010111101001110000100 $ -b1110100111010111101001110000100 - -b1110100111010111101001110000100 5 -b1110100111010111101001110000100 ? -b1110100111010111101001110000100 D -b10111111100111010101000011011111 % -b10111111100111010101000011011111 . -b10111111100111010101000011011111 6 -b10111111100111010101000011011111 @ -b10111111100111010101000011011111 F -b11111111111111111101001111011111 ) -#248896000 -0& -#248912000 -b111100110001 , -#248928000 -1& -#248944000 -b11111111111111101111111111111100 " -b11111111111111101111111111111100 4 -b11111111111111101111111111111100 1 -b11111111111111101111111111111100 C -b111 0 -b111 H -09 -08 -b11101001000000011 < -b1110001111011101011000010110100 2 -b1110001111011101011000010110100 = -b1110001111011101011000010110100 : -b1110001111011001101111010110000 $ -b1110001111011001101111010110000 - -b1110001111011001101111010110000 5 -b1110001111011001101111010110000 ? -b1110001111011001101111010110000 D -b11111111111111100010110111111100 % -b11111111111111100010110111111100 . -b11111111111111100010110111111100 6 -b11111111111111100010110111111100 @ -b11111111111111100010110111111100 F -b11111111111111101111111111111100 ) -#248960000 -0& -#248976000 -b111100110010 , -#248992000 -1& -#249008000 -b1111111111111111111110001010000 " -b1111111111111111111110001010000 4 -b1111111111111111111110001010000 1 -b1111111111111111111110001010000 C -b10101111111111 0 -b10101111111111 H -18 -b10001000010100100100001110101111 < -b10110100010100011000101111110000 2 -b10110100010100011000101111110000 = -b10110100010100011000101111110000 : -b101011111111110100100001000000 $ -b101011111111110100100001000000 - -b101011111111110100100001000000 5 -b101011111111110100100001000000 ? -b101011111111110100100001000000 D -b1110111101011011011110001010000 % -b1110111101011011011110001010000 . -b1110111101011011011110001010000 6 -b1110111101011011011110001010000 @ -b1110111101011011011110001010000 F -b1111111111111111111110001010000 ) -#249024000 -0& -#249040000 -b111100110011 , -#249056000 -1& -#249072000 -b11111111111111110111101111111101 " -b11111111111111110111101111111101 4 -b11111111111111110111101111111101 1 -b11111111111111110111101111111101 C -b1110111111111100011 0 -b1110111111111100011 H -08 -b1001100001010010100010011 < -b1111001001011101101011011010001 2 -b1111001001011101101011011010001 = -b1111001001011101101011011010001 : -b1110111111111100011000110111101 $ -b1110111111111100011000110111101 - -b1110111111111100011000110111101 5 -b1110111111111100011000110111101 ? -b1110111111111100011000110111101 D -b11111110110011110101101011101100 % -b11111110110011110101101011101100 . -b11111110110011110101101011101100 6 -b11111110110011110101101011101100 @ -b11111110110011110101101011101100 F -b11111111111111110111101111111101 ) -#249088000 -0& -#249104000 -b111100110100 , -#249120000 -1& -#249136000 -b11111111111111110111011100101111 " -b11111111111111110111011100101111 4 -b11111111111111110111011100101111 1 -b11111111111111110111011100101111 C -b111111111111111011001110 0 -b111111111111111011001110 H -19 -08 -b101000000001001000110011011000 < -b10101000000000111111010000000110 2 -b10101000000000111111010000000110 = -b10101000000000111111010000000110 : -b1111111111111110110011100101101 $ -b1111111111111110110011100101101 - -b1111111111111110110011100101101 5 -b1111111111111110110011100101101 ? -b1111111111111110110011100101101 D -b11010111111110110111001100100111 % -b11010111111110110111001100100111 . -b11010111111110110111001100100111 6 -b11010111111110110111001100100111 @ -b11010111111110110111001100100111 F -b11111111111111110111011100101111 ) -#249152000 -0& -#249168000 -b111100110101 , -#249184000 -1& -#249200000 -b1111111111111100100011101110101 " -b1111111111111100100011101110101 4 -b1111111111111100100011101110101 1 -b1111111111111100100011101110101 C -b111111111111100100011101110101 0 -b111111111111100100011101110101 H -09 -18 -b10100000010110111011100011011111 < -b11100000010110100000000001010101 2 -b11100000010110100000000001010101 = -b11100000010110100000000001010101 : -b111111111111100100011101110101 $ -b111111111111100100011101110101 - -b111111111111100100011101110101 5 -b111111111111100100011101110101 ? -b111111111111100100011101110101 D -b1011111101001000100011100100000 % -b1011111101001000100011100100000 . -b1011111101001000100011100100000 6 -b1011111101001000100011100100000 @ -b1011111101001000100011100100000 F -b1111111111111100100011101110101 ) -#249216000 -0& -#249232000 -b111100110110 , -#249248000 -1& -#249264000 -b11111111111111110111100100011111 " -b11111111111111110111100100011111 4 -b11111111111111110111100100011111 1 -b11111111111111110111100100011111 C -b1111111111111111 0 -b1111111111111111 H -08 -b10010000001101011011101111 < -b10010000000100100000001111 2 -b10010000000100100000001111 = -b10010000000100100000001111 : -b11111111111111110111000100011111 $ -b11111111111111110111000100011111 - -b11111111111111110111000100011111 5 -b11111111111111110111000100011111 ? -b11111111111111110111000100011111 D -b11111101101111110010100100010000 % -b11111101101111110010100100010000 . -b11111101101111110010100100010000 6 -b11111101101111110010100100010000 @ -b11111101101111110010100100010000 F -b11111111111111110111100100011111 ) -#249280000 -0& -#249296000 -b111100110111 , -#249312000 -1& -#249328000 -b11111111101111110110101111011111 " -b11111111101111110110101111011111 4 -b11111111101111110110101111011111 1 -b11111111101111110110101111011111 C -b111111 0 -b111111 H -18 -b10001000011010101001010001100101 < -b10000111001010011101111110110101 2 -b10000111001010011101111110110101 = -b10000111001010011101111110110101 : -b11111110101111110100101101001111 $ -b11111110101111110100101101001111 - -b11111110101111110100101101001111 5 -b11111110101111110100101101001111 ? -b11111110101111110100101101001111 D -b1110111100101010110101110011010 % -b1110111100101010110101110011010 . -b1110111100101010110101110011010 6 -b1110111100101010110101110011010 @ -b1110111100101010110101110011010 F -b11111111101111110110101111011111 ) -#249344000 -0& -#249360000 -b111100111000 , -#249376000 -1& -#249392000 -b11101111111111100101111111111110 " -b11101111111111100101111111111110 4 -b11101111111111100101111111111110 1 -b11101111111111100101111111111110 C -b10 0 -b10 H -09 -18 -b110000001011011111101101100001 < -b11010110101010100101101011010110 2 -b11010110101010100101101011010110 = -b11010110101010100101101011010110 : -b10100110011111000101111101110100 $ -b10100110011111000101111101110100 - -b10100110011111000101111101110100 5 -b10100110011111000101111101110100 ? -b10100110011111000101111101110100 D -b11001111110100100000010010011110 % -b11001111110100100000010010011110 . -b11001111110100100000010010011110 6 -b11001111110100100000010010011110 @ -b11001111110100100000010010011110 F -b11101111111111100101111111111110 ) -#249408000 -0& -#249424000 -b111100111001 , -#249440000 -1& -#249456000 -b1111111111111011010110111110111 " -b1111111111111011010110111110111 4 -b1111111111111011010110111110111 1 -b1111111111111011010110111110111 C -b11110001111100010001100101 0 -b11110001111100010001100101 H -b10000000011100100101111000111010 < -b11111001011010101110101011101110 2 -b11111001011010101110101011101110 = -b11111001011010101110101011101110 : -b1111000111110001000110010110011 $ -b1111000111110001000110010110011 - -b1111000111110001000110010110011 5 -b1111000111110001000110010110011 ? -b1111000111110001000110010110011 D -b1111111100011011010000111000101 % -b1111111100011011010000111000101 . -b1111111100011011010000111000101 6 -b1111111100011011010000111000101 @ -b1111111100011011010000111000101 F -b1111111111111011010110111110111 ) -#249472000 -0& -#249488000 -b111100111010 , -#249504000 -1& -#249520000 -b10111110111111110111001100111111 " -b10111110111111110111001100111111 4 -b10111110111111110111001100111111 1 -b10111110111111110111001100111111 C -b10011110011 0 -b10011110011 H -18 -b1001011000000001011111011101010 < -b11101001011111101111000100010110 2 -b11101001011111101111000100010110 = -b11101001011111101111000100010110 : -b10011110011111100011001000101011 $ -b10011110011111100011001000101011 - -b10011110011111100011001000101011 5 -b10011110011111100011001000101011 ? -b10011110011111100011001000101011 D -b10110100111111110100000100010101 % -b10110100111111110100000100010101 . -b10110100111111110100000100010101 6 -b10110100111111110100000100010101 @ -b10110100111111110100000100010101 F -b10111110111111110111001100111111 ) -#249536000 -0& -#249552000 -b111100111011 , -#249568000 -1& -#249584000 -b11111111111111111011110101111011 " -b11111111111111111011110101111011 4 -b11111111111111111011110101111011 1 -b11111111111111111011110101111011 C -b11111111111011111011100101111 0 -b11111111111011111011100101111 H -08 -b1000000000001101001110011100 < -b111111100001000110100010110 2 -b111111100001000110100010110 = -b111111100001000110100010110 : -b11111111111011111011100101111001 $ -b11111111111011111011100101111001 - -b11111111111011111011100101111001 5 -b11111111111011111011100101111001 ? -b11111111111011111011100101111001 D -b11110111111111110010110001100011 % -b11110111111111110010110001100011 . -b11110111111111110010110001100011 6 -b11110111111111110010110001100011 @ -b11110111111111110010110001100011 F -b11111111111111111011110101111011 ) -#249600000 -0& -#249616000 -b111100111100 , -#249632000 -1& -#249648000 -b1111111111110101110111101111101 " -b1111111111110101110111101111101 4 -b1111111111110101110111101111101 1 -b1111111111110101110111101111101 C -b1111011011010101110110 0 -b1111011011010101110110 H -08 -b10101000010011011001010011010110 < -b100011101110001000000100110100 2 -b100011101110001000000100110100 = -b100011101110001000000100110100 : -b1111011011010101110110001011101 $ -b1111011011010101110110001011101 - -b1111011011010101110110001011101 5 -b1111011011010101110110001011101 ? -b1111011011010101110110001011101 D -b1010111101100100110101100101001 % -b1010111101100100110101100101001 . -b1010111101100100110101100101001 6 -b1010111101100100110101100101001 @ -b1010111101100100110101100101001 F -b1111111111110101110111101111101 ) -#249664000 -0& -#249680000 -b111100111101 , -#249696000 -1& -#249712000 -b11111111111111111101111111111111 " -b11111111111111111101111111111111 4 -b11111111111111111101111111111111 1 -b11111111111111111101111111111111 C -b111 0 -b111 H -19 -08 -b101000000000010011000000000011 < -b10100111000000001000001011100111 2 -b10100111000000001000001011100111 = -b10100111000000001000001011100111 : -b1111110111111110101001011100011 $ -b1111110111111110101001011100011 - -b1111110111111110101001011100011 5 -b1111110111111110101001011100011 ? -b1111110111111110101001011100011 D -b11010111111111101100111111111100 % -b11010111111111101100111111111100 . -b11010111111111101100111111111100 6 -b11010111111111101100111111111100 @ -b11010111111111101100111111111100 F -b11111111111111111101111111111111 ) -#249728000 -0& -#249744000 -b111100111110 , -#249760000 -1& -#249776000 -b11111111011111101111111111101111 " -b11111111011111101111111111101111 4 -b11111111011111101111111111101111 1 -b11111111011111101111111111101111 C -b111111100111111011111 0 -b111111100111111011111 H -09 -08 -b10000100101011011000000010100 < -b1111000101001010101000100010 2 -b1111000101001010101000100010 = -b1111000101001010101000100010 : -b11111110011111101111101000001101 $ -b11111110011111101111101000001101 - -b11111110011111101111101000001101 5 -b11111110011111101111101000001101 ? -b11111110011111101111101000001101 D -b11101111011010100100111111101011 % -b11101111011010100100111111101011 . -b11101111011010100100111111101011 6 -b11101111011010100100111111101011 @ -b11101111011010100100111111101011 F -b11111111011111101111111111101111 ) -#249792000 -0& -#249808000 -b111100111111 , -#249824000 -1& -#249840000 -b111111111111111111111111110100 " -b111111111111111111111111110100 4 -b111111111111111111111111110100 1 -b111111111111111111111111110100 C -b11001111010 0 -b11001111010 H -18 -b11000000000010100001110001001111 < -b11000110100001000101100110010100 2 -b11000110100001000101100110010100 = -b11000110100001000101100110010100 : -b110011110100011110101000100 $ -b110011110100011110101000100 - -b110011110100011110101000100 5 -b110011110100011110101000100 ? -b110011110100011110101000100 D -b111111111101011110001110110000 % -b111111111101011110001110110000 . -b111111111101011110001110110000 6 -b111111111101011110001110110000 @ -b111111111101011110001110110000 F -b111111111111111111111111110100 ) -#249856000 -0& -#249872000 -b111101000000 , -#249888000 -1& -#249904000 -b11111111101111111101111011111110 " -b11111111101111111101111011111110 4 -b11111111101111111101111011111110 1 -b11111111101111111101111011111110 C -b11111110011101111000110011111 0 -b11111110011101111000110011111 H -19 -08 -b1100100010110111010011100111101 < -b11100011100101110110110110111100 2 -b11100011100101110110110110111100 = -b11100011100101110110110110111100 : -b1111111001110111100011001111110 $ -b1111111001110111100011001111110 - -b1111111001110111100011001111110 5 -b1111111001110111100011001111110 ? -b1111111001110111100011001111110 D -b10011011101001000101100011000010 % -b10011011101001000101100011000010 . -b10011011101001000101100011000010 6 -b10011011101001000101100011000010 @ -b10011011101001000101100011000010 F -b11111111101111111101111011111110 ) -#249920000 -0& -#249936000 -b111101000001 , -#249952000 -1& -#249968000 -b1111111111110111101111111111101 " -b1111111111110111101111111111101 4 -b1111111111110111101111111111101 1 -b1111111111110111101111111111101 C -b1 0 -b1 H -18 -09 -b10000000010001010110111001000010 < -b10101100001100001011111000110100 2 -b10101100001100001011111000110100 = -b10101100001100001011111000110100 : -b101011111010110100111111110001 $ -b101011111010110100111111110001 - -b101011111010110100111111110001 5 -b101011111010110100111111110001 ? -b101011111010110100111111110001 D -b1111111101110101001000110111101 % -b1111111101110101001000110111101 . -b1111111101110101001000110111101 6 -b1111111101110101001000110111101 @ -b1111111101110101001000110111101 F -b1111111111110111101111111111101 ) -#249984000 -0& -#250000000 -b111101000010 , -#250016000 -1& -#250032000 -b1111111111111101110111101101111 " -b1111111111111101110111101101111 4 -b1111111111111101110111101101111 1 -b1111111111111101110111101101111 C -b1111101001101101010101000001 0 -b1111101001101101010101000001 H -b10000001001000010001001010011100 < -b11111110010101111011110010101010 2 -b11111110010101111011110010101010 = -b11111110010101111011110010101010 : -b1111101001101101010101000001101 $ -b1111101001101101010101000001101 - -b1111101001101101010101000001101 5 -b1111101001101101010101000001101 ? -b1111101001101101010101000001101 D -b1111110110111101110110101100011 % -b1111110110111101110110101100011 . -b1111110110111101110110101100011 6 -b1111110110111101110110101100011 @ -b1111110110111101110110101100011 F -b1111111111111101110111101101111 ) -#250048000 -0& -#250064000 -b111101000011 , -#250080000 -1& -#250096000 -b1111111111110011011101111011111 " -b1111111111110011011101111011111 4 -b1111111111110011011101111011111 1 -b1111111111110011011101111011111 C -b0 0 -b0 H -b10100100100001110100010100100000 < -b11100001001000001101000010101100 2 -b11100001001000001101000010101100 = -b11100001001000001101000010101100 : -b111100100110011000101110001011 $ -b111100100110011000101110001011 - -b111100100110011000101110001011 5 -b111100100110011000101110001011 ? -b111100100110011000101110001011 D -b1011011011110001011101011011111 % -b1011011011110001011101011011111 . -b1011011011110001011101011011111 6 -b1011011011110001011101011011111 @ -b1011011011110001011101011011111 F -b1111111111110011011101111011111 ) -#250112000 -0& -#250128000 -b111101000100 , -#250144000 -1& -#250160000 -b11111111111111110111101111011111 " -b11111111111111110111101111011111 4 -b11111111111111110111101111011111 1 -b11111111111111110111101111011111 C -b11010111111 0 -b11010111111 H -08 -b1000110110000011010010000101010 < -b11110101111101100010001000101 2 -b11110101111101100010001000101 = -b11110101111101100010001000101 : -b11010111111111010010000000011010 $ -b11010111111111010010000000011010 - -b11010111111111010010000000011010 5 -b11010111111111010010000000011010 ? -b11010111111111010010000000011010 D -b10111001001111100101101111010101 % -b10111001001111100101101111010101 . -b10111001001111100101101111010101 6 -b10111001001111100101101111010101 @ -b10111001001111100101101111010101 F -b11111111111111110111101111011111 ) -#250176000 -0& -#250192000 -b111101000101 , -#250208000 -1& -#250224000 -b11111111111111110111110101011011 " -b11111111111111110111110101011011 4 -b11111111111111110111110101011011 1 -b11111111111111110111110101011011 C -b100111111110 0 -b100111111110 H -08 -b101000011100101010101100 < -b1010000100110010010001010110110 2 -b1010000100110010010001010110110 = -b1010000100110010010001010110110 : -b1001111111101110101100000001001 $ -b1001111111101110101100000001001 - -b1001111111101110101100000001001 5 -b1001111111101110101100000001001 ? -b1001111111101110101100000001001 D -b11111111010111100011010101010011 % -b11111111010111100011010101010011 . -b11111111010111100011010101010011 6 -b11111111010111100011010101010011 @ -b11111111010111100011010101010011 F -b11111111111111110111110101011011 ) -#250240000 -0& -#250256000 -b111101000110 , -#250272000 -1& -#250288000 -b11111111111011111111011010101101 " -b11111111111011111111011010101101 4 -b11111111111011111111011010101101 1 -b11111111111011111111011010101101 C -b111101010100111011100010 0 -b111101010100111011100010 H -18 -b10110000000101001000100101110111 < -b10100101011000110110101110011101 2 -b10100101011000110110101110011101 = -b10100101011000110110101110011101 : -b11110101010011101110001000100101 $ -b11110101010011101110001000100101 - -b11110101010011101110001000100101 5 -b11110101010011101110001000100101 ? -b11110101010011101110001000100101 D -b1001111111010110111011010001000 % -b1001111111010110111011010001000 . -b1001111111010110111011010001000 6 -b1001111111010110111011010001000 @ -b1001111111010110111011010001000 F -b11111111111011111111011010101101 ) -#250304000 -0& -#250320000 -b111101000111 , -#250336000 -1& -#250352000 -b11111011111111110011011101101101 " -b11111011111111110011011101101101 4 -b11111011111111110011011101101101 1 -b11111011111111110011011101101101 C -b100101100111110000 0 -b100101100111110000 H -08 -09 -b1100001010101101100110010010 < -b1010111011010001110110010011000 2 -b1010111011010001110110010011000 = -b1010111011010001110110010011000 : -b1001011001111100001001100000101 $ -b1001011001111100001001100000101 - -b1001011001111100001001100000101 5 -b1001011001111100001001100000101 ? -b1001011001111100001001100000101 D -b11110011110101010010011001101101 % -b11110011110101010010011001101101 . -b11110011110101010010011001101101 6 -b11110011110101010010011001101101 @ -b11110011110101010010011001101101 F -b11111011111111110011011101101101 ) -#250368000 -0& -#250384000 -b111101001000 , -#250400000 -1& -#250416000 -b11111111111111110111111111110100 " -b11111111111111110111111111110100 4 -b11111111111111110111111111110100 1 -b11111111111111110111111111110100 C -b1111011010100011 0 -b1111011010100011 H -18 -19 -b10000100000000011110011100001111 < -b1111010101001010100111001110100 2 -b1111010101001010100111001110100 = -b1111010101001010100111001110100 : -b11110110101000110110011101100100 $ -b11110110101000110110011101100100 - -b11110110101000110110011101100100 5 -b11110110101000110110011101100100 ? -b11110110101000110110011101100100 D -b1111011111111100001100011110000 % -b1111011111111100001100011110000 . -b1111011111111100001100011110000 6 -b1111011111111100001100011110000 @ -b1111011111111100001100011110000 F -b11111111111111110111111111110100 ) -#250432000 -0& -#250448000 -b111101001001 , -#250464000 -1& -#250480000 -b1101110111111111011111011111111 " -b1101110111111111011111011111111 4 -b1101110111111111011111011111111 1 -b1101110111111111011111011111111 C -b0 0 -b0 H -09 -08 -b10010001000001010100101100100000 < -b1001000100101010011 2 -b1001000100101010011 = -b1001000100101010011 : -b1101110111111110011111000110010 $ -b1101110111111110011111000110010 - -b1101110111111110011111000110010 5 -b1101110111111110011111000110010 ? -b1101110111111110011111000110010 D -b1101110111110101011010011011111 % -b1101110111110101011010011011111 . -b1101110111110101011010011011111 6 -b1101110111110101011010011011111 @ -b1101110111110101011010011011111 F -b1101110111111111011111011111111 ) -#250496000 -0& -#250512000 -b111101001010 , -#250528000 -1& -#250544000 -b11111111111111111101111101101111 " -b11111111111111111101111101101111 4 -b11111111111111111101111101101111 1 -b11111111111111111101111101101111 C -b111111111001000001 0 -b111111111001000001 H -08 -b1010000100000110101010010001 < -b1001101000001011010011111101 2 -b1001101000001011010011111101 = -b1001101000001011010011111101 : -b11111111100100000100101001101011 $ -b11111111100100000100101001101011 - -b11111111100100000100101001101011 5 -b11111111100100000100101001101011 ? -b11111111100100000100101001101011 D -b11110101111011111001010101101110 % -b11110101111011111001010101101110 . -b11110101111011111001010101101110 6 -b11110101111011111001010101101110 @ -b11110101111011111001010101101110 F -b11111111111111111101111101101111 ) -#250560000 -0& -#250576000 -b111101001011 , -#250592000 -1& -#250608000 -b11111111111111111011111100100011 " -b11111111111111111011111100100011 4 -b11111111111111111011111100100011 1 -b11111111111111111011111100100011 C -b11011110111111110001111000000 0 -b11011110111111110001111000000 H -19 -18 -b10000000000110000100011011011100 < -b1011111000101110110010011100000 2 -b1011111000101110110010011100000 = -b1011111000101110110010011100000 : -b11011110111111110001111000000011 $ -b11011110111111110001111000000011 - -b11011110111111110001111000000011 5 -b11011110111111110001111000000011 ? -b11011110111111110001111000000011 D -b1111111111001111011100100100011 % -b1111111111001111011100100100011 . -b1111111111001111011100100100011 6 -b1111111111001111011100100100011 @ -b1111111111001111011100100100011 F -b11111111111111111011111100100011 ) -#250624000 -0& -#250640000 -b111101001100 , -#250656000 -1& -#250672000 -b11101111101111111110011101110111 " -b11101111101111111110011101110111 4 -b11101111101111111110011101110111 1 -b11101111101111111110011101110111 C -b11101101101101 0 -b11101101101101 H -09 -18 -b11110001010000100111100010101101 < -b11011110111110011101101100100011 2 -b11011110111110011101101100100011 = -b11011110111110011101101100100011 : -b11101101101101110110001001110101 $ -b11101101101101110110001001110101 - -b11101101101101110110001001110101 5 -b11101101101101110110001001110101 ? -b11101101101101110110001001110101 D -b1110101111011000011101010010 % -b1110101111011000011101010010 . -b1110101111011000011101010010 6 -b1110101111011000011101010010 @ -b1110101111011000011101010010 F -b11101111101111111110011101110111 ) -#250688000 -0& -#250704000 -b111101001101 , -#250720000 -1& -#250736000 -b1011111111111111001111011010111 " -b1011111111111111001111011010111 4 -b1011111111111111001111011010111 1 -b1011111111111111001111011010111 C -b11101111111 0 -b11101111111 H -18 -b10100100100000000110001100101101 < -b11000010011111110111001000000101 2 -b11000010011111110111001000000101 = -b11000010011111110111001000000101 : -b11101111111110000111011010111 $ -b11101111111110000111011010111 - -b11101111111110000111011010111 5 -b11101111111110000111011010111 ? -b11101111111110000111011010111 D -b1011011011111111001110011010010 % -b1011011011111111001110011010010 . -b1011011011111111001110011010010 6 -b1011011011111111001110011010010 @ -b1011011011111111001110011010010 F -b1011111111111111001111011010111 ) -#250752000 -0& -#250768000 -b111101001110 , -#250784000 -1& -#250800000 -b11111111111101101111111011111011 " -b11111111111101101111111011111011 4 -b11111111111101101111111011111011 1 -b11111111111101101111111011111011 C -b11101110 0 -b11101110 H -19 -18 -b10000100000110010111000101000111 < -b1110011000011000110010110001011 2 -b1110011000011000110010110001011 = -b1110011000011000110010110001011 : -b11101110111100101111010001000011 $ -b11101110111100101111010001000011 - -b11101110111100101111010001000011 5 -b11101110111100101111010001000011 ? -b11101110111100101111010001000011 D -b1111011111001101000111010111000 % -b1111011111001101000111010111000 . -b1111011111001101000111010111000 6 -b1111011111001101000111010111000 @ -b1111011111001101000111010111000 F -b11111111111101101111111011111011 ) -#250816000 -0& -#250832000 -b111101001111 , -#250848000 -1& -#250864000 -b11111111111111111011101101001101 " -b11111111111111111011101101001101 4 -b11111111111111111011101101001101 1 -b11111111111111111011101101001101 C -b10101100101101110111011010 0 -b10101100101101110111011010 H -09 -08 -b10000100001110111011111010 < -b1011000011011001010101001000100 2 -b1011000011011001010101001000100 = -b1011000011011001010101001000100 : -b1010110010110111011101101001001 $ -b1010110010110111011101101001001 - -b1010110010110111011101101001001 5 -b1010110010110111011101101001001 ? -b1010110010110111011101101001001 D -b11111101111011110001000100000101 % -b11111101111011110001000100000101 . -b11111101111011110001000100000101 6 -b11111101111011110001000100000101 @ -b11111101111011110001000100000101 F -b11111111111111111011101101001101 ) -#250880000 -0& -#250896000 -b111101010000 , -#250912000 -1& -#250928000 -b11111111111101110111011111000111 " -b11111111111101110111011111000111 4 -b11111111111101110111011111000111 1 -b11111111111101110111011111000111 C -b10101111011100110100000011000 0 -b10101111011100110100000011000 H -18 -b1100010110001100100001111100 < -b10111011110011000000100101000100 2 -b10111011110011000000100101000100 = -b10111011110011000000100101000100 : -b10101111011100110100000011000111 $ -b10101111011100110100000011000111 - -b10101111011100110100000011000111 5 -b10101111011100110100000011000111 ? -b10101111011100110100000011000111 D -b11110011101001110011011110000011 % -b11110011101001110011011110000011 . -b11110011101001110011011110000011 6 -b11110011101001110011011110000011 @ -b11110011101001110011011110000011 F -b11111111111101110111011111000111 ) -#250944000 -0& -#250960000 -b111101010001 , -#250976000 -1& -#250992000 -b11111111111111111110010101101111 " -b11111111111111111110010101101111 4 -b11111111111111111110010101101111 1 -b11111111111111111110010101101111 C -b11111101111101101 0 -b11111101111101101 H -18 -b10010100110100000011101110010000 < -b10010010110001110001110011110100 2 -b10010010110001110001110011110100 = -b10010010110001110001110011110100 : -b11111101111101101110000101100011 $ -b11111101111101101110000101100011 - -b11111101111101101110000101100011 5 -b11111101111101101110000101100011 ? -b11111101111101101110000101100011 D -b1101011001011111100010001101111 % -b1101011001011111100010001101111 . -b1101011001011111100010001101111 6 -b1101011001011111100010001101111 @ -b1101011001011111100010001101111 F -b11111111111111111110010101101111 ) -#251008000 -0& -#251024000 -b111101010010 , -#251040000 -1& -#251056000 -b1111111111111101101001111001110 " -b1111111111111101101001111001110 4 -b1111111111111101101001111001110 1 -b1111111111111101101001111001110 C -b11111110110110011 0 -b11111110110110011 H -08 -b10000010011000011011110010110001 < -b1110011101000111001111100 2 -b1110011101000111001111100 = -b1110011101000111001111100 : -b1111111011011001101000111001010 $ -b1111111011011001101000111001010 - -b1111111011011001101000111001010 5 -b1111111011011001101000111001010 ? -b1111111011011001101000111001010 D -b1111101100111100100001101001110 % -b1111101100111100100001101001110 . -b1111101100111100100001101001110 6 -b1111101100111100100001101001110 @ -b1111101100111100100001101001110 F -b1111111111111101101001111001110 ) -#251072000 -0& -#251088000 -b111101010011 , -#251104000 -1& -#251120000 -b11111111111111111010111110111111 " -b11111111111111111010111110111111 4 -b11111111111111111010111110111111 1 -b11111111111111111010111110111111 C -b11 0 -b11 H -b1010100000001100111100001000001 < -b1001001111111100010000101000111 2 -b1001001111111100010000101000111 = -b1001001111111100010000101000111 : -b11110101111101111010100100000101 $ -b11110101111101111010100100000101 - -b11110101111101111010100100000101 5 -b11110101111101111010100100000101 ? -b11110101111101111010100100000101 D -b10101011111110011000011110111110 % -b10101011111110011000011110111110 . -b10101011111110011000011110111110 6 -b10101011111110011000011110111110 @ -b10101011111110011000011110111110 F -b11111111111111111010111110111111 ) -#251136000 -0& -#251152000 -b111101010100 , -#251168000 -1& -#251184000 -b11111111111111111101111010111111 " -b11111111111111111101111010111111 4 -b11111111111111111101111010111111 1 -b11111111111111111101111010111111 C -b11110 0 -b11110 H -18 -b1001001010000101000100 < -b11110010000111000010011000000011 2 -b11110010000111000010011000000011 = -b11110010000111000010011000000011 : -b11110001111101111000010010111110 $ -b11110001111101111000010010111110 - -b11110001111101111000010010111110 5 -b11110001111101111000010010111110 ? -b11110001111101111000010010111110 D -b11111111110110110101111010111011 % -b11111111110110110101111010111011 . -b11111111110110110101111010111011 6 -b11111111110110110101111010111011 @ -b11111111110110110101111010111011 F -b11111111111111111101111010111111 ) -#251200000 -0& -#251216000 -b111101010101 , -#251232000 -1& -#251248000 -b1110110111111111101011011010100 " -b1110110111111111101011011010100 4 -b1110110111111111101011011010100 1 -b1110110111111111101011011010100 C -b101011011001110110101101101 0 -b101011011001110110101101101 H -18 -b10001001000010001010111100111011 < -b11011111110101111000011000001100 2 -b11011111110101111000011000001100 = -b11011111110101111000011000001100 : -b1010110110011101101011011010000 $ -b1010110110011101101011011010000 - -b1010110110011101101011011010000 5 -b1010110110011101101011011010000 ? -b1010110110011101101011011010000 D -b1110110111101110101000011000100 % -b1110110111101110101000011000100 . -b1110110111101110101000011000100 6 -b1110110111101110101000011000100 @ -b1110110111101110101000011000100 F -b1110110111111111101011011010100 ) -#251264000 -0& -#251280000 -b111101010110 , -#251296000 -1& -#251312000 -b11111111111111110111111101111111 " -b11111111111111110111111101111111 4 -b11111111111111110111111101111111 1 -b11111111111111110111111101111111 C -b101 0 -b101 H -19 -18 -b10000001100010001101111110100010 < -b111101011010000011111011001110 2 -b111101011010000011111011001110 = -b111101011010000011111011001110 : -b10111011110111110101111100101011 $ -b10111011110111110101111100101011 - -b10111011110111110101111100101011 5 -b10111011110111110101111100101011 ? -b10111011110111110101111100101011 D -b1111110011101110010000001011101 % -b1111110011101110010000001011101 . -b1111110011101110010000001011101 6 -b1111110011101110010000001011101 @ -b1111110011101110010000001011101 F -b11111111111111110111111101111111 ) -#251328000 -0& -#251344000 -b111101010111 , -#251360000 -1& -#251376000 -b11111111101101111101101111011110 " -b11111111101101111101101111011110 4 -b11111111101101111101101111011110 1 -b11111111101101111101101111011110 C -b11111111 0 -b11111111 H -09 -08 -b100010010010010011010100111 < -b11111000000100001001110110 2 -b11111000000100001001110110 = -b11111000000100001001110110 : -b11111111100101110001101111001110 $ -b11111111100101110001101111001110 - -b11111111100101110001101111001110 5 -b11111111100101110001101111001110 ? -b11111111100101110001101111001110 D -b11111011101101101101100101011000 % -b11111011101101101101100101011000 . -b11111011101101101101100101011000 6 -b11111011101101101101100101011000 @ -b11111011101101101101100101011000 F -b11111111101101111101101111011110 ) -#251392000 -0& -#251408000 -b111101011000 , -#251424000 -1& -#251440000 -b11111111111111110101101011011111 " -b11111111111111110101101011011111 4 -b11111111111111110101101011011111 1 -b11111111111111110101101011011111 C -b100110111110111010110101101111 0 -b100110111110111010110101101111 H -b1000100000001011011110111110 < -b1010110011110000001001010011101 2 -b1010110011110000001001010011101 = -b1010110011110000001001010011101 : -b1001101111101110101101011011110 $ -b1001101111101110101101011011110 - -b1001101111101110101101011011110 5 -b1001101111101110101101011011110 ? -b1001101111101110101101011011110 D -b11110111011111110100100001000001 % -b11110111011111110100100001000001 . -b11110111011111110100100001000001 6 -b11110111011111110100100001000001 @ -b11110111011111110100100001000001 F -b11111111111111110101101011011111 ) -#251456000 -0& -#251472000 -b111101011001 , -#251488000 -1& -#251504000 -b11111111111011101001111001111101 " -b11111111111011101001111001111101 4 -b11111111111011101001111001111101 1 -b11111111111011101001111001111101 C -b111 0 -b111 H -18 -09 -b10010000100100010110000111000010 < -b10001110010110111111111000001011 2 -b10001110010110111111111000001011 = -b10001110010110111111111000001011 : -b11111101110010101001110001001000 $ -b11111101110010101001110001001000 - -b11111101110010101001110001001000 5 -b11111101110010101001110001001000 ? -b11111101110010101001110001001000 D -b1101111011011101001111000111101 % -b1101111011011101001111000111101 . -b1101111011011101001111000111101 6 -b1101111011011101001111000111101 @ -b1101111011011101001111000111101 F -b11111111111011101001111001111101 ) -#251520000 -0& -#251536000 -b111101011010 , -#251552000 -1& -#251568000 -b11111011111111111011110111111011 " -b11111011111111111011110111111011 4 -b11111011111111111011110111111011 1 -b11111011111111111011110111111011 C -b11111 0 -b11111 H -b10010100000100001110011010100100 < -b10001100100010011001010001001110 2 -b10001100100010011001010001001110 = -b10001100100010011001010001001110 : -b11111000011110001010110110101001 $ -b11111000011110001010110110101001 - -b11111000011110001010110110101001 5 -b11111000011110001010110110101001 ? -b11111000011110001010110110101001 D -b1101011111011110001100101011011 % -b1101011111011110001100101011011 . -b1101011111011110001100101011011 6 -b1101011111011110001100101011011 @ -b1101011111011110001100101011011 F -b11111011111111111011110111111011 ) -#251584000 -0& -#251600000 -b111101011011 , -#251616000 -1& -#251632000 -b11101110111011110010110111101010 " -b11101110111011110010110111101010 4 -b11101110111011110010110111101010 1 -b11101110111011110010110111101010 C -b1110011011101011001011 0 -b1110011011101011001011 H -09 -08 -b110101001110101111111000010101 < -b11100001001100010101111010110 2 -b11100001001100010101111010110 = -b11100001001100010101111010110 : -b11100110111010110010110111000000 $ -b11100110111010110010110111000000 - -b11100110111010110010110111000000 5 -b11100110111010110010110111000000 ? -b11100110111010110010110111000000 D -b11001010110001010000000111101010 % -b11001010110001010000000111101010 . -b11001010110001010000000111101010 6 -b11001010110001010000000111101010 @ -b11001010110001010000000111101010 F -b11101110111011110010110111101010 ) -#251648000 -0& -#251664000 -b111101011100 , -#251680000 -1& -#251696000 -b11111111111111111011111110101110 " -b11111111111111111011111110101110 4 -b11111111111111111011111110101110 1 -b11111111111111111011111110101110 C -b110011101111111010 0 -b110011101111111010 H -18 -19 -b10000000000100001101000001010001 < -b1001111000011110110010001011100 2 -b1001111000011110110010001011100 = -b1001111000011110110010001011100 : -b11001110111111101001010000001010 $ -b11001110111111101001010000001010 - -b11001110111111101001010000001010 5 -b11001110111111101001010000001010 ? -b11001110111111101001010000001010 D -b1111111111011110010111110101110 % -b1111111111011110010111110101110 . -b1111111111011110010111110101110 6 -b1111111111011110010111110101110 @ -b1111111111011110010111110101110 F -b11111111111111111011111110101110 ) -#251712000 -0& -#251728000 -b111101011101 , -#251744000 -1& -#251760000 -b11111011111111111110111010110110 " -b11111011111111111110111010110110 4 -b11111011111111111110111010110110 1 -b11111011111111111110111010110110 C -b10001011101011011010100010 0 -b10001011101011011010100010 H -09 -18 -b1101100000011011000101011001 < -b10011001001011110101100111101110 2 -b10011001001011110101100111101110 = -b10011001001011110101100111101110 : -b10001011101011011010100010010100 $ -b10001011101011011010100010010100 - -b10001011101011011010100010010100 5 -b10001011101011011010100010010100 ? -b10001011101011011010100010010100 D -b11110010011111100100111010100110 % -b11110010011111100100111010100110 . -b11110010011111100100111010100110 6 -b11110010011111100100111010100110 @ -b11110010011111100100111010100110 F -b11111011111111111110111010110110 ) -#251776000 -0& -#251792000 -b111101011110 , -#251808000 -1& -#251824000 -b11101111111111111111011111111111 " -b11101111111111111111011111111111 4 -b11101111111111111111011111111111 1 -b11101111111111111111011111111111 C -b10101 0 -b10101 H -19 -18 -b10010000100010001110100000000100 < -b1000000011110001101110110100011 2 -b1000000011110001101110110100011 = -b1000000011110001101110110100011 : -b10101111111011111111010110011110 $ -b10101111111011111111010110011110 - -b10101111111011111111010110011110 5 -b10101111111011111111010110011110 ? -b10101111111011111111010110011110 D -b1101111011101110001011111111011 % -b1101111011101110001011111111011 . -b1101111011101110001011111111011 6 -b1101111011101110001011111111011 @ -b1101111011101110001011111111011 F -b11101111111111111111011111111111 ) -#251840000 -0& -#251856000 -b111101011111 , -#251872000 -1& -#251888000 -b11111111111111111111110111011101 " -b11111111111111111111110111011101 4 -b11111111111111111111110111011101 1 -b11111111111111111111110111011101 C -b11101111111 0 -b11101111111 H -08 -19 -b100101011000000000111000101011 < -b10011101010110101100101111001001 2 -b10011101010110101100101111001001 = -b10011101010110101100101111001001 : -b1110111111110101011110110011101 $ -b1110111111110101011110110011101 - -b1110111111110101011110110011101 5 -b1110111111110101011110110011101 ? -b1110111111110101011110110011101 D -b11011010100111111111000111010100 % -b11011010100111111111000111010100 . -b11011010100111111111000111010100 6 -b11011010100111111111000111010100 @ -b11011010100111111111000111010100 F -b11111111111111111111110111011101 ) -#251904000 -0& -#251920000 -b111101100000 , -#251936000 -1& -#251952000 -b11111111111101111111100110011110 " -b11111111111101111111100110011110 4 -b11111111111101111111100110011110 1 -b11111111111101111111100110011110 C -b1111101111 0 -b1111101111 H -09 -18 -b11100010100010001100011001101001 < -b11011110011011001010111111110010 2 -b11011110011011001010111111110010 = -b11011110011011001010111111110010 : -b11111011111000111110100110001000 $ -b11111011111000111110100110001000 - -b11111011111000111110100110001000 5 -b11111011111000111110100110001000 ? -b11111011111000111110100110001000 D -b11101011101110011100110010110 % -b11101011101110011100110010110 . -b11101011101110011100110010110 6 -b11101011101110011100110010110 @ -b11101011101110011100110010110 F -b11111111111101111111100110011110 ) -#251968000 -0& -#251984000 -b111101100001 , -#252000000 -1& -#252016000 -b11101101011111111110110001011101 " -b11101101011111111110110001011101 4 -b11101101011111111110110001011101 1 -b11101101011111111110110001011101 C -b101 0 -b101 H -b10010100000000111001110100010 < -b10111011100110110101011111111000 2 -b10111011100110110101011111111000 = -b10111011100110110101011111111000 : -b10101001000110101110010001010101 $ -b10101001000110101110010001010101 - -b10101001000110101110010001010101 5 -b10101001000110101110010001010101 ? -b10101001000110101110010001010101 D -b11101101011111111000110001011101 % -b11101101011111111000110001011101 . -b11101101011111111000110001011101 6 -b11101101011111111000110001011101 @ -b11101101011111111000110001011101 F -b11101101011111111110110001011101 ) -#252032000 -0& -#252048000 -b111101100010 , -#252064000 -1& -#252080000 -b1111111111111111011011101111001 " -b1111111111111111011011101111001 4 -b1111111111111111011011101111001 1 -b1111111111111111011011101111001 C -b11111110010111 0 -b11111110010111 H -08 -b10000010001000000100110011101110 < -b1010011101110010001100111 2 -b1010011101110010001100111 = -b1010011101110010001100111 : -b1111111001011101001011101111000 $ -b1111111001011101001011101111000 - -b1111111001011101001011101111000 5 -b1111111001011101001011101111000 ? -b1111111001011101001011101111000 D -b1111101110111111011001100010001 % -b1111101110111111011001100010001 . -b1111101110111111011001100010001 6 -b1111101110111111011001100010001 @ -b1111101110111111011001100010001 F -b1111111111111111011011101111001 ) -#252096000 -0& -#252112000 -b111101100011 , -#252128000 -1& -#252144000 -b1111111111011111100111111111111 " -b1111111111011111100111111111111 4 -b1111111111011111100111111111111 1 -b1111111111011111100111111111111 C -b111111111101111100010 0 -b111111111101111100010 H -b10000000101110000011000111110101 < -b101001111011101111110011 2 -b101001111011101111110011 = -b101001111011101111110011 : -b1111111111011111000100111111101 $ -b1111111111011111000100111111101 - -b1111111111011111000100111111101 5 -b1111111111011111000100111111101 ? -b1111111111011111000100111111101 D -b1111111010001111100111000001010 % -b1111111010001111100111000001010 . -b1111111010001111100111000001010 6 -b1111111010001111100111000001010 @ -b1111111010001111100111000001010 F -b1111111111011111100111111111111 ) -#252160000 -0& -#252176000 -b111101100100 , -#252192000 -1& -#252208000 -b1111111111111111110111111011111 " -b1111111111111111110111111011111 4 -b1111111111111111110111111011111 1 -b1111111111111111110111111011111 C -b110111110101101011 0 -b110111110101101011 H -18 -b10000100000001000011001000110010 < -b11110011101100011001100010001110 2 -b11110011101100011001100010001110 = -b11110011101100011001100010001110 : -b1101111101011010110011001011011 $ -b1101111101011010110011001011011 - -b1101111101011010110011001011011 5 -b1101111101011010110011001011011 ? -b1101111101011010110011001011011 D -b1111011111110111100110111001101 % -b1111011111110111100110111001101 . -b1111011111110111100110111001101 6 -b1111011111110111100110111001101 @ -b1111011111110111100110111001101 F -b1111111111111111110111111011111 ) -#252224000 -0& -#252240000 -b111101100101 , -#252256000 -1& -#252272000 -b11111111111111101011111111100110 " -b11111111111111101011111111100110 4 -b11111111111111101011111111100110 1 -b11111111111111101011111111100110 C -b11111111110110000011001111 0 -b11111111110110000011001111 H -b10000110100000010101001110111001 < -b10000110010110011000011110011100 2 -b10000110010110011000011110011100 = -b10000110010110011000011110011100 : -b11111111110110000011001111100010 $ -b11111111110110000011001111100010 - -b11111111110110000011001111100010 5 -b11111111110110000011001111100010 ? -b11111111110110000011001111100010 D -b1111001011111101010110001000110 % -b1111001011111101010110001000110 . -b1111001011111101010110001000110 6 -b1111001011111101010110001000110 @ -b1111001011111101010110001000110 F -b11111111111111101011111111100110 ) -#252288000 -0& -#252304000 -b111101100110 , -#252320000 -1& -#252336000 -b1111111011111111101111111111100 " -b1111111011111111101111111111100 4 -b1111111011111111101111111111100 1 -b1111111011111111101111111111100 C -b110010101111001110100111111 0 -b110010101111001110100111111 H -18 -09 -b10000000100000010010001111111011 < -b11100101111110101111011111111000 2 -b11100101111110101111011111111000 = -b11100101111110101111011111111000 : -b1100101011110011101001111111100 $ -b1100101011110011101001111111100 - -b1100101011110011101001111111100 5 -b1100101011110011101001111111100 ? -b1100101011110011101001111111100 D -b1111111011111101101110000000100 % -b1111111011111101101110000000100 . -b1111111011111101101110000000100 6 -b1111111011111101101110000000100 @ -b1111111011111101101110000000100 F -b1111111011111111101111111111100 ) -#252352000 -0& -#252368000 -b111101100111 , -#252384000 -1& -#252400000 -b10111111111101111111111101111110 " -b10111111111101111111111101111110 4 -b10111111111101111111111101111110 1 -b10111111111101111111111101111110 C -b101111 0 -b101111 H -08 -b1000110000011100111001110100101 < -b100111101011111001100100010 2 -b100111101011111001100100010 = -b100111101011111001100100010 : -b10111110111001110111111101111100 $ -b10111110111001110111111101111100 - -b10111110111001110111111101111100 5 -b10111110111001110111111101111100 ? -b10111110111001110111111101111100 D -b10111001111100011000110001011010 % -b10111001111100011000110001011010 . -b10111001111100011000110001011010 6 -b10111001111100011000110001011010 @ -b10111001111100011000110001011010 F -b10111111111101111111111101111110 ) -#252416000 -0& -#252432000 -b111101101000 , -#252448000 -1& -#252464000 -b11111111111111111111111010110101 " -b11111111111111111111111010110101 4 -b11111111111111111111111010110101 1 -b11111111111111111111111010110101 C -b1111011101 0 -b1111011101 H -19 -08 -b1000000010001010000101101010 < -b10000011110000011000100110001111 2 -b10000011110000011000100110001111 = -b10000011110000011000100110001111 : -b1111011101110001110100000100100 $ -b1111011101110001110100000100100 - -b1111011101110001110100000100100 5 -b1111011101110001110100000100100 ? -b1111011101110001110100000100100 D -b11110111111101110101111010010101 % -b11110111111101110101111010010101 . -b11110111111101110101111010010101 6 -b11110111111101110101111010010101 @ -b11110111111101110101111010010101 F -b11111111111111111111111010110101 ) -#252480000 -0& -#252496000 -b111101101001 , -#252512000 -1& -#252528000 -b11111100111111111111110000111110 " -b11111100111111111111110000111110 4 -b11111100111111111111110000111110 1 -b11111100111111111111110000111110 C -b111111 0 -b111111 H -09 -18 -b10010011000001000001001111100101 < -b10001111110000010010010000001100 2 -b10001111110000010010010000001100 = -b10001111110000010010010000001100 : -b11111100101111010001000000100110 $ -b11111100101111010001000000100110 - -b11111100101111010001000000100110 5 -b11111100101111010001000000100110 ? -b11111100101111010001000000100110 D -b1101100111110111110110000011010 % -b1101100111110111110110000011010 . -b1101100111110111110110000011010 6 -b1101100111110111110110000011010 @ -b1101100111110111110110000011010 F -b11111100111111111111110000111110 ) -#252544000 -0& -#252560000 -b111101101010 , -#252576000 -1& -#252592000 -b11111111111111111010110111111111 " -b11111111111111111010110111111111 4 -b11111111111111111010110111111111 1 -b11111111111111111010110111111111 C -b1111111 0 -b1111111 H -b10001110100001011101001110000110 < -b10001110011001010101110100100110 2 -b10001110011001010101110100100110 = -b10001110011001010101110100100110 : -b11111111110111111000100110011111 $ -b11111111110111111000100110011111 - -b11111111110111111000100110011111 5 -b11111111110111111000100110011111 ? -b11111111110111111000100110011111 D -b1110001011110100010110001111001 % -b1110001011110100010110001111001 . -b1110001011110100010110001111001 6 -b1110001011110100010110001111001 @ -b1110001011110100010110001111001 F -b11111111111111111010110111111111 ) -#252608000 -0& -#252624000 -b111101101011 , -#252640000 -1& -#252656000 -b11111111111111011110111111011100 " -b11111111111111011110111111011100 4 -b11111111111111011110111111011100 1 -b11111111111111011110111111011100 C -b1110111111111001 0 -b1110111111111001 H -19 -18 -b10001000000000110101011000101111 < -b1110111111111001001110111001100 2 -b1110111111111001001110111001100 = -b1110111111111001001110111001100 : -b11101111111110010100011110011100 $ -b11101111111110010100011110011100 - -b11101111111110010100011110011100 5 -b11101111111110010100011110011100 ? -b11101111111110010100011110011100 D -b1110111111111001010100111010000 % -b1110111111111001010100111010000 . -b1110111111111001010100111010000 6 -b1110111111111001010100111010000 @ -b1110111111111001010100111010000 F -b11111111111111011110111111011100 ) -#252672000 -0& -#252688000 -b111101101100 , -#252704000 -1& -#252720000 -b11111111111111111011110001100111 " -b11111111111111111011110001100111 4 -b11111111111111111011110001100111 1 -b11111111111111111011110001100111 C -b10110111111111111000110001100 0 -b10110111111111111000110001100 H -b10110010000000001100101110111100 < -b1101010000000000101100000100001 2 -b1101010000000000101100000100001 = -b1101010000000000101100000100001 : -b10110111111111111000110001100100 $ -b10110111111111111000110001100100 - -b10110111111111111000110001100100 5 -b10110111111111111000110001100100 ? -b10110111111111111000110001100100 D -b1001101111111110011010001000011 % -b1001101111111110011010001000011 . -b1001101111111110011010001000011 6 -b1001101111111110011010001000011 @ -b1001101111111110011010001000011 F -b11111111111111111011110001100111 ) -#252736000 -0& -#252752000 -b111101101101 , -#252768000 -1& -#252784000 -b10111111111111111100010101111110 " -b10111111111111111100010101111110 4 -b10111111111111111100010101111110 1 -b10111111111111111100010101111110 C -b1111 0 -b1111 H -08 -b1110000101000000111101110000101 < -b10101111100010011011110010010010 2 -b10101111100010011011110010010010 = -b10101111100010011011110010010010 : -b111110111010010100000100001100 $ -b111110111010010100000100001100 - -b111110111010010100000100001100 5 -b111110111010010100000100001100 ? -b111110111010010100000100001100 D -b10001111010111111000010001111010 % -b10001111010111111000010001111010 . -b10001111010111111000010001111010 6 -b10001111010111111000010001111010 @ -b10001111010111111000010001111010 F -b10111111111111111100010101111110 ) -#252800000 -0& -#252816000 -b111101101110 , -#252832000 -1& -#252848000 -b11111110110111111011010011001011 " -b11111110110111111011010011001011 4 -b11111110110111111011010011001011 1 -b11111110110111111011010011001011 C -b11011101101110100110 0 -b11011101101110100110 H -b1001011101010010101111101110100 < -b10111010100001101001010000110111 2 -b10111010100001101001010000110111 = -b10111010100001101001010000110111 : -b1101110110111010011010011000010 $ -b1101110110111010011010011000010 - -b1101110110111010011010011000010 5 -b1101110110111010011010011000010 ? -b1101110110111010011010011000010 D -b10110100010101101010000010001011 % -b10110100010101101010000010001011 . -b10110100010101101010000010001011 6 -b10110100010101101010000010001011 @ -b10110100010101101010000010001011 F -b11111110110111111011010011001011 ) -#252864000 -0& -#252880000 -b111101101111 , -#252896000 -1& -#252912000 -b1111111111111111111111111100111 " -b1111111111111111111111111100111 4 -b1111111111111111111111111100111 1 -b1111111111111111111111111100111 C -b110110111110110011101111 0 -b110110111110110011101111 H -18 -09 -b10100000010100000000101011011001 < -b11010111010010110100011010011111 2 -b11010111010010110100011010011111 = -b11010111010010110100011010011111 : -b110110111110110011101111000101 $ -b110110111110110011101111000101 - -b110110111110110011101111000101 5 -b110110111110110011101111000101 ? -b110110111110110011101111000101 D -b1011111101011111111010100100110 % -b1011111101011111111010100100110 . -b1011111101011111111010100100110 6 -b1011111101011111111010100100110 @ -b1011111101011111111010100100110 F -b1111111111111111111111111100111 ) -#252928000 -0& -#252944000 -b111101110000 , -#252960000 -1& -#252976000 -b11111111111011110110110111101101 " -b11111111111011110110110111101101 4 -b11111111111011110110110111101101 1 -b11111111111011110110110111101101 C -b111111101110101101100100011 0 -b111111101110101101100100011 H -b10010110001100111001011000111010 < -b10010101000111101111101010101000 2 -b10010101000111101111101010101000 = -b10010101000111101111101010101000 : -b11111110111010110110010001101101 $ -b11111110111010110110010001101101 - -b11111110111010110110010001101101 5 -b11111110111010110110010001101101 ? -b11111110111010110110010001101101 D -b1101001110011000110100111000101 % -b1101001110011000110100111000101 . -b1101001110011000110100111000101 6 -b1101001110011000110100111000101 @ -b1101001110011000110100111000101 F -b11111111111011110110110111101101 ) -#252992000 -0& -#253008000 -b111101110001 , -#253024000 -1& -#253040000 -b11111111111111110111000001111111 " -b11111111111111110111000001111111 4 -b11111111111111110111000001111111 1 -b11111111111111110111000001111111 C -b0 0 -b0 H -08 -b1000101011111110000000 < -b1111101100011011110111110101101 2 -b1111101100011011110111110101101 = -b1111101100011011110111110101101 : -b1111101011010110011000000101100 $ -b1111101011010110011000000101100 - -b1111101011010110011000000101100 5 -b1111101011010110011000000101100 ? -b1111101011010110011000000101100 D -b11111111110111010100000001111111 % -b11111111110111010100000001111111 . -b11111111110111010100000001111111 6 -b11111111110111010100000001111111 @ -b11111111110111010100000001111111 F -b11111111111111110111000001111111 ) -#253056000 -0& -#253072000 -b111101110010 , -#253088000 -1& -#253104000 -b11111111111011111101011111101110 " -b11111111111011111101011111101110 4 -b11111111111011111101011111101110 1 -b11111111111011111101011111101110 C -b1111111011001101001001100 0 -b1111111011001101001001100 H -19 -08 -b100010010100001011100000011001 < -b10100001101101110100101100101000 2 -b10100001101101110100101100101000 = -b10100001101101110100101100101000 : -b1111111011001101001001100001110 $ -b1111111011001101001001100001110 - -b1111111011001101001001100001110 5 -b1111111011001101001001100001110 ? -b1111111011001101001001100001110 D -b11011101101011110100011111100110 % -b11011101101011110100011111100110 . -b11011101101011110100011111100110 6 -b11011101101011110100011111100110 @ -b11011101101011110100011111100110 F -b11111111111011111101011111101110 ) -#253120000 -0& -#253136000 -b111101110011 , -#253152000 -1& -#253168000 -b11111111110111110011010100101100 " -b11111111110111110011010100101100 4 -b11111111110111110011010100101100 1 -b11111111110111110011010100101100 C -b1111111110111110000 0 -b1111111110111110000 H -b1000011011000011100101111010011 < -b11000011010000001101000100000000 2 -b11000011010000001101000100000000 = -b11000011010000001101000100000000 : -b1111111110111110000010100101100 $ -b1111111110111110000010100101100 - -b1111111110111110000010100101100 5 -b1111111110111110000010100101100 ? -b1111111110111110000010100101100 D -b10111100100111100011010000101100 % -b10111100100111100011010000101100 . -b10111100100111100011010000101100 6 -b10111100100111100011010000101100 @ -b10111100100111100011010000101100 F -b11111111110111110011010100101100 ) -#253184000 -0& -#253200000 -b111101110100 , -#253216000 -1& -#253232000 -b1011111111011110110111111111011 " -b1011111111011110110111111111011 4 -b1011111111011110110111111111011 1 -b1011111111011110110111111111011 C -b10111111110010001100 0 -b10111111110010001100 H -09 -08 -b11101010001100001101000100010100 < -b1001010000101010011100010101000 2 -b1001010000101010011100010101000 = -b1001010000101010011100010101000 : -b1011111111001000110011110010011 $ -b1011111111001000110011110010011 - -b1011111111001000110011110010011 5 -b1011111111001000110011110010011 ? -b1011111111001000110011110010011 D -b10101110011110010111011101011 % -b10101110011110010111011101011 . -b10101110011110010111011101011 6 -b10101110011110010111011101011 @ -b10101110011110010111011101011 F -b1011111111011110110111111111011 ) -#253248000 -0& -#253264000 -b111101110101 , -#253280000 -1& -#253296000 -b11011111111111111111111011111111 " -b11011111111111111111111011111111 4 -b11011111111111111111111011111111 1 -b11011111111111111111111011111111 C -b101011111 0 -b101011111 H -19 -08 -b110010010000000010010101001001 < -b10001010001101111010010000010011 2 -b10001010001101111010010000010011 = -b10001010001101111010010000010011 : -b1010111111101110111111011001001 $ -b1010111111101110111111011001001 - -b1010111111101110111111011001001 5 -b1010111111101110111111011001001 ? -b1010111111101110111111011001001 D -b11001101101111111101101010110110 % -b11001101101111111101101010110110 . -b11001101101111111101101010110110 6 -b11001101101111111101101010110110 @ -b11001101101111111101101010110110 F -b11011111111111111111111011111111 ) -#253312000 -0& -#253328000 -b111101110110 , -#253344000 -1& -#253360000 -b111111111111110101001001101111 " -b111111111111110101001001101111 4 -b111111111111110101001001101111 1 -b111111111111110101001001101111 C -b111111111101110001001 0 -b111111111101110001001 H -09 -08 -b11011110100001001011111111010110 < -b11110011110111101001000100110 2 -b11110011110111101001000100110 = -b11110011110111101001000100110 : -b111111111101110001001001001111 $ -b111111111101110001001001001111 - -b111111111101110001001001001111 5 -b111111111101110001001001001111 ? -b111111111101110001001001001111 D -b100001011110110100000000101001 % -b100001011110110100000000101001 . -b100001011110110100000000101001 6 -b100001011110110100000000101001 @ -b100001011110110100000000101001 F -b111111111111110101001001101111 ) -#253376000 -0& -#253392000 -b111101110111 , -#253408000 -1& -#253424000 -b11111111111111111111111110101101 " -b11111111111111111111111110101101 4 -b11111111111111111111111110101101 1 -b11111111111111111111111110101101 C -b1000100111011111111111110101101 0 -b1000100111011111111111110101101 H -b1000011000101001111111 < -b1000101000100011000101000101101 2 -b1000101000100011000101000101101 = -b1000101000100011000101000101101 : -b1000100111011111111111110101101 $ -b1000100111011111111111110101101 - -b1000100111011111111111110101101 5 -b1000100111011111111111110101101 ? -b1000100111011111111111110101101 D -b11111111110111100111010110000000 % -b11111111110111100111010110000000 . -b11111111110111100111010110000000 6 -b11111111110111100111010110000000 @ -b11111111110111100111010110000000 F -b11111111111111111111111110101101 ) -#253440000 -0& -#253456000 -b111101111000 , -#253472000 -1& -#253488000 -b1011111111111111011110111110010 " -b1011111111111111011110111110010 4 -b1011111111111111011110111110010 1 -b1011111111111111011110111110010 C -b101111111011011 0 -b101111111011011 H -b10100010000000000110001000001111 < -b1110110111000001111000010 2 -b1110110111000001111000010 = -b1110110111000001111000010 : -b1011111110110110010000110110010 $ -b1011111110110110010000110110010 - -b1011111110110110010000110110010 5 -b1011111110110110010000110110010 ? -b1011111110110110010000110110010 D -b1011101111111111001110111110000 % -b1011101111111111001110111110000 . -b1011101111111111001110111110000 6 -b1011101111111111001110111110000 @ -b1011101111111111001110111110000 F -b1011111111111111011110111110010 ) -#253504000 -0& -#253520000 -b111101111001 , -#253536000 -1& -#253552000 -b11111111111111111110111111100011 " -b11111111111111111110111111100011 4 -b11111111111111111110111111100011 1 -b11111111111111111110111111100011 C -b11111010110111111010111111100 0 -b11111010110111111010111111100 H -08 -b1000000000110000001000110111100 < -b111010111101111100000110011101 2 -b111010111101111100000110011101 = -b111010111101111100000110011101 : -b11111010110111111010111111100000 $ -b11111010110111111010111111100000 - -b11111010110111111010111111100000 5 -b11111010110111111010111111100000 ? -b11111010110111111010111111100000 D -b10111111111001111110111001000011 % -b10111111111001111110111001000011 . -b10111111111001111110111001000011 6 -b10111111111001111110111001000011 @ -b10111111111001111110111001000011 F -b11111111111111111110111111100011 ) -#253568000 -0& -#253584000 -b111101111010 , -#253600000 -1& -#253616000 -b11111111111111110001100111110111 " -b11111111111111110001100111110111 4 -b11111111111111110001100111110111 1 -b11111111111111110001100111110111 C -b1001000111110 0 -b1001000111110 H -19 -18 -b10000001001000101111111000101100 < -b10011000110100001011101100100 2 -b10011000110100001011101100100 = -b10011000110100001011101100100 : -b10010001111101110001100100110111 $ -b10010001111101110001100100110111 - -b10010001111101110001100100110111 5 -b10010001111101110001100100110111 ? -b10010001111101110001100100110111 D -b1111110110111010000000111010011 % -b1111110110111010000000111010011 . -b1111110110111010000000111010011 6 -b1111110110111010000000111010011 @ -b1111110110111010000000111010011 F -b11111111111111110001100111110111 ) -#253632000 -0& -#253648000 -b111101111011 , -#253664000 -1& -#253680000 -b11111111101111111111010011110110 " -b11111111101111111111010011110110 4 -b11111111101111111111010011110110 1 -b11111111101111111111010011110110 C -b1101111110 0 -b1101111110 H -08 -09 -b1000001010000010011111110001001 < -b100000111010000111010000100000 2 -b100000111010000111010000100000 = -b100000111010000111010000100000 : -b11011111101001110011010010010110 $ -b11011111101001110011010010010110 - -b11011111101001110011010010010110 5 -b11011111101001110011010010010110 ? -b11011111101001110011010010010110 D -b10111110101111101100000001110110 % -b10111110101111101100000001110110 . -b10111110101111101100000001110110 6 -b10111110101111101100000001110110 @ -b10111110101111101100000001110110 F -b11111111101111111111010011110110 ) -#253696000 -0& -#253712000 -b111101111100 , -#253728000 -1& -#253744000 -b11111010111111101101110010010111 " -b11111010111111101101110010010111 4 -b11111010111111101101110010010111 1 -b11111010111111101101110010010111 C -b111110101010 0 -b111110101010 H -18 -b10000111000000010010001101101011 < -b10000001101011110110011111110011 2 -b10000001101011110110011111110011 = -b10000001101011110110011111110011 : -b11111010101011100100010010000111 $ -b11111010101011100100010010000111 - -b11111010101011100100010010000111 5 -b11111010101011100100010010000111 ? -b11111010101011100100010010000111 D -b1111000111111101101110010010100 % -b1111000111111101101110010010100 . -b1111000111111101101110010010100 6 -b1111000111111101101110010010100 @ -b1111000111111101101110010010100 F -b11111010111111101101110010010111 ) -#253760000 -0& -#253776000 -b111101111101 , -#253792000 -1& -#253808000 -b11111001111111110011111111111110 " -b11111001111111110011111111111110 4 -b11111001111111110011111111111110 1 -b11111001111111110011111111111110 C -b10111001111110110011101100 0 -b10111001111110110011101100 H -09 -18 -b10110010010001110101100011001 < -b11010000010001000010011000110010 2 -b11010000010001000010011000110010 = -b11010000010001000010011000110010 : -b10111001111110110011101100011000 $ -b10111001111110110011101100011000 - -b10111001111110110011101100011000 5 -b10111001111110110011101100011000 ? -b10111001111110110011101100011000 D -b11101001101101110001010011100110 % -b11101001101101110001010011100110 . -b11101001101101110001010011100110 6 -b11101001101101110001010011100110 @ -b11101001101101110001010011100110 F -b11111001111111110011111111111110 ) -#253824000 -0& -#253840000 -b111101111110 , -#253856000 -1& -#253872000 -b1011111110111111101111110101111 " -b1011111110111111101111110101111 4 -b1011111110111111101111110101111 1 -b1011111110111111101111110101111 C -b101111010011010110001000 0 -b101111010011010110001000 H -08 -b11100010001110101010000001011000 < -b1000000110101010110010010001000 2 -b1000000110101010110010010001000 = -b1000000110101010110010010001000 : -b1011110100110101100010000101111 $ -b1011110100110101100010000101111 - -b1011110100110101100010000101111 5 -b1011110100110101100010000101111 ? -b1011110100110101100010000101111 D -b11101110001010101111110100111 % -b11101110001010101111110100111 . -b11101110001010101111110100111 6 -b11101110001010101111110100111 @ -b11101110001010101111110100111 F -b1011111110111111101111110101111 ) -#253888000 -0& -#253904000 -b111101111111 , -#253920000 -1& -#253936000 -b11111111111111110111000111111111 " -b11111111111111110111000111111111 4 -b11111111111111110111000111111111 1 -b11111111111111110111000111111111 C -b111111111111111101100 0 -b111111111111111101100 H -18 -b11010000010000011110111101010100 < -b11010000010000010101000100110010 2 -b11010000010000010101000100110010 = -b11010000010000010101000100110010 : -b11111111111111110110000111011101 $ -b11111111111111110110000111011101 - -b11111111111111110110000111011101 5 -b11111111111111110110000111011101 ? -b11111111111111110110000111011101 D -b101111101111100001000010101011 % -b101111101111100001000010101011 . -b101111101111100001000010101011 6 -b101111101111100001000010101011 @ -b101111101111100001000010101011 F -b11111111111111110111000111111111 ) -#253952000 -0& -#253968000 -b111110000000 , -#253984000 -1& -#254000000 -b11111110110111111011110111100111 " -b11111110110111111011110111100111 4 -b11111110110111111011110111100111 1 -b11111110110111111011110111100111 C -b1011110110111111011010110 0 -b1011110110111111011010110 H -08 -b101001001010101011110011001 < -b1100100000001010000110100100001 2 -b1100100000001010000110100100001 = -b1100100000001010000110100100001 : -b1011110110111111011010110000111 $ -b1011110110111111011010110000111 - -b1011110110111111011010110000111 5 -b1011110110111111011010110000111 ? -b1011110110111111011010110000111 D -b11111010110110101010100001100110 % -b11111010110110101010100001100110 . -b11111010110110101010100001100110 6 -b11111010110110101010100001100110 @ -b11111010110110101010100001100110 F -b11111110110111111011110111100111 ) -#254016000 -0& -#254032000 -b111110000001 , -#254048000 -1& -#254064000 -b11011111111111111001011100001011 " -b11011111111111111001011100001011 4 -b11011111111111111001011100001011 1 -b11011111111111111001011100001011 C -b110111011010111100000110000010 0 -b110111011010111100000110000010 H -08 -b101000100000010110111011111101 < -b110001100000111010100001001 2 -b110001100000111010100001001 = -b110001100000111010100001001 : -b11011101101011110000011000001011 $ -b11011101101011110000011000001011 - -b11011101101011110000011000001011 5 -b11011101101011110000011000001011 ? -b11011101101011110000011000001011 D -b11010111011111101001000100000010 % -b11010111011111101001000100000010 . -b11010111011111101001000100000010 6 -b11010111011111101001000100000010 @ -b11010111011111101001000100000010 F -b11011111111111111001011100001011 ) -#254080000 -0& -#254096000 -b111110000010 , -#254112000 -1& -#254128000 -b10111111110011111111101101011001 " -b10111111110011111111101101011001 4 -b10111111110011111111101101011001 1 -b10111111110011111111101101011001 C -b11111 0 -b11111 H -19 -08 -b1011000001101011000110010100110 < -b10010111000001010101011110111111 2 -b10010111000001010101011110111111 = -b10010111000001010101011110111111 : -b111110110011111100101100011000 $ -b111110110011111100101100011000 - -b111110110011111100101100011000 5 -b111110110011111100101100011000 ? -b111110110011111100101100011000 D -b10100111110010100111001101011001 % -b10100111110010100111001101011001 . -b10100111110010100111001101011001 6 -b10100111110010100111001101011001 @ -b10100111110010100111001101011001 F -b10111111110011111111101101011001 ) -#254144000 -0& -#254160000 -b111110000011 , -#254176000 -1& -#254192000 -b11111111101110110110111111001111 " -b11111111101110110110111111001111 4 -b11111111101110110110111111001111 1 -b11111111101110110110111111001111 C -b1101101110001011011011 0 -b1101101110001011011011 H -18 -b10001000010001101001000000110101 < -b1100011110100011111110100000011 2 -b1100011110100011111110100000011 = -b1100011110100011111110100000011 : -b11011011100010110110110011001101 $ -b11011011100010110110110011001101 - -b11011011100010110110110011001101 5 -b11011011100010110110110011001101 ? -b11011011100010110110110011001101 D -b1110111101110010110111111001010 % -b1110111101110010110111111001010 . -b1110111101110010110111111001010 6 -b1110111101110010110111111001010 @ -b1110111101110010110111111001010 F -b11111111101110110110111111001111 ) -#254208000 -0& -#254224000 -b111110000100 , -#254240000 -1& -#254256000 -b1111111111111111011111111011111 " -b1111111111111111011111111011111 4 -b1111111111111111011111111011111 1 -b1111111111111111011111111011111 C -b110011111110111100011011 0 -b110011111110111100011011 H -09 -18 -b10000010000000100100000001111000 < -b11101001111110011100111001010101 2 -b11101001111110011100111001010101 = -b11101001111110011100111001010101 : -b1100111111101111000110111011100 $ -b1100111111101111000110111011100 - -b1100111111101111000110111011100 5 -b1100111111101111000110111011100 ? -b1100111111101111000110111011100 D -b1111101111111011011111110000111 % -b1111101111111011011111110000111 . -b1111101111111011011111110000111 6 -b1111101111111011011111110000111 @ -b1111101111111011011111110000111 F -b1111111111111111011111111011111 ) -#254272000 -0& -#254288000 -b111110000101 , -#254304000 -1& -#254320000 -b1111111111011110010001111011111 " -b1111111111011110010001111011111 4 -b1111111111011110010001111011111 1 -b1111111111011110010001111011111 C -b11111 0 -b11111 H -08 -b11110000000110111101110010100101 < -b1101111110010101101110101000101 2 -b1101111110010101101110101000101 = -b1101111110010101101110101000101 : -b1111111101011110000000010011111 $ -b1111111101011110000000010011111 - -b1111111101011110000000010011111 5 -b1111111101011110000000010011111 ? -b1111111101011110000000010011111 D -b1111111001000010001101011010 % -b1111111001000010001101011010 . -b1111111001000010001101011010 6 -b1111111001000010001101011010 @ -b1111111001000010001101011010 F -b1111111111011110010001111011111 ) -#254336000 -0& -#254352000 -b111110000110 , -#254368000 -1& -#254384000 -b11111111111111111111100110111011 " -b11111111111111111111100110111011 4 -b11111111111111111111100110111011 1 -b11111111111111111111100110111011 C -18 -b10110000000001000000011111000100 < -b10101111011100110001100101101101 2 -b10101111011100110001100101101101 = -b10101111011100110001100101101101 : -b11111111011011110001000110101000 $ -b11111111011011110001000110101000 - -b11111111011011110001000110101000 5 -b11111111011011110001000110101000 ? -b11111111011011110001000110101000 D -b1001111111110111111100000111011 % -b1001111111110111111100000111011 . -b1001111111110111111100000111011 6 -b1001111111110111111100000111011 @ -b1001111111110111111100000111011 F -b11111111111111111111100110111011 ) -#254400000 -0& -#254416000 -b111110000111 , -#254432000 -1& -#254448000 -b11111111111111011110111111101111 " -b11111111111111011110111111101111 4 -b11111111111111011110111111101111 1 -b11111111111111011110111111101111 C -b111101101111010010100011111 0 -b111101101111010010100011111 H -b10100100101001001000011010 < -b11111001100001110011011000001010 2 -b11111001100001110011011000001010 = -b11111001100001110011011000001010 : -b11110110111101001010001111101111 $ -b11110110111101001010001111101111 - -b11110110111101001010001111101111 5 -b11110110111101001010001111101111 ? -b11110110111101001010001111101111 D -b11111101011011010110110111100101 % -b11111101011011010110110111100101 . -b11111101011011010110110111100101 6 -b11111101011011010110110111100101 @ -b11111101011011010110110111100101 F -b11111111111111011110111111101111 ) -#254464000 -0& -#254480000 -b111110001000 , -#254496000 -1& -#254512000 -b11110111111111111001111111001111 " -b11110111111111111001111111001111 4 -b11110111111111111001111111001111 1 -b11110111111111111001111111001111 C -b11110011101100111 0 -b11110011101100111 H -b10101011000000001110011110110000 < -b10011110101101000110111100111010 2 -b10011110101101000110111100111010 = -b10011110101101000110111100111010 : -b11110011101100111000011110001001 $ -b11110011101100111000011110001001 - -b11110011101100111000011110001001 5 -b11110011101100111000011110001001 ? -b11110011101100111000011110001001 D -b1010100111111110001100001001111 % -b1010100111111110001100001001111 . -b1010100111111110001100001001111 6 -b1010100111111110001100001001111 @ -b1010100111111110001100001001111 F -b11110111111111111001111111001111 ) -#254528000 -0& -#254544000 -b111110001001 , -#254560000 -1& -#254576000 -b11111111111011111110111111111110 " -b11111111111011111110111111111110 4 -b11111111111011111110111111111110 1 -b11111111111011111110111111111110 C -b10111011110101111 0 -b10111011110101111 H -08 -b10100000011000001010001 < -b1011110001111000001010010100100 2 -b1011110001111000001010010100100 = -b1011110001111000001010010100100 : -b1011101111010111110010001010010 $ -b1011101111010111110010001010010 - -b1011101111010111110010001010010 5 -b1011101111010111110010001010010 ? -b1011101111010111110010001010010 D -b11111111101011111100111110101110 % -b11111111101011111100111110101110 . -b11111111101011111100111110101110 6 -b11111111101011111100111110101110 @ -b11111111101011111100111110101110 F -b11111111111011111110111111111110 ) -#254592000 -0& -#254608000 -b111110001010 , -#254624000 -1& -#254640000 -b11111101111111111111111111101100 " -b11111101111111111111111111101100 4 -b11111101111111111111111111101100 1 -b11111101111111111111111111101100 C -b11011000110011111011 0 -b11011000110011111011 H -19 -18 -b10011010100000001001100000010011 < -b1110011010100000101000010111000 2 -b1110011010100000101000010111000 = -b1110011010100000101000010111000 : -b11011000110011111011100010100100 $ -b11011000110011111011100010100100 - -b11011000110011111011100010100100 5 -b11011000110011111011100010100100 ? -b11011000110011111011100010100100 D -b1100101011111110110011111101100 % -b1100101011111110110011111101100 . -b1100101011111110110011111101100 6 -b1100101011111110110011111101100 @ -b1100101011111110110011111101100 F -b11111101111111111111111111101100 ) -#254656000 -0& -#254672000 -b111110001011 , -#254688000 -1& -#254704000 -b11111110111111111111111101111111 " -b11111110111111111111111101111111 4 -b11111110111111111111111101111111 1 -b11111110111111111111111101111111 C -b111011101111110110 0 -b111011101111110110 H -09 -08 -b1000101100000011010001111110001 < -b110100011111110100101101110001 2 -b110100011111110100101101110001 = -b110100011111110100101101110001 : -b11101110111111011010011101111111 $ -b11101110111111011010011101111111 - -b11101110111111011010011101111111 5 -b11101110111111011010011101111111 ? -b11101110111111011010011101111111 D -b10111010011111100101110000001110 % -b10111010011111100101110000001110 . -b10111010011111100101110000001110 6 -b10111010011111100101110000001110 @ -b10111010011111100101110000001110 F -b11111110111111111111111101111111 ) -#254720000 -0& -#254736000 -b111110001100 , -#254752000 -1& -#254768000 -b11111111111111110010110111111001 " -b11111111111111110010110111111001 4 -b11111111111111110010110111111001 1 -b11111111111111110010110111111001 C -b101110010011111100001101 0 -b101110010011111100001101 H -19 -18 -b10001000000111001101011111010111 < -b1000001010110111110010111010001 2 -b1000001010110111110010111010001 = -b1000001010110111110010111010001 : -b10111001001111110000110111111001 $ -b10111001001111110000110111111001 - -b10111001001111110000110111111001 5 -b10111001001111110000110111111001 ? -b10111001001111110000110111111001 D -b1110111111000110010100000101000 % -b1110111111000110010100000101000 . -b1110111111000110010100000101000 6 -b1110111111000110010100000101000 @ -b1110111111000110010100000101000 F -b11111111111111110010110111111001 ) -#254784000 -0& -#254800000 -b111110001101 , -#254816000 -1& -#254832000 -b1111111111111110110111110101111 " -b1111111111111110110111110101111 4 -b1111111111111110110111110101111 1 -b1111111111111110110111110101111 C -b11111111010111100101011 0 -b11111111010111100101011 H -08 -09 -b10100000001000101011000001110111 < -b11111110100011101101110011111 2 -b11111110100011101101110011111 = -b11111110100011101101110011111 : -b1111111101011110010101100100111 $ -b1111111101011110010101100100111 - -b1111111101011110010101100100111 5 -b1111111101011110010101100100111 ? -b1111111101011110010101100100111 D -b1011111110111010100111110001000 % -b1011111110111010100111110001000 . -b1011111110111010100111110001000 6 -b1011111110111010100111110001000 @ -b1011111110111010100111110001000 F -b1111111111111110110111110101111 ) -#254848000 -0& -#254864000 -b111110001110 , -#254880000 -1& -#254896000 -b11111111111111101001111100011111 " -b11111111111111101001111100011111 4 -b11111111111111101001111100011111 1 -b11111111111111101001111100011111 C -b10111000 0 -b10111000 H -18 -b1000101010011110001011100111 < -b11000001101010000111000011111111 2 -b11000001101010000111000011111111 = -b11000001101010000111000011111111 : -b10111000111111101000111000010111 $ -b10111000111111101000111000010111 - -b10111000111111101000111000010111 5 -b10111000111111101000111000010111 ? -b10111000111111101000111000010111 D -b11110111010101100001110100011000 % -b11110111010101100001110100011000 . -b11110111010101100001110100011000 6 -b11110111010101100001110100011000 @ -b11110111010101100001110100011000 F -b11111111111111101001111100011111 ) -#254912000 -0& -#254928000 -b111110001111 , -#254944000 -1& -#254960000 -b10111111111101111111001101111011 " -b10111111111101111111001101111011 4 -b10111111111101111111001101111011 1 -b10111111111101111111001101111011 C -b1111110111011 0 -b1111110111011 H -19 -08 -b1001000010010001000110011001110 < -b10000111110000000101000000111010 2 -b10000111110000000101000000111010 = -b10000111110000000101000000111010 : -b111111011101111100001101101011 $ -b111111011101111100001101101011 - -b111111011101111100001101101011 5 -b111111011101111100001101101011 ? -b111111011101111100001101101011 D -b10110111101101110111001100110001 % -b10110111101101110111001100110001 . -b10110111101101110111001100110001 6 -b10110111101101110111001100110001 @ -b10110111101101110111001100110001 F -b10111111111101111111001101111011 ) -#254976000 -0& -#254992000 -b111110010000 , -#255008000 -1& -#255024000 -b11111001111111111111111001111111 " -b11111001111111111111111001111111 4 -b11111001111111111111111001111111 1 -b11111001111111111111111001111111 C -b11 0 -b11 H -09 -08 -b1001111100001100010011111000001 < -b1001001100001001101011000101111 2 -b1001001100001001101011000101111 = -b1001001100001001101011000101111 : -b11111001111111101010111001101101 $ -b11111001111111101010111001101101 - -b11111001111111101010111001101101 5 -b11111001111111101010111001101101 ? -b11111001111111101010111001101101 D -b10110000011110011101100000111110 % -b10110000011110011101100000111110 . -b10110000011110011101100000111110 6 -b10110000011110011101100000111110 @ -b10110000011110011101100000111110 F -b11111001111111111111111001111111 ) -#255040000 -0& -#255056000 -b111110010001 , -#255072000 -1& -#255088000 -b11111111111111110111110010001111 " -b11111111111111110111110010001111 4 -b11111111111111110111110010001111 1 -b11111111111111110111110010001111 C -b11111110110111110 0 -b11111110110111110 H -b10100100100011000101101110000 < -b10011011100001010001111111010 2 -b10011011100001010001111111010 = -b10011011100001010001111111010 : -b11111110110111110001100010001001 $ -b11111110110111110001100010001001 - -b11111110110111110001100010001001 5 -b11111110110111110001100010001001 ? -b11111110110111110001100010001001 D -b11101011011011100111010010001111 % -b11101011011011100111010010001111 . -b11101011011011100111010010001111 6 -b11101011011011100111010010001111 @ -b11101011011011100111010010001111 F -b11111111111111110111110010001111 ) -#255104000 -0& -#255120000 -b111110010010 , -#255136000 -1& -#255152000 -b11111111111111110111111011001100 " -b11111111111111110111111011001100 4 -b11111111111111110111111011001100 1 -b11111111111111110111111011001100 C -b110111001111111001011101100 0 -b110111001111111001011101100 H -08 -b1010110101111011 < -b1101110011111111101110001000100 2 -b1101110011111111101110001000100 = -b1101110011111111101110001000100 : -b1101110011111110010111011001000 $ -b1101110011111110010111011001000 - -b1101110011111110010111011001000 5 -b1101110011111110010111011001000 ? -b1101110011111110010111011001000 D -b11111111111111110101001010000100 % -b11111111111111110101001010000100 . -b11111111111111110101001010000100 6 -b11111111111111110101001010000100 @ -b11111111111111110101001010000100 F -b11111111111111110111111011001100 ) -#255168000 -0& -#255184000 -b111110010011 , -#255200000 -1& -#255216000 -b11011111111101111111111111000110 " -b11011111111101111111111111000110 4 -b11011111111101111111111111000110 1 -b11011111111101111111111111000110 C -b110111111110011100100100100001 0 -b110111111110011100100100100001 H -18 -b11100000010011000010010010111101 < -b11000000001100110100100101000100 2 -b11000000001100110100100101000100 = -b11000000001100110100100101000100 : -b11011111111001110010010010000110 $ -b11011111111001110010010010000110 - -b11011111111001110010010010000110 5 -b11011111111001110010010010000110 ? -b11011111111001110010010010000110 D -b11111101100111101101101000010 % -b11111101100111101101101000010 . -b11111101100111101101101000010 6 -b11111101100111101101101000010 @ -b11111101100111101101101000010 F -b11011111111101111111111111000110 ) -#255232000 -0& -#255248000 -b111110010100 , -#255264000 -1& -#255280000 -b11111111111111111110011111010111 " -b11111111111111111110011111010111 4 -b11111111111111111110011111010111 1 -b11111111111111111110011111010111 C -b110111110101010111100111110 0 -b110111110101010111100111110 H -08 -b1000010000000001001100001111010 < -b100001010101101000000001010001 2 -b100001010101101000000001010001 = -b100001010101101000000001010001 : -b11011111010101011110011111010110 $ -b11011111010101011110011111010110 - -b11011111010101011110011111010110 5 -b11011111010101011110011111010110 ? -b11011111010101011110011111010110 D -b10111101111111110110011110000101 % -b10111101111111110110011110000101 . -b10111101111111110110011110000101 6 -b10111101111111110110011110000101 @ -b10111101111111110110011110000101 F -b11111111111111111110011111010111 ) -#255296000 -0& -#255312000 -b111110010101 , -#255328000 -1& -#255344000 -b1101111111111101110111100101100 " -b1101111111111101110111100101100 4 -b1101111111111101110111100101100 1 -b1101111111111101110111100101100 C -b1101111111111101000 0 -b1101111111111101000 H -08 -b10010101000000011001000011110011 < -b101000000000001101100011000 2 -b101000000000001101100011000 = -b101000000000001101100011000 : -b1101111111111101000101000100100 $ -b1101111111111101000101000100100 - -b1101111111111101000101000100100 5 -b1101111111111101000101000100100 ? -b1101111111111101000101000100100 D -b1101010111111100110111100001100 % -b1101010111111100110111100001100 . -b1101010111111100110111100001100 6 -b1101010111111100110111100001100 @ -b1101010111111100110111100001100 F -b1101111111111101110111100101100 ) -#255360000 -0& -#255376000 -b111110010110 , -#255392000 -1& -#255408000 -b11111111111111111101011100110111 " -b11111111111111111101011100110111 4 -b11111111111111111101011100110111 1 -b11111111111111111101011100110111 C -b100110101111111111010000001 0 -b100110101111111111010000001 H -18 -b1000001000010011100011011010 < -b10100011001000010000100100001110 2 -b10100011001000010000100100001110 = -b10100011001000010000100100001110 : -b10011010111111111101000000110011 $ -b10011010111111111101000000110011 - -b10011010111111111101000000110011 5 -b10011010111111111101000000110011 ? -b10011010111111111101000000110011 D -b11110111110111101100011100100101 % -b11110111110111101100011100100101 . -b11110111110111101100011100100101 6 -b11110111110111101100011100100101 @ -b11110111110111101100011100100101 F -b11111111111111111101011100110111 ) -#255424000 -0& -#255440000 -b111110010111 , -#255456000 -1& -#255472000 -b11111011111111011111111111010100 " -b11111011111111011111111111010100 4 -b11111011111111011111111111010100 1 -b11111011111111011111111111010100 C -b1110101011111001 0 -b1110101011111001 H -08 -b100100010010100000101000101111 < -b1111010000111010010110000100 2 -b1111010000111010010110000100 = -b1111010000111010010110000100 : -b11101010111110011001101101010100 $ -b11101010111110011001101101010100 - -b11101010111110011001101101010100 5 -b11101010111110011001101101010100 ? -b11101010111110011001101101010100 D -b11011011101101011111010111010000 % -b11011011101101011111010111010000 . -b11011011101101011111010111010000 6 -b11011011101101011111010111010000 @ -b11011011101101011111010111010000 F -b11111011111111011111111111010100 ) -#255488000 -0& -#255504000 -b111110011000 , -#255520000 -1& -#255536000 -b1111111111111111111111110011111 " -b1111111111111111111111110011111 4 -b1111111111111111111111110011111 1 -b1111111111111111111111110011111 C -b1011010111110111100 0 -b1011010111110111100 H -18 -b10001010101110001100000011110011 < -b11100101101101001000110010001011 2 -b11100101101101001000110010001011 = -b11100101101101001000110010001011 : -b1011010111110111100101110010111 $ -b1011010111110111100101110010111 - -b1011010111110111100101110010111 5 -b1011010111110111100101110010111 ? -b1011010111110111100101110010111 D -b1110101010001110011111100001100 % -b1110101010001110011111100001100 . -b1110101010001110011111100001100 6 -b1110101010001110011111100001100 @ -b1110101010001110011111100001100 F -b1111111111111111111111110011111 ) -#255552000 -0& -#255568000 -b111110011001 , -#255584000 -1& -#255600000 -b1111111111111110110110101011001 " -b1111111111111110110110101011001 4 -b1111111111111110110110101011001 1 -b1111111111111110110110101011001 C -b1011110111111110110010 0 -b1011110111111110110010 H -b10011100100000001001011110110110 < -b11111011011111111111110011001000 2 -b11111011011111111111110011001000 = -b11111011011111111111110011001000 : -b1011110111111110110010100010001 $ -b1011110111111110110010100010001 - -b1011110111111110110010100010001 5 -b1011110111111110110010100010001 ? -b1011110111111110110010100010001 D -b1100011011111110110100001001001 % -b1100011011111110110100001001001 . -b1100011011111110110100001001001 6 -b1100011011111110110100001001001 @ -b1100011011111110110100001001001 F -b1111111111111110110110101011001 ) -#255616000 -0& -#255632000 -b111110011010 , -#255648000 -1& -#255664000 -b11111111111111111101111111111101 " -b11111111111111111101111111111101 4 -b11111111111111111101111111111101 1 -b11111111111111111101111111111101 C -b11111011101 0 -b11111011101 H -b10101000000001000010000000001010 < -b10100011110000011011110010101000 2 -b10100011110000011011110010101000 = -b10100011110000011011110010101000 : -b11111011101111011001110010011101 $ -b11111011101111011001110010011101 - -b11111011101111011001110010011101 5 -b11111011101111011001110010011101 ? -b11111011101111011001110010011101 D -b1010111111110111101111111110101 % -b1010111111110111101111111110101 . -b1010111111110111101111111110101 6 -b1010111111110111101111111110101 @ -b1010111111110111101111111110101 F -b11111111111111111101111111111101 ) -#255680000 -0& -#255696000 -b111110011011 , -#255712000 -1& -#255728000 -b11111111111111110111111111111111 " -b11111111111111110111111111111111 4 -b11111111111111110111111111111111 1 -b11111111111111110111111111111111 C -b11111011111 0 -b11111011111 H -19 -08 -b100001000010001010100010001011 < -b10011111000001111110010001111011 2 -b10011111000001111110010001111011 = -b10011111000001111110010001111011 : -b1111101111111110011101111101111 $ -b1111101111111110011101111101111 - -b1111101111111110011101111101111 5 -b1111101111111110011101111101111 ? -b1111101111111110011101111101111 D -b11011110111101110101011101110100 % -b11011110111101110101011101110100 . -b11011110111101110101011101110100 6 -b11011110111101110101011101110100 @ -b11011110111101110101011101110100 F -b11111111111111110111111111111111 ) -#255744000 -0& -#255760000 -b111110011100 , -#255776000 -1& -#255792000 -b11111111111111111111111110111110 " -b11111111111111111111111110111110 4 -b11111111111111111111111110111110 1 -b11111111111111111111111110111110 C -b100111001111111111000111001111 0 -b100111001111111111000111001111 H -18 -19 -b10000000011001000100010101011101 < -b11101011001000000110010011010 2 -b11101011001000000110010011010 = -b11101011001000000110010011010 : -b10011100111111111100011100111100 $ -b10011100111111111100011100111100 - -b10011100111111111100011100111100 5 -b10011100111111111100011100111100 ? -b10011100111111111100011100111100 D -b1111111100110111011101010100010 % -b1111111100110111011101010100010 . -b1111111100110111011101010100010 6 -b1111111100110111011101010100010 @ -b1111111100110111011101010100010 F -b11111111111111111111111110111110 ) -#255808000 -0& -#255824000 -b111110011101 , -#255840000 -1& -#255856000 -b11111111100111111111111111011111 " -b11111111100111111111111111011111 4 -b11111111100111111111111111011111 1 -b11111111100111111111111111011111 C -b1011111000111111 0 -b1011111000111111 H -08 -b1000000011110001000000010110000 < -b10011111100110000110110001001010 2 -b10011111100110000110110001001010 = -b10011111100110000110110001001010 : -b1011111000111111110101110011001 $ -b1011111000111111110101110011001 - -b1011111000111111110101110011001 5 -b1011111000111111110101110011001 ? -b1011111000111111110101110011001 D -b10111111100001110111111101001111 % -b10111111100001110111111101001111 . -b10111111100001110111111101001111 6 -b10111111100001110111111101001111 @ -b10111111100001110111111101001111 F -b11111111100111111111111111011111 ) -#255872000 -0& -#255888000 -b111110011110 , -#255904000 -1& -#255920000 -b11111111111111101101101101011011 " -b11111111111111101101101101011011 4 -b11111111111111101101101101011011 1 -b11111111111111101101101101011011 C -b1111101110111100000001 0 -b1111101110111100000001 H -b110001000010110010011110110110 < -b10101110111010010010101011001001 2 -b10101110111010010010101011001001 = -b10101110111010010010101011001001 : -b1111101110111100000001100010010 $ -b1111101110111100000001100010010 - -b1111101110111100000001100010010 5 -b1111101110111100000001100010010 ? -b1111101110111100000001100010010 D -b11001110111101001101100001001001 % -b11001110111101001101100001001001 . -b11001110111101001101100001001001 6 -b11001110111101001101100001001001 @ -b11001110111101001101100001001001 F -b11111111111111101101101101011011 ) -#255936000 -0& -#255952000 -b111110011111 , -#255968000 -1& -#255984000 -b11111111111101111100111011001011 " -b11111111111101111100111011001011 4 -b11111111111101111100111011001011 1 -b11111111111101111100111011001011 C -b1111011110110111110001 0 -b1111011110110111110001 H -18 -b10000101000010100011000110110101 < -b1111100110000011111100000110111 2 -b1111100110000011111100000110111 = -b1111100110000011111100000110111 : -b11110111101101111100011010000001 $ -b11110111101101111100011010000001 - -b11110111101101111100011010000001 5 -b11110111101101111100011010000001 ? -b11110111101101111100011010000001 D -b1111010111101011100111001001010 % -b1111010111101011100111001001010 . -b1111010111101011100111001001010 6 -b1111010111101011100111001001010 @ -b1111010111101011100111001001010 F -b11111111111101111100111011001011 ) -#256000000 -0& -#256016000 -b111110100000 , -#256032000 -1& -#256048000 -b10111111111111111111011011011101 " -b10111111111111111111011011011101 4 -b10111111111111111111011011011101 1 -b10111111111111111111011011011101 C -b10111110111 0 -b10111110111 H -08 -09 -b1101000010000001010111111101010 < -b100111010000001001011011000111 2 -b100111010000001001011011000111 = -b100111010000001001011011000111 : -b10111110111111111110011011011100 $ -b10111110111111111110011011011100 - -b10111110111111111110011011011100 5 -b10111110111111111110011011011100 ? -b10111110111111111110011011011100 D -b10010111101111110101000000010101 % -b10010111101111110101000000010101 . -b10010111101111110101000000010101 6 -b10010111101111110101000000010101 @ -b10010111101111110101000000010101 F -b10111111111111111111011011011101 ) -#256064000 -0& -#256080000 -b111110100001 , -#256096000 -1& -#256112000 -b11111111110111010011111011111101 " -b11111111110111010011111011111101 4 -b11111111110111010011111011111101 1 -b11111111110111010011111011111101 C -b111 0 -b111 H -18 -b100001000101111000100000010 < -b11111011011100000010110100110000 2 -b11111011011100000010110100110000 = -b11111011011100000010110100110000 : -b11110111010011010011110000101101 $ -b11110111010011010011110000101101 - -b11110111010011010011110000101101 5 -b11110111010011010011110000101101 ? -b11110111010011010011110000101101 D -b11111011110111010000111011111101 % -b11111011110111010000111011111101 . -b11111011110111010000111011111101 6 -b11111011110111010000111011111101 @ -b11111011110111010000111011111101 F -b11111111110111010011111011111101 ) -#256128000 -0& -#256144000 -b111110100010 , -#256160000 -1& -#256176000 -b1110111110101111111011111011111 " -b1110111110101111111011111011111 4 -b1110111110101111111011111011111 1 -b1110111110101111111011111011111 C -b11100111 0 -b11100111 H -18 -b10001010001010101001110001101000 < -b11111101101111100101001010110101 2 -b11111101101111100101001010110101 = -b11111101101111100101001010110101 : -b1110011100100111011011001001100 $ -b1110011100100111011011001001100 - -b1110011100100111011011001001100 5 -b1110011100100111011011001001100 ? -b1110011100100111011011001001100 D -b1110101110101010110001110010111 % -b1110101110101010110001110010111 . -b1110101110101010110001110010111 6 -b1110101110101010110001110010111 @ -b1110101110101010110001110010111 F -b1110111110101111111011111011111 ) -#256192000 -0& -#256208000 -b111110100011 , -#256224000 -1& -#256240000 -b1111111111110111000110011111111 " -b1111111111110111000110011111111 4 -b1111111111110111000110011111111 1 -b1111111111110111000110011111111 C -b10111111011101100 0 -b10111111011101100 H -b10000000001001100111011110110001 < -b11011111111000011000010001101111 2 -b11011111111000011000010001101111 = -b11011111111000011000010001101111 : -b1011111101110110000110010111101 $ -b1011111101110110000110010111101 - -b1011111101110110000110010111101 5 -b1011111101110110000110010111101 ? -b1011111101110110000110010111101 D -b1111111110110011000100001001110 % -b1111111110110011000100001001110 . -b1111111110110011000100001001110 6 -b1111111110110011000100001001110 @ -b1111111110110011000100001001110 F -b1111111111110111000110011111111 ) -#256256000 -0& -#256272000 -b111110100100 , -#256288000 -1& -#256304000 -b11111111101111111110010101101101 " -b11111111101111111110010101101101 4 -b11111111101111111110010101101101 1 -b11111111101111111110010101101101 C -b11011011001111100000010 0 -b11011011001111100000010 H -18 -b10000000001111010010110 < -b11011011011111100010001110011100 2 -b11011011011111100010001110011100 = -b11011011011111100010001110011100 : -b11011011001111100000010100000101 $ -b11011011001111100000010100000101 - -b11011011001111100000010100000101 5 -b11011011001111100000010100000101 ? -b11011011001111100000010100000101 D -b11111111101111111110000101101001 % -b11111111101111111110000101101001 . -b11111111101111111110000101101001 6 -b11111111101111111110000101101001 @ -b11111111101111111110000101101001 F -b11111111101111111110010101101101 ) -#256320000 -0& -#256336000 -b111110100101 , -#256352000 -1& -#256368000 -b11111111111111111011110111011111 " -b11111111111111111011110111011111 4 -b11111111111111111011110111011111 1 -b11111111111111111011110111011111 C -b1111010110110011101111011 0 -b1111010110110011101111011 H -b101000001101011101111000 < -b11110110010101001001010101010101 2 -b11110110010101001001010101010101 = -b11110110010101001001010101010101 : -b11110101101100111011110111011100 $ -b11110101101100111011110111011100 - -b11110101101100111011110111011100 5 -b11110101101100111011110111011100 ? -b11110101101100111011110111011100 D -b11111111010111110010100010000111 % -b11111111010111110010100010000111 . -b11111111010111110010100010000111 6 -b11111111010111110010100010000111 @ -b11111111010111110010100010000111 F -b11111111111111111011110111011111 ) -#256384000 -0& -#256400000 -b111110100110 , -#256416000 -1& -#256432000 -b11111111111011110111111110011110 " -b11111111111011110111111110011110 4 -b11111111111011110111111110011110 1 -b11111111111011110111111110011110 C -b1101011110 0 -b1101011110 H -19 -18 -b10000000001100101100010001101001 < -b1010111110110100001000010001000 2 -b1010111110110100001000010001000 = -b1010111110110100001000010001000 : -b11010111101001110100110000011110 $ -b11010111101001110100110000011110 - -b11010111101001110100110000011110 5 -b11010111101001110100110000011110 ? -b11010111101001110100110000011110 D -b1111111110011010011101110010110 % -b1111111110011010011101110010110 . -b1111111110011010011101110010110 6 -b1111111110011010011101110010110 @ -b1111111110011010011101110010110 F -b11111111111011110111111110011110 ) -#256448000 -0& -#256464000 -b111110100111 , -#256480000 -1& -#256496000 -1! -b0 " -b0 4 -b0 1 -b0 C -b0 0 -b0 H -09 -08 -b11111111111111111111111111111111 < -b0 2 -b0 = -1# -b0 : -b0 $ -b0 - -b0 5 -b0 ? -b0 D -b0 % -b0 . -b0 6 -b0 @ -b0 F -b0 ) -b1 ( -#256512000 -0& -#256528000 -b111110101000 , -#256544000 -1& -#256560000 -0! -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -18 -b11111111111111111111111111111111 2 -b11111111111111111111111111111111 = -0# -b11111111111111111111111111111111 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b11111111111111111111111111111111 ) -b0 ( -#256576000 -0& -#256592000 -b111110101001 , -#256608000 -1& -#256624000 -b0 0 -b0 H -08 -b0 < -b1 2 -b1 = -b1 : -b0 $ -b0 - -b0 5 -b0 ? -b0 D -b11111111111111111111111111111111 % -b11111111111111111111111111111111 . -b11111111111111111111111111111111 6 -b11111111111111111111111111111111 @ -b11111111111111111111111111111111 F -#256640000 -0& -#256656000 -b111110101010 , -#256672000 -1& -#256688000 -b1 0 -b1 H -08 -09 -b0 2 -b0 = -b0 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -#256704000 -0& -#256720000 -b111110101011 , -#256736000 -1& -#256752000 -b1110011011001100100000001000001 " -b1110011011001100100000001000001 4 -b1110011011001100100000001000001 1 -b1110011011001100100000001000001 C -b11101110110111110010100001100000 0 -b11101110110111110010100001100000 H -08 -0; -b11110011111011100100011011100101 < -b0 7 -b0 A -b0 E -b1110011011001010100000000101000 2 -b1110011011001010100000000101000 = -b100 * -b100 / -b1110011011001010100000000101000 : -b1111111011101101111100101000011 $ -b1111111011101101111100101000011 - -b1111111011101101111100101000011 5 -b1111111011101101111100101000011 ? -b1111111011101101111100101000011 D -b11110011111011100100011011100101 % -b11110011111011100100011011100101 . -b11110011111011100100011011100101 6 -b11110011111011100100011011100101 @ -b11110011111011100100011011100101 F -b1110011011001100100000001000001 ) -#256768000 -0& -#256784000 -b111110101100 , -#256800000 -1& -#256816000 -b1111001101001111000001000000000 " -b1111001101001111000001000000000 4 -b1111001101001111000001000000000 1 -b1111001101001111000001000000000 C -b11011100000010000000000000000000 0 -b11011100000010000000000000000000 H -19 -08 -b11111001101001111000000100010101 2 -b11111001101001111000000100010101 = -b1111011111101111001001100010001 < -b11111001101001111000000100010101 : -b1111101101011111110111000000100 $ -b1111101101011111110111000000100 - -b1111101101011111110111000000100 5 -b1111101101011111110111000000100 ? -b1111101101011111110111000000100 D -b1111011111101111001001100010001 % -b1111011111101111001001100010001 . -b1111011111101111001001100010001 6 -b1111011111101111001001100010001 @ -b1111011111101111001001100010001 F -b1111001101001111000001000000000 ) -#256832000 -0& -#256848000 -b111110101101 , -#256864000 -1& -#256880000 -b100110101110100010000000011000 " -b100110101110100010000000011000 4 -b100110101110100010000000011000 1 -b100110101110100010000000011000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -09 -08 -b10110101110010101111000010111 2 -b10110101110010101111000010111 = -b10100110111111110011010110111100 < -b10110101110010101111000010111 : -b1101111101110100010100001011011 $ -b1101111101110100010100001011011 - -b1101111101110100010100001011011 5 -b1101111101110100010100001011011 ? -b1101111101110100010100001011011 D -b10100110111111110011010110111100 % -b10100110111111110011010110111100 . -b10100110111111110011010110111100 6 -b10100110111111110011010110111100 @ -b10100110111111110011010110111100 F -b100110101110100010000000011000 ) -#256896000 -0& -#256912000 -b111110101110 , -#256928000 -1& -#256944000 -b10011001011100000100100010101001 " -b10011001011100000100100010101001 4 -b10011001011100000100100010101001 1 -b10011001011100000100100010101001 C -b11100001101101010111101000000000 0 -b11100001101101010111101000000000 H -18 -b10011000111010000100100001100110 2 -b10011000111010000100100001100110 = -b10111011011101110110110110101001 < -b10011000111010000100100001100110 : -b11011101011100001101101010111101 $ -b11011101011100001101101010111101 - -b11011101011100001101101010111101 5 -b11011101011100001101101010111101 ? -b11011101011100001101101010111101 D -b10111011011101110110110110101001 % -b10111011011101110110110110101001 . -b10111011011101110110110110101001 6 -b10111011011101110110110110101001 @ -b10111011011101110110110110101001 F -b10011001011100000100100010101001 ) -#256960000 -0& -#256976000 -b111110101111 , -#256992000 -1& -#257008000 -b1001100111101101100001000000111 " -b1001100111101101100001000000111 4 -b1001100111101101100001000000111 1 -b1001100111101101100001000000111 C -b11111011011011110000111110000000 0 -b11111011011011110000111110000000 H -08 -b1001100111101101100000001100110 2 -b1001100111101101100000001100110 = -b1001100111111111110001001000111 < -b1001100111101101100000001100110 : -b11111111111101101101111000011111 $ -b11111111111101101101111000011111 - -b11111111111101101101111000011111 5 -b11111111111101101101111000011111 ? -b11111111111101101101111000011111 D -b1001100111111111110001001000111 % -b1001100111111111110001001000111 . -b1001100111111111110001001000111 6 -b1001100111111111110001001000111 @ -b1001100111111111110001001000111 F -b1001100111101101100001000000111 ) -#257024000 -0& -#257040000 -b111110110000 , -#257056000 -1& -#257072000 -b1111010000000000000000100100000 " -b1111010000000000000000100100000 4 -b1111010000000000000000100100000 1 -b1111010000000000000000100100000 C -b1000010100000101011000000000000 0 -b1000010100000101011000000000000 H -b1111001101111110100100100000111 2 -b1111001101111110100100100000111 = -b1111111001110100100001110100111 < -b1111001101111110100100100000111 : -b11111010100001010000010101100000 $ -b11111010100001010000010101100000 - -b11111010100001010000010101100000 5 -b11111010100001010000010101100000 ? -b11111010100001010000010101100000 D -b1111111001110100100001110100111 % -b1111111001110100100001110100111 . -b1111111001110100100001110100111 6 -b1111111001110100100001110100111 @ -b1111111001110100100001110100111 F -b1111010000000000000000100100000 ) -#257088000 -0& -#257104000 -b111110110001 , -#257120000 -1& -#257136000 -b11101001011111111100001010011100 " -b11101001011111111100001010011100 4 -b11101001011111111100001010011100 1 -b11101001011111111100001010011100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -18 -b11101001011111111100001001111000 2 -b11101001011111111100001001111000 = -b11111111011111111111001110011100 < -b11101001011111111100001001111000 : -b11101001111111111100111011011100 $ -b11101001111111111100111011011100 - -b11101001111111111100111011011100 5 -b11101001111111111100111011011100 ? -b11101001111111111100111011011100 D -b11111111011111111111001110011100 % -b11111111011111111111001110011100 . -b11111111011111111111001110011100 6 -b11111111011111111111001110011100 @ -b11111111011111111111001110011100 F -b11101001011111111100001010011100 ) -#257152000 -0& -#257168000 -b111110110010 , -#257184000 -1& -#257200000 -b11111111001001110010000010100000 " -b11111111001001110010000010100000 4 -b11111111001001110010000010100000 1 -b11111111001001110010000010100000 C -b11111100100001101001000000000000 0 -b11111100100001101001000000000000 H -b11111110101001101100100001001110 2 -b11111110101001101100100001001110 = -b11111111011001111010011010101010 < -b11111110101001101100100001001110 : -b11111111001111110010000110100100 $ -b11111111001111110010000110100100 - -b11111111001111110010000110100100 5 -b11111111001111110010000110100100 ? -b11111111001111110010000110100100 D -b11111111011001111010011010101010 % -b11111111011001111010011010101010 . -b11111111011001111010011010101010 6 -b11111111011001111010011010101010 @ -b11111111011001111010011010101010 F -b11111111001001110010000010100000 ) -#257216000 -0& -#257232000 -b111110110011 , -#257248000 -1& -#257264000 -b101101111101010000000001010101 " -b101101111101010000000001010101 4 -b101101111101010000000001010101 1 -b101101111101010000000001010101 C -b1110101000000000000000000000 0 -b1110101000000000000000000000 H -08 -b101011111101000001111111001010 2 -b101011111101000001111111001010 = -b101101111111110001111101010101 < -b101011111101000001111111001010 : -b11111101111101010000000001110101 $ -b11111101111101010000000001110101 - -b11111101111101010000000001110101 5 -b11111101111101010000000001110101 ? -b11111101111101010000000001110101 D -b101101111111110001111101010101 % -b101101111111110001111101010101 . -b101101111111110001111101010101 6 -b101101111111110001111101010101 @ -b101101111111110001111101010101 F -b101101111101010000000001010101 ) -#257280000 -0& -#257296000 -b111110110100 , -#257312000 -1& -#257328000 -b111100100110100011000001010001 " -b111100100110100011000001010001 4 -b111100100110100011000001010001 1 -b111100100110100011000001010001 C -b10101110000000000000000000000000 0 -b10101110000000000000000000000000 H -b1111100100110010010010100110000 2 -b1111100100110010010010100110000 = -b111101101110100111010001011001 < -b1111100100110010010010100110000 : -b111110110111101011000011010111 $ -b111110110111101011000011010111 - -b111110110111101011000011010111 5 -b111110110111101011000011010111 ? -b111110110111101011000011010111 D -b111101101110100111010001011001 % -b111101101110100111010001011001 . -b111101101110100111010001011001 6 -b111101101110100111010001011001 @ -b111101101110100111010001011001 F -b111100100110100011000001010001 ) -#257344000 -0& -#257360000 -b111110110101 , -#257376000 -1& -#257392000 -b1011110010101101010010000000000 " -b1011110010101101010010000000000 4 -b1011110010101101010010000000000 1 -b1011110010101101010010000000000 C -b11011110011101101010010000000101 0 -b11011110011101101010010000000101 H -08 -09 -b1011110010011100100100011000101 2 -b1011110010011100100100011000101 = -b1111111110101111010010011000000 < -b1011110010011100100100011000101 : -b11011110011101101010010000000101 $ -b11011110011101101010010000000101 - -b11011110011101101010010000000101 5 -b11011110011101101010010000000101 ? -b11011110011101101010010000000101 D -b1111111110101111010010011000000 % -b1111111110101111010010011000000 . -b1111111110101111010010011000000 6 -b1111111110101111010010011000000 @ -b1111111110101111010010011000000 F -b1011110010101101010010000000000 ) -#257408000 -0& -#257424000 -b111110110110 , -#257440000 -1& -#257456000 -b1111000011100101000000110000001 " -b1111000011100101000000110000001 4 -b1111000011100101000000110000001 1 -b1111000011100101000000110000001 C -b10010101110011000010100000000000 0 -b10010101110011000010100000000000 H -b1110110011100100011101101010000 2 -b1110110011100100011101101010000 = -b11111001111111111000000111001011 < -b1110110011100100011101101010000 : -b1111100011100101011100110000101 $ -b1111100011100101011100110000101 - -b1111100011100101011100110000101 5 -b1111100011100101011100110000101 ? -b1111100011100101011100110000101 D -b11111001111111111000000111001011 % -b11111001111111111000000111001011 . -b11111001111111111000000111001011 6 -b11111001111111111000000111001011 @ -b11111001111111111000000111001011 F -b1111000011100101000000110000001 ) -#257472000 -0& -#257488000 -b111110110111 , -#257504000 -1& -#257520000 -b1001100110101011000001011001011 " -b1001100110101011000001011001011 4 -b1001100110101011000001011001011 1 -b1001100110101011000001011001011 C -b11101100010111101111100000000000 0 -b11101100010111101111100000000000 H -19 -08 -b11001100110101010001111010101010 2 -b11001100110101010001111010101010 = -b1001101110101111001001011001011 < -b11001100110101010001111010101010 : -b1111110111111011000101111011111 $ -b1111110111111011000101111011111 - -b1111110111111011000101111011111 5 -b1111110111111011000101111011111 ? -b1111110111111011000101111011111 D -b1001101110101111001001011001011 % -b1001101110101111001001011001011 . -b1001101110101111001001011001011 6 -b1001101110101111001001011001011 @ -b1001101110101111001001011001011 F -b1001100110101011000001011001011 ) -#257536000 -0& -#257552000 -b111110111000 , -#257568000 -1& -#257584000 -b1111001110010010101000001001001 " -b1111001110010010101000001001001 4 -b1111001110010010101000001001001 1 -b1111001110010010101000001001001 C -b1101010010110110010000000000000 0 -b1101010010110110010000000000000 H -b11111001101110001010110001000110 2 -b11111001101110001010110001000110 = -b1111101111011010101100101101101 < -b11111001101110001010110001000110 : -b1111011110010110101001011011001 $ -b1111011110010110101001011011001 - -b1111011110010110101001011011001 5 -b1111011110010110101001011011001 ? -b1111011110010110101001011011001 D -b1111101111011010101100101101101 % -b1111101111011010101100101101101 . -b1111101111011010101100101101101 6 -b1111101111011010101100101101101 @ -b1111101111011010101100101101101 F -b1111001110010010101000001001001 ) -#257600000 -0& -#257616000 -b111110111001 , -#257632000 -1& -#257648000 -b1101001010010110000000000011100 " -b1101001010010110000000000011100 4 -b1101001010010110000000000011100 1 -b1101001010010110000000000011100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -09 -08 -b1011001001001101011011111111000 2 -b1011001001001101011011111111000 = -b11101101110110111011011100011100 < -b1011001001001101011011111111000 : -b1101011010010110000000011011100 $ -b1101011010010110000000011011100 - -b1101011010010110000000011011100 5 -b1101011010010110000000011011100 ? -b1101011010010110000000011011100 D -b11101101110110111011011100011100 % -b11101101110110111011011100011100 . -b11101101110110111011011100011100 6 -b11101101110110111011011100011100 @ -b11101101110110111011011100011100 F -b1101001010010110000000000011100 ) -#257664000 -0& -#257680000 -b111110111010 , -#257696000 -1& -#257712000 -b1110101110010111000010001010010 " -b1110101110010111000010001010010 4 -b1110101110010111000010001010010 1 -b1110101110010111000010001010010 C -b111001011111000000000000000000 0 -b111001011111000000000000000000 H -b1110101110010110010010000110001 2 -b1110101110010110010010000110001 = -b1111101111011111001010111010010 < -b1110101110010110010010000110001 : -b11110111110110111000111001011111 $ -b11110111110110111000111001011111 - -b11110111110110111000111001011111 5 -b11110111110110111000111001011111 ? -b11110111110110111000111001011111 D -b1111101111011111001010111010010 % -b1111101111011111001010111010010 . -b1111101111011111001010111010010 6 -b1111101111011111001010111010010 @ -b1111101111011111001010111010010 F -b1110101110010111000010001010010 ) -#257728000 -0& -#257744000 -b111110111011 , -#257760000 -1& -#257776000 -b1100110101110001010011001111000 " -b1100110101110001010011001111000 4 -b1100110101110001010011001111000 1 -b1100110101110001010011001111000 C -b11111100000000000000000000000000 0 -b11111100000000000000000000000000 H -19 -08 -b11100110101110001010010101110100 2 -b11100110101110001010010101110100 = -b1111110101110001111011001111000 < -b11100110101110001010010101110100 : -b1100111111111111010111011111100 $ -b1100111111111111010111011111100 - -b1100111111111111010111011111100 5 -b1100111111111111010111011111100 ? -b1100111111111111010111011111100 D -b1111110101110001111011001111000 % -b1111110101110001111011001111000 . -b1111110101110001111011001111000 6 -b1111110101110001111011001111000 @ -b1111110101110001111011001111000 F -b1100110101110001010011001111000 ) -#257792000 -0& -#257808000 -b111110111100 , -#257824000 -1& -#257840000 -b110000001110000100100010000011 " -b110000001110000100100010000011 4 -b110000001110000100100010000011 1 -b110000001110000100100010000011 C -b1111100011001010100000110000000 0 -b1111100011001010100000110000000 H -09 -08 -b110000001101100011001101101010 2 -b110000001101100011001101101010 = -b10110001001111010110100011100111 < -b110000001101100011001101101010 : -b1111110111110001100101010000011 $ -b1111110111110001100101010000011 - -b1111110111110001100101010000011 5 -b1111110111110001100101010000011 ? -b1111110111110001100101010000011 D -b10110001001111010110100011100111 % -b10110001001111010110100011100111 . -b10110001001111010110100011100111 6 -b10110001001111010110100011100111 @ -b10110001001111010110100011100111 F -b110000001110000100100010000011 ) -#257856000 -0& -#257872000 -b111110111101 , -#257888000 -1& -#257904000 -b1110111101100100001111001100010 " -b1110111101100100001111001100010 4 -b1110111101100100001111001100010 1 -b1110111101100100001111001100010 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -b1110111101100100001110111011101 2 -b1110111101100100001110111011101 = -b11111111101111101101111101111011 < -b1110111101100100001110111011101 : -b1110111111100110011111001100010 $ -b1110111111100110011111001100010 - -b1110111111100110011111001100010 5 -b1110111111100110011111001100010 ? -b1110111111100110011111001100010 D -b11111111101111101101111101111011 % -b11111111101111101101111101111011 . -b11111111101111101101111101111011 6 -b11111111101111101101111101111011 @ -b11111111101111101101111101111011 F -b1110111101100100001111001100010 ) -#257920000 -0& -#257936000 -b111110111110 , -#257952000 -1& -#257968000 -b100100111100101000011000010001 " -b100100111100101000011000010001 4 -b100100111100101000011000010001 1 -b100100111100101000011000010001 C -b111111101001100000000000000000 0 -b111111101001100000000000000000 H -b100100111100100100011000000100 2 -b100100111100100100011000000100 = -b11111110111101111010011000110001 < -b100100111100100100011000000100 : -b100101111110101001111111010011 $ -b100101111110101001111111010011 - -b100101111110101001111111010011 5 -b100101111110101001111111010011 ? -b100101111110101001111111010011 D -b11111110111101111010011000110001 % -b11111110111101111010011000110001 . -b11111110111101111010011000110001 6 -b11111110111101111010011000110001 @ -b11111110111101111010011000110001 F -b100100111100101000011000010001 ) -#257984000 -0& -#258000000 -b111110111111 , -#258016000 -1& -#258032000 -b10111001010110110110101000000101 " -b10111001010110110110101000000101 4 -b10111001010110110110101000000101 1 -b10111001010110110110101000000101 C -b11111101101101010000011010000000 0 -b11111101101101010000011010000000 H -18 -b10110111010110101101100010110100 2 -b10110111010110101101100010110100 = -b10111101010111110110111010100111 < -b10110111010110101101100010110100 : -b11111001111110110110101000001101 $ -b11111001111110110110101000001101 - -b11111001111110110110101000001101 5 -b11111001111110110110101000001101 ? -b11111001111110110110101000001101 D -b10111101010111110110111010100111 % -b10111101010111110110111010100111 . -b10111101010111110110111010100111 6 -b10111101010111110110111010100111 @ -b10111101010111110110111010100111 F -b10111001010110110110101000000101 ) -#258048000 -0& -#258064000 -b111111000000 , -#258080000 -1& -#258096000 -b10011110110001000000110100 " -b10011110110001000000110100 4 -b10011110110001000000110100 1 -b10011110110001000000110100 C -b1001011011000000000000000000000 0 -b1001011011000000000000000000000 H -b11111101111110110000011011101010 2 -b11111101111110110000011011101010 = -b1001010011110110111001000110100 < -b11111101111110110000011011101010 : -b10110011011111111001010010110110 $ -b10110011011111111001010010110110 - -b10110011011111111001010010110110 5 -b10110011011111111001010010110110 ? -b10110011011111111001010010110110 D -b1001010011110110111001000110100 % -b1001010011110110111001000110100 . -b1001010011110110111001000110100 6 -b1001010011110110111001000110100 @ -b1001010011110110111001000110100 F -b10011110110001000000110100 ) -#258112000 -0& -#258128000 -b111111000001 , -#258144000 -1& -#258160000 -b11110110100000010111000011010110 " -b11110110100000010111000011010110 4 -b11110110100000010111000011010110 1 -b11110110100000010111000011010110 C -b1101011000000000000000000000000 0 -b1101011000000000000000000000000 H -b11110110010100010110101010101101 2 -b11110110010100010110101010101101 = -b11111111100011010111100111010111 < -b11110110010100010110101010101101 : -b11110110110000111111000011010110 $ -b11110110110000111111000011010110 - -b11110110110000111111000011010110 5 -b11110110110000111111000011010110 ? -b11110110110000111111000011010110 D -b11111111100011010111100111010111 % -b11111111100011010111100111010111 . -b11111111100011010111100111010111 6 -b11111111100011010111100111010111 @ -b11111111100011010111100111010111 F -b11110110100000010111000011010110 ) -#258176000 -0& -#258192000 -b111111000010 , -#258208000 -1& -#258224000 -b1101111101011100001000000001001 " -b1101111101011100001000000001001 4 -b1101111101011100001000000001001 1 -b1101111101011100001000000001001 C -b11001010000100110010000000000000 0 -b11001010000100110010000000000000 H -08 -19 -b11101111101011011110110010100110 2 -b11101111101011011110110010100110 = -b1111111111011111001110000001101 < -b11101111101011011110110010100110 : -b1101111101111100101000010011001 $ -b1101111101111100101000010011001 - -b1101111101111100101000010011001 5 -b1101111101111100101000010011001 ? -b1101111101111100101000010011001 D -b1111111111011111001110000001101 % -b1111111111011111001110000001101 . -b1111111111011111001110000001101 6 -b1111111111011111001110000001101 @ -b1111111111011111001110000001101 F -b1101111101011100001000000001001 ) -#258240000 -0& -#258256000 -b111111000011 , -#258272000 -1& -#258288000 -b1011001111011110010000101000110 " -b1011001111011110010000101000110 4 -b1011001111011110010000101000110 1 -b1011001111011110010000101000110 C -b11010001111110110000000000000000 0 -b11010001111110110000000000000000 H -09 -08 -b1011001110111101100010101000101 2 -b1011001110111101100010101000101 = -b11111011111011110010000101001111 < -b1011001110111101100010101000101 : -b1011101111011111010001111110110 $ -b1011101111011111010001111110110 - -b1011101111011111010001111110110 5 -b1011101111011111010001111110110 ? -b1011101111011111010001111110110 D -b11111011111011110010000101001111 % -b11111011111011110010000101001111 . -b11111011111011110010000101001111 6 -b11111011111011110010000101001111 @ -b11111011111011110010000101001111 F -b1011001111011110010000101000110 ) -#258304000 -0& -#258320000 -b111111000100 , -#258336000 -1& -#258352000 -b1101100010011000100110100001000 " -b1101100010011000100110100001000 4 -b1101100010011000100110100001000 1 -b1101100010011000100110100001000 C -b1110101101101000010000000000000 0 -b1110101101101000010000000000000 H -19 -08 -b11011011010010100011110011010010 2 -b11011011010010100011110011010010 = -b1101110011011001100111111001010 < -b11011011010010100011110011010010 : -b1101100110111010110110100001000 $ -b1101100110111010110110100001000 - -b1101100110111010110110100001000 5 -b1101100110111010110110100001000 ? -b1101100110111010110110100001000 D -b1101110011011001100111111001010 % -b1101110011011001100111111001010 . -b1101110011011001100111111001010 6 -b1101110011011001100111111001010 @ -b1101110011011001100111111001010 F -b1101100010011000100110100001000 ) -#258368000 -0& -#258384000 -b111111000101 , -#258400000 -1& -#258416000 -b101111011111010010001000000100 " -b101111011111010010001000000100 4 -b101111011111010010001000000100 1 -b101111011111010010001000000100 C -b1000010000000000000000000000000 0 -b1000010000000000000000000000000 H -09 -08 -b101111011111010010000011011011 2 -b101111011111010010000011011011 = -b11111111111111110110001001010111 < -b101111011111010010000011011011 : -b101111011111011011111010000100 $ -b101111011111011011111010000100 - -b101111011111011011111010000100 5 -b101111011111011011111010000100 ? -b101111011111011011111010000100 D -b11111111111111110110001001010111 % -b11111111111111110110001001010111 . -b11111111111111110110001001010111 6 -b11111111111111110110001001010111 @ -b11111111111111110110001001010111 F -b101111011111010010001000000100 ) -#258432000 -0& -#258448000 -b111111000110 , -#258464000 -1& -#258480000 -b1111011110111110000000000000010 " -b1111011110111110000000000000010 4 -b1111011110111110000000000000010 1 -b1111011110111110000000000000010 C -b11111111011111010100001010111000 0 -b11111111011111010100001010111000 H -b1111011110111101101100110110000 2 -b1111011110111101101100110110000 = -b11111011111111111000100100000010 < -b1111011110111101101100110110000 : -b1111111110111110101000010101110 $ -b1111111110111110101000010101110 - -b1111111110111110101000010101110 5 -b1111111110111110101000010101110 ? -b1111111110111110101000010101110 D -b11111011111111111000100100000010 % -b11111011111111111000100100000010 . -b11111011111111111000100100000010 6 -b11111011111111111000100100000010 @ -b11111011111111111000100100000010 F -b1111011110111110000000000000010 ) -#258496000 -0& -#258512000 -b111111000111 , -#258528000 -1& -#258544000 -b1111111001110110101010011000000 " -b1111111001110110101010011000000 4 -b1111111001110110101010011000000 1 -b1111111001110110101010011000000 C -b10111111110101011101000000000000 0 -b10111111110101011101000000000000 H -b1111111001110110100110010111000 2 -b1111111001110110100110010111000 = -b1111111011110110111011011101000 < -b1111111001110110100110010111000 : -b11111111101111111101010111010000 $ -b11111111101111111101010111010000 - -b11111111101111111101010111010000 5 -b11111111101111111101010111010000 ? -b11111111101111111101010111010000 D -b1111111011110110111011011101000 % -b1111111011110110111011011101000 . -b1111111011110110111011011101000 6 -b1111111011110110111011011101000 @ -b1111111011110110111011011101000 F -b1111111001110110101010011000000 ) -#258560000 -0& -#258576000 -b111111001000 , -#258592000 -1& -#258608000 -b10011110000010100000010000001 " -b10011110000010100000010000001 4 -b10011110000010100000010000001 1 -b10011110000010100000010000001 C -b1011010001111000010100000000000 0 -b1011010001111000010100000000000 H -b1011101000010001000001110000 2 -b1011101000010001000001110000 = -b1110011110101011100100011101011 < -b1011101000010001000001110000 : -b10010111110010110100011110000101 $ -b10010111110010110100011110000101 - -b10010111110010110100011110000101 5 -b10010111110010110100011110000101 ? -b10010111110010110100011110000101 D -b1110011110101011100100011101011 % -b1110011110101011100100011101011 . -b1110011110101011100100011101011 6 -b1110011110101011100100011101011 @ -b1110011110101011100100011101011 F -b10011110000010100000010000001 ) -#258624000 -0& -#258640000 -b111111001001 , -#258656000 -1& -#258672000 -b11110001001000011000010000000000 " -b11110001001000011000010000000000 4 -b11110001001000011000010000000000 1 -b11110001001000011000010000000000 C -b0 0 -b0 H -18 -b11110001001000010111000101011001 2 -b11110001001000010111000101011001 = -b11110001111000111100110001011001 < -b11110001001000010111000101011001 : -b11111111001111011010010100000000 $ -b11111111001111011010010100000000 - -b11111111001111011010010100000000 5 -b11111111001111011010010100000000 ? -b11111111001111011010010100000000 D -b11110001111000111100110001011001 % -b11110001111000111100110001011001 . -b11110001111000111100110001011001 6 -b11110001111000111100110001011001 @ -b11110001111000111100110001011001 F -b11110001001000011000010000000000 ) -#258688000 -0& -#258704000 -b111111001010 , -#258720000 -1& -#258736000 -b11110100011100010010000010000001 " -b11110100011100010010000010000001 4 -b11110100011100010010000010000001 1 -b11110100011100010010000010000001 C -b1101111011100000110000000000000 0 -b1101111011100000110000000000000 H -b11110100011011010001110001110000 2 -b11110100011011010001110001110000 = -b11111111111100011010000011101101 < -b11110100011011010001110001110000 : -b11110100011110110111101110000011 $ -b11110100011110110111101110000011 - -b11110100011110110111101110000011 5 -b11110100011110110111101110000011 ? -b11110100011110110111101110000011 D -b11111111111100011010000011101101 % -b11111111111100011010000011101101 . -b11111111111100011010000011101101 6 -b11111111111100011010000011101101 @ -b11111111111100011010000011101101 F -b11110100011100010010000010000001 ) -#258752000 -0& -#258768000 -b111111001011 , -#258784000 -1& -#258800000 -b101100001001101100010010100000 " -b101100001001101100010010100000 4 -b101100001001101100010010100000 1 -b101100001001101100010010100000 C -b1111010101000000000000000000000 0 -b1111010101000000000000000000000 H -08 -b101011100101101010010001011110 2 -b101011100101101010010001011110 = -b10101100001011111101110010110100 < -b101011100101101010010001011110 : -b1111111011001101100011110101010 $ -b1111111011001101100011110101010 - -b1111111011001101100011110101010 5 -b1111111011001101100011110101010 ? -b1111111011001101100011110101010 D -b10101100001011111101110010110100 % -b10101100001011111101110010110100 . -b10101100001011111101110010110100 6 -b10101100001011111101110010110100 @ -b10101100001011111101110010110100 F -b101100001001101100010010100000 ) -#258816000 -0& -#258832000 -b111111001100 , -#258848000 -1& -#258864000 -b1110111000111101100011100000110 " -b1110111000111101100011100000110 4 -b1110111000111101100011100000110 1 -b1110111000111101100011100000110 C -b10001111111000111101001100000000 0 -b10001111111000111101001100000000 H -b1110110101111101010011010101101 2 -b1110110101111101010011010101101 = -b1111111100111101101111100000111 < -b1110110101111101010011010101101 : -b11110111000111111100011110100110 $ -b11110111000111111100011110100110 - -b11110111000111111100011110100110 5 -b11110111000111111100011110100110 ? -b11110111000111111100011110100110 D -b1111111100111101101111100000111 % -b1111111100111101101111100000111 . -b1111111100111101101111100000111 6 -b1111111100111101101111100000111 @ -b1111111100111101101111100000111 F -b1110111000111101100011100000110 ) -#258880000 -0& -#258896000 -b111111001101 , -#258912000 -1& -#258928000 -b11001010001111011000010110010 " -b11001010001111011000010110010 4 -b11001010001111011000010110010 1 -b11001010001111011000010110010 C -b11000111111010000000000000000000 0 -b11000111111010000000000000000000 H -b11001001001110110011010101100 2 -b11001001001110110011010101100 = -b10011111110101111011010010110010 < -b11001001001110110011010101100 : -b1111001010011111011000111111010 $ -b1111001010011111011000111111010 - -b1111001010011111011000111111010 5 -b1111001010011111011000111111010 ? -b1111001010011111011000111111010 D -b10011111110101111011010010110010 % -b10011111110101111011010010110010 . -b10011111110101111011010010110010 6 -b10011111110101111011010010110010 @ -b10011111110101111011010010110010 F -b11001010001111011000010110010 ) -#258944000 -0& -#258960000 -b111111001110 , -#258976000 -1& -#258992000 -b11101111000000000100000100000 " -b11101111000000000100000100000 4 -b11101111000000000100000100000 1 -b11101111000000000100000100000 C -b11111110000000101001011110100000 0 -b11111110000000101001011110100000 H -b1011101110110100111000110011110 2 -b1011101110110100111000110011110 = -b111101111110100100100000100100 < -b1011101110110100111000110011110 : -b11111111000000010100101111010 $ -b11111111000000010100101111010 - -b11111111000000010100101111010 5 -b11111111000000010100101111010 ? -b11111111000000010100101111010 D -b111101111110100100100000100100 % -b111101111110100100100000100100 . -b111101111110100100100000100100 6 -b111101111110100100100000100100 @ -b111101111110100100100000100100 F -b11101111000000000100000100000 ) -#259008000 -0& -#259024000 -b111111001111 , -#259040000 -1& -#259056000 -b1110010100010100110000000000001 " -b1110010100010100110000000000001 4 -b1110010100010100110000000000001 1 -b1110010100010100110000000000001 C -b10000100100000000000000000000000 0 -b10000100100000000000000000000000 H -19 -08 -b11110010100010100101011101100000 2 -b11110010100010100101011101100000 = -b1111010100110101110010001010111 < -b11110010100010100101011101100000 : -b1110111111011110111001100001001 $ -b1110111111011110111001100001001 - -b1110111111011110111001100001001 5 -b1110111111011110111001100001001 ? -b1110111111011110111001100001001 D -b1111010100110101110010001010111 % -b1111010100110101110010001010111 . -b1111010100110101110010001010111 6 -b1111010100110101110010001010111 @ -b1111010100110101110010001010111 F -b1110010100010100110000000000001 ) -#259072000 -0& -#259088000 -b111111010000 , -#259104000 -1& -#259120000 -b101001111110110101010000000000 " -b101001111110110101010000000000 4 -b101001111110110101010000000000 1 -b101001111110110101010000000000 C -b1010111000001100000000000000000 0 -b1010111000001100000000000000000 H -b10101001111101110101001111010110 2 -b10101001111101110101001111010110 = -b1111111111110111111110011010000 < -b10101001111101110101001111010110 : -b101001111110110101011100000110 $ -b101001111110110101011100000110 - -b101001111110110101011100000110 5 -b101001111110110101011100000110 ? -b101001111110110101011100000110 D -b1111111111110111111110011010000 % -b1111111111110111111110011010000 . -b1111111111110111111110011010000 6 -b1111111111110111111110011010000 @ -b1111111111110111111110011010000 F -b101001111110110101010000000000 ) -#259136000 -0& -#259152000 -b111111010001 , -#259168000 -1& -#259184000 -b1010110110101001010000000000000 " -b1010110110101001010000000000000 4 -b1010110110101001010000000000000 1 -b1010110110101001010000000000000 C -b11101010010000000000000000000000 0 -b11101010010000000000000000000000 H -09 -08 -b1010110110100111000111100101100 2 -b1010110110100111000111100101100 = -b1010111111101001110101100101100 < -b1010110110100111000111100101100 : -b11111110110111101010010000000000 $ -b11111110110111101010010000000000 - -b11111110110111101010010000000000 5 -b11111110110111101010010000000000 ? -b11111110110111101010010000000000 D -b1010111111101001110101100101100 % -b1010111111101001110101100101100 . -b1010111111101001110101100101100 6 -b1010111111101001110101100101100 @ -b1010111111101001110101100101100 F -b1010110110101001010000000000000 ) -#259200000 -0& -#259216000 -b111111010010 , -#259232000 -1& -#259248000 -b11100011111100010000001000010 " -b11100011111100010000001000010 4 -b11100011111100010000001000010 1 -b11100011111100010000001000010 C -b11111011111110101000100101011100 0 -b11111011111110101000100101011100 H -18 -b11011100011111100000111100011001 2 -b11011100011111100000111100011001 = -b11101011111110110110011000010 < -b11011100011111100000111100011001 : -b10111110111111101010001001010111 $ -b10111110111111101010001001010111 - -b10111110111111101010001001010111 5 -b10111110111111101010001001010111 ? -b10111110111111101010001001010111 D -b11101011111110110110011000010 % -b11101011111110110110011000010 . -b11101011111110110110011000010 6 -b11101011111110110110011000010 @ -b11101011111110110110011000010 F -b11100011111100010000001000010 ) -#259264000 -0& -#259280000 -b111111010011 , -#259296000 -1& -#259312000 -b1001101010000000000010000010000 " -b1001101010000000000010000010000 4 -b1001101010000000000010000010000 1 -b1001101010000000000010000010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b1001101001000110111101111101111 2 -b1001101001000110111101111101111 = -b11001111110000000110011100011110 < -b1001101001000110111101111101111 : -b1111101011000110001010011010001 $ -b1111101011000110001010011010001 - -b1111101011000110001010011010001 5 -b1111101011000110001010011010001 ? -b1111101011000110001010011010001 D -b11001111110000000110011100011110 % -b11001111110000000110011100011110 . -b11001111110000000110011100011110 6 -b11001111110000000110011100011110 @ -b11001111110000000110011100011110 F -b1001101010000000000010000010000 ) -#259328000 -0& -#259344000 -b111111010100 , -#259360000 -1& -#259376000 -b101000001010110000011000000000 " -b101000001010110000011000000000 4 -b101000001010110000011000000000 1 -b101000001010110000011000000000 C -b10011011101100000000000000000000 0 -b10011011101100000000000000000000 H -b100111011001101111110101101111 2 -b100111011001101111110101101111 = -b10111001001010111100011000001111 < -b100111011001101111110101101111 : -b1101110001110110011011101100000 $ -b1101110001110110011011101100000 - -b1101110001110110011011101100000 5 -b1101110001110110011011101100000 ? -b1101110001110110011011101100000 D -b10111001001010111100011000001111 % -b10111001001010111100011000001111 . -b10111001001010111100011000001111 6 -b10111001001010111100011000001111 @ -b10111001001010111100011000001111 F -b101000001010110000011000000000 ) -#259392000 -0& -#259408000 -b111111010101 , -#259424000 -1& -#259440000 -b1100111110111100101010000001100 " -b1100111110111100101010000001100 4 -b1100111110111100101010000001100 1 -b1100111110111100101010000001100 C -b11100101010100001101000000000000 0 -b11100101010100001101000000000000 H -19 -08 -b11100111110111100011001101011001 2 -b11100111110111100011001101011001 = -b1101111110111111101111001001100 < -b11100111110111100011001101011001 : -b1110111111111100101010100001101 $ -b1110111111111100101010100001101 - -b1110111111111100101010100001101 5 -b1110111111111100101010100001101 ? -b1110111111111100101010100001101 D -b1101111110111111101111001001100 % -b1101111110111111101111001001100 . -b1101111110111111101111001001100 6 -b1101111110111111101111001001100 @ -b1101111110111111101111001001100 F -b1100111110111100101010000001100 ) -#259456000 -0& -#259472000 -b111111010110 , -#259488000 -1& -#259504000 -b101011001000101000000000001 " -b101011001000101000000000001 4 -b101011001000101000000000001 1 -b101011001000101000000000001 C -b101100100000001000000000000000 0 -b101100100000001000000000000000 H -18 -09 -b11100101011000111100110111110000 2 -b11100101011000111100110111110000 = -b1010101011101110111010011101111 < -b11100101011000111100110111110000 : -b10001111111011000101100100000001 $ -b10001111111011000101100100000001 - -b10001111111011000101100100000001 5 -b10001111111011000101100100000001 ? -b10001111111011000101100100000001 D -b1010101011101110111010011101111 % -b1010101011101110111010011101111 . -b1010101011101110111010011101111 6 -b1010101011101110111010011101111 @ -b1010101011101110111010011101111 F -b101011001000101000000000001 ) -#259520000 -0& -#259536000 -b111111010111 , -#259552000 -1& -#259568000 -b1000001111011010000100011000000 " -b1000001111011010000100011000000 4 -b1000001111011010000100011000000 1 -b1000001111011010000100011000000 C -b10101101000110000000000000000000 0 -b10101101000110000000000000000000 H -08 -19 -b11000001111011010000010010001101 2 -b11000001111011010000010010001101 = -b1111001111111111001101111001101 < -b11000001111011010000010010001101 : -b1000111111011010110100011000000 $ -b1000111111011010110100011000000 - -b1000111111011010110100011000000 5 -b1000111111011010110100011000000 ? -b1000111111011010110100011000000 D -b1111001111111111001101111001101 % -b1111001111111111001101111001101 . -b1111001111111111001101111001101 6 -b1111001111111111001101111001101 @ -b1111001111111111001101111001101 F -b1000001111011010000100011000000 ) -#259584000 -0& -#259600000 -b111111011000 , -#259616000 -1& -#259632000 -b11111100110101110000000100001101 " -b11111100110101110000000100001101 4 -b11111100110101110000000100001101 1 -b11111100110101110000000100001101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -18 -09 -b11111100110101101111111100001010 2 -b11111100110101101111111100001010 = -b11111110111101110100100111111101 < -b11111100110101101111111100001010 : -b11111101110111111011010100001101 $ -b11111101110111111011010100001101 - -b11111101110111111011010100001101 5 -b11111101110111111011010100001101 ? -b11111101110111111011010100001101 D -b11111110111101110100100111111101 % -b11111110111101110100100111111101 . -b11111110111101110100100111111101 6 -b11111110111101110100100111111101 @ -b11111110111101110100100111111101 F -b11111100110101110000000100001101 ) -#259648000 -0& -#259664000 -b111111011001 , -#259680000 -1& -#259696000 -b11011101111011101100010101001100 " -b11011101111011101100010101001100 4 -b11011101111011101100010101001100 1 -b11011101111011101100010101001100 C -b10110001111100110100000000000000 0 -b10110001111100110100000000000000 H -b11011101111011101100010100111011 2 -b11011101111011101100010100111011 = -b11011111111011111111110101101110 < -b11011101111011101100010100111011 : -b11111101111111101100011111001101 $ -b11111101111111101100011111001101 - -b11111101111111101100011111001101 5 -b11111101111111101100011111001101 ? -b11111101111111101100011111001101 D -b11011111111011111111110101101110 % -b11011111111011111111110101101110 . -b11011111111011111111110101101110 6 -b11011111111011111111110101101110 @ -b11011111111011111111110101101110 F -b11011101111011101100010101001100 ) -#259712000 -0& -#259728000 -b111111011010 , -#259744000 -1& -#259760000 -b1110001101100010100000100000100 " -b1110001101100010100000100000100 4 -b1110001101100010100000100000100 1 -b1110001101100010100000100000100 C -b1011111101101100101001011110000 0 -b1011111101101100101001011110000 H -08 -b1110001101011010010100001110011 2 -b1110001101011010010100001110011 = -b11111011101100011100001101000100 < -b1110001101011010010100001110011 : -b1110101111110110110010100101111 $ -b1110101111110110110010100101111 - -b1110101111110110110010100101111 5 -b1110101111110110110010100101111 ? -b1110101111110110110010100101111 D -b11111011101100011100001101000100 % -b11111011101100011100001101000100 . -b11111011101100011100001101000100 6 -b11111011101100011100001101000100 @ -b11111011101100011100001101000100 F -b1110001101100010100000100000100 ) -#259776000 -0& -#259792000 -b111111011011 , -#259808000 -1& -#259824000 -b110000110110110011000000100101 " -b110000110110110011000000100101 4 -b110000110110110011000000100101 1 -b110000110110110011000000100101 C -b10011111011100101000000000000000 0 -b10011111011100101000000000000000 H -18 -b11110000110110101010111100010100 2 -b11110000110110101010111100010100 = -b111001110111110111000000101111 < -b11110000110110101010111100010100 : -b10110110111110110011111011100101 $ -b10110110111110110011111011100101 - -b10110110111110110011111011100101 5 -b10110110111110110011111011100101 ? -b10110110111110110011111011100101 D -b111001110111110111000000101111 % -b111001110111110111000000101111 . -b111001110111110111000000101111 6 -b111001110111110111000000101111 @ -b111001110111110111000000101111 F -b110000110110110011000000100101 ) -#259840000 -0& -#259856000 -b111111011100 , -#259872000 -1& -#259888000 -b100011111010011001000001100000 " -b100011111010011001000001100000 4 -b100011111010011001000001100000 1 -b100011111010011001000001100000 C -b10110110011000000000000000000000 0 -b10110110011000000000000000000000 H -08 -19 -b10011111111001111000011111010000 2 -b10011111111001111000011111010000 = -b1111011111011011101000101110000 < -b10011111111001111000011111010000 : -b100011111110011011011001100000 $ -b100011111110011011011001100000 - -b100011111110011011011001100000 5 -b100011111110011011011001100000 ? -b100011111110011011011001100000 D -b1111011111011011101000101110000 % -b1111011111011011101000101110000 . -b1111011111011011101000101110000 6 -b1111011111011011101000101110000 @ -b1111011111011011101000101110000 F -b100011111010011001000001100000 ) -#259904000 -0& -#259920000 -b111111011101 , -#259936000 -1& -#259952000 -b1010001011010010001001010000001 " -b1010001011010010001001010000001 4 -b1010001011010010001001010000001 1 -b1010001011010010001001010000001 C -b1101111111000100101001101100000 0 -b1101111111000100101001101100000 H -09 -08 -b1001101011010001101001000100000 2 -b1001101011010001101001000100000 = -b11110001111010011011111110000101 < -b1001101011010001101001000100000 : -b1011011011111110001001010011011 $ -b1011011011111110001001010011011 - -b1011011011111110001001010011011 5 -b1011011011111110001001010011011 ? -b1011011011111110001001010011011 D -b11110001111010011011111110000101 % -b11110001111010011011111110000101 . -b11110001111010011011111110000101 6 -b11110001111010011011111110000101 @ -b11110001111010011011111110000101 F -b1010001011010010001001010000001 ) -#259968000 -0& -#259984000 -b111111011110 , -#260000000 -1& -#260016000 -b11011110010000100000101000001011 " -b11011110010000100000101000001011 4 -b11011110010000100000101000001011 1 -b11011110010000100000101000001011 C -b11101101100001011000000000000000 0 -b11101101100001011000000000000000 H -18 -b11011110001100011110100110011010 2 -b11011110001100011110100110011010 = -b11011111011001100000111010001111 < -b11011110001100011110100110011010 : -b11111110110010111101101100001011 $ -b11111110110010111101101100001011 - -b11111110110010111101101100001011 5 -b11111110110010111101101100001011 ? -b11111110110010111101101100001011 D -b11011111011001100000111010001111 % -b11011111011001100000111010001111 . -b11011111011001100000111010001111 6 -b11011111011001100000111010001111 @ -b11011111011001100000111010001111 F -b11011110010000100000101000001011 ) -#260032000 -0& -#260048000 -b111111011111 , -#260064000 -1& -#260080000 -b1111110011001001001111001011010 " -b1111110011001001001111001011010 4 -b1111110011001001001111001011010 1 -b1111110011001001001111001011010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -b1111110011001001001111001011001 2 -b1111110011001001001111001011001 = -b1111111111111111111111011011110 < -b1111110011001001001111001011001 : -b11111110011001001001111101111011 $ -b11111110011001001001111101111011 - -b11111110011001001001111101111011 5 -b11111110011001001001111101111011 ? -b11111110011001001001111101111011 D -b1111111111111111111111011011110 % -b1111111111111111111111011011110 . -b1111111111111111111111011011110 6 -b1111111111111111111111011011110 @ -b1111111111111111111111011011110 F -b1111110011001001001111001011010 ) -#260096000 -0& -#260112000 -b111111100000 , -#260128000 -1& -#260144000 -b1010101000110110000011000000000 " -b1010101000110110000011000000000 4 -b1010101000110110000011000000000 1 -b1010101000110110000011000000000 C -b10000100000000000000000000000000 0 -b10000100000000000000000000000000 H -b1010100110101101111110111111111 2 -b1010100110101101111110111111111 = -b1111111000110110010011011110111 < -b1010100110101101111110111111111 : -b11010101101110111101011100001000 $ -b11010101101110111101011100001000 - -b11010101101110111101011100001000 5 -b11010101101110111101011100001000 ? -b11010101101110111101011100001000 D -b1111111000110110010011011110111 % -b1111111000110110010011011110111 . -b1111111000110110010011011110111 6 -b1111111000110110010011011110111 @ -b1111111000110110010011011110111 F -b1010101000110110000011000000000 ) -#260160000 -0& -#260176000 -b111111100001 , -#260192000 -1& -#260208000 -b1111100001011100001010010000100 " -b1111100001011100001010010000100 4 -b1111100001011100001010010000100 1 -b1111100001011100001010010000100 C -b11101010110000000000000000000000 0 -b11101010110000000000000000000000 H -b1111100001011000111001110000000 2 -b1111100001011000111001110000000 = -b11111101111111100101010011010100 < -b1111100001011000111001110000000 : -b1111110001011100001111010101100 $ -b1111110001011100001111010101100 - -b1111110001011100001111010101100 5 -b1111110001011100001111010101100 ? -b1111110001011100001111010101100 D -b11111101111111100101010011010100 % -b11111101111111100101010011010100 . -b11111101111111100101010011010100 6 -b11111101111111100101010011010100 @ -b11111101111111100101010011010100 F -b1111100001011100001010010000100 ) -#260224000 -0& -#260240000 -b111111100010 , -#260256000 -1& -#260272000 -b1100000101000110101011010100000 " -b1100000101000110101011010100000 4 -b1100000101000110101011010100000 1 -b1100000101000110101011010100000 C -b11011110111101010010000000000000 0 -b11011110111101010010000000000000 H -19 -08 -b11011111101000110011011001001111 2 -b11011111101000110011011001001111 = -b1111110111001110101011110101011 < -b11011111101000110011011001001111 : -b1100000101110111101111010100100 $ -b1100000101110111101111010100100 - -b1100000101110111101111010100100 5 -b1100000101110111101111010100100 ? -b1100000101110111101111010100100 D -b1111110111001110101011110101011 % -b1111110111001110101011110101011 . -b1111110111001110101011110101011 6 -b1111110111001110101011110101011 @ -b1111110111001110101011110101011 F -b1100000101000110101011010100000 ) -#260288000 -0& -#260304000 -b111111100011 , -#260320000 -1& -#260336000 -b11111100111000000101100001110 " -b11111100111000000101100001110 4 -b11111100111000000101100001110 1 -b11111100111000000101100001110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b11111010110111000101011101100 2 -b11111010110111000101011101100 = -b111111101111100110111101011110 < -b11111010110111000101011101100 : -b11011111100111010001101110001110 $ -b11011111100111010001101110001110 - -b11011111100111010001101110001110 5 -b11011111100111010001101110001110 ? -b11011111100111010001101110001110 D -b111111101111100110111101011110 % -b111111101111100110111101011110 . -b111111101111100110111101011110 6 -b111111101111100110111101011110 @ -b111111101111100110111101011110 F -b11111100111000000101100001110 ) -#260352000 -0& -#260368000 -b111111100100 , -#260384000 -1& -#260400000 -b110010111001010010111100001000 " -b110010111001010010111100001000 4 -b110010111001010010111100001000 1 -b110010111001010010111100001000 C -b11011100101111000011010000000000 0 -b11011100101111000011010000000000 H -18 -b11110010110111000110111001110111 2 -b11110010110111000110111001110111 = -b111010111001010011111101101010 < -b11110010110111000110111001110111 : -b10110111111101110010111100001101 $ -b10110111111101110010111100001101 - -b10110111111101110010111100001101 5 -b10110111111101110010111100001101 ? -b10110111111101110010111100001101 D -b111010111001010011111101101010 % -b111010111001010011111101101010 . -b111010111001010011111101101010 6 -b111010111001010011111101101010 @ -b111010111001010011111101101010 F -b110010111001010010111100001000 ) -#260416000 -0& -#260432000 -b111111100101 , -#260448000 -1& -#260464000 -b1000101101100010010101001000011 " -b1000101101100010010101001000011 4 -b1000101101100010010101001000011 1 -b1000101101100010010101001000011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b110101101100000110101001000010 2 -b110101101100000110101001000010 = -b11001111101111110010111011111111 < -b110101101100000110101001000010 : -b1100101111100010011101101000011 $ -b1100101111100010011101101000011 - -b1100101111100010011101101000011 5 -b1100101111100010011101101000011 ? -b1100101111100010011101101000011 D -b11001111101111110010111011111111 % -b11001111101111110010111011111111 . -b11001111101111110010111011111111 6 -b11001111101111110010111011111111 @ -b11001111101111110010111011111111 F -b1000101101100010010101001000011 ) -#260480000 -0& -#260496000 -b111111100110 , -#260512000 -1& -#260528000 -b1011101110011110001100000001001 " -b1011101110011110001100000001001 4 -b1011101110011110001100000001001 1 -b1011101110011110001100000001001 C -b10111110001100110011011000000000 0 -b10111110001100110011011000000000 H -b1011101110011101111011111000100 2 -b1011101110011101111011111000100 = -b1011111111011111101111000101001 < -b1011101110011101111011111000100 : -b11111101110111110001100110011011 $ -b11111101110111110001100110011011 - -b11111101110111110001100110011011 5 -b11111101110111110001100110011011 ? -b11111101110111110001100110011011 D -b1011111111011111101111000101001 % -b1011111111011111101111000101001 . -b1011111111011111101111000101001 6 -b1011111111011111101111000101001 @ -b1011111111011111101111000101001 F -b1011101110011110001100000001001 ) -#260544000 -0& -#260560000 -b111111100111 , -#260576000 -1& -#260592000 -b10000110110000010100001000000101 " -b10000110110000010100001000000101 4 -b10000110110000010100001000000101 1 -b10000110110000010100001000000101 C -b101100010010101010000000000000 0 -b101100010010101010000000000000 H -18 -b10000110110000010010011000000010 2 -b10000110110000010010011000000010 = -b11101111111001111100001110101101 < -b10000110110000010010011000000010 : -b10010110110110010110001001010101 $ -b10010110110110010110001001010101 - -b10010110110110010110001001010101 5 -b10010110110110010110001001010101 ? -b10010110110110010110001001010101 D -b11101111111001111100001110101101 % -b11101111111001111100001110101101 . -b11101111111001111100001110101101 6 -b11101111111001111100001110101101 @ -b11101111111001111100001110101101 F -b10000110110000010100001000000101 ) -#260608000 -0& -#260624000 -b111111101000 , -#260640000 -1& -#260656000 -b10101001010011111010011000110000 " -b10101001010011111010011000110000 4 -b10101001010011111010011000110000 1 -b10101001010011111010011000110000 C -b10100110011101100000000000000000 0 -b10100110011101100000000000000000 H -b10101001001011110100111000100110 2 -b10101001001011110100111000100110 = -b10111111010111111010011110110000 < -b10101001001011110100111000100110 : -b11101001110011111010011001110110 $ -b11101001110011111010011001110110 - -b11101001110011111010011001110110 5 -b11101001110011111010011001110110 ? -b11101001110011111010011001110110 D -b10111111010111111010011110110000 % -b10111111010111111010011110110000 . -b10111111010111111010011110110000 6 -b10111111010111111010011110110000 @ -b10111111010111111010011110110000 F -b10101001010011111010011000110000 ) -#260672000 -0& -#260688000 -b111111101001 , -#260704000 -1& -#260720000 -b1111010010011110000100000100101 " -b1111010010011110000100000100101 4 -b1111010010011110000100000100101 1 -b1111010010011110000100000100101 C -b11100111100001001110000000000000 0 -b11100111100001001110000000000000 H -08 -19 -b11111010001011110000010100010100 2 -b11111010001011110000010100010100 = -b1111011110011111100100011101101 < -b11111010001011110000010100010100 : -b1111110010111110011110000100111 $ -b1111110010111110011110000100111 - -b1111110010111110011110000100111 5 -b1111110010111110011110000100111 ? -b1111110010111110011110000100111 D -b1111011110011111100100011101101 % -b1111011110011111100100011101101 . -b1111011110011111100100011101101 6 -b1111011110011111100100011101101 @ -b1111011110011111100100011101101 F -b1111010010011110000100000100101 ) -#260736000 -0& -#260752000 -b111111101010 , -#260768000 -1& -#260784000 -b1011010101001110000000001011110 " -b1011010101001110000000001011110 4 -b1011010101001110000000001011110 1 -b1011010101001110000000001011110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b11011010101001100110001111011101 2 -b11011010101001100110001111011101 = -b1011011101111110000001101111110 < -b11011010101001100110001111011101 : -b1111110111001110110000001011111 $ -b1111110111001110110000001011111 - -b1111110111001110110000001011111 5 -b1111110111001110110000001011111 ? -b1111110111001110110000001011111 D -b1011011101111110000001101111110 % -b1011011101111110000001101111110 . -b1011011101111110000001101111110 6 -b1011011101111110000001101111110 @ -b1011011101111110000001101111110 F -b1011010101001110000000001011110 ) -#260800000 -0& -#260816000 -b111111101011 , -#260832000 -1& -#260848000 -b1011101001011110011000100011001 " -b1011101001011110011000100011001 4 -b1011101001011110011000100011001 1 -b1011101001011110011000100011001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11011100101011100110100011011000 2 -b11011100101011100110100011011000 = -b1011111011011110011000100111111 < -b11011100101011100110100011011000 : -b1111101001111110011011110011001 $ -b1111101001111110011011110011001 - -b1111101001111110011011110011001 5 -b1111101001111110011011110011001 ? -b1111101001111110011011110011001 D -b1011111011011110011000100111111 % -b1011111011011110011000100111111 . -b1011111011011110011000100111111 6 -b1011111011011110011000100111111 @ -b1011111011011110011000100111111 F -b1011101001011110011000100011001 ) -#260864000 -0& -#260880000 -b111111101100 , -#260896000 -1& -#260912000 -b111001000101010011000000000000 " -b111001000101010011000000000000 4 -b111001000101010011000000000000 1 -b111001000101010011000000000000 C -b110011000001110000000000000000 0 -b110011000001110000000000000000 H -b10111000110101001110001110110111 2 -b10111000110101001110001110110111 = -b1111001001111111011000010110000 < -b10111000110101001110001110110111 : -b111111100101010011001100000111 $ -b111111100101010011001100000111 - -b111111100101010011001100000111 5 -b111111100101010011001100000111 ? -b111111100101010011001100000111 D -b1111001001111111011000010110000 % -b1111001001111111011000010110000 . -b1111001001111111011000010110000 6 -b1111001001111111011000010110000 @ -b1111001001111111011000010110000 F -b111001000101010011000000000000 ) -#260928000 -0& -#260944000 -b111111101101 , -#260960000 -1& -#260976000 -b111011111111110001110001001000 " -b111011111111110001110001001000 4 -b111011111111110001110001001000 1 -b111011111111110001110001001000 C -b11100111101010010100000000000000 0 -b11100111101010010100000000000000 H -09 -08 -b111011111111110001101110010111 2 -b111011111111110001101110010111 = -b11111111111111111101111001001101 < -b111011111111110001101110010111 : -b111011111111110011110101001010 $ -b111011111111110011110101001010 - -b111011111111110011110101001010 5 -b111011111111110011110101001010 ? -b111011111111110011110101001010 D -b11111111111111111101111001001101 % -b11111111111111111101111001001101 . -b11111111111111111101111001001101 6 -b11111111111111111101111001001101 @ -b11111111111111111101111001001101 F -b111011111111110001110001001000 ) -#260992000 -0& -#261008000 -b111111101110 , -#261024000 -1& -#261040000 -b10101111010101001010000000011000 " -b10101111010101001010000000011000 4 -b10101111010101001010000000011000 1 -b10101111010101001010000000011000 C -b11110000000000000000000000000 0 -b11110000000000000000000000000 H -18 -b10101111001011001000011111110110 2 -b10101111001011001000011111110110 = -b10101111110101101010001111011000 < -b10101111001011001000011111110110 : -b11111111010101011110010000011110 $ -b11111111010101011110010000011110 - -b11111111010101011110010000011110 5 -b11111111010101011110010000011110 ? -b11111111010101011110010000011110 D -b10101111110101101010001111011000 % -b10101111110101101010001111011000 . -b10101111110101101010001111011000 6 -b10101111110101101010001111011000 @ -b10101111110101101010001111011000 F -b10101111010101001010000000011000 ) -#261056000 -0& -#261072000 -b111111101111 , -#261088000 -1& -#261104000 -b1101011101000100000000000100000 " -b1101011101000100000000000100000 4 -b1101011101000100000000000100000 1 -b1101011101000100000000000100000 C -b11101111101010001110100010010000 0 -b11101111101010001110100010010000 H -08 -b1101011101000100000000000000110 2 -b1101011101000100000000000000110 = -b1101111101101111100010111100010 < -b1101011101000100000000000000110 : -b11111011111010100011101000100100 $ -b11111011111010100011101000100100 - -b11111011111010100011101000100100 5 -b11111011111010100011101000100100 ? -b11111011111010100011101000100100 D -b1101111101101111100010111100010 % -b1101111101101111100010111100010 . -b1101111101101111100010111100010 6 -b1101111101101111100010111100010 @ -b1101111101101111100010111100010 F -b1101011101000100000000000100000 ) -#261120000 -0& -#261136000 -b111111110000 , -#261152000 -1& -#261168000 -b10000111001011000011000000000000 " -b10000111001011000011000000000000 4 -b10000111001011000011000000000000 1 -b10000111001011000011000000000000 C -b11110101101101100010001000000000 0 -b11110101101101100010001000000000 H -18 -b10000111001011000010100110010101 2 -b10000111001011000010100110010101 = -b11010111011111100111100010000101 < -b10000111001011000010100110010101 : -b10101111101011011011000100010000 $ -b10101111101011011011000100010000 - -b10101111101011011011000100010000 5 -b10101111101011011011000100010000 ? -b10101111101011011011000100010000 D -b11010111011111100111100010000101 % -b11010111011111100111100010000101 . -b11010111011111100111100010000101 6 -b11010111011111100111100010000101 @ -b11010111011111100111100010000101 F -b10000111001011000011000000000000 ) -#261184000 -0& -#261200000 -b111111110001 , -#261216000 -1& -#261232000 -b110011111111110000110001100101 " -b110011111111110000110001100101 4 -b110011111111110000110001100101 1 -b110011111111110000110001100101 C -b110110100000000000000000000000 0 -b110110100000000000000000000000 H -08 -b110011111111110000101101100100 2 -b110011111111110000101101100100 = -b110011111111110100110011110111 < -b110011111111110000101101100100 : -b11111111111111111011111001101101 $ -b11111111111111111011111001101101 - -b11111111111111111011111001101101 5 -b11111111111111111011111001101101 ? -b11111111111111111011111001101101 D -b110011111111110100110011110111 % -b110011111111110100110011110111 . -b110011111111110100110011110111 6 -b110011111111110100110011110111 @ -b110011111111110100110011110111 F -b110011111111110000110001100101 ) -#261248000 -0& -#261264000 -b111111110010 , -#261280000 -1& -#261296000 -b1110011001011011000000000001100 " -b1110011001011011000000000001100 4 -b1110011001011011000000000001100 1 -b1110011001011011000000000001100 C -b11101100101001110000000000000000 0 -b11101100101001110000000000000000 H -b1110011001011010011111100001010 2 -b1110011001011010011111100001010 = -b1111011101111011000110001101110 < -b1110011001011010011111100001010 : -b11110111011011111011001010011100 $ -b11110111011011111011001010011100 - -b11110111011011111011001010011100 5 -b11110111011011111011001010011100 ? -b11110111011011111011001010011100 D -b1111011101111011000110001101110 % -b1111011101111011000110001101110 . -b1111011101111011000110001101110 6 -b1111011101111011000110001101110 @ -b1111011101111011000110001101110 F -b1110011001011011000000000001100 ) -#261312000 -0& -#261328000 -b111111110011 , -#261344000 -1& -#261360000 -b10001010001111010000101001111001 " -b10001010001111010000101001111001 4 -b10001010001111010000101001111001 1 -b10001010001111010000101001111001 C -b11111010000000000000000000000000 0 -b11111010000000000000000000000000 H -18 -b10001010001110110000011001110110 2 -b10001010001110110000011001110110 = -b10111110101111010001101101111001 < -b10001010001110110000011001110110 : -b11001011011111011110101011111101 $ -b11001011011111011110101011111101 - -b11001011011111011110101011111101 5 -b11001011011111011110101011111101 ? -b11001011011111011110101011111101 D -b10111110101111010001101101111001 % -b10111110101111010001101101111001 . -b10111110101111010001101101111001 6 -b10111110101111010001101101111001 @ -b10111110101111010001101101111001 F -b10001010001111010000101001111001 ) -#261376000 -0& -#261392000 -b111111110100 , -#261408000 -1& -#261424000 -b10010110011001110111100000000000 " -b10010110011001110111100000000000 4 -b10010110011001110111100000000000 1 -b10010110011001110111100000000000 C -b1110111111101111001000010110000 0 -b1110111111101111001000010110000 H -b10001110011001110111000101001111 2 -b10001110011001110111000101001111 = -b11010110111001111111100001000100 < -b10001110011001110111000101001111 : -b10110111011111110111100100001011 $ -b10110111011111110111100100001011 - -b10110111011111110111100100001011 5 -b10110111011111110111100100001011 ? -b10110111011111110111100100001011 D -b11010110111001111111100001000100 % -b11010110111001111111100001000100 . -b11010110111001111111100001000100 6 -b11010110111001111111100001000100 @ -b11010110111001111111100001000100 F -b10010110011001110111100000000000 ) -#261440000 -0& -#261456000 -b111111110101 , -#261472000 -1& -#261488000 -b111100011011010011110010100000 " -b111100011011010011110010100000 4 -b111100011011010011110010100000 1 -b111100011011010011110010100000 C -b11111011111101101111001010100100 0 -b11111011111101101111001010100100 H -08 -19 -b10111100011011001111101110001011 2 -b10111100011011001111101110001011 = -b111101011011110011111011100010 < -b10111100011011001111101110001011 : -b1111110111111011011110010101001 $ -b1111110111111011011110010101001 - -b1111110111111011011110010101001 5 -b1111110111111011011110010101001 ? -b1111110111111011011110010101001 D -b111101011011110011111011100010 % -b111101011011110011111011100010 . -b111101011011110011111011100010 6 -b111101011011110011111011100010 @ -b111101011011110011111011100010 F -b111100011011010011110010100000 ) -#261504000 -0& -#261520000 -b111111110110 , -#261536000 -1& -#261552000 -b1011011000111110010101100000110 " -b1011011000111110010101100000110 4 -b1011011000111110010101100000110 1 -b1011011000111110010101100000110 C -b10011111100111011111111100000000 0 -b10011111100111011111111100000000 H -09 -08 -b1011011000111100110101100000101 2 -b1011011000111100110101100000101 = -b11011011110111110010111100000111 < -b1011011000111100110101100000101 : -b1111111001111110011101111111110 $ -b1111111001111110011101111111110 - -b1111111001111110011101111111110 5 -b1111111001111110011101111111110 ? -b1111111001111110011101111111110 D -b11011011110111110010111100000111 % -b11011011110111110010111100000111 . -b11011011110111110010111100000111 6 -b11011011110111110010111100000111 @ -b11011011110111110010111100000111 F -b1011011000111110010101100000110 ) -#261568000 -0& -#261584000 -b111111110111 , -#261600000 -1& -#261616000 -b1010100110010100001100000000000 " -b1010100110010100001100000000000 4 -b1010100110010100001100000000000 1 -b1010100110010100001100000000000 C -b11101000011101011000010000000000 0 -b11101000011101011000010000000000 H -b1010100110010011111010101101011 2 -b1010100110010011111010101101011 = -b11010110110011111101100000001010 < -b1010100110010011111010101101011 : -b1111101111110100001110101100001 $ -b1111101111110100001110101100001 - -b1111101111110100001110101100001 5 -b1111101111110100001110101100001 ? -b1111101111110100001110101100001 D -b11010110110011111101100000001010 % -b11010110110011111101100000001010 . -b11010110110011111101100000001010 6 -b11010110110011111101100000001010 @ -b11010110110011111101100000001010 F -b1010100110010100001100000000000 ) -#261632000 -0& -#261648000 -b111111111000 , -#261664000 -1& -#261680000 -b1111000010000000000001010100000 " -b1111000010000000000001010100000 4 -b1111000010000000000001010100000 1 -b1111000010000000000001010100000 C -b10101101100000010011111100100000 0 -b10101101100000010011111100100000 H -19 -08 -b11111000001111110111111010010110 2 -b11111000001111110111111010010110 = -b1111101011001110110101010100100 < -b11111000001111110111111010010110 : -b1111010110110000001001111110010 $ -b1111010110110000001001111110010 - -b1111010110110000001001111110010 5 -b1111010110110000001001111110010 ? -b1111010110110000001001111110010 D -b1111101011001110110101010100100 % -b1111101011001110110101010100100 . -b1111101011001110110101010100100 6 -b1111101011001110110101010100100 @ -b1111101011001110110101010100100 F -b1111000010000000000001010100000 ) -#261696000 -0& -#261712000 -b111111111001 , -#261728000 -1& -#261744000 -b11011111001110000000000100001 " -b11011111001110000000000100001 4 -b11011111001110000000000100001 1 -b11011111001110000000000100001 C -b11111000010010010000100000000000 0 -b11111000010010010000100000000000 H -b10011011111001100110111111001100 2 -b10011011111001100110111111001100 = -b1011011111001110110011010101011 < -b10011011111001100110111111001100 : -b111111111111110000100100100001 $ -b111111111111110000100100100001 - -b111111111111110000100100100001 5 -b111111111111110000100100100001 ? -b111111111111110000100100100001 D -b1011011111001110110011010101011 % -b1011011111001110110011010101011 . -b1011011111001110110011010101011 6 -b1011011111001110110011010101011 @ -b1011011111001110110011010101011 F -b11011111001110000000000100001 ) -#261760000 -0& -#261776000 -b111111111010 , -#261792000 -1& -#261808000 -b10010011101111100100110001000010 " -b10010011101111100100110001000010 4 -b10010011101111100100110001000010 1 -b10010011101111100100110001000010 C -b11100000000000000000000000000 0 -b11100000000000000000000000000 H -18 -09 -b10010011101111100100110001000001 2 -b10010011101111100100110001000001 = -b11110111101111111111111101111010 < -b10010011101111100100110001000001 : -b10011011111111100100110011000111 $ -b10011011111111100100110011000111 - -b10011011111111100100110011000111 5 -b10011011111111100100110011000111 ? -b10011011111111100100110011000111 D -b11110111101111111111111101111010 % -b11110111101111111111111101111010 . -b11110111101111111111111101111010 6 -b11110111101111111111111101111010 @ -b11110111101111111111111101111010 F -b10010011101111100100110001000010 ) -#261824000 -0& -#261840000 -b111111111011 , -#261856000 -1& -#261872000 -b1001011001100110100011100000001 " -b1001011001100110100011100000001 4 -b1001011001100110100011100000001 1 -b1001011001100110100011100000001 C -b11100111011010101110010000100000 0 -b11100111011010101110010000100000 H -08 -b1001010011100110011111011100110 2 -b1001010011100110011111011100110 = -b1011011001101111110011111000101 < -b1001010011100110011111011100110 : -b11101111001110110101011100100001 $ -b11101111001110110101011100100001 - -b11101111001110110101011100100001 5 -b11101111001110110101011100100001 ? -b11101111001110110101011100100001 D -b1011011001101111110011111000101 % -b1011011001101111110011111000101 . -b1011011001101111110011111000101 6 -b1011011001101111110011111000101 @ -b1011011001101111110011111000101 F -b1001011001100110100011100000001 ) -#261888000 -0& -#261904000 -b111111111100 , -#261920000 -1& -#261936000 -b110101101000110000001001110000 " -b110101101000110000001001110000 4 -b110101101000110000001001110000 1 -b110101101000110000001001110000 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -19 -08 -b10101101101000110000000101101000 2 -b10101101101000110000000101101000 = -b110101101100110011001011111000 < -b10101101101000110000000101101000 : -b1110111111011111100111001110000 $ -b1110111111011111100111001110000 - -b1110111111011111100111001110000 5 -b1110111111011111100111001110000 ? -b1110111111011111100111001110000 D -b110101101100110011001011111000 % -b110101101100110011001011111000 . -b110101101100110011001011111000 6 -b110101101100110011001011111000 @ -b110101101100110011001011111000 F -b110101101000110000001001110000 ) -#261952000 -0& -#261968000 -b111111111101 , -#261984000 -1& -#262000000 -b1100101111000011110000100101110 " -b1100101111000011110000100101110 4 -b1100101111000011110000100101110 1 -b1100101111000011110000100101110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11100101111000011100010010101100 2 -b11100101111000011100010010101100 = -b1111101111101111110000100111110 < -b11100101111000011100010010101100 : -b1100111111010011110001101101110 $ -b1100111111010011110001101101110 - -b1100111111010011110001101101110 5 -b1100111111010011110001101101110 ? -b1100111111010011110001101101110 D -b1111101111101111110000100111110 % -b1111101111101111110000100111110 . -b1111101111101111110000100111110 6 -b1111101111101111110000100111110 @ -b1111101111101111110000100111110 F -b1100101111000011110000100101110 ) -#262016000 -0& -#262032000 -b111111111110 , -#262048000 -1& -#262064000 -b11101011111110110000010000000001 " -b11101011111110110000010000000001 4 -b11101011111110110000010000000001 1 -b11101011111110110000010000000001 C -b11000110000000000000000000000000 0 -b11000110000000000000000000000000 H -18 -09 -b11010111111110101110001011111100 2 -b11010111111110101110001011111100 = -b11101011111110111000010010011001 < -b11010111111110101110001011111100 : -b11101011111111110101111001100011 $ -b11101011111111110101111001100011 - -b11101011111111110101111001100011 5 -b11101011111111110101111001100011 ? -b11101011111111110101111001100011 D -b11101011111110111000010010011001 % -b11101011111110111000010010011001 . -b11101011111110111000010010011001 6 -b11101011111110111000010010011001 @ -b11101011111110111000010010011001 F -b11101011111110110000010000000001 ) -#262080000 -0& -#262096000 -b111111111111 , -#262112000 -1& -#262128000 -b1111111110111101000000001000010 " -b1111111110111101000000001000010 4 -b1111111110111101000000001000010 1 -b1111111110111101000000001000010 C -b11100010011100000000000000000000 0 -b11100010011100000000000000000000 H -08 -b1111111110111100001111011000001 2 -b1111111110111100001111011000001 = -b11111111111111111000001001110011 < -b1111111110111100001111011000001 : -b1111111110111101001110001001110 $ -b1111111110111101001110001001110 - -b1111111110111101001110001001110 5 -b1111111110111101001110001001110 ? -b1111111110111101001110001001110 D -b11111111111111111000001001110011 % -b11111111111111111000001001110011 . -b11111111111111111000001001110011 6 -b11111111111111111000001001110011 @ -b11111111111111111000001001110011 F -b1111111110111101000000001000010 ) -#262144000 -0& -#262160000 -b1000000000000 , -#262176000 -1& -#262192000 -b1001000001011010000000100000000 " -b1001000001011010000000100000000 4 -b1001000001011010000000100000000 1 -b1001000001011010000000100000000 C -b1010000000100000000000000000000 0 -b1010000000100000000000000000000 H -19 -08 -b11001000001011000011011011010101 2 -b11001000001011000011011011010101 = -b1001000111111110000000111010100 < -b11001000001011000011011011010101 : -b1111111001011010011010100000001 $ -b1111111001011010011010100000001 - -b1111111001011010011010100000001 5 -b1111111001011010011010100000001 ? -b1111111001011010011010100000001 D -b1001000111111110000000111010100 % -b1001000111111110000000111010100 . -b1001000111111110000000111010100 6 -b1001000111111110000000111010100 @ -b1001000111111110000000111010100 F -b1001000001011010000000100000000 ) -#262208000 -0& -#262224000 -b1000000000001 , -#262240000 -1& -#262256000 -b111111100110000000001001001000 " -b111111100110000000001001001000 4 -b111111100110000000001001001000 1 -b111111100110000000001001001000 C -b11101000011110010011000000000000 0 -b11101000011110010011000000000000 H -09 -08 -b1111111100101111110001000010110 2 -b1111111100101111110001000010110 = -b111111110111011100001111001010 < -b1111111100101111110001000010110 : -b111111101110100001111001001100 $ -b111111101110100001111001001100 - -b111111101110100001111001001100 5 -b111111101110100001111001001100 ? -b111111101110100001111001001100 D -b111111110111011100001111001010 % -b111111110111011100001111001010 . -b111111110111011100001111001010 6 -b111111110111011100001111001010 @ -b111111110111011100001111001010 F -b111111100110000000001001001000 ) -#262272000 -0& -#262288000 -b1000000000010 , -#262304000 -1& -#262320000 -b110011000111010010000100100100 " -b110011000111010010000100100100 4 -b110011000111010010000100100100 1 -b110011000111010010000100100100 C -b1101011111001100110011011000000 0 -b1101011111001100110011011000000 H -19 -08 -b10110010111111001001110010011011 2 -b10110010111111001001110010011011 = -b1111111100111010110100101100101 < -b10110010111111001001110010011011 : -b110011010111110011001100110110 $ -b110011010111110011001100110110 - -b110011010111110011001100110110 5 -b110011010111110011001100110110 ? -b110011010111110011001100110110 D -b1111111100111010110100101100101 % -b1111111100111010110100101100101 . -b1111111100111010110100101100101 6 -b1111111100111010110100101100101 @ -b1111111100111010110100101100101 F -b110011000111010010000100100100 ) -#262336000 -0& -#262352000 -b1000000000011 , -#262368000 -1& -#262384000 -b101111001110100100100010000 " -b101111001110100100100010000 4 -b101111001110100100100010000 1 -b101111001110100100100010000 C -b10011000000000000000000000000 0 -b10011000000000000000000000000 H -18 -09 -b11111101110101110010001011001011 2 -b11111101110101110010001011001011 = -b100111111001110101100110111000 < -b11111101110101110010001011001011 : -b11010101111011111100100100010011 $ -b11010101111011111100100100010011 - -b11010101111011111100100100010011 5 -b11010101111011111100100100010011 ? -b11010101111011111100100100010011 D -b100111111001110101100110111000 % -b100111111001110101100110111000 . -b100111111001110101100110111000 6 -b100111111001110101100110111000 @ -b100111111001110101100110111000 F -b101111001110100100100010000 ) -#262400000 -0& -#262416000 -b1000000000100 , -#262432000 -1& -#262448000 -b1111001000110111001100010000011 " -b1111001000110111001100010000011 4 -b1111001000110111001100010000011 1 -b1111001000110111001100010000011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b11111001000110110101100010000010 2 -b11111001000110110101100010000010 = -b1111001101110111001101011011111 < -b11111001000110110101100010000010 : -b1111111010111111011110110100011 $ -b1111111010111111011110110100011 - -b1111111010111111011110110100011 5 -b1111111010111111011110110100011 ? -b1111111010111111011110110100011 D -b1111001101110111001101011011111 % -b1111001101110111001101011011111 . -b1111001101110111001101011011111 6 -b1111001101110111001101011011111 @ -b1111001101110111001101011011111 F -b1111001000110111001100010000011 ) -#262464000 -0& -#262480000 -b1000000000101 , -#262496000 -1& -#262512000 -b1001111010001110000100000000000 " -b1001111010001110000100000000000 4 -b1001111010001110000100000000000 1 -b1001111010001110000100000000000 C -b11010001110100110000101001000000 0 -b11010001110100110000101001000000 H -09 -08 -b1001111010001110000010100101111 2 -b1001111010001110000010100101111 = -b1101111111111111011100100000110 < -b1001111010001110000010100101111 : -b11011111010001110100110000101001 $ -b11011111010001110100110000101001 - -b11011111010001110100110000101001 5 -b11011111010001110100110000101001 ? -b11011111010001110100110000101001 D -b1101111111111111011100100000110 % -b1101111111111111011100100000110 . -b1101111111111111011100100000110 6 -b1101111111111111011100100000110 @ -b1101111111111111011100100000110 F -b1001111010001110000100000000000 ) -#262528000 -0& -#262544000 -b1000000000110 , -#262560000 -1& -#262576000 -b1100001111111000000000101001000 " -b1100001111111000000000101001000 4 -b1100001111111000000000101001000 1 -b1100001111111000000000101001000 C -b11111110001000010101111000000000 0 -b11111110001000010101111000000000 H -b1100001111110111111000010100110 2 -b1100001111110111111000010100110 = -b11100111111111011100111101001000 < -b1100001111110111111000010100110 : -b1111001111111100010000101011110 $ -b1111001111111100010000101011110 - -b1111001111111100010000101011110 5 -b1111001111111100010000101011110 ? -b1111001111111100010000101011110 D -b11100111111111011100111101001000 % -b11100111111111011100111101001000 . -b11100111111111011100111101001000 6 -b11100111111111011100111101001000 @ -b11100111111111011100111101001000 F -b1100001111111000000000101001000 ) -#262592000 -0& -#262608000 -b1000000000111 , -#262624000 -1& -#262640000 -b1011010111111110010100000100000 " -b1011010111111110010100000100000 4 -b1011010111111110010100000100000 1 -b1011010111111110010100000100000 C -b11111110010100110110000000000000 0 -b11111110010100110110000000000000 H -b1011010111111100101011000011001 2 -b1011010111111100101011000011001 = -b1111010111111110010110001101001 < -b1011010111111100101011000011001 : -b11011111111111110010100110110000 $ -b11011111111111110010100110110000 - -b11011111111111110010100110110000 5 -b11011111111111110010100110110000 ? -b11011111111111110010100110110000 D -b1111010111111110010110001101001 % -b1111010111111110010110001101001 . -b1111010111111110010110001101001 6 -b1111010111111110010110001101001 @ -b1111010111111110010110001101001 F -b1011010111111110010100000100000 ) -#262656000 -0& -#262672000 -b1000000001000 , -#262688000 -1& -#262704000 -b11111101010110110000000000000000 " -b11111101010110110000000000000000 4 -b11111101010110110000000000000000 1 -b11111101010110110000000000000000 C -b11111000011010000000000000000000 0 -b11111000011010000000000000000000 H -18 -b11111101010110101111000011011111 2 -b11111101010110101111000011011111 = -b11111111111110110000000000001111 < -b11111101010110101111000011011111 : -b11111101010111111111000011010000 $ -b11111101010111111111000011010000 - -b11111101010111111111000011010000 5 -b11111101010111111111000011010000 ? -b11111101010111111111000011010000 D -b11111111111110110000000000001111 % -b11111111111110110000000000001111 . -b11111111111110110000000000001111 6 -b11111111111110110000000000001111 @ -b11111111111110110000000000001111 F -b11111101010110110000000000000000 ) -#262720000 -0& -#262736000 -b1000000001001 , -#262752000 -1& -#262768000 -b1100011011010100000010000000000 " -b1100011011010100000010000000000 4 -b1100011011010100000010000000000 1 -b1100011011010100000010000000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -19 -b11011110111010010101101110011111 2 -b11011110111010010101101110011111 = -b1100011011011100001010000011011 < -b11011110111010010101101110011111 : -b1111011011110110100011110000100 $ -b1111011011110110100011110000100 - -b1111011011110110100011110000100 5 -b1111011011110110100011110000100 ? -b1111011011110110100011110000100 D -b1100011011011100001010000011011 % -b1100011011011100001010000011011 . -b1100011011011100001010000011011 6 -b1100011011011100001010000011011 @ -b1100011011011100001010000011011 F -b1100011011010100000010000000000 ) -#262784000 -0& -#262800000 -b1000000001010 , -#262816000 -1& -#262832000 -b1111011111000110010001111000011 " -b1111011111000110010001111000011 4 -b1111011111000110010001111000011 1 -b1111011111000110010001111000011 C -b111000000000000000000000000000 0 -b111000000000000000000000000000 H -09 -08 -b1111011110111110000011111000010 2 -b1111011110111110000011111000010 = -b11111111111110110110001111111011 < -b1111011110111110000011111000010 : -b1111011111000111010001111000111 $ -b1111011111000111010001111000111 - -b1111011111000111010001111000111 5 -b1111011111000111010001111000111 ? -b1111011111000111010001111000111 D -b11111111111110110110001111111011 % -b11111111111110110110001111111011 . -b11111111111110110110001111111011 6 -b11111111111110110110001111111011 @ -b11111111111110110110001111111011 F -b1111011111000110010001111000011 ) -#262848000 -0& -#262864000 -b1000000001011 , -#262880000 -1& -#262896000 -b1110000000111110100010000101101 " -b1110000000111110100010000101101 4 -b1110000000111110100010000101101 1 -b1110000000111110100010000101101 C -b10100111100101101000000000000000 0 -b10100111100101101000000000000000 H -19 -08 -b11110000000111101011001101011100 2 -b11110000000111101011001101011100 = -b1110001011111110110010000101111 < -b11110000000111101011001101011100 : -b1111110100111110100111100101101 $ -b1111110100111110100111100101101 - -b1111110100111110100111100101101 5 -b1111110100111110100111100101101 ? -b1111110100111110100111100101101 D -b1110001011111110110010000101111 % -b1110001011111110110010000101111 . -b1110001011111110110010000101111 6 -b1110001011111110110010000101111 @ -b1110001011111110110010000101111 F -b1110000000111110100010000101101 ) -#262912000 -0& -#262928000 -b1000000001100 , -#262944000 -1& -#262960000 -b10000010100110100001000001000000 " -b10000010100110100001000001000000 4 -b10000010100110100001000001000000 1 -b10000010100110100001000001000000 C -b10001011110111110101000101010010 0 -b10001011110111110101000101010010 H -18 -09 -b10000010100110010110000110110010 2 -b10000010100110010110000110110010 = -b11110110101110100001000001100000 < -b10000010100110010110000110110010 : -b10001011110111110101000101010010 $ -b10001011110111110101000101010010 - -b10001011110111110101000101010010 5 -b10001011110111110101000101010010 ? -b10001011110111110101000101010010 D -b11110110101110100001000001100000 % -b11110110101110100001000001100000 . -b11110110101110100001000001100000 6 -b11110110101110100001000001100000 @ -b11110110101110100001000001100000 F -b10000010100110100001000001000000 ) -#262976000 -0& -#262992000 -b1000000001101 , -#263008000 -1& -#263024000 -b1001011100010000000010000000010 " -b1001011100010000000010000000010 4 -b1001011100010000000010000000010 1 -b1001011100010000000010000000010 C -b10101110001100010000000000000000 0 -b10101110001100010000000000000000 H -08 -19 -b11001011100001010110000011110001 2 -b11001011100001010110000011110001 = -b1101111110110000000010010001111 < -b11001011100001010110000011110001 : -b1011011101011010101110001100010 $ -b1011011101011010101110001100010 - -b1011011101011010101110001100010 5 -b1011011101011010101110001100010 ? -b1011011101011010101110001100010 D -b1101111110110000000010010001111 % -b1101111110110000000010010001111 . -b1101111110110000000010010001111 6 -b1101111110110000000010010001111 @ -b1101111110110000000010010001111 F -b1001011100010000000010000000010 ) -#263040000 -0& -#263056000 -b1000000001110 , -#263072000 -1& -#263088000 -b1011000110010010000110000000000 " -b1011000110010010000110000000000 4 -b1011000110010010000110000000000 1 -b1011000110010010000110000000000 C -b10111111111111100110000000000000 0 -b10111111111111100110000000000000 H -09 -08 -b1010100110010010000101101111001 2 -b1010100110010010000101101111001 = -b11111001111010010000110001001001 < -b1010100110010010000101101111001 : -b1011010110111111111111100110000 $ -b1011010110111111111111100110000 - -b1011010110111111111111100110000 5 -b1011010110111111111111100110000 ? -b1011010110111111111111100110000 D -b11111001111010010000110001001001 % -b11111001111010010000110001001001 . -b11111001111010010000110001001001 6 -b11111001111010010000110001001001 @ -b11111001111010010000110001001001 F -b1011000110010010000110000000000 ) -#263104000 -0& -#263120000 -b1000000001111 , -#263136000 -1& -#263152000 -b1011011000100000000000001000 " -b1011011000100000000000001000 4 -b1011011000100000000000001000 1 -b1011011000100000000000001000 C -b10110100000000000000000000000000 0 -b10110100000000000000000000000000 H -b1011011000010110110111000111 2 -b1011011000010110110111000111 = -b111111011111100110100100011010 < -b1011011000010110110111000111 : -b11001011111000110000010010101101 $ -b11001011111000110000010010101101 - -b11001011111000110000010010101101 5 -b11001011111000110000010010101101 ? -b11001011111000110000010010101101 D -b111111011111100110100100011010 % -b111111011111100110100100011010 . -b111111011111100110100100011010 6 -b111111011111100110100100011010 @ -b111111011111100110100100011010 F -b1011011000100000000000001000 ) -#263168000 -0& -#263184000 -b1000000010000 , -#263200000 -1& -#263216000 -b1111110110001110010001010010000 " -b1111110110001110010001010010000 4 -b1111110110001110010001010010000 1 -b1111110110001110010001010010000 C -b10101011101100000000000000000000 0 -b10101011101100000000000000000000 H -19 -08 -b11111110110001100101110101001111 2 -b11111110110001100101110101001111 = -b1111111111111110010001010010100 < -b11111110110001100101110101001111 : -b1111110110001110011101010111011 $ -b1111110110001110011101010111011 - -b1111110110001110011101010111011 5 -b1111110110001110011101010111011 ? -b1111110110001110011101010111011 D -b1111111111111110010001010010100 % -b1111111111111110010001010010100 . -b1111111111111110010001010010100 6 -b1111111111111110010001010010100 @ -b1111111111111110010001010010100 F -b1111110110001110010001010010000 ) -#263232000 -0& -#263248000 -b1000000010001 , -#263264000 -1& -#263280000 -b1110101100011110100000000000010 " -b1110101100011110100000000000010 4 -b1110101100011110100000000000010 1 -b1110101100011110100000000000010 C -b1011000000000000000000 0 -b1011000000000000000000 H -09 -08 -b1110101010111110011001101111101 2 -b1110101010111110011001101111101 = -b11111101110011111111001101110010 < -b1110101010111110011001101111101 : -b1110111100011110100000000001011 $ -b1110111100011110100000000001011 - -b1110111100011110100000000001011 5 -b1110111100011110100000000001011 ? -b1110111100011110100000000001011 D -b11111101110011111111001101110010 % -b11111101110011111111001101110010 . -b11111101110011111111001101110010 6 -b11111101110011111111001101110010 @ -b11111101110011111111001101110010 F -b1110101100011110100000000000010 ) -#263296000 -0& -#263312000 -b1000000010010 , -#263328000 -1& -#263344000 -b1011011111010101001001010011000 " -b1011011111010101001001010011000 4 -b1011011111010101001001010011000 1 -b1011011111010101001001010011000 C -b0 0 -b0 H -b1011011111010100111001001010110 2 -b1011011111010100111001001010110 = -b1011111111011101101001110111110 < -b1011011111010100111001001010110 : -b11111011111110111001111010011000 $ -b11111011111110111001111010011000 - -b11111011111110111001111010011000 5 -b11111011111110111001111010011000 ? -b11111011111110111001111010011000 D -b1011111111011101101001110111110 % -b1011111111011101101001110111110 . -b1011111111011101101001110111110 6 -b1011111111011101101001110111110 @ -b1011111111011101101001110111110 F -b1011011111010101001001010011000 ) -#263360000 -0& -#263376000 -b1000000010011 , -#263392000 -1& -#263408000 -b1010110100010000000000000000000 " -b1010110100010000000000000000000 4 -b1010110100010000000000000000000 1 -b1010110100010000000000000000000 C -b1011001000000100000000000000000 0 -b1011001000000100000000000000000 H -19 -08 -b11010101100000110011100011101011 2 -b11010101100000110011100011101011 = -b1010110110110000001100010101011 < -b11010101100000110011100011101011 : -b1111110101010110010000001000000 $ -b1111110101010110010000001000000 - -b1111110101010110010000001000000 5 -b1111110101010110010000001000000 ? -b1111110101010110010000001000000 D -b1010110110110000001100010101011 % -b1010110110110000001100010101011 . -b1010110110110000001100010101011 6 -b1010110110110000001100010101011 @ -b1010110110110000001100010101011 F -b1010110100010000000000000000000 ) -#263424000 -0& -#263440000 -b1000000010100 , -#263456000 -1& -#263472000 -b111111001011100000010100000001 " -b111111001011100000010100000001 4 -b111111001011100000010100000001 1 -b111111001011100000010100000001 C -b11111001111111011110101011101000 0 -b11111001111111011110101011101000 H -18 -09 -b11111111001011100000001010000000 2 -b11111111001011100000001010000000 = -b111111111011100100010100100011 < -b11111111001011100000001010000000 : -b10111111001111111011110101011101 $ -b10111111001111111011110101011101 - -b10111111001111111011110101011101 5 -b10111111001111111011110101011101 ? -b10111111001111111011110101011101 D -b111111111011100100010100100011 % -b111111111011100100010100100011 . -b111111111011100100010100100011 6 -b111111111011100100010100100011 @ -b111111111011100100010100100011 F -b111111001011100000010100000001 ) -#263488000 -0& -#263504000 -b1000000010101 , -#263520000 -1& -#263536000 -b100110001101101000110001001100 " -b100110001101101000110001001100 4 -b100110001101101000110001001100 1 -b100110001101101000110001001100 C -b1111001111001001111000000000000 0 -b1111001111001001111000000000000 H -08 -19 -b10011101001101100100101100011011 2 -b10011101001101100100101100011011 = -b1100110001111101010110011001100 < -b10011101001101100100101100011011 : -b110110111101111001111001001111 $ -b110110111101111001111001001111 - -b110110111101111001111001001111 5 -b110110111101111001111001001111 ? -b110110111101111001111001001111 D -b1100110001111101010110011001100 % -b1100110001111101010110011001100 . -b1100110001111101010110011001100 6 -b1100110001111101010110011001100 @ -b1100110001111101010110011001100 F -b100110001101101000110001001100 ) -#263552000 -0& -#263568000 -b1000000010110 , -#263584000 -1& -#263600000 -b1001000001011110111011110111001 " -b1001000001011110111011110111001 4 -b1001000001011110111011110111001 1 -b1001000001011110111011110111001 C -b1111110000000000000000000000000 0 -b1111110000000000000000000000000 H -09 -08 -b1000100001011110110111101111000 2 -b1000100001011110110111101111000 = -b1011010101011110111011110111001 < -b1000100001011110110111101111000 : -b11101001011111111111011110111111 $ -b11101001011111111111011110111111 - -b11101001011111111111011110111111 5 -b11101001011111111111011110111111 ? -b11101001011111111111011110111111 D -b1011010101011110111011110111001 % -b1011010101011110111011110111001 . -b1011010101011110111011110111001 6 -b1011010101011110111011110111001 @ -b1011010101011110111011110111001 F -b1001000001011110111011110111001 ) -#263616000 -0& -#263632000 -b1000000010111 , -#263648000 -1& -#263664000 -b1101101011000100000000100010000 " -b1101101011000100000000100010000 4 -b1101101011000100000000100010000 1 -b1101101011000100000000100010000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b1101101011000011110111011101110 2 -b1101101011000011110111011101110 = -b11101101011001100010110111011100 < -b1101101011000011110111011101110 : -b1111111111110111100000100010010 $ -b1111111111110111100000100010010 - -b1111111111110111100000100010010 5 -b1111111111110111100000100010010 ? -b1111111111110111100000100010010 D -b11101101011001100010110111011100 % -b11101101011001100010110111011100 . -b11101101011001100010110111011100 6 -b11101101011001100010110111011100 @ -b11101101011001100010110111011100 F -b1101101011000100000000100010000 ) -#263680000 -0& -#263696000 -b1000000011000 , -#263712000 -1& -#263728000 -b10001111111111111000000100000011 " -b10001111111111111000000100000011 4 -b10001111111111111000000100000011 1 -b10001111111111111000000100000011 C -b11000010110000011000000000000000 0 -b11000010110000011000000000000000 H -18 -b10001111111111110111011011110010 2 -b10001111111111110111011011110010 = -b10001111111111111111000101101111 < -b10001111111111110111011011110010 : -b11111111111111111000010110000011 $ -b11111111111111111000010110000011 - -b11111111111111111000010110000011 5 -b11111111111111111000010110000011 ? -b11111111111111111000010110000011 D -b10001111111111111111000101101111 % -b10001111111111111111000101101111 . -b10001111111111111111000101101111 6 -b10001111111111111111000101101111 @ -b10001111111111111111000101101111 F -b10001111111111111000000100000011 ) -#263744000 -0& -#263760000 -b1000000011001 , -#263776000 -1& -#263792000 -b10010000011111010001100011000000 " -b10010000011111010001100011000000 4 -b10010000011111010001100011000000 1 -b10010000011111010001100011000000 C -b11010011100111100011000000000000 0 -b11010011100111100011000000000000 H -19 -18 -b1010000011111001101100010101111 2 -b1010000011111001101100010101111 = -b10010100011111111001111011001100 < -b1010000011111001101100010101111 : -b10111011111111010011100111100011 $ -b10111011111111010011100111100011 - -b10111011111111010011100111100011 5 -b10111011111111010011100111100011 ? -b10111011111111010011100111100011 D -b10010100011111111001111011001100 % -b10010100011111111001111011001100 . -b10010100011111111001111011001100 6 -b10010100011111111001111011001100 @ -b10010100011111111001111011001100 F -b10010000011111010001100011000000 ) -#263808000 -0& -#263824000 -b1000000011010 , -#263840000 -1& -#263856000 -b1101011111001110001010100000100 " -b1101011111001110001010100000100 4 -b1101011111001110001010100000100 1 -b1101011111001110001010100000100 C -b1111100111010101010000110000000 0 -b1111100111010101010000110000000 H -08 -09 -b1101011110101101110110001010001 2 -b1101011110101101110110001010001 = -b11111111111011111001011101000101 < -b1101011110101101110110001010001 : -b1101011111001110101010100001100 $ -b1101011111001110101010100001100 - -b1101011111001110101010100001100 5 -b1101011111001110101010100001100 ? -b1101011111001110101010100001100 D -b11111111111011111001011101000101 % -b11111111111011111001011101000101 . -b11111111111011111001011101000101 6 -b11111111111011111001011101000101 @ -b11111111111011111001011101000101 F -b1101011111001110001010100000100 ) -#263872000 -0& -#263888000 -b1000000011011 , -#263904000 -1& -#263920000 -b1110010001011111001000000000111 " -b1110010001011111001000000000111 4 -b1110010001011111001000000000111 1 -b1110010001011111001000000000111 C -b10111110110000101001110000000 0 -b10111110110000101001110000000 H -b1101001011011111000010110101110 2 -b1101001011011111000010110101110 = -b11110111001111111101010100000111 < -b1101001011011111000010110101110 : -b1110010001011111011000010100111 $ -b1110010001011111011000010100111 - -b1110010001011111011000010100111 5 -b1110010001011111011000010100111 ? -b1110010001011111011000010100111 D -b11110111001111111101010100000111 % -b11110111001111111101010100000111 . -b11110111001111111101010100000111 6 -b11110111001111111101010100000111 @ -b11110111001111111101010100000111 F -b1110010001011111001000000000111 ) -#263936000 -0& -#263952000 -b1000000011100 , -#263968000 -1& -#263984000 -b1101001010000110000100100000100 " -b1101001010000110000100100000100 4 -b1101001010000110000100100000100 1 -b1101001010000110000100100000100 C -b11101000111001011011110110000000 0 -b11101000111001011011110110000000 H -b1101001000010100011011011110001 2 -b1101001000010100011011011110001 = -b1111001110000110000100100000101 < -b1101001000010100011011011110001 : -b11101111010001110010110111101100 $ -b11101111010001110010110111101100 - -b11101111010001110010110111101100 5 -b11101111010001110010110111101100 ? -b11101111010001110010110111101100 D -b1111001110000110000100100000101 % -b1111001110000110000100100000101 . -b1111001110000110000100100000101 6 -b1111001110000110000100100000101 @ -b1111001110000110000100100000101 F -b1101001010000110000100100000100 ) -#264000000 -0& -#264016000 -b1000000011101 , -#264032000 -1& -#264048000 -b1000100101111100001101000110001 " -b1000100101111100001101000110001 4 -b1000100101111100001101000110001 1 -b1000100101111100001101000110001 C -b10111110011111100000000000000000 0 -b10111110011111100000000000000000 H -19 -08 -b11000100011111010111100101110000 2 -b11000100011111010111100101110000 = -b1100111101111110001101000110001 < -b11000100011111010111100101110000 : -b1011100101111100101111100111111 $ -b1011100101111100101111100111111 - -b1011100101111100101111100111111 5 -b1011100101111100101111100111111 ? -b1011100101111100101111100111111 D -b1100111101111110001101000110001 % -b1100111101111110001101000110001 . -b1100111101111110001101000110001 6 -b1100111101111110001101000110001 @ -b1100111101111110001101000110001 F -b1000100101111100001101000110001 ) -#264064000 -0& -#264080000 -b1000000011110 , -#264096000 -1& -#264112000 -b1011000101110000010000001101 " -b1011000101110000010000001101 4 -b1011000101110000010000001101 1 -b1011000101110000010000001101 C -b11101010101001011010000000000000 0 -b11101010101001011010000000000000 H -09 -08 -b110110011100110000101111010 2 -b110110011100110000101111010 = -b1001011100101110000110001001101 < -b110110011100110000101111010 : -b10111011001101110101010100101101 $ -b10111011001101110101010100101101 - -b10111011001101110101010100101101 5 -b10111011001101110101010100101101 ? -b10111011001101110101010100101101 D -b1001011100101110000110001001101 % -b1001011100101110000110001001101 . -b1001011100101110000110001001101 6 -b1001011100101110000110001001101 @ -b1001011100101110000110001001101 F -b1011000101110000010000001101 ) -#264128000 -0& -#264144000 -b1000000011111 , -#264160000 -1& -#264176000 -b1110110100111110100000000000000 " -b1110110100111110100000000000000 4 -b1110110100111110100000000000000 1 -b1110110100111110100000000000000 C -b11111100011100000000000000000000 0 -b11111100011100000000000000000000 H -b1110110010111110010111101101100 2 -b1110110010111110010111101101100 = -b1110110100111110110100001101100 < -b1110110010111110010111101101100 : -b11111111101111111100011100000000 $ -b11111111101111111100011100000000 - -b11111111101111111100011100000000 5 -b11111111101111111100011100000000 ? -b11111111101111111100011100000000 D -b1110110100111110110100001101100 % -b1110110100111110110100001101100 . -b1110110100111110110100001101100 6 -b1110110100111110110100001101100 @ -b1110110100111110110100001101100 F -b1110110100111110100000000000000 ) -#264192000 -0& -#264208000 -b1000000100000 , -#264224000 -1& -#264240000 -b1001111011110110100100010000000 " -b1001111011110110100100010000000 4 -b1001111011110110100100010000000 1 -b1001111011110110100100010000000 C -b11111111101111111000100000100000 0 -b11111111101111111000100000100000 H -b1001111011110110100001101100110 2 -b1001111011110110100001101100110 = -b1011111011111110100101011100100 < -b1001111011110110100001101100110 : -b11101111111110111111100010000010 $ -b11101111111110111111100010000010 - -b11101111111110111111100010000010 5 -b11101111111110111111100010000010 ? -b11101111111110111111100010000010 D -b1011111011111110100101011100100 % -b1011111011111110100101011100100 . -b1011111011111110100101011100100 6 -b1011111011111110100101011100100 @ -b1011111011111110100101011100100 F -b1001111011110110100100010000000 ) -#264256000 -0& -#264272000 -b1000000100001 , -#264288000 -1& -#264304000 -b10111010111000110100000000010000 " -b10111010111000110100000000010000 4 -b10111010111000110100000000010000 1 -b10111010111000110100000000010000 C -b1010101010101100000000000000000 0 -b1010101010101100000000000000000 H -18 -b10111010111000101011110110000110 2 -b10111010111000101011110110000110 = -b10111011111110110110100000110000 < -b10111010111000101011110110000110 : -b11111110111001110101010101010110 $ -b11111110111001110101010101010110 - -b11111110111001110101010101010110 5 -b11111110111001110101010101010110 ? -b11111110111001110101010101010110 D -b10111011111110110110100000110000 % -b10111011111110110110100000110000 . -b10111011111110110110100000110000 6 -b10111011111110110110100000110000 @ -b10111011111110110110100000110000 F -b10111010111000110100000000010000 ) -#264320000 -0& -#264336000 -b1000000100010 , -#264352000 -1& -#264368000 -b1100010000011010100010000011001 " -b1100010000011010100010000011001 4 -b1100010000011010100010000011001 1 -b1100010000011010100010000011001 C -b1110110000000000000000000000000 0 -b1110110000000000000000000000000 H -08 -19 -b11100001101111001011001101010100 2 -b11100001101111001011001101010100 = -b1110010101011110100011000011001 < -b11100001101111001011001101010100 : -b1101111000011010110110100111011 $ -b1101111000011010110110100111011 - -b1101111000011010110110100111011 5 -b1101111000011010110110100111011 ? -b1101111000011010110110100111011 D -b1110010101011110100011000011001 % -b1110010101011110100011000011001 . -b1110010101011110100011000011001 6 -b1110010101011110100011000011001 @ -b1110010101011110100011000011001 F -b1100010000011010100010000011001 ) -#264384000 -0& -#264400000 -b1000000100011 , -#264416000 -1& -#264432000 -b1001101111001110001100100101110 " -b1001101111001110001100100101110 4 -b1001101111001110001100100101110 1 -b1001101111001110001100100101110 C -b10101111100101110000000000000000 0 -b10101111100101110000000000000000 H -09 -08 -b1001011111001110001100100011101 2 -b1001011111001110001100100011101 = -b11011101111011111011100111101111 < -b1001011111001110001100100011101 : -b1101101111101110101111100101110 $ -b1101101111101110101111100101110 - -b1101101111101110101111100101110 5 -b1101101111101110101111100101110 ? -b1101101111101110101111100101110 D -b11011101111011111011100111101111 % -b11011101111011111011100111101111 . -b11011101111011111011100111101111 6 -b11011101111011111011100111101111 @ -b11011101111011111011100111101111 F -b1001101111001110001100100101110 ) -#264448000 -0& -#264464000 -b1000000100100 , -#264480000 -1& -#264496000 -b100110011100010000000000001000 " -b100110011100010000000000001000 4 -b100110011100010000000000001000 1 -b100110011100010000000000001000 C -b11000100011101010111010000000000 0 -b11000100011101010111010000000000 H -b11110011010001101110111100111 2 -b11110011010001101110111100111 = -b11110110111101111100000010001010 < -b11110011010001101110111100111 : -b100111011100010001110101011101 $ -b100111011100010001110101011101 - -b100111011100010001110101011101 5 -b100111011100010001110101011101 ? -b100111011100010001110101011101 D -b11110110111101111100000010001010 % -b11110110111101111100000010001010 . -b11110110111101111100000010001010 6 -b11110110111101111100000010001010 @ -b11110110111101111100000010001010 F -b100110011100010000000000001000 ) -#264512000 -0& -#264528000 -b1000000100101 , -#264544000 -1& -#264560000 -b110101010101000000000100001000 " -b110101010101000000000100001000 4 -b110101010101000000000100001000 1 -b110101010101000000000100001000 C -b11011100001101010101101100000000 0 -b11011100001101010101101100000000 H -b110101001100101111100011100011 2 -b110101001100101111100011100011 = -b110111010101101100001110001000 < -b110101001100101111100011100011 : -b11111101110111000011010101011011 $ -b11111101110111000011010101011011 - -b11111101110111000011010101011011 5 -b11111101110111000011010101011011 ? -b11111101110111000011010101011011 D -b110111010101101100001110001000 % -b110111010101101100001110001000 . -b110111010101101100001110001000 6 -b110111010101101100001110001000 @ -b110111010101101100001110001000 F -b110101010101000000000100001000 ) -#264576000 -0& -#264592000 -b1000000100110 , -#264608000 -1& -#264624000 -b11111110110010000101000000010 " -b11111110110010000101000000010 4 -b11111110110010000101000000010 1 -b11111110110010000101000000010 C -b11111111011001010111111001111000 0 -b11111111011001010111111001111000 H -18 -b11011111110101100110101000000000 2 -b11011111110101100110101000000000 = -b10011111111111010000101001100010 < -b11011111110101100110101000000000 : -b111111110110010101111110011110 $ -b111111110110010101111110011110 - -b111111110110010101111110011110 5 -b111111110110010101111110011110 ? -b111111110110010101111110011110 D -b10011111111111010000101001100010 % -b10011111111111010000101001100010 . -b10011111111111010000101001100010 6 -b10011111111111010000101001100010 @ -b10011111111111010000101001100010 F -b11111110110010000101000000010 ) -#264640000 -0& -#264656000 -b1000000100111 , -#264672000 -1& -#264688000 -b11111111110111000000000100101001 " -b11111111110111000000000100101001 4 -b11111111110111000000000100101001 1 -b11111111110111000000000100101001 C -b10111100000011100101111000000000 0 -b10111100000011100101111000000000 H -b11111111110110111111100100011000 2 -b11111111110110111111100100011000 = -b11111111111111011111000111101001 < -b11111111110110111111100100011000 : -b11111111110111100000011100101111 $ -b11111111110111100000011100101111 - -b11111111110111100000011100101111 5 -b11111111110111100000011100101111 ? -b11111111110111100000011100101111 D -b11111111111111011111000111101001 % -b11111111111111011111000111101001 . -b11111111111111011111000111101001 6 -b11111111111111011111000111101001 @ -b11111111111111011111000111101001 F -b11111111110111000000000100101001 ) -#264704000 -0& -#264720000 -b1000000101000 , -#264736000 -1& -#264752000 -b111100000010100100000000000000 " -b111100000010100100000000000000 4 -b111100000010100100000000000000 1 -b111100000010100100000000000000 C -b11110101101011010000010000010100 0 -b11110101101011010000010000010100 H -08 -b111100000001100010101111000111 2 -b111100000001100010101111000111 = -b11111110100110101110101011000010 < -b111100000001100010101111000111 : -b111101011010110100000100000101 $ -b111101011010110100000100000101 - -b111101011010110100000100000101 5 -b111101011010110100000100000101 ? -b111101011010110100000100000101 D -b11111110100110101110101011000010 % -b11111110100110101110101011000010 . -b11111110100110101110101011000010 6 -b11111110100110101110101011000010 @ -b11111110100110101110101011000010 F -b111100000010100100000000000000 ) -#264768000 -0& -#264784000 -b1000000101001 , -#264800000 -1& -#264816000 -b1000011111001110100000011111110 " -b1000011111001110100000011111110 4 -b1000011111001110100000011111110 1 -b1000011111001110100000011111110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b101011110101110010000011111101 2 -b101011110101110010000011111101 = -b1100111111001111100100011111110 < -b101011110101110010000011111101 : -b11000011111011110101011111111111 $ -b11000011111011110101011111111111 - -b11000011111011110101011111111111 5 -b11000011111011110101011111111111 ? -b11000011111011110101011111111111 D -b1100111111001111100100011111110 % -b1100111111001111100100011111110 . -b1100111111001111100100011111110 6 -b1100111111001111100100011111110 @ -b1100111111001111100100011111110 F -b1000011111001110100000011111110 ) -#264832000 -0& -#264848000 -b1000000101010 , -#264864000 -1& -#264880000 -b1111100110011010101000000001000 " -b1111100110011010101000000001000 4 -b1111100110011010101000000001000 1 -b1111100110011010101000000001000 C -b11010111101010001011000000000000 0 -b11010111101010001011000000000000 H -b1111100110011001100101111110111 2 -b1111100110011001100101111110111 = -b1111100111011110101000101101100 < -b1111100110011001100101111110111 : -b11111111110111010111101010001011 $ -b11111111110111010111101010001011 - -b11111111110111010111101010001011 5 -b11111111110111010111101010001011 ? -b11111111110111010111101010001011 D -b1111100111011110101000101101100 % -b1111100111011110101000101101100 . -b1111100111011110101000101101100 6 -b1111100111011110101000101101100 @ -b1111100111011110101000101101100 F -b1111100110011010101000000001000 ) -#264896000 -0& -#264912000 -b1000000101011 , -#264928000 -1& -#264944000 -b10011000100110101100000111001100 " -b10011000100110101100000111001100 4 -b10011000100110101100000111001100 1 -b10011000100110101100000111001100 C -b11110011111101110000000000000000 0 -b11110011111101110000000000000000 H -18 -b10010110011110101100000110101010 2 -b10010110011110101100000110101010 = -b10011101110111101111000111001110 < -b10010110011110101100000110101010 : -b11111000100110111100111111011100 $ -b11111000100110111100111111011100 - -b11111000100110111100111111011100 5 -b11111000100110111100111111011100 ? -b11111000100110111100111111011100 D -b10011101110111101111000111001110 % -b10011101110111101111000111001110 . -b10011101110111101111000111001110 6 -b10011101110111101111000111001110 @ -b10011101110111101111000111001110 F -b10011000100110101100000111001100 ) -#264960000 -0& -#264976000 -b1000000101100 , -#264992000 -1& -#265008000 -b1001111111001011100000110000100 " -b1001111111001011100000110000100 4 -b1001111111001011100000110000100 1 -b1001111111001011100000110000100 C -b11111110111111011101110011100000 0 -b11111110111111011101110011100000 H -08 -19 -b11001111110101011100000101010010 2 -b11001111110101011100000101010010 = -b1101111111001011110001110000100 < -b11001111110101011100000101010010 : -b1011111111011111101110111001110 $ -b1011111111011111101110111001110 - -b1011111111011111101110111001110 5 -b1011111111011111101110111001110 ? -b1011111111011111101110111001110 D -b1101111111001011110001110000100 % -b1101111111001011110001110000100 . -b1101111111001011110001110000100 6 -b1101111111001011110001110000100 @ -b1101111111001011110001110000100 F -b1001111111001011100000110000100 ) -#265024000 -0& -#265040000 -b1000000101101 , -#265056000 -1& -#265072000 -b1111111100111110000001000100000 " -b1111111100111110000001000100000 4 -b1111111100111110000001000100000 1 -b1111111100111110000001000100000 C -b10001010000000000000000000000000 0 -b10001010000000000000000000000000 H -09 -08 -b1111111100111101101100110011110 2 -b1111111100111101101100110011110 = -b11111111101111111000011101110110 < -b1111111100111101101100110011110 : -b1111111110111110101001000101000 $ -b1111111110111110101001000101000 - -b1111111110111110101001000101000 5 -b1111111110111110101001000101000 ? -b1111111110111110101001000101000 D -b11111111101111111000011101110110 % -b11111111101111111000011101110110 . -b11111111101111111000011101110110 6 -b11111111101111111000011101110110 @ -b11111111101111111000011101110110 F -b1111111100111110000001000100000 ) -#265088000 -0& -#265104000 -b1000000101110 , -#265120000 -1& -#265136000 -b1010011100010010010001011110000 " -b1010011100010010010001011110000 4 -b1010011100010010010001011110000 1 -b1010011100010010010001011110000 C -b1001101111010000000000000000000 0 -b1001101111010000000000000000000 H -b1010011011010001101000111100101 2 -b1010011011010001101000111100101 = -b11011111100110011010101011110001 < -b1010011011010001101000111100101 : -b1110011110011110010011011110100 $ -b1110011110011110010011011110100 - -b1110011110011110010011011110100 5 -b1110011110011110010011011110100 ? -b1110011110011110010011011110100 D -b11011111100110011010101011110001 % -b11011111100110011010101011110001 . -b11011111100110011010101011110001 6 -b11011111100110011010101011110001 @ -b11011111100110011010101011110001 F -b1010011100010010010001011110000 ) -#265152000 -0& -#265168000 -b1000000101111 , -#265184000 -1& -#265200000 -b11111011101101110000000000011000 " -b11111011101101110000000000011000 4 -b11111011101101110000000000011000 1 -b11111011101101110000000000011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b11111011011101101110011101110111 2 -b11111011011101101110011101110111 = -b11111111101111111000011100011101 < -b11111011011101101110011101110111 : -b11111011101101110110000001011010 $ -b11111011101101110110000001011010 - -b11111011101101110110000001011010 5 -b11111011101101110110000001011010 ? -b11111011101101110110000001011010 D -b11111111101111111000011100011101 % -b11111111101111111000011100011101 . -b11111111101111111000011100011101 6 -b11111111101111111000011100011101 @ -b11111111101111111000011100011101 F -b11111011101101110000000000011000 ) -#265216000 -0& -#265232000 -b1000000110000 , -#265248000 -1& -#265264000 -b10111111110101010000000001010000 " -b10111111110101010000000001010000 4 -b10111111110101010000000001010000 1 -b10111111110101010000000001010000 C -b10101000110100000000000000000000 0 -b10101000110100000000000000000000 H -b10111111110011001110111101000000 2 -b10111111110011001110111101000000 = -b11111111110101110100011001110000 < -b10111111110011001110111101000000 : -b10111111111101011010100011010000 $ -b10111111111101011010100011010000 - -b10111111111101011010100011010000 5 -b10111111111101011010100011010000 ? -b10111111111101011010100011010000 D -b11111111110101110100011001110000 % -b11111111110101110100011001110000 . -b11111111110101110100011001110000 6 -b11111111110101110100011001110000 @ -b11111111110101110100011001110000 F -b10111111110101010000000001010000 ) -#265280000 -0& -#265296000 -b1000000110001 , -#265312000 -1& -#265328000 -b111010101011110000010010000000 " -b111010101011110000010010000000 4 -b111010101011110000010010000000 1 -b111010101011110000010010000000 C -b1011111100110010010010000000000 0 -b1011111100110010010010000000000 H -08 -b111010010111110000001100111011 2 -b111010010111110000001100111011 = -b10111111101011110011011010101001 < -b111010010111110000001100111011 : -b1111010101011111100110010010010 $ -b1111010101011111100110010010010 - -b1111010101011111100110010010010 5 -b1111010101011111100110010010010 ? -b1111010101011111100110010010010 D -b10111111101011110011011010101001 % -b10111111101011110011011010101001 . -b10111111101011110011011010101001 6 -b10111111101011110011011010101001 @ -b10111111101011110011011010101001 F -b111010101011110000010010000000 ) -#265344000 -0& -#265360000 -b1000000110010 , -#265376000 -1& -#265392000 -b10100011000100010100100000000000 " -b10100011000100010100100000000000 4 -b10100011000100010100100000000000 1 -b10100011000100010100100000000000 C -b11011011110001111011000000000 0 -b11011011110001111011000000000 H -18 -b10100011000011010100001011111110 2 -b10100011000011010100001011111110 = -b10111011111100011100101000001000 < -b10100011000011010100001011111110 : -b11100111000110110111100011110110 $ -b11100111000110110111100011110110 - -b11100111000110110111100011110110 5 -b11100111000110110111100011110110 ? -b11100111000110110111100011110110 D -b10111011111100011100101000001000 % -b10111011111100011100101000001000 . -b10111011111100011100101000001000 6 -b10111011111100011100101000001000 @ -b10111011111100011100101000001000 F -b10100011000100010100100000000000 ) -#265408000 -0& -#265424000 -b1000000110011 , -#265440000 -1& -#265456000 -b1101110111011010101100101010010 " -b1101110111011010101100101010010 4 -b1101110111011010101100101010010 1 -b1101110111011010101100101010010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b1101110111011001101100101010000 2 -b1101110111011001101100101010000 = -b1101110111111010101110101011110 < -b1101110111011001101100101010000 : -b11111111111011110111101111110010 $ -b11111111111011110111101111110010 - -b11111111111011110111101111110010 5 -b11111111111011110111101111110010 ? -b11111111111011110111101111110010 D -b1101110111111010101110101011110 % -b1101110111111010101110101011110 . -b1101110111111010101110101011110 6 -b1101110111111010101110101011110 @ -b1101110111111010101110101011110 F -b1101110111011010101100101010010 ) -#265472000 -0& -#265488000 -b1000000110100 , -#265504000 -1& -#265520000 -b1100010110110110000000001111000 " -b1100010110110110000000001111000 4 -b1100010110110110000000001111000 1 -b1100010110110110000000001111000 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -b1100010101101100000001111110001 2 -b1100010101101100000001111110001 = -b1100110110110110000001101111001 < -b1100010101101100000001111110001 : -b11111011110110110000000001111000 $ -b11111011110110110000000001111000 - -b11111011110110110000000001111000 5 -b11111011110110110000000001111000 ? -b11111011110110110000000001111000 D -b1100110110110110000001101111001 % -b1100110110110110000001101111001 . -b1100110110110110000001101111001 6 -b1100110110110110000001101111001 @ -b1100110110110110000001101111001 F -b1100010110110110000000001111000 ) -#265536000 -0& -#265552000 -b1000000110101 , -#265568000 -1& -#265584000 -b111101101001010111011000010100 " -b111101101001010111011000010100 4 -b111101101001010111011000010100 1 -b111101101001010111011000010100 C -b1100011110100000000000000000000 0 -b1100011110100000000000000000000 H -19 -08 -b10111101100111010111010011010001 2 -b10111101100111010111010011010001 = -b1111101101101110111111010010100 < -b10111101100111010111010011010001 : -b111111111001011111011000111101 $ -b111111111001011111011000111101 - -b111111111001011111011000111101 5 -b111111111001011111011000111101 ? -b111111111001011111011000111101 D -b1111101101101110111111010010100 % -b1111101101101110111111010010100 . -b1111101101101110111111010010100 6 -b1111101101101110111111010010100 @ -b1111101101101110111111010010100 F -b111101101001010111011000010100 ) -#265600000 -0& -#265616000 -b1000000110110 , -#265632000 -1& -#265648000 -b1000101000111001001100000000000 " -b1000101000111001001100000000000 4 -b1000101000111001001100000000000 1 -b1000101000111001001100000000000 C -b1110101101111001011100100001011 0 -b1110101101111001011100100001011 H -09 -08 -b1000101000111000101010110101011 2 -b1000101000111000101010110101011 = -b11001111010111111001110010100000 < -b1000101000111000101010110101011 : -b1110101101111001011100100001011 $ -b1110101101111001011100100001011 - -b1110101101111001011100100001011 5 -b1110101101111001011100100001011 ? -b1110101101111001011100100001011 D -b11001111010111111001110010100000 % -b11001111010111111001110010100000 . -b11001111010111111001110010100000 6 -b11001111010111111001110010100000 @ -b11001111010111111001110010100000 F -b1000101000111001001100000000000 ) -#265664000 -0& -#265680000 -b1000000110111 , -#265696000 -1& -#265712000 -b1101101110001110010000000000100 " -b1101101110001110010000000000100 4 -b1101101110001110010000000000100 1 -b1101101110001110010000000000100 C -b11111100111101110111010001000000 0 -b11111100111101110111010001000000 H -b1011101110001110001011111101000 2 -b1011101110001110001011111101000 = -b1101101111101111010000010100100 < -b1011101110001110001011111101000 : -b11101111110011110111011101000100 $ -b11101111110011110111011101000100 - -b11101111110011110111011101000100 5 -b11101111110011110111011101000100 ? -b11101111110011110111011101000100 D -b1101101111101111010000010100100 % -b1101101111101111010000010100100 . -b1101101111101111010000010100100 6 -b1101101111101111010000010100100 @ -b1101101111101111010000010100100 F -b1101101110001110010000000000100 ) -#265728000 -0& -#265744000 -b1000000111000 , -#265760000 -1& -#265776000 -b1110010111000010100000000010 " -b1110010111000010100000000010 4 -b1110010111000010100000000010 1 -b1110010111000010100000000010 C -b0 0 -b0 H -18 -b11001110010110111110011111100001 2 -b11001110010110111110011111100001 = -b11110110111111010100010011111 < -b11001110010110111110011111100001 : -b10101111011111000011111101000010 $ -b10101111011111000011111101000010 - -b10101111011111000011111101000010 5 -b10101111011111000011111101000010 ? -b10101111011111000011111101000010 D -b11110110111111010100010011111 % -b11110110111111010100010011111 . -b11110110111111010100010011111 6 -b11110110111111010100010011111 @ -b11110110111111010100010011111 F -b1110010111000010100000000010 ) -#265792000 -0& -#265808000 -b1000000111001 , -#265824000 -1& -#265840000 -b1101000101111100100000001000100 " -b1101000101111100100000001000100 4 -b1101000101111100100000001000100 1 -b1101000101111100100000001000100 C -b10101111111001000101010111110000 0 -b10101111111001000101010111110000 H -08 -19 -b11100100101111100010010110100011 2 -b11100100101111100010010110100011 = -b1101001101111111110000001000100 < -b11100100101111100010010110100011 : -b1111010111111100100010101011111 $ -b1111010111111100100010101011111 - -b1111010111111100100010101011111 5 -b1111010111111100100010101011111 ? -b1111010111111100100010101011111 D -b1101001101111111110000001000100 % -b1101001101111111110000001000100 . -b1101001101111111110000001000100 6 -b1101001101111111110000001000100 @ -b1101001101111111110000001000100 F -b1101000101111100100000001000100 ) -#265856000 -0& -#265872000 -b1000000111010 , -#265888000 -1& -#265904000 -b1110011111111100001000010001000 " -b1110011111111100001000010001000 4 -b1110011111111100001000010001000 1 -b1110011111111100001000010001000 C -b10001010010111000000000000000000 0 -b10001010010111000000000000000000 H -09 -08 -b1110011111111011111000001000111 2 -b1110011111111011111000001000111 = -b1111111111111101101101110001111 < -b1110011111111011111000001000111 : -b11110011111111110001010010111000 $ -b11110011111111110001010010111000 - -b11110011111111110001010010111000 5 -b11110011111111110001010010111000 ? -b11110011111111110001010010111000 D -b1111111111111101101101110001111 % -b1111111111111101101101110001111 . -b1111111111111101101101110001111 6 -b1111111111111101101101110001111 @ -b1111111111111101101101110001111 F -b1110011111111100001000010001000 ) -#265920000 -0& -#265936000 -b1000000111011 , -#265952000 -1& -#265968000 -b11001101110111100100011100011001 " -b11001101110111100100011100011001 4 -b11001101110111100100011100011001 1 -b11001101110111100100011100011001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -18 -b10111011110111011001111001110110 2 -b10111011110111011001111001110110 = -b11001101111111110101011101011101 < -b10111011110111011001111001110110 : -b11101101110111100100011100011001 $ -b11101101110111100100011100011001 - -b11101101110111100100011100011001 5 -b11101101110111100100011100011001 ? -b11101101110111100100011100011001 D -b11001101111111110101011101011101 % -b11001101111111110101011101011101 . -b11001101111111110101011101011101 6 -b11001101111111110101011101011101 @ -b11001101111111110101011101011101 F -b11001101110111100100011100011001 ) -#265984000 -0& -#266000000 -b1000000111100 , -#266016000 -1& -#266032000 -b10010011101110000100000010000101 " -b10010011101110000100000010000101 4 -b10010011101110000100000010000101 1 -b10010011101110000100000010000101 C -b1011000011101101010000000000000 0 -b1011000011101101010000000000000 H -b10010011101110000011110010000010 2 -b10010011101110000011110010000010 = -b10111011111111010111100011001101 < -b10010011101110000011110010000010 : -b11010111101110101100001110110101 $ -b11010111101110101100001110110101 - -b11010111101110101100001110110101 5 -b11010111101110101100001110110101 ? -b11010111101110101100001110110101 D -b10111011111111010111100011001101 % -b10111011111111010111100011001101 . -b10111011111111010111100011001101 6 -b10111011111111010111100011001101 @ -b10111011111111010111100011001101 F -b10010011101110000100000010000101 ) -#266048000 -0& -#266064000 -b1000000111101 , -#266080000 -1& -#266096000 -b10100111001110000000010101101 " -b10100111001110000000010101101 4 -b10100111001110000000010101101 1 -b10100111001110000000010101101 C -b11100010011101011010000000000000 0 -b11100010011101011010000000000000 H -08 -b10100111001100011010001011010 2 -b10100111001100011010001011010 = -b10111111011110010000010101101 < -b10100111001100011010001011010 : -b11111100111101110001001110101101 $ -b11111100111101110001001110101101 - -b11111100111101110001001110101101 5 -b11111100111101110001001110101101 ? -b11111100111101110001001110101101 D -b10111111011110010000010101101 % -b10111111011110010000010101101 . -b10111111011110010000010101101 6 -b10111111011110010000010101101 @ -b10111111011110010000010101101 F -b10100111001110000000010101101 ) -#266112000 -0& -#266128000 -b1000000111110 , -#266144000 -1& -#266160000 -b1010001000001011100000110 " -b1010001000001011100000110 4 -b1010001000001011100000110 1 -b1010001000001011100000110 C -b11000001100000000000000000000000 0 -b11000001100000000000000000000000 H -b1011101010000111010111001111100 2 -b1011101010000111010111001111100 = -b10001011001100001011101110110 < -b1011101010000111010111001111100 : -b1001011110111011001011100000110 $ -b1001011110111011001011100000110 - -b1001011110111011001011100000110 5 -b1001011110111011001011100000110 ? -b1001011110111011001011100000110 D -b10001011001100001011101110110 % -b10001011001100001011101110110 . -b10001011001100001011101110110 6 -b10001011001100001011101110110 @ -b10001011001100001011101110110 F -b1010001000001011100000110 ) -#266176000 -0& -#266192000 -b1000000111111 , -#266208000 -1& -#266224000 -b1101110001111100011010001100000 " -b1101110001111100011010001100000 4 -b1101110001111100011010001100000 1 -b1101110001111100011010001100000 C -b11100011111000111111111000100000 0 -b11100011111000111111111000100000 H -19 -08 -b11101101101111010111010001000110 2 -b11101101101111010111010001000110 = -b1101111011111110011010001100100 < -b11101101101111010111010001000110 : -b1111110001111100011111111100010 $ -b1111110001111100011111111100010 - -b1111110001111100011111111100010 5 -b1111110001111100011111111100010 ? -b1111110001111100011111111100010 D -b1101111011111110011010001100100 % -b1101111011111110011010001100100 . -b1101111011111110011010001100100 6 -b1101111011111110011010001100100 @ -b1101111011111110011010001100100 F -b1101110001111100011010001100000 ) -#266240000 -0& -#266256000 -b1000001000000 , -#266272000 -1& -#266288000 -b11100100110010010101010000001 " -b11100100110010010101010000001 4 -b11100100110010010101010000001 1 -b11100100110010010101010000001 C -b10010011111001010101100100100000 0 -b10010011111001010101100100100000 H -18 -09 -b11011010100110001110011001001110 2 -b11011010100110001110011001001110 = -b11101111110011011101110000101 < -b11011010100110001110011001001110 : -b10111100100111110010101011001001 $ -b10111100100111110010101011001001 - -b10111100100111110010101011001001 5 -b10111100100111110010101011001001 ? -b10111100100111110010101011001001 D -b11101111110011011101110000101 % -b11101111110011011101110000101 . -b11101111110011011101110000101 6 -b11101111110011011101110000101 @ -b11101111110011011101110000101 F -b11100100110010010101010000001 ) -#266304000 -0& -#266320000 -b1000001000001 , -#266336000 -1& -#266352000 -b111101100100100101000100011101 " -b111101100100100101000100011101 4 -b111101100100100101000100011101 1 -b111101100100100101000100011101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -08 -19 -b10111101011011011100110001111100 2 -b10111101011011011100110001111100 = -b1111101110100100111100101011101 < -b10111101011011011100110001111100 : -b111111100110110101001100011111 $ -b111111100110110101001100011111 - -b111111100110110101001100011111 5 -b111111100110110101001100011111 ? -b111111100110110101001100011111 D -b1111101110100100111100101011101 % -b1111101110100100111100101011101 . -b1111101110100100111100101011101 6 -b1111101110100100111100101011101 @ -b1111101110100100111100101011101 F -b111101100100100101000100011101 ) -#266368000 -0& -#266384000 -b1000001000010 , -#266400000 -1& -#266416000 -b10110111110011100010111110101010 " -b10110111110011100010111110101010 4 -b10110111110011100010111110101010 1 -b10110111110011100010111110101010 C -b10010111110111010000000000000000 0 -b10010111110111010000000000000000 H -18 -09 -b10110111110011100001111101101001 2 -b10110111110011100001111101101001 = -b10110111110011101110111110101111 < -b10110111110011100001111101101001 : -b11111111111111110010111110111010 $ -b11111111111111110010111110111010 - -b11111111111111110010111110111010 5 -b11111111111111110010111110111010 ? -b11111111111111110010111110111010 D -b10110111110011101110111110101111 % -b10110111110011101110111110101111 . -b10110111110011101110111110101111 6 -b10110111110011101110111110101111 @ -b10110111110011101110111110101111 F -b10110111110011100010111110101010 ) -#266432000 -0& -#266448000 -b1000001000011 , -#266464000 -1& -#266480000 -b11100100111001110000101000001000 " -b11100100111001110000101000001000 4 -b11100100111001110000101000001000 1 -b11100100111001110000101000001000 C -b111010011100000100000000000000 0 -b111010011100000100000000000000 H -b11100100110101100111100110110011 2 -b11100100110101100111100110110011 = -b11110101111011110010101110101011 < -b11100100110101100111100110110011 : -b11101110111001110100111000001000 $ -b11101110111001110100111000001000 - -b11101110111001110100111000001000 5 -b11101110111001110100111000001000 ? -b11101110111001110100111000001000 D -b11110101111011110010101110101011 % -b11110101111011110010101110101011 . -b11110101111011110010101110101011 6 -b11110101111011110010101110101011 @ -b11110101111011110010101110101011 F -b11100100111001110000101000001000 ) -#266496000 -0& -#266512000 -b1000001000100 , -#266528000 -1& -#266544000 -b101000111010100000010010110000 " -b101000111010100000010010110000 4 -b101000111010100000010010110000 1 -b101000111010100000010010110000 C -b1111101111101010000000000000000 0 -b1111101111101010000000000000000 H -08 -19 -b10101000111010100000001010100101 2 -b10101000111010100000001010100101 = -b1111110111010111000010010110000 < -b10101000111010100000001010100101 : -b101001111111100111110111110101 $ -b101001111111100111110111110101 - -b101001111111100111110111110101 5 -b101001111111100111110111110101 ? -b101001111111100111110111110101 D -b1111110111010111000010010110000 % -b1111110111010111000010010110000 . -b1111110111010111000010010110000 6 -b1111110111010111000010010110000 @ -b1111110111010111000010010110000 F -b101000111010100000010010110000 ) -#266560000 -0& -#266576000 -b1000001000101 , -#266592000 -1& -#266608000 -b111111110011110000000100010100 " -b111111110011110000000100010100 4 -b111111110011110000000100010100 1 -b111111110011110000000100010100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b111111110011110000000010010001 2 -b111111110011110000000010010001 = -b10111111111111111011110100011101 < -b111111110011110000000010010001 : -b1111111110011110100001101110100 $ -b1111111110011110100001101110100 - -b1111111110011110100001101110100 5 -b1111111110011110100001101110100 ? -b1111111110011110100001101110100 D -b10111111111111111011110100011101 % -b10111111111111111011110100011101 . -b10111111111111111011110100011101 6 -b10111111111111111011110100011101 @ -b10111111111111111011110100011101 F -b111111110011110000000100010100 ) -#266624000 -0& -#266640000 -b1000001000110 , -#266656000 -1& -#266672000 -b1011101111101010000100000100000 " -b1011101111101010000100000100000 4 -b1011101111101010000100000100000 1 -b1011101111101010000100000100000 C -b110000010101000000000000000000 0 -b110000010101000000000000000000 H -b1011101111100101100000111011011 2 -b1011101111100101100000111011011 = -b1011111111111010010100110110001 < -b1011101111100101100000111011011 : -b11111101111101011001100000101010 $ -b11111101111101011001100000101010 - -b11111101111101011001100000101010 5 -b11111101111101011001100000101010 ? -b11111101111101011001100000101010 D -b1011111111111010010100110110001 % -b1011111111111010010100110110001 . -b1011111111111010010100110110001 6 -b1011111111111010010100110110001 @ -b1011111111111010010100110110001 F -b1011101111101010000100000100000 ) -#266688000 -0& -#266704000 -b1000001000111 , -#266720000 -1& -#266736000 -b1000101100011011000101100000011 " -b1000101100011011000101100000011 4 -b1000101100011011000101100000011 1 -b1000101100011011000101100000011 C -b1111100011011101101100000011000 0 -b1111100011011101101100000011000 H -b1000101100011011000101010000110 2 -b1000101100011011000101010000110 = -b11010101111111111010111110000011 < -b1000101100011011000101010000110 : -b1101111100011011101101100000011 $ -b1101111100011011101101100000011 - -b1101111100011011101101100000011 5 -b1101111100011011101101100000011 ? -b1101111100011011101101100000011 D -b11010101111111111010111110000011 % -b11010101111111111010111110000011 . -b11010101111111111010111110000011 6 -b11010101111111111010111110000011 @ -b11010101111111111010111110000011 F -b1000101100011011000101100000011 ) -#266752000 -0& -#266768000 -b1000001001000 , -#266784000 -1& -#266800000 -b1110110010010100000010000000000 " -b1110110010010100000010000000000 4 -b1110110010010100000010000000000 1 -b1110110010010100000010000000000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b1110110010010010111100011111001 2 -b1110110010010010111100011111001 = -b1110110010110110010010011011001 < -b1110110010010010111100011111001 : -b11111111111011100101010000100000 $ -b11111111111011100101010000100000 - -b11111111111011100101010000100000 5 -b11111111111011100101010000100000 ? -b11111111111011100101010000100000 D -b1110110010110110010010011011001 % -b1110110010110110010010011011001 . -b1110110010110110010010011011001 6 -b1110110010110110010010011011001 @ -b1110110010110110010010011011001 F -b1110110010010100000010000000000 ) -#266816000 -0& -#266832000 -b1000001001001 , -#266848000 -1& -#266864000 -b111111111111100010000100000101 " -b111111111111100010000100000101 4 -b111111111111100010000100000101 1 -b111111111111100010000100000101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -b111111111111011100010100000100 2 -b111111111111011100010100000100 = -b10111111111111101010000101111101 < -b111111111111011100010100000100 : -b1111111111111110010001110000111 $ -b1111111111111110010001110000111 - -b1111111111111110010001110000111 5 -b1111111111111110010001110000111 ? -b1111111111111110010001110000111 D -b10111111111111101010000101111101 % -b10111111111111101010000101111101 . -b10111111111111101010000101111101 6 -b10111111111111101010000101111101 @ -b10111111111111101010000101111101 F -b111111111111100010000100000101 ) -#266880000 -0& -#266896000 -b1000001001010 , -#266912000 -1& -#266928000 -b1000011110110100001110101000000 " -b1000011110110100001110101000000 4 -b1000011110110100001110101000000 1 -b1000011110110100001110101000000 C -b10100001110101100011000000000000 0 -b10100001110101100011000000000000 H -b110011110101011111110100101111 2 -b110011110101011111110100101111 = -b11000111110110111101111111001100 < -b110011110101011111110100101111 : -b1101011111110100001110101100011 $ -b1101011111110100001110101100011 - -b1101011111110100001110101100011 5 -b1101011111110100001110101100011 ? -b1101011111110100001110101100011 D -b11000111110110111101111111001100 % -b11000111110110111101111111001100 . -b11000111110110111101111111001100 6 -b11000111110110111101111111001100 @ -b11000111110110111101111111001100 F -b1000011110110100001110101000000 ) -#266944000 -0& -#266960000 -b1000001001011 , -#266976000 -1& -#266992000 -b1111100011110100010000000110010 " -b1111100011110100010000000110010 4 -b1111100011110100010000000110010 1 -b1111100011110100010000000110010 C -b1001111100000000000000000000 0 -b1001111100000000000000000000 H -b1111010011110011001100101110001 2 -b1111010011110011001100101110001 = -b1111101111111110111100000110011 < -b1111010011110011001100101110001 : -b11111100011110100010000100111110 $ -b11111100011110100010000100111110 - -b11111100011110100010000100111110 5 -b11111100011110100010000100111110 ? -b11111100011110100010000100111110 D -b1111101111111110111100000110011 % -b1111101111111110111100000110011 . -b1111101111111110111100000110011 6 -b1111101111111110111100000110011 @ -b1111101111111110111100000110011 F -b1111100011110100010000000110010 ) -#267008000 -0& -#267024000 -b1000001001100 , -#267040000 -1& -#267056000 -b10000000000000100101000100000 " -b10000000000000100101000100000 4 -b10000000000000100101000100000 1 -b10000000000000100101000100000 C -b11000011111011101000010000000000 0 -b11000011111011101000010000000000 H -19 -08 -b10001111111110000100011000001011 2 -b10001111111110000100011000001011 = -b11000110001110100101001101010 < -b10001111111110000100011000001011 : -b1110111001100001111101110100001 $ -b1110111001100001111101110100001 - -b1110111001100001111101110100001 5 -b1110111001100001111101110100001 ? -b1110111001100001111101110100001 D -b11000110001110100101001101010 % -b11000110001110100101001101010 . -b11000110001110100101001101010 6 -b11000110001110100101001101010 @ -b11000110001110100101001101010 F -b10000000000000100101000100000 ) -#267072000 -0& -#267088000 -b1000001001101 , -#267104000 -1& -#267120000 -b1110100110000100010001100000000 " -b1110100110000100010001100000000 4 -b1110100110000100010001100000000 1 -b1110100110000100010001100000000 C -b10000100000000000000000000000000 0 -b10000100000000000000000000000000 H -09 -08 -b1110100110000100001001011111011 2 -b1110100110000100001001011111011 = -b11110110111111101110101101011010 < -b1110100110000100001001011111011 : -b1111101110000110010011110100001 $ -b1111101110000110010011110100001 - -b1111101110000110010011110100001 5 -b1111101110000110010011110100001 ? -b1111101110000110010011110100001 D -b11110110111111101110101101011010 % -b11110110111111101110101101011010 . -b11110110111111101110101101011010 6 -b11110110111111101110101101011010 @ -b11110110111111101110101101011010 F -b1110100110000100010001100000000 ) -#267136000 -0& -#267152000 -b1000001001110 , -#267168000 -1& -#267184000 -b1111101001101010111010100000000 " -b1111101001101010111010100000000 4 -b1111101001101010111010100000000 1 -b1111101001101010111010100000000 C -b11110111111111111111010000110000 0 -b11110111111111111111010000110000 H -19 -08 -b11111101001101010111010001001110 2 -b11111101001101010111010001001110 = -b1111111001101010111011101000010 < -b11111101001101010111010001001110 : -b1111101111111111111110100001100 $ -b1111101111111111111110100001100 - -b1111101111111111111110100001100 5 -b1111101111111111111110100001100 ? -b1111101111111111111110100001100 D -b1111111001101010111011101000010 % -b1111111001101010111011101000010 . -b1111111001101010111011101000010 6 -b1111111001101010111011101000010 @ -b1111111001101010111011101000010 F -b1111101001101010111010100000000 ) -#267200000 -0& -#267216000 -b1000001001111 , -#267232000 -1& -#267248000 -b11001010101101000001000000011000 " -b11001010101101000001000000011000 4 -b11001010101101000001000000011000 1 -b11001010101101000001000000011000 C -b11100000000000000000000000000 0 -b11100000000000000000000000000 H -18 -09 -b11001010101100110100110010010100 2 -b11001010101100110100110010010100 = -b11001111111101010001000001111000 < -b11001010101100110100110010010100 : -b11111010101111100011110000011100 $ -b11111010101111100011110000011100 - -b11111010101111100011110000011100 5 -b11111010101111100011110000011100 ? -b11111010101111100011110000011100 D -b11001111111101010001000001111000 % -b11001111111101010001000001111000 . -b11001111111101010001000001111000 6 -b11001111111101010001000001111000 @ -b11001111111101010001000001111000 F -b11001010101101000001000000011000 ) -#267264000 -0& -#267280000 -b1000001010000 , -#267296000 -1& -#267312000 -b110100110100110000100000000001 " -b110100110100110000100000000001 4 -b110100110100110000100000000001 1 -b110100110100110000100000000001 C -b10110110101110000100101000000000 0 -b10110110101110000100101000000000 H -08 -b110010101100100110011001101110 2 -b110010101100100110011001101110 = -b10110101110101110000101001001001 < -b110010101100100110011001101110 : -b1111100110110110101110000100101 $ -b1111100110110110101110000100101 - -b1111100110110110101110000100101 5 -b1111100110110110101110000100101 ? -b1111100110110110101110000100101 D -b10110101110101110000101001001001 % -b10110101110101110000101001001001 . -b10110101110101110000101001001001 6 -b10110101110101110000101001001001 @ -b10110101110101110000101001001001 F -b110100110100110000100000000001 ) -#267328000 -0& -#267344000 -b1000001010001 , -#267360000 -1& -#267376000 -b111010001111111010000110000000 " -b111010001111111010000110000000 4 -b111010001111111010000110000000 1 -b111010001111111010000110000000 C -b1010111111101010011000100000000 0 -b1010111111101010011000100000000 H -19 -08 -b10110110001111111000110101101101 2 -b10110110001111111000110101101101 = -b111011011111111110001111100101 < -b10110110001111111000110101101101 : -b1111010101111111010100110001000 $ -b1111010101111111010100110001000 - -b1111010101111111010100110001000 5 -b1111010101111111010100110001000 ? -b1111010101111111010100110001000 D -b111011011111111110001111100101 % -b111011011111111110001111100101 . -b111011011111111110001111100101 6 -b111011011111111110001111100101 @ -b111011011111111110001111100101 F -b111010001111111010000110000000 ) -#267392000 -0& -#267408000 -b1000001010010 , -#267424000 -1& -#267440000 -b11001111111010000110001011100100 " -b11001111111010000110001011100100 4 -b11001111111010000110001011100100 1 -b11001111111010000110001011100100 C -b111110011100000000000000000000 0 -b111110011100000000000000000000 H -18 -09 -b10111111111010000101101011011011 2 -b10111111111010000101101011011011 = -b11001111111111000111011011110100 < -b10111111111010000101101011011011 : -b11101111111010111110001111100111 $ -b11101111111010111110001111100111 - -b11101111111010111110001111100111 5 -b11101111111010111110001111100111 ? -b11101111111010111110001111100111 D -b11001111111111000111011011110100 % -b11001111111111000111011011110100 . -b11001111111111000111011011110100 6 -b11001111111111000111011011110100 @ -b11001111111111000111011011110100 F -b11001111111010000110001011100100 ) -#267456000 -0& -#267472000 -b1000001010011 , -#267488000 -1& -#267504000 -b11110101000000110001000000110 " -b11110101000000110001000000110 4 -b11110101000000110001000000110 1 -b11110101000000110001000000110 C -b11101001000110001110001111000000 0 -b11101001000110001110001111000000 H -08 -b11110101000000100100110110101 2 -b11110101000000100100110110101 = -b1011110111110111110011000100110 < -b11110101000000100100110110101 : -b10111111101001000110001110001111 $ -b10111111101001000110001110001111 - -b10111111101001000110001110001111 5 -b10111111101001000110001110001111 ? -b10111111101001000110001110001111 D -b1011110111110111110011000100110 % -b1011110111110111110011000100110 . -b1011110111110111110011000100110 6 -b1011110111110111110011000100110 @ -b1011110111110111110011000100110 F -b11110101000000110001000000110 ) -#267520000 -0& -#267536000 -b1000001010100 , -#267552000 -1& -#267568000 -b1100101101111100100000000010 " -b1100101101111100100000000010 4 -b1100101101111100100000000010 1 -b1100101101111100100000000010 C -b101001101010000000000000000000 0 -b101001101010000000000000000000 H -b1010101101111100011001111100 2 -b1010101101111100011001111100 = -b1100101111111111110000010010 < -b1010101101111100011001111100 : -b11111101111101111100101001101010 $ -b11111101111101111100101001101010 - -b11111101111101111100101001101010 5 -b11111101111101111100101001101010 ? -b11111101111101111100101001101010 D -b1100101111111111110000010010 % -b1100101111111111110000010010 . -b1100101111111111110000010010 6 -b1100101111111111110000010010 @ -b1100101111111111110000010010 F -b1100101101111100100000000010 ) -#267584000 -0& -#267600000 -b1000001010101 , -#267616000 -1& -#267632000 -b1001110011111000000001000111010 " -b1001110011111000000001000111010 4 -b1001110011111000000001000111010 1 -b1001110011111000000001000111010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b11001110011110111111010100111000 2 -b11001110011110111111010100111000 = -b1111110011111110010001010111110 < -b11001110011110111111010100111000 : -b1001111111111001101001001111010 $ -b1001111111111001101001001111010 - -b1001111111111001101001001111010 5 -b1001111111111001101001001111010 ? -b1001111111111001101001001111010 D -b1111110011111110010001010111110 % -b1111110011111110010001010111110 . -b1111110011111110010001010111110 6 -b1111110011111110010001010111110 @ -b1111110011111110010001010111110 F -b1001110011111000000001000111010 ) -#267648000 -0& -#267664000 -b1000001010110 , -#267680000 -1& -#267696000 -b11000111001110001101001010000 " -b11000111001110001101001010000 4 -b11000111001110001101001010000 1 -b11000111001110001101001010000 C -b1111011010001000000000000000000 0 -b1111011010001000000000000000000 H -09 -08 -b1011000110111101011100100100011 2 -b1011000110111101011100100100011 = -b111111111101111001101001010010 < -b1011000110111101011100100100011 : -b11000111001110001111011010001 $ -b11000111001110001111011010001 - -b11000111001110001111011010001 5 -b11000111001110001111011010001 ? -b11000111001110001111011010001 D -b111111111101111001101001010010 % -b111111111101111001101001010010 . -b111111111101111001101001010010 6 -b111111111101111001101001010010 @ -b111111111101111001101001010010 F -b11000111001110001101001010000 ) -#267712000 -0& -#267728000 -b1000001010111 , -#267744000 -1& -#267760000 -b11001111011111110101101010010010 " -b11001111011111110101101010010010 4 -b11001111011111110101101010010010 1 -b11001111011111110101101010010010 C -b11111101000000000000000000000000 0 -b11111101000000000000000000000000 H -18 -b11001111011111110011011010010001 2 -b11001111011111110011011010010001 = -b11101111111111111101101010010111 < -b11001111011111110011011010010001 : -b11011111011111110101101111111010 $ -b11011111011111110101101111111010 - -b11011111011111110101101111111010 5 -b11011111011111110101101111111010 ? -b11011111011111110101101111111010 D -b11101111111111111101101010010111 % -b11101111111111111101101010010111 . -b11101111111111111101101010010111 6 -b11101111111111111101101010010111 @ -b11101111111111111101101010010111 F -b11001111011111110101101010010010 ) -#267776000 -0& -#267792000 -b1000001011000 , -#267808000 -1& -#267824000 -b111111111111010000001010100000 " -b111111111111010000001010100000 4 -b111111111111010000001010100000 1 -b111111111111010000001010100000 C -b11111111101000000101111001000000 0 -b11111111101000000101111001000000 H -08 -19 -b10111111111111000001000110010111 2 -b10111111111111000001000110010111 = -b1111111111111110000111010100101 < -b10111111111111000001000110010111 : -b111111111111010000001011110010 $ -b111111111111010000001011110010 - -b111111111111010000001011110010 5 -b111111111111010000001011110010 ? -b111111111111010000001011110010 D -b1111111111111110000111010100101 % -b1111111111111110000111010100101 . -b1111111111111110000111010100101 6 -b1111111111111110000111010100101 @ -b1111111111111110000111010100101 F -b111111111111010000001010100000 ) -#267840000 -0& -#267856000 -b1000001011001 , -#267872000 -1& -#267888000 -b100010110110000000000001001010 " -b100010110110000000000001001010 4 -b100010110110000000000001001010 1 -b100010110110000000000001001010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b1010110101111100111111001000 2 -b1010110101111100111111001000 = -b1100111111111001000101101111110 < -b1010110101111100111111001000 : -b10100010110110110100010001001010 $ -b10100010110110110100010001001010 - -b10100010110110110100010001001010 5 -b10100010110110110100010001001010 ? -b10100010110110110100010001001010 D -b1100111111111001000101101111110 % -b1100111111111001000101101111110 . -b1100111111111001000101101111110 6 -b1100111111111001000101101111110 @ -b1100111111111001000101101111110 F -b100010110110000000000001001010 ) -#267904000 -0& -#267920000 -b1000001011010 , -#267936000 -1& -#267952000 -b1011111101110010000000001000001 " -b1011111101110010000000001000001 4 -b1011111101110010000000001000001 1 -b1011111101110010000000001000001 C -b100111000010000000000000000000 0 -b100111000010000000000000000000 H -b1011111101101000110110100110100 2 -b1011111101101000110110100110100 = -b1011111101110010010100001010011 < -b1011111101101000110110100110100 : -b11111111111110110100010011100001 $ -b11111111111110110100010011100001 - -b11111111111110110100010011100001 5 -b11111111111110110100010011100001 ? -b11111111111110110100010011100001 D -b1011111101110010010100001010011 % -b1011111101110010010100001010011 . -b1011111101110010010100001010011 6 -b1011111101110010010100001010011 @ -b1011111101110010010100001010011 F -b1011111101110010000000001000001 ) -#267968000 -0& -#267984000 -b1000001011011 , -#268000000 -1& -#268016000 -b1110101100011111011010010010011 " -b1110101100011111011010010010011 4 -b1110101100011111011010010010011 1 -b1110101100011111011010010010011 C -b10100101111110000000000000000000 0 -b10100101111110000000000000000000 H -b1110101011011110111010010010010 2 -b1110101011011110111010010010010 = -b11110111100111111011111111010011 < -b1110101011011110111010010010010 : -b1111101110011111011010010111111 $ -b1111101110011111011010010111111 - -b1111101110011111011010010111111 5 -b1111101110011111011010010111111 ? -b1111101110011111011010010111111 D -b11110111100111111011111111010011 % -b11110111100111111011111111010011 . -b11110111100111111011111111010011 6 -b11110111100111111011111111010011 @ -b11110111100111111011111111010011 F -b1110101100011111011010010010011 ) -#268032000 -0& -#268048000 -b1000001011100 , -#268064000 -1& -#268080000 -b11100001111001110010001000000100 " -b11100001111001110010001000000100 4 -b11100001111001110010001000000100 1 -b11100001111001110010001000000100 C -b11001011100100010100000000000000 0 -b11001011100100010100000000000000 H -18 -b11100001111001101010000101010011 2 -b11100001111001101010000101010011 = -b11101111111001110111001100001110 < -b11100001111001101010000101010011 : -b11110001111111110010111001000101 $ -b11110001111111110010111001000101 - -b11110001111111110010111001000101 5 -b11110001111111110010111001000101 ? -b11110001111111110010111001000101 D -b11101111111001110111001100001110 % -b11101111111001110111001100001110 . -b11101111111001110111001100001110 6 -b11101111111001110111001100001110 @ -b11101111111001110111001100001110 F -b11100001111001110010001000000100 ) -#268096000 -0& -#268112000 -b1000001011101 , -#268128000 -1& -#268144000 -b11110001111000011000100010101010 " -b11110001111000011000100010101010 4 -b11110001111000011000100010101010 1 -b11110001111000011000100010101010 C -b11110010101010101000000000000000 0 -b11110010101010101000000000000000 H -b11101011110100010101010010011000 2 -b11101011110100010101010010011000 = -b11111001111000011000100111101110 < -b11101011110100010101010010011000 : -b11110001111011111100101010101010 $ -b11110001111011111100101010101010 - -b11110001111011111100101010101010 5 -b11110001111011111100101010101010 ? -b11110001111011111100101010101010 D -b11111001111000011000100111101110 % -b11111001111000011000100111101110 . -b11111001111000011000100111101110 6 -b11111001111000011000100111101110 @ -b11111001111000011000100111101110 F -b11110001111000011000100010101010 ) -#268160000 -0& -#268176000 -b1000001011110 , -#268192000 -1& -#268208000 -b111100100001100000000000010000 " -b111100100001100000000000010000 4 -b111100100001100000000000010000 1 -b111100100001100000000000010000 C -b10101100000000000000000000000000 0 -b10101100000000000000000000000000 H -08 -b111011100001010111110010001111 2 -b111011100001010111110010001111 = -b1111100110001100101110000111001 < -b111011100001010111110010001111 : -b10111110101111110010000001010110 $ -b10111110101111110010000001010110 - -b10111110101111110010000001010110 5 -b10111110101111110010000001010110 ? -b10111110101111110010000001010110 D -b1111100110001100101110000111001 % -b1111100110001100101110000111001 . -b1111100110001100101110000111001 6 -b1111100110001100101110000111001 @ -b1111100110001100101110000111001 F -b111100100001100000000000010000 ) -#268224000 -0& -#268240000 -b1000001011111 , -#268256000 -1& -#268272000 -b1000001011010000000000000000000 " -b1000001011010000000000000000000 4 -b1000001011010000000000000000000 1 -b1000001011010000000000000000000 C -b1101000011001110001011000000000 0 -b1101000011001110001011000000000 H -b1000000111001101111111101011110 2 -b1000000111001101111111101011110 = -b1011011011111101001100001001000 < -b1000000111001101111111101011110 : -b11100101011010000110011100010110 $ -b11100101011010000110011100010110 - -b11100101011010000110011100010110 5 -b11100101011010000110011100010110 ? -b11100101011010000110011100010110 D -b1011011011111101001100001001000 % -b1011011011111101001100001001000 . -b1011011011111101001100001001000 6 -b1011011011111101001100001001000 @ -b1011011011111101001100001001000 F -b1000001011010000000000000000000 ) -#268288000 -0& -#268304000 -b1000001100000 , -#268320000 -1& -#268336000 -b11000001100110110000000000010100 " -b11000001100110110000000000010100 4 -b11000001100110110000000000010100 1 -b11000001100110110000000000010100 C -b0 0 -b0 H -18 -b11000001100110101000101010010011 2 -b11000001100110101000101010010011 = -b11110001110110111000100000111111 < -b11000001100110101000101010010011 : -b11001111101111110000001001010100 $ -b11001111101111110000001001010100 - -b11001111101111110000001001010100 5 -b11001111101111110000001001010100 ? -b11001111101111110000001001010100 D -b11110001110110111000100000111111 % -b11110001110110111000100000111111 . -b11110001110110111000100000111111 6 -b11110001110110111000100000111111 @ -b11110001110110111000100000111111 F -b11000001100110110000000000010100 ) -#268352000 -0& -#268368000 -b1000001100001 , -#268384000 -1& -#268400000 -b111001111001000110000010000000 " -b111001111001000110000010000000 4 -b111001111001000110000010000000 1 -b111001111001000110000010000000 C -b11111001111001011110111010000111 0 -b11111001111001011110111010000111 H -08 -b111001111001000110000000100111 2 -b111001111001000110000000100111 = -b111111111111100111000110100000 < -b111001111001000110000000100111 : -b11111001111001011110111010000111 $ -b11111001111001011110111010000111 - -b11111001111001011110111010000111 5 -b11111001111001011110111010000111 ? -b11111001111001011110111010000111 D -b111111111111100111000110100000 % -b111111111111100111000110100000 . -b111111111111100111000110100000 6 -b111111111111100111000110100000 @ -b111111111111100111000110100000 F -b111001111001000110000010000000 ) -#268416000 -0& -#268432000 -b1000001100010 , -#268448000 -1& -#268464000 -b10110100111001110000000100010000 " -b10110100111001110000000100010000 4 -b10110100111001110000000100010000 1 -b10110100111001110000000100010000 C -b1010110000000000000000000000000 0 -b1010110000000000000000000000000 H -18 -b10110100111001100011111100001110 2 -b10110100111001100011111100001110 = -b10110110111011110011100110111000 < -b10110100111001100011111100001110 : -b11111101111101110000010101010110 $ -b11111101111101110000010101010110 - -b11111101111101110000010101010110 5 -b11111101111101110000010101010110 ? -b11111101111101110000010101010110 D -b10110110111011110011100110111000 % -b10110110111011110011100110111000 . -b10110110111011110011100110111000 6 -b10110110111011110011100110111000 @ -b10110110111011110011100110111000 F -b10110100111001110000000100010000 ) -#268480000 -0& -#268496000 -b1000001100011 , -#268512000 -1& -#268528000 -b1111001011111110000100001000000 " -b1111001011111110000100001000000 4 -b1111001011111110000100001000000 1 -b1111001011111110000100001000000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b1111001011111101010000100111111 2 -b1111001011111101010000100111111 = -b11111111111111110000100001111101 < -b1111001011111101010000100111111 : -b1111001011111111001100011000010 $ -b1111001011111111001100011000010 - -b1111001011111111001100011000010 5 -b1111001011111111001100011000010 ? -b1111001011111111001100011000010 D -b11111111111111110000100001111101 % -b11111111111111110000100001111101 . -b11111111111111110000100001111101 6 -b11111111111111110000100001111101 @ -b11111111111111110000100001111101 F -b1111001011111110000100001000000 ) -#268544000 -0& -#268560000 -b1000001100100 , -#268576000 -1& -#268592000 -b10110000010100011010000000000 " -b10110000010100011010000000000 4 -b10110000010100011010000000000 1 -b10110000010100011010000000000 C -b10000000010000000000000000000000 0 -b10000000010000000000000000000000 H -18 -b11110101110010001010101111010111 2 -b11110101110010001010101111010111 = -b10011111100111100111010111010110 < -b11110101110010001010101111010111 : -b1010110001010100011011000000001 $ -b1010110001010100011011000000001 - -b1010110001010100011011000000001 5 -b1010110001010100011011000000001 ? -b1010110001010100011011000000001 D -b10011111100111100111010111010110 % -b10011111100111100111010111010110 . -b10011111100111100111010111010110 6 -b10011111100111100111010111010110 @ -b10011111100111100111010111010110 F -b10110000010100011010000000000 ) -#268608000 -0& -#268624000 -b1000001100101 , -#268640000 -1& -#268656000 -b111100100101111001000011010001 " -b111100100101111001000011010001 4 -b111100100101111001000011010001 1 -b111100100101111001000011010001 C -b1101100100000000000000000000000 0 -b1101100100000000000000000000000 H -08 -19 -b10111100010101111000100011010000 2 -b10111100010101111000100011010000 = -b1111111100101111101011111110111 < -b10111100010101111000100011010000 : -b111100101111111011000011011001 $ -b111100101111111011000011011001 - -b111100101111111011000011011001 5 -b111100101111111011000011011001 ? -b111100101111111011000011011001 D -b1111111100101111101011111110111 % -b1111111100101111101011111110111 . -b1111111100101111101011111110111 6 -b1111111100101111101011111110111 @ -b1111111100101111101011111110111 F -b111100100101111001000011010001 ) -#268672000 -0& -#268688000 -b1000001100110 , -#268704000 -1& -#268720000 -b101000101111110001000000100000 " -b101000101111110001000000100000 4 -b101000101111110001000000100000 1 -b101000101111110001000000100000 C -b10111011111111101111010110000100 0 -b10111011111111101111010110000100 H -09 -08 -b101000101111101100110110000011 2 -b101000101111101100110110000011 = -b1111001101111110001000000100010 < -b101000101111101100110110000011 : -b10101110111111111011110101100001 $ -b10101110111111111011110101100001 - -b10101110111111111011110101100001 5 -b10101110111111111011110101100001 ? -b10101110111111111011110101100001 D -b1111001101111110001000000100010 % -b1111001101111110001000000100010 . -b1111001101111110001000000100010 6 -b1111001101111110001000000100010 @ -b1111001101111110001000000100010 F -b101000101111110001000000100000 ) -#268736000 -0& -#268752000 -b1000001100111 , -#268768000 -1& -#268784000 -b1000100011001110000010000100110 " -b1000100011001110000010000100110 4 -b1000100011001110000010000100110 1 -b1000100011001110000010000100110 C -b10011011110000000000000000000000 0 -b10011011110000000000000000000000 H -19 -08 -b11000100011001110000001110100101 2 -b11000100011001110000001110100101 = -b1000101111111110010110100110110 < -b11000100011001110000001110100101 : -b1111110011001111101011001101111 $ -b1111110011001111101011001101111 - -b1111110011001111101011001101111 5 -b1111110011001111101011001101111 ? -b1111110011001111101011001101111 D -b1000101111111110010110100110110 % -b1000101111111110010110100110110 . -b1000101111111110010110100110110 6 -b1000101111111110010110100110110 @ -b1000101111111110010110100110110 F -b1000100011001110000010000100110 ) -#268800000 -0& -#268816000 -b1000001101000 , -#268832000 -1& -#268848000 -b1010111111111000000101000100000 " -b1010111111111000000101000100000 4 -b1010111111111000000101000100000 1 -b1010111111111000000101000100000 C -b1010111111111110111101101101011 0 -b1010111111111110111101101101011 H -09 -08 -b1010111111110111000010110001011 2 -b1010111111110111000010110001011 = -b11111111111111000000101000100000 < -b1010111111110111000010110001011 : -b1010111111111110111101101101011 $ -b1010111111111110111101101101011 - -b1010111111111110111101101101011 5 -b1010111111111110111101101101011 ? -b1010111111111110111101101101011 D -b11111111111111000000101000100000 % -b11111111111111000000101000100000 . -b11111111111111000000101000100000 6 -b11111111111111000000101000100000 @ -b11111111111111000000101000100000 F -b1010111111111000000101000100000 ) -#268864000 -0& -#268880000 -b1000001101001 , -#268896000 -1& -#268912000 -b11101101000001001101000010000000 " -b11101101000001001101000010000000 4 -b11101101000001001101000010000000 1 -b11101101000001001101000010000000 C -b11111111001101011111100010011100 0 -b11111111001101011111100010011100 H -18 -b11101100100001001101000001011100 2 -b11101100100001001101000001011100 = -b11101101010011101101011111000000 < -b11101100100001001101000001011100 : -b11111111001101011111100010011100 $ -b11111111001101011111100010011100 - -b11111111001101011111100010011100 5 -b11111111001101011111100010011100 ? -b11111111001101011111100010011100 D -b11101101010011101101011111000000 % -b11101101010011101101011111000000 . -b11101101010011101101011111000000 6 -b11101101010011101101011111000000 @ -b11101101010011101101011111000000 F -b11101101000001001101000010000000 ) -#268928000 -0& -#268944000 -b1000001101010 , -#268960000 -1& -#268976000 -b100111010010101001110001000000 " -b100111010010101001110001000000 4 -b100111010010101001110001000000 1 -b100111010010101001110001000000 C -b11111010110111000000000000000000 0 -b11111010110111000000000000000000 H -08 -b11111010010101001101110111111 2 -b11111010010101001101110111111 = -b1110111111111111001111001010001 < -b11111010010101001101110111111 : -b10100111010010101111110101101110 $ -b10100111010010101111110101101110 - -b10100111010010101111110101101110 5 -b10100111010010101111110101101110 ? -b10100111010010101111110101101110 D -b1110111111111111001111001010001 % -b1110111111111111001111001010001 . -b1110111111111111001111001010001 6 -b1110111111111111001111001010001 @ -b1110111111111111001111001010001 F -b100111010010101001110001000000 ) -#268992000 -0& -#269008000 -b1000001101011 , -#269024000 -1& -#269040000 -b100111100110110000000001001100 " -b100111100110110000000001001100 4 -b100111100110110000000001001100 1 -b100111100110110000000001001100 C -b10110000110001011111000000000000 0 -b10110000110001011111000000000000 H -19 -08 -b10100111100110100000111101001011 2 -b10100111100110100000111101001011 = -b1110111111111110000001011101100 < -b10100111100110100000111101001011 : -b101111100110110000110001011111 $ -b101111100110110000110001011111 - -b101111100110110000110001011111 5 -b101111100110110000110001011111 ? -b101111100110110000110001011111 D -b1110111111111110000001011101100 % -b1110111111111110000001011101100 . -b1110111111111110000001011101100 6 -b1110111111111110000001011101100 @ -b1110111111111110000001011101100 F -b100111100110110000000001001100 ) -#269056000 -0& -#269072000 -b1000001101100 , -#269088000 -1& -#269104000 -b11110101010110110010000001101000 " -b11110101010110110010000001101000 4 -b11110101010110110010000001101000 1 -b11110101010110110010000001101000 C -b0 0 -b0 H -18 -09 -b11110101010110101100111101100111 2 -b11110101010110101100111101100111 = -b11111111111110110010101011111111 < -b11110101010110101100111101100111 : -b11110101010111111010010001101000 $ -b11110101010111111010010001101000 - -b11110101010111111010010001101000 5 -b11110101010111111010010001101000 ? -b11110101010111111010010001101000 D -b11111111111110110010101011111111 % -b11111111111110110010101011111111 . -b11111111111110110010101011111111 6 -b11111111111110110010101011111111 @ -b11111111111110110010101011111111 F -b11110101010110110010000001101000 ) -#269120000 -0& -#269136000 -b1000001101101 , -#269152000 -1& -#269168000 -b11010100011011110000000010100001 " -b11010100011011110000000010100001 4 -b11010100011011110000000010100001 1 -b11010100011011110000000010100001 C -b11001111111110100011111001100000 0 -b11001111111110100011111001100000 H -b11001100011011101101110010011000 2 -b11001100011011101101110010011000 = -b11010101111011110000101010100101 < -b11001100011011101101110010011000 : -b11110110011111111101000111110011 $ -b11110110011111111101000111110011 - -b11110110011111111101000111110011 5 -b11110110011111111101000111110011 ? -b11110110011111111101000111110011 D -b11010101111011110000101010100101 % -b11010101111011110000101010100101 . -b11010101111011110000101010100101 6 -b11010101111011110000101010100101 @ -b11010101111011110000101010100101 F -b11010100011011110000000010100001 ) -#269184000 -0& -#269200000 -b1000001101110 , -#269216000 -1& -#269232000 -b1101011100010110100100001100011 " -b1101011100010110100100001100011 4 -b1101011100010110100100001100011 1 -b1101011100010110100100001100011 C -b11011100011110101101111101111000 0 -b11011100011110101101111101111000 H -08 -b1101011011110101010010001010010 2 -b1101011011110101010010001010010 = -b11101111111010110100100001100011 < -b1101011011110101010010001010010 : -b1111011100011110101101111101111 $ -b1111011100011110101101111101111 - -b1111011100011110101101111101111 5 -b1111011100011110101101111101111 ? -b1111011100011110101101111101111 D -b11101111111010110100100001100011 % -b11101111111010110100100001100011 . -b11101111111010110100100001100011 6 -b11101111111010110100100001100011 @ -b11101111111010110100100001100011 F -b1101011100010110100100001100011 ) -#269248000 -0& -#269264000 -b1000001101111 , -#269280000 -1& -#269296000 -b10111110110000100010100000 " -b10111110110000100010100000 4 -b10111110110000100010100000 1 -b10111110110000100010100000 C -b10001101111010100000000000000000 0 -b10001101111010100000000000000000 H -b1111110101010100010011010 2 -b1111110101010100010011010 = -b101110111111110001101010110000 < -b1111110101010100010011010 : -b11010010111110111000110111101010 $ -b11010010111110111000110111101010 - -b11010010111110111000110111101010 5 -b11010010111110111000110111101010 ? -b11010010111110111000110111101010 D -b101110111111110001101010110000 % -b101110111111110001101010110000 . -b101110111111110001101010110000 6 -b101110111111110001101010110000 @ -b101110111111110001101010110000 F -b10111110110000100010100000 ) -#269312000 -0& -#269328000 -b1000001110000 , -#269344000 -1& -#269360000 -b1010100011010110000101000101000 " -b1010100011010110000101000101000 4 -b1010100011010110000101000101000 1 -b1010100011010110000101000101000 C -b1101111010110100011110000000000 0 -b1101111010110100011110000000000 H -19 -08 -b11010100011010100110100100100100 2 -b11010100011010100110100100100100 = -b1010100111110110000111011101000 < -b11010100011010100110100100100100 : -b1111111011011110101101000111100 $ -b1111111011011110101101000111100 - -b1111111011011110101101000111100 5 -b1111111011011110101101000111100 ? -b1111111011011110101101000111100 D -b1010100111110110000111011101000 % -b1010100111110110000111011101000 . -b1010100111110110000111011101000 6 -b1010100111110110000111011101000 @ -b1010100111110110000111011101000 F -b1010100011010110000101000101000 ) -#269376000 -0& -#269392000 -b1000001110001 , -#269408000 -1& -#269424000 -b11000101010011000000010100101000 " -b11000101010011000000010100101000 4 -b11000101010011000000010100101000 1 -b11000101010011000000010100101000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -18 -09 -b11000011010010100000001100100010 2 -b11000011010010100000001100100010 = -b11110101011011000010010100111010 < -b11000011010010100000001100100010 : -b11001101110111011101110111101000 $ -b11001101110111011101110111101000 - -b11001101110111011101110111101000 5 -b11001101110111011101110111101000 ? -b11001101110111011101110111101000 D -b11110101011011000010010100111010 % -b11110101011011000010010100111010 . -b11110101011011000010010100111010 6 -b11110101011011000010010100111010 @ -b11110101011011000010010100111010 F -b11000101010011000000010100101000 ) -#269440000 -0& -#269456000 -b1000001110010 , -#269472000 -1& -#269488000 -b1110011100010110100000011000010 " -b1110011100010110100000011000010 4 -b1110011100010110100000011000010 1 -b1110011100010110100000011000010 C -b11001111000011000000000000000000 0 -b11001111000011000000000000000000 H -08 -19 -b11110011100001101011110010110101 2 -b11110011100001101011110010110101 = -b1111011101010110100100011110010 < -b11110011100001101011110010110101 : -b1110111110110110111001111000011 $ -b1110111110110110111001111000011 - -b1110111110110110111001111000011 5 -b1110111110110110111001111000011 ? -b1110111110110110111001111000011 D -b1111011101010110100100011110010 % -b1111011101010110100100011110010 . -b1111011101010110100100011110010 6 -b1111011101010110100100011110010 @ -b1111011101010110100100011110010 F -b1110011100010110100000011000010 ) -#269504000 -0& -#269520000 -b1000001110011 , -#269536000 -1& -#269552000 -b110110010010010000110111100000 " -b110110010010010000110111100000 4 -b110110010010010000110111100000 1 -b110110010010010000110111100000 C -b11111110000100000000000000000000 0 -b11111110000100000000000000000000 H -b10110110001010001010110111010101 2 -b10110110001010001010110111010101 = -b1111110010111110001110111110100 < -b10110110001010001010110111010101 : -b110111110010011000111111100001 $ -b110111110010011000111111100001 - -b110111110010011000111111100001 5 -b110111110010011000111111100001 ? -b110111110010011000111111100001 D -b1111110010111110001110111110100 % -b1111110010111110001110111110100 . -b1111110010111110001110111110100 6 -b1111110010111110001110111110100 @ -b1111110010111110001110111110100 F -b110110010010010000110111100000 ) -#269568000 -0& -#269584000 -b1000001110100 , -#269600000 -1& -#269616000 -b11111100011000100000100000000110 " -b11111100011000100000100000000110 4 -b11111100011000100000100000000110 1 -b11111100011000100000100000000110 C -b1111100101001101100000110000000 0 -b1111100101001101100000110000000 H -18 -09 -b11111100011000011100001100001100 2 -b11111100011000011100001100001100 = -b11111110011011110010100000000110 < -b11111100011000011100001100001100 : -b11111101111100101001101100000110 $ -b11111101111100101001101100000110 - -b11111101111100101001101100000110 5 -b11111101111100101001101100000110 ? -b11111101111100101001101100000110 D -b11111110011011110010100000000110 % -b11111110011011110010100000000110 . -b11111110011011110010100000000110 6 -b11111110011011110010100000000110 @ -b11111110011011110010100000000110 F -b11111100011000100000100000000110 ) -#269632000 -0& -#269648000 -b1000001110101 , -#269664000 -1& -#269680000 -b110101010110000001110110010011 " -b110101010110000001110110010011 4 -b110101010110000001110110010011 1 -b110101010110000001110110010011 C -b11101110101110000000000000000000 0 -b11101110101110000000000000000000 H -b11110011010110000001110101101010 2 -b11110011010110000001110101101010 = -b110101011111001111111110010011 < -b11110011010110000001110101101010 : -b10111101110110110001110111010111 $ -b10111101110110110001110111010111 - -b10111101110110110001110111010111 5 -b10111101110110110001110111010111 ? -b10111101110110110001110111010111 D -b110101011111001111111110010011 % -b110101011111001111111110010011 . -b110101011111001111111110010011 6 -b110101011111001111111110010011 @ -b110101011111001111111110010011 F -b110101010110000001110110010011 ) -#269696000 -0& -#269712000 -b1000001110110 , -#269728000 -1& -#269744000 -b1010111101011000000000101000001 " -b1010111101011000000000101000001 4 -b1010111101011000000000101000001 1 -b1010111101011000000000101000001 C -b1001101010001000000000000000 0 -b1001101010001000000000000000 H -08 -19 -b10110111011010110101010011000000 2 -b10110111011010110101010011000000 = -b1011111101111010100000101101111 < -b10110111011010110101010011000000 : -b1010111101011100001001101010001 $ -b1010111101011100001001101010001 - -b1010111101011100001001101010001 5 -b1010111101011100001001101010001 ? -b1010111101011100001001101010001 D -b1011111101111010100000101101111 % -b1011111101111010100000101101111 . -b1011111101111010100000101101111 6 -b1011111101111010100000101101111 @ -b1011111101111010100000101101111 F -b1010111101011000000000101000001 ) -#269760000 -0& -#269776000 -b1000001110111 , -#269792000 -1& -#269808000 -b11001100101001010010010000001001 " -b11001100101001010010010000001001 4 -b11001100101001010010010000001001 1 -b11001100101001010010010000001001 C -b11110101110010010110000000000000 0 -b11110101110010010110000000000000 H -18 -09 -b11001100100101001110001101111000 2 -b11001100100101001110001101111000 = -b11001101101001010011010100101101 < -b11001100100101001110001101111000 : -b11111110111011111010111001001011 $ -b11111110111011111010111001001011 - -b11111110111011111010111001001011 5 -b11111110111011111010111001001011 ? -b11111110111011111010111001001011 D -b11001101101001010011010100101101 % -b11001101101001010011010100101101 . -b11001101101001010011010100101101 6 -b11001101101001010011010100101101 @ -b11001101101001010011010100101101 F -b11001100101001010010010000001001 ) -#269824000 -0& -#269840000 -b1000001111000 , -#269856000 -1& -#269872000 -b10011011111000010000011100100 " -b10011011111000010000011100100 4 -b10011011111000010000011100100 1 -b10011011111000010000011100100 C -b11011111110111100100000000000000 0 -b11011111110111100100000000000000 H -18 -09 -b11010011011111000010000011010000 2 -b11010011011111000010000011010000 = -b10110011011111100010001011101100 < -b11010011011111000010000011010000 : -b11111111111011111110111100100 $ -b11111111111011111110111100100 - -b11111111111011111110111100100 5 -b11111111111011111110111100100 ? -b11111111111011111110111100100 D -b10110011011111100010001011101100 % -b10110011011111100010001011101100 . -b10110011011111100010001011101100 6 -b10110011011111100010001011101100 @ -b10110011011111100010001011101100 F -b10011011111000010000011100100 ) -#269888000 -0& -#269904000 -b1000001111001 , -#269920000 -1& -#269936000 -b11111111011011110100010100001100 " -b11111111011011110100010100001100 4 -b11111111011011110100010100001100 1 -b11111111011011110100010100001100 C -b10110011100011100000000000000000 0 -b10110011100011100000000000000000 H -b11111111011011110011110001001011 2 -b11111111011011110011110001001011 = -b11111111111111111101010100101111 < -b11111111011011110011110001001011 : -b11111111011011110110011100011100 $ -b11111111011011110110011100011100 - -b11111111011011110110011100011100 5 -b11111111011011110110011100011100 ? -b11111111011011110110011100011100 D -b11111111111111111101010100101111 % -b11111111111111111101010100101111 . -b11111111111111111101010100101111 6 -b11111111111111111101010100101111 @ -b11111111111111111101010100101111 F -b11111111011011110100010100001100 ) -#269952000 -0& -#269968000 -b1000001111010 , -#269984000 -1& -#270000000 -b111000011100010000010010110000 " -b111000011100010000010010110000 4 -b111000011100010000010010110000 1 -b111000011100010000010010110000 C -b10010010110001000000000000000000 0 -b10010010110001000000000000000000 H -08 -b110111011010000111001010100011 2 -b110111011010000111001010100011 = -b11111010111101110100110111110010 < -b110111011010000111001010100011 : -b111100011100010010010010110001 $ -b111100011100010010010010110001 - -b111100011100010010010010110001 5 -b111100011100010010010010110001 ? -b111100011100010010010010110001 D -b11111010111101110100110111110010 % -b11111010111101110100110111110010 . -b11111010111101110100110111110010 6 -b11111010111101110100110111110010 @ -b11111010111101110100110111110010 F -b111000011100010000010010110000 ) -#270016000 -0& -#270032000 -b1000001111011 , -#270048000 -1& -#270064000 -b1101011011000110000010100110000 " -b1101011011000110000010100110000 4 -b1101011011000110000010100110000 1 -b1101011011000110000010100110000 C -b11001110000000000000000000000000 0 -b11001110000000000000000000000000 H -b1101011010110101101110011101110 2 -b1101011010110101101110011101110 = -b11101111011000110000010110110110 < -b1101011010110101101110011101110 : -b1111011111101111101011100111000 $ -b1111011111101111101011100111000 - -b1111011111101111101011100111000 5 -b1111011111101111101011100111000 ? -b1111011111101111101011100111000 D -b11101111011000110000010110110110 % -b11101111011000110000010110110110 . -b11101111011000110000010110110110 6 -b11101111011000110000010110110110 @ -b11101111011000110000010110110110 F -b1101011011000110000010100110000 ) -#270080000 -0& -#270096000 -b1000001111100 , -#270112000 -1& -#270128000 -b11110110111110000000011011000 " -b11110110111110000000011011000 4 -b11110110111110000000011011000 1 -b11110110111110000000011011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -19 -08 -b10011110101111100001111111010111 2 -b10011110101111100001111111010111 = -b1011110110111110000111011011110 < -b10011110101111100001111111010111 : -b111111110111110001000011111001 $ -b111111110111110001000011111001 - -b111111110111110001000011111001 5 -b111111110111110001000011111001 ? -b111111110111110001000011111001 D -b1011110110111110000111011011110 % -b1011110110111110000111011011110 . -b1011110110111110000111011011110 6 -b1011110110111110000111011011110 @ -b1011110110111110000111011011110 F -b11110110111110000000011011000 ) -#270144000 -0& -#270160000 -b1000001111101 , -#270176000 -1& -#270192000 -b101000010001110101010000001001 " -b101000010001110101010000001001 4 -b101000010001110101010000001001 1 -b101000010001110101010000001001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -b10101000010001110100101100101000 2 -b10101000010001110100101100101000 = -b101101110001110101010000011101 < -b10101000010001110100101100101000 : -b1111010011111111111011100001011 $ -b1111010011111111111011100001011 - -b1111010011111111111011100001011 5 -b1111010011111111111011100001011 ? -b1111010011111111111011100001011 D -b101101110001110101010000011101 % -b101101110001110101010000011101 . -b101101110001110101010000011101 6 -b101101110001110101010000011101 @ -b101101110001110101010000011101 F -b101000010001110101010000001001 ) -#270208000 -0& -#270224000 -b1000001111110 , -#270240000 -1& -#270256000 -b1011011101111001100000110011000 " -b1011011101111001100000110011000 4 -b1011011101111001100000110011000 1 -b1011011101111001100000110011000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -09 -08 -b1010111101110101001000101110110 2 -b1010111101110101001000101110110 = -b11111011101111001100011111011100 < -b1010111101110101001000101110110 : -b1011011111111011100100110011010 $ -b1011011111111011100100110011010 - -b1011011111111011100100110011010 5 -b1011011111111011100100110011010 ? -b1011011111111011100100110011010 D -b11111011101111001100011111011100 % -b11111011101111001100011111011100 . -b11111011101111001100011111011100 6 -b11111011101111001100011111011100 @ -b11111011101111001100011111011100 F -b1011011101111001100000110011000 ) -#270272000 -0& -#270288000 -b1000001111111 , -#270304000 -1& -#270320000 -b1001111110111111100010001001100 " -b1001111110111111100010001001100 4 -b1001111110111111100010001001100 1 -b1001111110111111100010001001100 C -b11111101110001001111000000000000 0 -b11111101110001001111000000000000 H -b1001111101111111100000010011011 2 -b1001111101111111100000010011011 = -b11001111110111111110010001001100 < -b1001111101111111100000010011011 : -b1111111110111111101110001001111 $ -b1111111110111111101110001001111 - -b1111111110111111101110001001111 5 -b1111111110111111101110001001111 ? -b1111111110111111101110001001111 D -b11001111110111111110010001001100 % -b11001111110111111110010001001100 . -b11001111110111111110010001001100 6 -b11001111110111111110010001001100 @ -b11001111110111111110010001001100 F -b1001111110111111100010001001100 ) -#270336000 -0& -#270352000 -b1000010000000 , -#270368000 -1& -#270384000 -b10011011010110000100010000000 " -b10011011010110000100010000000 4 -b10011011010110000100010000000 1 -b10011011010110000100010000000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -b10010111010101011100000111100 2 -b10010111010101011100000111100 = -b11010011011110111000111010011000 < -b10010111010101011100000111100 : -b111111011011110010100110100100 $ -b111111011011110010100110100100 - -b111111011011110010100110100100 5 -b111111011011110010100110100100 ? -b111111011011110010100110100100 D -b11010011011110111000111010011000 % -b11010011011110111000111010011000 . -b11010011011110111000111010011000 6 -b11010011011110111000111010011000 @ -b11010011011110111000111010011000 F -b10011011010110000100010000000 ) -#270400000 -0& -#270416000 -b1000010000001 , -#270432000 -1& -#270448000 -b10101111110111001010000100000000 " -b10101111110111001010000100000000 4 -b10101111110111001010000100000000 1 -b10101111110111001010000100000000 C -b0 0 -b0 H -18 -b10101111110111001010000001111100 2 -b10101111110111001010000001111100 = -b11101111110111111111011100111100 < -b10101111110111001010000001111100 : -b10111111111111001010100101000000 $ -b10111111111111001010100101000000 - -b10111111111111001010100101000000 5 -b10111111111111001010100101000000 ? -b10111111111111001010100101000000 D -b11101111110111111111011100111100 % -b11101111110111111111011100111100 . -b11101111110111111111011100111100 6 -b11101111110111111111011100111100 @ -b11101111110111111111011100111100 F -b10101111110111001010000100000000 ) -#270464000 -0& -#270480000 -b1000010000010 , -#270496000 -1& -#270512000 -b110111100111110001000000010000 " -b110111100111110001000000010000 4 -b110111100111110001000000010000 1 -b110111100111110001000000010000 C -b1000101100100000000000000000000 0 -b1000101100100000000000000000000 H -08 -b110111010111101010110110001101 2 -b110111010111101010110110001101 = -b1110111100111110001100100110100 < -b110111010111101010110110001101 : -b10111111101111111001010001011001 $ -b10111111101111111001010001011001 - -b10111111101111111001010001011001 5 -b10111111101111111001010001011001 ? -b10111111101111111001010001011001 D -b1110111100111110001100100110100 % -b1110111100111110001100100110100 . -b1110111100111110001100100110100 6 -b1110111100111110001100100110100 @ -b1110111100111110001100100110100 F -b110111100111110001000000010000 ) -#270528000 -0& -#270544000 -b1000010000011 , -#270560000 -1& -#270576000 -b1011101110010010001001000000000 " -b1011101110010010001001000000000 4 -b1011101110010010001001000000000 1 -b1011101110010010001001000000000 C -b111010101011010000000000000000 0 -b111010101011010000000000000000 H -b1011101110010000100110110111101 2 -b1011101110010000100110110111101 = -b1111101110011110001001100010000 < -b1011101110010000100110110111101 : -b11011111111110010011101010101101 $ -b11011111111110010011101010101101 - -b11011111111110010011101010101101 5 -b11011111111110010011101010101101 ? -b11011111111110010011101010101101 D -b1111101110011110001001100010000 % -b1111101110011110001001100010000 . -b1111101110011110001001100010000 6 -b1111101110011110001001100010000 @ -b1111101110011110001001100010000 F -b1011101110010010001001000000000 ) -#270592000 -0& -#270608000 -b1000010000100 , -#270624000 -1& -#270640000 -b11111110011110110001100000011000 " -b11111110011110110001100000011000 4 -b11111110011110110001100000011000 1 -b11111110011110110001100000011000 C -b1110100000000000000000000000000 0 -b1110100000000000000000000000000 H -18 -b11111101111110110001011110110111 2 -b11111101111110110001011110110111 = -b11111110011110110011100000011010 < -b11111101111110110001011110110111 : -b11111111011111111101111110011101 $ -b11111111011111111101111110011101 - -b11111111011111111101111110011101 5 -b11111111011111111101111110011101 ? -b11111111011111111101111110011101 D -b11111110011110110011100000011010 % -b11111110011110110011100000011010 . -b11111110011110110011100000011010 6 -b11111110011110110011100000011010 @ -b11111110011110110011100000011010 F -b11111110011110110001100000011000 ) -#270656000 -0& -#270672000 -b1000010000101 , -#270688000 -1& -#270704000 -b111111001010110000101000010000 " -b111111001010110000101000010000 4 -b111111001010110000101000010000 1 -b111111001010110000101000010000 C -b10111011110110010000000000000000 0 -b10111011110110010000000000000000 H -18 -09 -b11111111001010101100100111101001 2 -b11111111001010101100100111101001 = -b10111111101010110000111000010000 < -b11111111001010101100100111101001 : -b111111011111111011101111011001 $ -b111111011111111011101111011001 - -b111111011111111011101111011001 5 -b111111011111111011101111011001 ? -b111111011111111011101111011001 D -b10111111101010110000111000010000 % -b10111111101010110000111000010000 . -b10111111101010110000111000010000 6 -b10111111101010110000111000010000 @ -b10111111101010110000111000010000 F -b111111001010110000101000010000 ) -#270720000 -0& -#270736000 -b1000010000110 , -#270752000 -1& -#270768000 -b1111111110100000000010000001 " -b1111111110100000000010000001 4 -b1111111110100000000010000001 1 -b1111111110100000000010000001 C -b1000001100100100000000000000000 0 -b1000001100100100000000000000000 H -08 -b1111111110011011100001111010 2 -b1111111110011011100001111010 = -b10011111111111111001011110110001 < -b1111111110011011100001111010 : -b1101111111110100010000011001001 $ -b1101111111110100010000011001001 - -b1101111111110100010000011001001 5 -b1101111111110100010000011001001 ? -b1101111111110100010000011001001 D -b10011111111111111001011110110001 % -b10011111111111111001011110110001 . -b10011111111111111001011110110001 6 -b10011111111111111001011110110001 @ -b10011111111111111001011110110001 F -b1111111110100000000010000001 ) -#270784000 -0& -#270800000 -b1000010000111 , -#270816000 -1& -#270832000 -b11100110101100010000000010000010 " -b11100110101100010000000010000010 4 -b11100110101100010000000010000010 1 -b11100110101100010000000010000010 C -b11101110111010010010011011000000 0 -b11101110111010010010011011000000 H -18 -b11100110101100001011100000100001 2 -b11100110101100001011100000100001 = -b11100110111101010001001110000110 < -b11100110101100001011100000100001 : -b11111111101110111010010010011011 $ -b11111111101110111010010010011011 - -b11111111101110111010010010011011 5 -b11111111101110111010010010011011 ? -b11111111101110111010010010011011 D -b11100110111101010001001110000110 % -b11100110111101010001001110000110 . -b11100110111101010001001110000110 6 -b11100110111101010001001110000110 @ -b11100110111101010001001110000110 F -b11100110101100010000000010000010 ) -#270848000 -0& -#270864000 -b1000010001000 , -#270880000 -1& -#270896000 -b1110001111101101010110100000000 " -b1110001111101101010110100000000 4 -b1110001111101101010110100000000 1 -b1110001111101101010110100000000 C -b11110101111101101011110101001010 0 -b11110101111101101011110101001010 H -08 -b1110001111011010110110011101010 2 -b1110001111011010110110011101010 = -b1111011111101101010111110100000 < -b1110001111011010110110011101010 : -b11110101111101101011110101001010 $ -b11110101111101101011110101001010 - -b11110101111101101011110101001010 5 -b11110101111101101011110101001010 ? -b11110101111101101011110101001010 D -b1111011111101101010111110100000 % -b1111011111101101010111110100000 . -b1111011111101101010111110100000 6 -b1111011111101101010111110100000 @ -b1111011111101101010111110100000 F -b1110001111101101010110100000000 ) -#270912000 -0& -#270928000 -b1000010001001 , -#270944000 -1& -#270960000 -b1110001110100101000000110011100 " -b1110001110100101000000110011100 4 -b1110001110100101000000110011100 1 -b1110001110100101000000110011100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -b1100101110100100010010101011010 2 -b1100101110100100010010101011010 = -b11110011110111101000001110011100 < -b1100101110100100010010101011010 : -b1110001111100111010000110111110 $ -b1110001111100111010000110111110 - -b1110001111100111010000110111110 5 -b1110001111100111010000110111110 ? -b1110001111100111010000110111110 D -b11110011110111101000001110011100 % -b11110011110111101000001110011100 . -b11110011110111101000001110011100 6 -b11110011110111101000001110011100 @ -b11110011110111101000001110011100 F -b1110001110100101000000110011100 ) -#270976000 -0& -#270992000 -b1000010001010 , -#271008000 -1& -#271024000 -b11001101001111110001010000000001 " -b11001101001111110001010000000001 4 -b11001101001111110001010000000001 1 -b11001101001111110001010000000001 C -b11001111011000001000000000000000 0 -b11001111011000001000000000000000 H -18 -b11001011001111101011001011110000 2 -b11001011001111101011001011110000 = -b11001101001111110001010000101111 < -b11001011001111101011001011110000 : -b11111101111111111001111011000001 $ -b11111101111111111001111011000001 - -b11111101111111111001111011000001 5 -b11111101111111111001111011000001 ? -b11111101111111111001111011000001 D -b11001101001111110001010000101111 % -b11001101001111110001010000101111 . -b11001101001111110001010000101111 6 -b11001101001111110001010000101111 @ -b11001101001111110001010000101111 F -b11001101001111110001010000000001 ) -#271040000 -0& -#271056000 -b1000010001011 , -#271072000 -1& -#271088000 -b1010101000010110000000000000010 " -b1010101000010110000000000000010 4 -b1010101000010110000000000000010 1 -b1010101000010110000000000000010 C -b1101110111010010000010010000000 0 -b1101110111010010000010010000000 H -08 -b1010101000010101110111000111000 2 -b1010101000010101110111000111000 = -b11010111010011110100101000100110 < -b1010101000010101110111000111000 : -b1111101101110111010010000010010 $ -b1111101101110111010010000010010 - -b1111101101110111010010000010010 5 -b1111101101110111010010000010010 ? -b1111101101110111010010000010010 D -b11010111010011110100101000100110 % -b11010111010011110100101000100110 . -b11010111010011110100101000100110 6 -b11010111010011110100101000100110 @ -b11010111010011110100101000100110 F -b1010101000010110000000000000010 ) -#271104000 -0& -#271120000 -b1000010001100 , -#271136000 -1& -#271152000 -b1011110001001011110010000100000 " -b1011110001001011110010000100000 4 -b1011110001001011110010000100000 1 -b1011110001001011110010000100000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -b1011110001001011110000011010111 2 -b1011110001001011110000011010111 = -b11111111011101011110010010110111 < -b1011110001001011110000011010111 : -b1011110101011111111110000100000 $ -b1011110101011111111110000100000 - -b1011110101011111111110000100000 5 -b1011110101011111111110000100000 ? -b1011110101011111111110000100000 D -b11111111011101011110010010110111 % -b11111111011101011110010010110111 . -b11111111011101011110010010110111 6 -b11111111011101011110010010110111 @ -b11111111011101011110010010110111 F -b1011110001001011110010000100000 ) -#271168000 -0& -#271184000 -b1000010001101 , -#271200000 -1& -#271216000 -b11110100110000110001000001001100 " -b11110100110000110001000001001100 4 -b11110100110000110001000001001100 1 -b11110100110000110001000001001100 C -b11001001001011100000000000000000 0 -b11001001001011100000000000000000 H -18 -b11110100110000101110100001001011 2 -b11110100110000101110100001001011 = -b11110101111101110101010111101111 < -b11110100110000101110100001001011 : -b11111110110010111001001001011100 $ -b11111110110010111001001001011100 - -b11111110110010111001001001011100 5 -b11111110110010111001001001011100 ? -b11111110110010111001001001011100 D -b11110101111101110101010111101111 % -b11110101111101110101010111101111 . -b11110101111101110101010111101111 6 -b11110101111101110101010111101111 @ -b11110101111101110101010111101111 F -b11110100110000110001000001001100 ) -#271232000 -0& -#271248000 -b1000010001110 , -#271264000 -1& -#271280000 -b1110011111010101000110000100000 " -b1110011111010101000110000100000 4 -b1110011111010101000110000100000 1 -b1110011111010101000110000100000 C -b11010111010111000110010000000000 0 -b11010111010111000110010000000000 H -08 -b1110011111010100011110000011011 2 -b1110011111010100011110000011011 = -b1111111111111101000110111101001 < -b1110011111010100011110000011011 : -b11110011111010111010111000110010 $ -b11110011111010111010111000110010 - -b11110011111010111010111000110010 5 -b11110011111010111010111000110010 ? -b11110011111010111010111000110010 D -b1111111111111101000110111101001 % -b1111111111111101000110111101001 . -b1111111111111101000110111101001 6 -b1111111111111101000110111101001 @ -b1111111111111101000110111101001 F -b1110011111010101000110000100000 ) -#271296000 -0& -#271312000 -b1000010001111 , -#271328000 -1& -#271344000 -b1110100111001101000000010101000 " -b1110100111001101000000010101000 4 -b1110100111001101000000010101000 1 -b1110100111001101000000010101000 C -b11101111011000110101110000000000 0 -b11101111011000110101110000000000 H -b1110100111001100011110001010111 2 -b1110100111001100011110001010111 = -b1111110111011101000101010101001 < -b1110100111001100011110001010111 : -b11110101111101111011000110101110 $ -b11110101111101111011000110101110 - -b11110101111101111011000110101110 5 -b11110101111101111011000110101110 ? -b11110101111101111011000110101110 D -b1111110111011101000101010101001 % -b1111110111011101000101010101001 . -b1111110111011101000101010101001 6 -b1111110111011101000101010101001 @ -b1111110111011101000101010101001 F -b1110100111001101000000010101000 ) -#271360000 -0& -#271376000 -b1000010010000 , -#271392000 -1& -#271408000 -b1110011111100000000000010010000 " -b1110011111100000000000010010000 4 -b1110011111100000000000010010000 1 -b1110011111100000000000010010000 C -b101101001011000000000000000000 0 -b101101001011000000000000000000 H -19 -08 -b11101011111010111101011100100111 2 -b11101011111010111101011100100111 = -b1110111111100110100000010010001 < -b11101011111010111101011100100111 : -b1110011111110001001011010010110 $ -b1110011111110001001011010010110 - -b1110011111110001001011010010110 5 -b1110011111110001001011010010110 ? -b1110011111110001001011010010110 D -b1110111111100110100000010010001 % -b1110111111100110100000010010001 . -b1110111111100110100000010010001 6 -b1110111111100110100000010010001 @ -b1110111111100110100000010010001 F -b1110011111100000000000010010000 ) -#271424000 -0& -#271440000 -b1000010010001 , -#271456000 -1& -#271472000 -b1110110001101101100100000001110 " -b1110110001101101100100000001110 4 -b1110110001101101100100000001110 1 -b1110110001101101100100000001110 C -b10110010100000111100000000000000 0 -b10110010100000111100000000000000 H -09 -08 -b1110101111101101010001010011101 2 -b1110101111101101010001010011101 = -b11110110001111111101100010001110 < -b1110101111101101010001010011101 : -b1111111101101101100101000001111 $ -b1111111101101101100101000001111 - -b1111111101101101100101000001111 5 -b1111111101101101100101000001111 ? -b1111111101101101100101000001111 D -b11110110001111111101100010001110 % -b11110110001111111101100010001110 . -b11110110001111111101100010001110 6 -b11110110001111111101100010001110 @ -b11110110001111111101100010001110 F -b1110110001101101100100000001110 ) -#271488000 -0& -#271504000 -b1000010010010 , -#271520000 -1& -#271536000 -b110100110100000001010000100 " -b110100110100000001010000100 4 -b110100110100000001010000100 1 -b110100110100000001010000100 C -b11110111010001110101101110000000 0 -b11110111010001110101101110000000 H -b110100101001100001001100001 2 -b110100101001100001001100001 = -b1101110110110101000011110000101 < -b110100101001100001001100001 : -b10010111101110100011101011011100 $ -b10010111101110100011101011011100 - -b10010111101110100011101011011100 5 -b10010111101110100011101011011100 ? -b10010111101110100011101011011100 D -b1101110110110101000011110000101 % -b1101110110110101000011110000101 . -b1101110110110101000011110000101 6 -b1101110110110101000011110000101 @ -b1101110110110101000011110000101 F -b110100110100000001010000100 ) -#271552000 -0& -#271568000 -b1000010010011 , -#271584000 -1& -#271600000 -b10110011011100000001000010000 " -b10110011011100000001000010000 4 -b10110011011100000001000010000 1 -b10110011011100000001000010000 C -b1110110001000000000000000000 0 -b1110110001000000000000000000 H -b10110011011011111100111000011 2 -b10110011011011111100111000011 = -b10011110011011101111011000010010 < -b10110011011011111100111000011 : -b1110111111111110000001110110001 $ -b1110111111111110000001110110001 - -b1110111111111110000001110110001 5 -b1110111111111110000001110110001 ? -b1110111111111110000001110110001 D -b10011110011011101111011000010010 % -b10011110011011101111011000010010 . -b10011110011011101111011000010010 6 -b10011110011011101111011000010010 @ -b10011110011011101111011000010010 F -b10110011011100000001000010000 ) -#271616000 -0& -#271632000 -b1000010010100 , -#271648000 -1& -#271664000 -b111010110110010011000000001111 " -b111010110110010011000000001111 4 -b111010110110010011000000001111 1 -b111010110110010011000000001111 C -b10011000001001111000000000000000 0 -b10011000001001111000000000000000 H -b111010110110001110011001011110 2 -b111010110110001110011001011110 = -b11111011110110011011011000001111 < -b111010110110001110011001011110 : -b111110111111110011000001001111 $ -b111110111111110011000001001111 - -b111110111111110011000001001111 5 -b111110111111110011000001001111 ? -b111110111111110011000001001111 D -b11111011110110011011011000001111 % -b11111011110110011011011000001111 . -b11111011110110011011011000001111 6 -b11111011110110011011011000001111 @ -b11111011110110011011011000001111 F -b111010110110010011000000001111 ) -#271680000 -0& -#271696000 -b1000010010101 , -#271712000 -1& -#271728000 -b1010010111010110001010110100000 " -b1010010111010110001010110100000 4 -b1010010111010110001010110100000 1 -b1010010111010110001010110100000 C -b10110101110110110000000000000000 0 -b10110101110110110000000000000000 H -b1010010111010101001001110011100 2 -b1010010111010101001001110011100 = -b1011010111011110011010111101100 < -b1010010111010101001001110011100 : -b11110111111110110101110110110000 $ -b11110111111110110101110110110000 - -b11110111111110110101110110110000 5 -b11110111111110110101110110110000 ? -b11110111111110110101110110110000 D -b1011010111011110011010111101100 % -b1011010111011110011010111101100 . -b1011010111011110011010111101100 6 -b1011010111011110011010111101100 @ -b1011010111011110011010111101100 F -b1010010111010110001010110100000 ) -#271744000 -0& -#271760000 -b1000010010110 , -#271776000 -1& -#271792000 -b1000111010100101010001101000000 " -b1000111010100101010001101000000 4 -b1000111010100101010001101000000 1 -b1000111010100101010001101000000 C -b1100111011100111110001101001110 0 -b1100111011100111110001101001110 H -19 -08 -b11000111010011101001111100001110 2 -b11000111010011101001111100001110 = -b1011111110110101011101111000000 < -b11000111010011101001111100001110 : -b1100111011100111110001101001110 $ -b1100111011100111110001101001110 - -b1100111011100111110001101001110 5 -b1100111011100111110001101001110 ? -b1100111011100111110001101001110 D -b1011111110110101011101111000000 % -b1011111110110101011101111000000 . -b1011111110110101011101111000000 6 -b1011111110110101011101111000000 @ -b1011111110110101011101111000000 F -b1000111010100101010001101000000 ) -#271808000 -0& -#271824000 -b1000010010111 , -#271840000 -1& -#271856000 -b11011000111100100000000001000 " -b11011000111100100000000001000 4 -b11011000111100100000000001000 1 -b11011000111100100000000001000 C -b10111100100100000001100000000000 0 -b10111100100100000001100000000000 H -b10011011000111100000111111010101 2 -b10011011000111100000111111010101 = -b11011101111111100011111001001 < -b10011011000111100000111111010101 : -b1111111010111100100100000001100 $ -b1111111010111100100100000001100 - -b1111111010111100100100000001100 5 -b1111111010111100100100000001100 ? -b1111111010111100100100000001100 D -b11011101111111100011111001001 % -b11011101111111100011111001001 . -b11011101111111100011111001001 6 -b11011101111111100011111001001 @ -b11011101111111100011111001001 F -b11011000111100100000000001000 ) -#271872000 -0& -#271888000 -b1000010011000 , -#271904000 -1& -#271920000 -b101010110110100110001000001000 " -b101010110110100110001000001000 4 -b101010110110100110001000001000 1 -b101010110110100110001000001000 C -b10101111001000011010000000000000 0 -b10101111001000011010000000000000 H -09 -08 -b11010110110010101110011000110 2 -b11010110110010101110011000110 = -b10101110111111100110101010101100 < -b11010110110010101110011000110 : -b1101011110110101111001000011010 $ -b1101011110110101111001000011010 - -b1101011110110101111001000011010 5 -b1101011110110101111001000011010 ? -b1101011110110101111001000011010 D -b10101110111111100110101010101100 % -b10101110111111100110101010101100 . -b10101110111111100110101010101100 6 -b10101110111111100110101010101100 @ -b10101110111111100110101010101100 F -b101010110110100110001000001000 ) -#271936000 -0& -#271952000 -b1000010011001 , -#271968000 -1& -#271984000 -b1110110100010110001000000000000 " -b1110110100010110001000000000000 4 -b1110110100010110001000000000000 1 -b1110110100010110001000000000000 C -b11010110110010000100000000000000 0 -b11010110110010000100000000000000 H -b1110110011110101000101111101111 2 -b1110110011110101000101111101111 = -b11110111111011110011000011001110 < -b1110110011110101000101111101111 : -b1111110100010110101101100100001 $ -b1111110100010110101101100100001 - -b1111110100010110101101100100001 5 -b1111110100010110101101100100001 ? -b1111110100010110101101100100001 D -b11110111111011110011000011001110 % -b11110111111011110011000011001110 . -b11110111111011110011000011001110 6 -b11110111111011110011000011001110 @ -b11110111111011110011000011001110 F -b1110110100010110001000000000000 ) -#272000000 -0& -#272016000 -b1000010011010 , -#272032000 -1& -#272048000 -b1010100011110000000000010010001 " -b1010100011110000000000010010001 4 -b1010100011110000000000010010001 1 -b1010100011110000000000010010001 C -b11011100100000000000000000000000 0 -b11011100100000000000000000000000 H -19 -08 -b10110010011101111100000010010000 2 -b10110010011101111100000010010000 = -b1011100111110011001011011010111 < -b10110010011101111100000010010000 : -b1010101011111100010100110111001 $ -b1010101011111100010100110111001 - -b1010101011111100010100110111001 5 -b1010101011111100010100110111001 ? -b1010101011111100010100110111001 D -b1011100111110011001011011010111 % -b1011100111110011001011011010111 . -b1011100111110011001011011010111 6 -b1011100111110011001011011010111 @ -b1011100111110011001011011010111 F -b1010100011110000000000010010001 ) -#272064000 -0& -#272080000 -b1000010011011 , -#272096000 -1& -#272112000 -b10001011001110000000011000001 " -b10001011001110000000011000001 4 -b10001011001110000000011000001 1 -b10001011001110000000011000001 C -b1101001110100100000000000000000 0 -b1101001110100100000000000000000 H -b10010001011001101011010110111010 2 -b10010001011001101011010110111010 = -b1011001011001110000000011010001 < -b10010001011001101011010110111010 : -b110111111111111011010011101001 $ -b110111111111111011010011101001 - -b110111111111111011010011101001 5 -b110111111111111011010011101001 ? -b110111111111111011010011101001 D -b1011001011001110000000011010001 % -b1011001011001110000000011010001 . -b1011001011001110000000011010001 6 -b1011001011001110000000011010001 @ -b1011001011001110000000011010001 F -b10001011001110000000011000001 ) -#272128000 -0& -#272144000 -b1000010011100 , -#272160000 -1& -#272176000 -b11110101101010110001010010000000 " -b11110101101010110001010010000000 4 -b11110101101010110001010010000000 1 -b11110101101010110001010010000000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -18 -09 -b11110101101010100100110001111000 2 -b11110101101010100100110001111000 = -b11111101111110110001011011011000 < -b11110101101010100100110001111000 : -b11110111101011110011010110100000 $ -b11110111101011110011010110100000 - -b11110111101011110011010110100000 5 -b11110111101011110011010110100000 ? -b11110111101011110011010110100000 D -b11111101111110110001011011011000 % -b11111101111110110001011011011000 . -b11111101111110110001011011011000 6 -b11111101111110110001011011011000 @ -b11111101111110110001011011011000 F -b11110101101010110001010010000000 ) -#272192000 -0& -#272208000 -b1000010011101 , -#272224000 -1& -#272240000 -b11111110011100100100101001010010 " -b11111110011100100100101001010010 4 -b11111110011100100100101001010010 1 -b11111110011100100100101001010010 C -b10111011011011000000000000000000 0 -b10111011011011000000000000000000 H -b11111110011100100100101000101101 2 -b11111110011100100100101000101101 = -b11111111011111101101101101010010 < -b11111110011100100100101000101101 : -b11111110111100110110111011011011 $ -b11111110111100110110111011011011 - -b11111110111100110110111011011011 5 -b11111110111100110110111011011011 ? -b11111110111100110110111011011011 D -b11111111011111101101101101010010 % -b11111111011111101101101101010010 . -b11111111011111101101101101010010 6 -b11111111011111101101101101010010 @ -b11111111011111101101101101010010 F -b11111110011100100100101001010010 ) -#272256000 -0& -#272272000 -b1000010011110 , -#272288000 -1& -#272304000 -b11110000011110110000111101100100 " -b11110000011110110000111101100100 4 -b11110000011110110000111101100100 1 -b11110000011110110000111101100100 C -b11111100111101100111000000000000 0 -b11111100111101100111000000000000 H -b11101011111110101111111101010011 2 -b11101011111110101111111101010011 = -b11110010011110110010111111101100 < -b11101011111110101111111101010011 : -b11111001011111111100111101100111 $ -b11111001011111111100111101100111 - -b11111001011111111100111101100111 5 -b11111001011111111100111101100111 ? -b11111001011111111100111101100111 D -b11110010011110110010111111101100 % -b11110010011110110010111111101100 . -b11110010011110110010111111101100 6 -b11110010011110110010111111101100 @ -b11110010011110110010111111101100 F -b11110000011110110000111101100100 ) -#272320000 -0& -#272336000 -b1000010011111 , -#272352000 -1& -#272368000 -b1111001111010010000000010110100 " -b1111001111010010000000010110100 4 -b1111001111010010000000010110100 1 -b1111001111010010000000010110100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -08 -19 -b11111001111010000111101110110011 2 -b11111001111010000111101110110011 = -b1111111111111010101000011111100 < -b11111001111010000111101110110011 : -b1111001111010110010101010110111 $ -b1111001111010110010101010110111 - -b1111001111010110010101010110111 5 -b1111001111010110010101010110111 ? -b1111001111010110010101010110111 D -b1111111111111010101000011111100 % -b1111111111111010101000011111100 . -b1111111111111010101000011111100 6 -b1111111111111010101000011111100 @ -b1111111111111010101000011111100 F -b1111001111010010000000010110100 ) -#272384000 -0& -#272400000 -b1000010100000 , -#272416000 -1& -#272432000 -b1011101001110010000000000100001 " -b1011101001110010000000000100001 4 -b1011101001110010000000000100001 1 -b1011101001110010000000000100001 C -b1111110111100011100001000000000 0 -b1111110111100011100001000000000 H -09 -08 -b1011100111110000111110000001010 2 -b1011100111110000111110000001010 = -b1011111001110010000001100101001 < -b1011100111110000111110000001010 : -b11111101101111110111100011100001 $ -b11111101101111110111100011100001 - -b11111101101111110111100011100001 5 -b11111101101111110111100011100001 ? -b11111101101111110111100011100001 D -b1011111001110010000001100101001 % -b1011111001110010000001100101001 . -b1011111001110010000001100101001 6 -b1011111001110010000001100101001 @ -b1011111001110010000001100101001 F -b1011101001110010000000000100001 ) -#272448000 -0& -#272464000 -b1000010100001 , -#272480000 -1& -#272496000 -b110010100000111000000000000000 " -b110010100000111000000000000000 4 -b110010100000111000000000000000 1 -b110010100000111000000000000000 C -b10111011100000111000000000110110 0 -b10111011100000111000000000110110 H -b110010010110110001110101110110 2 -b110010010110110001110101110110 = -b1110110110101111001110101000000 < -b110010010110110001110101110110 : -b10111011100000111000000000110110 $ -b10111011100000111000000000110110 - -b10111011100000111000000000110110 5 -b10111011100000111000000000110110 ? -b10111011100000111000000000110110 D -b1110110110101111001110101000000 % -b1110110110101111001110101000000 . -b1110110110101111001110101000000 6 -b1110110110101111001110101000000 @ -b1110110110101111001110101000000 F -b110010100000111000000000000000 ) -#272512000 -0& -#272528000 -b1000010100010 , -#272544000 -1& -#272560000 -b1000101010011100001000000000000 " -b1000101010011100001000000000000 4 -b1000101010011100001000000000000 1 -b1000101010011100001000000000000 C -b10011100011001000000010000000000 0 -b10011100011001000000010000000000 H -b111101010011010100111110101011 2 -b111101010011010100111110101011 = -b1010111011111110001110110101001 < -b111101010011010100111110101011 : -b11100101110011100011001000000010 $ -b11100101110011100011001000000010 - -b11100101110011100011001000000010 5 -b11100101110011100011001000000010 ? -b11100101110011100011001000000010 D -b1010111011111110001110110101001 % -b1010111011111110001110110101001 . -b1010111011111110001110110101001 6 -b1010111011111110001110110101001 @ -b1010111011111110001110110101001 F -b1000101010011100001000000000000 ) -#272576000 -0& -#272592000 -b1000010100011 , -#272608000 -1& -#272624000 -b1001010010010110011000100000000 " -b1001010010010110011000100000000 4 -b1001010010010110011000100000000 1 -b1001010010010110011000100000000 C -b1001011001110010100001100000000 0 -b1001011001110010100001100000000 H -b1001010001110101110110011001011 2 -b1001010001110101110110011001011 = -b11011011111011111011001110001000 < -b1001010001110101110110011001011 : -b1101110010010110011100101000011 $ -b1101110010010110011100101000011 - -b1101110010010110011100101000011 5 -b1101110010010110011100101000011 ? -b1101110010010110011100101000011 D -b11011011111011111011001110001000 % -b11011011111011111011001110001000 . -b11011011111011111011001110001000 6 -b11011011111011111011001110001000 @ -b11011011111011111011001110001000 F -b1001010010010110011000100000000 ) -#272640000 -0& -#272656000 -b1000010100100 , -#272672000 -1& -#272688000 -b1110010010011110000000001010000 " -b1110010010011110000000001010000 4 -b1110010010011110000000001010000 1 -b1110010010011110000000001010000 C -b11110111101000000000000000000000 0 -b11110111101000000000000000000000 H -b1110010010011100100000001000111 2 -b1110010010011100100000001000111 = -b1111010110111110000000101010011 < -b1110010010011100100000001000111 : -b11110111011011110011111011110100 $ -b11110111011011110011111011110100 - -b11110111011011110011111011110100 5 -b11110111011011110011111011110100 ? -b11110111011011110011111011110100 D -b1111010110111110000000101010011 % -b1111010110111110000000101010011 . -b1111010110111110000000101010011 6 -b1111010110111110000000101010011 @ -b1111010110111110000000101010011 F -b1110010010011110000000001010000 ) -#272704000 -0& -#272720000 -b1000010100101 , -#272736000 -1& -#272752000 -b11110000001010111000010001000 " -b11110000001010111000010001000 4 -b11110000001010111000010001000 1 -b11110000001010111000010001000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -19 -08 -b10011101111000110111000010000110 2 -b10011101111000110111000010000110 = -b1011110110111010111011111111100 < -b10011101111000110111000010000110 : -b111111000001011111100010001010 $ -b111111000001011111100010001010 - -b111111000001011111100010001010 5 -b111111000001011111100010001010 ? -b111111000001011111100010001010 D -b1011110110111010111011111111100 % -b1011110110111010111011111111100 . -b1011110110111010111011111111100 6 -b1011110110111010111011111111100 @ -b1011110110111010111011111111100 F -b11110000001010111000010001000 ) -#272768000 -0& -#272784000 -b1000010100110 , -#272800000 -1& -#272816000 -b1001011000110010001011000100000 " -b1001011000110010001011000100000 4 -b1001011000110010001011000100000 1 -b1001011000110010001011000100000 C -b11000101111011000100000000000000 0 -b11000101111011000100000000000000 H -09 -08 -b1001010111110000111010111011111 2 -b1001010111110000111010111011111 = -b11001011110110010101111000101110 < -b1001010111110000111010111011111 : -b1111111000111110001011110110001 $ -b1111111000111110001011110110001 - -b1111111000111110001011110110001 5 -b1111111000111110001011110110001 ? -b1111111000111110001011110110001 D -b11001011110110010101111000101110 % -b11001011110110010101111000101110 . -b11001011110110010101111000101110 6 -b11001011110110010101111000101110 @ -b11001011110110010101111000101110 F -b1001011000110010001011000100000 ) -#272832000 -0& -#272848000 -b1000010100111 , -#272864000 -1& -#272880000 -b101111001100011100000000011 " -b101111001100011100000000011 4 -b101111001100011100000000011 1 -b101111001100011100000000011 C -b11000001100000000000000000000000 0 -b11000001100000000000000000000000 H -b1111101111001010111001111011010 2 -b1111101111001010111001111011010 = -b100101111111100011100001010111 < -b1111101111001010111001111011010 : -b1010111111001110011101110000011 $ -b1010111111001110011101110000011 - -b1010111111001110011101110000011 5 -b1010111111001110011101110000011 ? -b1010111111001110011101110000011 D -b100101111111100011100001010111 % -b100101111111100011100001010111 . -b100101111111100011100001010111 6 -b100101111111100011100001010111 @ -b100101111111100011100001010111 F -b101111001100011100000000011 ) -#272896000 -0& -#272912000 -b1000010101000 , -#272928000 -1& -#272944000 -b1100011110010010000000001100100 " -b1100011110010010000000001100100 4 -b1100011110010010000000001100100 1 -b1100011110010010000000001100100 C -b1111111101000111010111011000000 0 -b1111111101000111010111011000000 H -08 -09 -b1010011110010000101111001011011 2 -b1010011110010000101111001011011 = -b1100111110010110100000011100101 < -b1010011110010000101111001011011 : -b11101011111111010001110101110110 $ -b11101011111111010001110101110110 - -b11101011111111010001110101110110 5 -b11101011111111010001110101110110 ? -b11101011111111010001110101110110 D -b1100111110010110100000011100101 % -b1100111110010110100000011100101 . -b1100111110010110100000011100101 6 -b1100111110010110100000011100101 @ -b1100111110010110100000011100101 F -b1100011110010010000000001100100 ) -#272960000 -0& -#272976000 -b1000010101001 , -#272992000 -1& -#273008000 -b11100111101001011111000100100 " -b11100111101001011111000100100 4 -b11100111101001011111000100100 1 -b11100111101001011111000100100 C -b11110010011000000000000000000000 0 -b11110010011000000000000000000000 H -18 -b11111100111010100111111000011010 2 -b11111100111010100111111000011010 = -b1011111111101001011111011110100 < -b11111100111010100111111000011010 : -b10011100111101011011111100100110 $ -b10011100111101011011111100100110 - -b10011100111101011011111100100110 5 -b10011100111101011011111100100110 ? -b10011100111101011011111100100110 D -b1011111111101001011111011110100 % -b1011111111101001011111011110100 . -b1011111111101001011111011110100 6 -b1011111111101001011111011110100 @ -b1011111111101001011111011110100 F -b11100111101001011111000100100 ) -#273024000 -0& -#273040000 -b1000010101010 , -#273056000 -1& -#273072000 -b110110010101110000010000001010 " -b110110010101110000010000001010 4 -b110110010101110000010000001010 1 -b110110010101110000010000001010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -b110101010101100110001110101001 2 -b110101010101100110001110101001 = -b1111110011111110000111000011110 < -b110101010101100110001110101001 : -b10110110110101110101010110001011 $ -b10110110110101110101010110001011 - -b10110110110101110101010110001011 5 -b10110110110101110101010110001011 ? -b10110110110101110101010110001011 D -b1111110011111110000111000011110 % -b1111110011111110000111000011110 . -b1111110011111110000111000011110 6 -b1111110011111110000111000011110 @ -b1111110011111110000111000011110 F -b110110010101110000010000001010 ) -#273088000 -0& -#273104000 -b1000010101011 , -#273120000 -1& -#273136000 -b110110111101100100100000000100 " -b110110111101100100100000000100 4 -b110110111101100100100000000100 1 -b110110111101100100100000000100 C -b11001101011010101000000000000000 0 -b11001101011010101000000000000000 H -19 -08 -b10110110111101011011011110000001 2 -b10110110111101011011011110000001 = -b1111111111111110100110000101101 < -b10110110111101011011011110000001 : -b110110111101100110101101010100 $ -b110110111101100110101101010100 - -b110110111101100110101101010100 5 -b110110111101100110101101010100 ? -b110110111101100110101101010100 D -b1111111111111110100110000101101 % -b1111111111111110100110000101101 . -b1111111111111110100110000101101 6 -b1111111111111110100110000101101 @ -b1111111111111110100110000101101 F -b110110111101100100100000000100 ) -#273152000 -0& -#273168000 -b1000010101100 , -#273184000 -1& -#273200000 -b101000010001110010000010010010 " -b101000010001110010000010010010 4 -b101000010001110010000010010010 1 -b101000010001110010000010010010 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -09 -08 -b100110010001110001111101110001 2 -b100110010001110001111101110001 = -b11111000010001110110101011011011 < -b100110010001110001111101110001 : -b101101111111111011010010010110 $ -b101101111111111011010010010110 - -b101101111111111011010010010110 5 -b101101111111111011010010010110 ? -b101101111111111011010010010110 D -b11111000010001110110101011011011 % -b11111000010001110110101011011011 . -b11111000010001110110101011011011 6 -b11111000010001110110101011011011 @ -b11111000010001110110101011011011 F -b101000010001110010000010010010 ) -#273216000 -0& -#273232000 -b1000010101101 , -#273248000 -1& -#273264000 -b1100011000010110000100000000000 " -b1100011000010110000100000000000 4 -b1100011000010110000100000000000 1 -b1100011000010110000100000000000 C -b11101101111011000011101010000000 0 -b11101101111011000011101010000000 H -b1100011000010110000011010100010 2 -b1100011000010110000011010100010 = -b1100111100011111111100000000010 < -b1100011000010110000011010100010 : -b11111011011110110000111010100000 $ -b11111011011110110000111010100000 - -b11111011011110110000111010100000 5 -b11111011011110110000111010100000 ? -b11111011011110110000111010100000 D -b1100111100011111111100000000010 % -b1100111100011111111100000000010 . -b1100111100011111111100000000010 6 -b1100111100011111111100000000010 @ -b1100111100011111111100000000010 F -b1100011000010110000100000000000 ) -#273280000 -0& -#273296000 -b1000010101110 , -#273312000 -1& -#273328000 -b1011111110010011001100010011010 " -b1011111110010011001100010011010 4 -b1011111110010011001100010011010 1 -b1011111110010011001100010011010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b111111110001110011100001011000 2 -b111111110001110011100001011000 = -b11011111111111011001110010011110 < -b111111110001110011100001011000 : -b1011111110010011001101110111010 $ -b1011111110010011001101110111010 - -b1011111110010011001101110111010 5 -b1011111110010011001101110111010 ? -b1011111110010011001101110111010 D -b11011111111111011001110010011110 % -b11011111111111011001110010011110 . -b11011111111111011001110010011110 6 -b11011111111111011001110010011110 @ -b11011111111111011001110010011110 F -b1011111110010011001100010011010 ) -#273344000 -0& -#273360000 -b1000010101111 , -#273376000 -1& -#273392000 -b1110101001001101001000001101010 " -b1110101001001101001000001101010 4 -b1110101001001101001000001101010 1 -b1110101001001101001000001101010 C -b110110101000111111000000000000 0 -b110110101000111111000000000000 H -b1110100100111100111000001101001 2 -b1110100100111100111000001101001 = -b11110111001101111001101111101011 < -b1110100100111100111000001101001 : -b1111101011001101101010001111110 $ -b1111101011001101101010001111110 - -b1111101011001101101010001111110 5 -b1111101011001101101010001111110 ? -b1111101011001101101010001111110 D -b11110111001101111001101111101011 % -b11110111001101111001101111101011 . -b11110111001101111001101111101011 6 -b11110111001101111001101111101011 @ -b11110111001101111001101111101011 F -b1110101001001101001000001101010 ) -#273408000 -0& -#273424000 -b1000010110000 , -#273440000 -1& -#273456000 -b10111111101110000000010010001010 " -b10111111101110000000010010001010 4 -b10111111101110000000010010001010 1 -b10111111101110000000010010001010 C -b11101101100110110011110000000000 0 -b11101101100110110011110000000000 H -18 -19 -b1111111101101110111101101011001 2 -b1111111101101110111101101011001 = -b10111111101111000001010010001010 < -b1111111101101110111101101011001 : -b10111111111110110110011011001111 $ -b10111111111110110110011011001111 - -b10111111111110110110011011001111 5 -b10111111111110110110011011001111 ? -b10111111111110110110011011001111 D -b10111111101111000001010010001010 % -b10111111101111000001010010001010 . -b10111111101111000001010010001010 6 -b10111111101111000001010010001010 @ -b10111111101111000001010010001010 F -b10111111101110000000010010001010 ) -#273472000 -0& -#273488000 -b1000010110001 , -#273504000 -1& -#273520000 -b1111001011110001100100100010000 " -b1111001011110001100100100010000 4 -b1111001011110001100100100010000 1 -b1111001011110001100100100010000 C -b1001110000000000000000000000000 0 -b1001110000000000000000000000000 H -08 -09 -b1111001011101111100001001001110 2 -b1111001011101111100001001001110 = -b11111001111111101100100100010110 < -b1111001011101111100001001001110 : -b1111111011110001111100100111000 $ -b1111111011110001111100100111000 - -b1111111011110001111100100111000 5 -b1111111011110001111100100111000 ? -b1111111011110001111100100111000 D -b11111001111111101100100100010110 % -b11111001111111101100100100010110 . -b11111001111111101100100100010110 6 -b11111001111111101100100100010110 @ -b11111001111111101100100100010110 F -b1111001011110001100100100010000 ) -#273536000 -0& -#273552000 -b1000010110010 , -#273568000 -1& -#273584000 -b1110100011100010000001101100101 " -b1110100011100010000001101100101 4 -b1110100011100010000001101100101 1 -b1110100011100010000001101100101 C -b1101100101000000000000000000000 0 -b1101100101000000000000000000000 H -b1110011111100001111111101011010 2 -b1110011111100001111111101011010 = -b1111110011110111110101111110101 < -b1110011111100001111111101011010 : -b11110101011101010001001101100101 $ -b11110101011101010001001101100101 - -b11110101011101010001001101100101 5 -b11110101011101010001001101100101 ? -b11110101011101010001001101100101 D -b1111110011110111110101111110101 % -b1111110011110111110101111110101 . -b1111110011110111110101111110101 6 -b1111110011110111110101111110101 @ -b1111110011110111110101111110101 F -b1110100011100010000001101100101 ) -#273600000 -0& -#273616000 -b1000010110011 , -#273632000 -1& -#273648000 -b101011101010000100000100100001 " -b101011101010000100000100100001 4 -b101011101010000100000100100001 1 -b101011101010000100000100100001 C -b100000101110001000000000000000 0 -b100000101110001000000000000000 H -19 -08 -b10101011101001101100000100100000 2 -b10101011101001101100000100100000 = -b1101111111010000111111110101111 < -b10101011101001101100000100100000 : -b111011101111100100000101110001 $ -b111011101111100100000101110001 - -b111011101111100100000101110001 5 -b111011101111100100000101110001 ? -b111011101111100100000101110001 D -b1101111111010000111111110101111 % -b1101111111010000111111110101111 . -b1101111111010000111111110101111 6 -b1101111111010000111111110101111 @ -b1101111111010000111111110101111 F -b101011101010000100000100100001 ) -#273664000 -0& -#273680000 -b1000010110100 , -#273696000 -1& -#273712000 -b1100100111001110001100000000110 " -b1100100111001110001100000000110 4 -b1100100111001110001100000000110 1 -b1100100111001110001100000000110 C -b11110110000010011100000000000000 0 -b11110110000010011100000000000000 H -09 -08 -b1100100111001101111001000110101 2 -b1100100111001101111001000110101 = -b1100100111011110001101000001110 < -b1100100111001101111001000110101 : -b11111111111101111101100000100111 $ -b11111111111101111101100000100111 - -b11111111111101111101100000100111 5 -b11111111111101111101100000100111 ? -b11111111111101111101100000100111 D -b1100100111011110001101000001110 % -b1100100111011110001101000001110 . -b1100100111011110001101000001110 6 -b1100100111011110001101000001110 @ -b1100100111011110001101000001110 F -b1100100111001110001100000000110 ) -#273728000 -0& -#273744000 -b1000010110101 , -#273760000 -1& -#273776000 -b1110010111100101000001100011 " -b1110010111100101000001100011 4 -b1110010111100101000001100011 1 -b1110010111100101000001100011 C -b1110110111111101111101110111000 0 -b1110110111111101111101110111000 H -18 -b11001110001111100010111111011010 2 -b11001110001111100010111111011010 = -b11111010111100101000001100011 < -b11001110001111100010111111011010 : -b10101110110111111101111101110111 $ -b10101110110111111101111101110111 - -b10101110110111111101111101110111 5 -b10101110110111111101111101110111 ? -b10101110110111111101111101110111 D -b11111010111100101000001100011 % -b11111010111100101000001100011 . -b11111010111100101000001100011 6 -b11111010111100101000001100011 @ -b11111010111100101000001100011 F -b1110010111100101000001100011 ) -#273792000 -0& -#273808000 -b1000010110110 , -#273824000 -1& -#273840000 -b11111001111101110001000010000010 " -b11111001111101110001000010000010 4 -b11111001111101110001000010000010 1 -b11111001111101110001000010000010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11111001111101100110110110000000 2 -b11111001111101100110110110000000 = -b11111001111111110101100011011110 < -b11111001111101100110110110000000 : -b11111111111101110001010010100010 $ -b11111111111101110001010010100010 - -b11111111111101110001010010100010 5 -b11111111111101110001010010100010 ? -b11111111111101110001010010100010 D -b11111001111111110101100011011110 % -b11111001111111110101100011011110 . -b11111001111111110101100011011110 6 -b11111001111111110101100011011110 @ -b11111001111111110101100011011110 F -b11111001111101110001000010000010 ) -#273856000 -0& -#273872000 -b1000010110111 , -#273888000 -1& -#273904000 -b1101100010100011001000000110 " -b1101100010100011001000000110 4 -b1101100010100011001000000110 1 -b1101100010100011001000000110 C -b11101010110011101001101110000000 0 -b11101010110011101001101110000000 H -b11011101011110100010110011110100 2 -b11011101011110100010110011110100 = -b1001101110011101111001010000110 < -b11011101011110100010110011110100 : -b10001111101010110011101001101110 $ -b10001111101010110011101001101110 - -b10001111101010110011101001101110 5 -b10001111101010110011101001101110 ? -b10001111101010110011101001101110 D -b1001101110011101111001010000110 % -b1001101110011101111001010000110 . -b1001101110011101111001010000110 6 -b1001101110011101111001010000110 @ -b1001101110011101111001010000110 F -b1101100010100011001000000110 ) -#273920000 -0& -#273936000 -b1000010111000 , -#273952000 -1& -#273968000 -b1110001010011110100000010000100 " -b1110001010011110100000010000100 4 -b1110001010011110100000010000100 1 -b1110001010011110100000010000100 C -b11111101111101000001100101110000 0 -b11111101111101000001100101110000 H -08 -19 -b11110001010011110000100001011011 2 -b11110001010011110000100001011011 = -b1110001011011111100011011000100 < -b11110001010011110000100001011011 : -b1111111110111110100000110010111 $ -b1111111110111110100000110010111 - -b1111111110111110100000110010111 5 -b1111111110111110100000110010111 ? -b1111111110111110100000110010111 D -b1110001011011111100011011000100 % -b1110001011011111100011011000100 . -b1110001011011111100011011000100 6 -b1110001011011111100011011000100 @ -b1110001011011111100011011000100 F -b1110001010011110100000010000100 ) -#273984000 -0& -#274000000 -b1000010111001 , -#274016000 -1& -#274032000 -b11000110100011101110110100011000 " -b11000110100011101110110100011000 4 -b11000110100011101110110100011000 1 -b11000110100011101110110100011000 C -b10111100000000000000000000000000 0 -b10111100000000000000000000000000 H -18 -09 -b11000101001111011101110011110111 2 -b11000101001111011101110011110111 = -b11111110101011101110110100011001 < -b11000101001111011101110011110111 : -b11000110100011101110111111011110 $ -b11000110100011101110111111011110 - -b11000110100011101110111111011110 5 -b11000110100011101110111111011110 ? -b11000110100011101110111111011110 D -b11111110101011101110110100011001 % -b11111110101011101110110100011001 . -b11111110101011101110110100011001 6 -b11111110101011101110110100011001 @ -b11111110101011101110110100011001 F -b11000110100011101110110100011000 ) -#274048000 -0& -#274064000 -b1000010111010 , -#274080000 -1& -#274096000 -b10101100010011001101111110 " -b10101100010011001101111110 4 -b10101100010011001101111110 1 -b10101100010011001101111110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b1100010101011101110101101111100 2 -b1100010101011101110101101111100 = -b1000011111110011011001111111110 < -b1100010101011101110101101111100 : -b11110101101010011011101111110 $ -b11110101101010011011101111110 - -b11110101101010011011101111110 5 -b11110101101010011011101111110 ? -b11110101101010011011101111110 D -b1000011111110011011001111111110 % -b1000011111110011011001111111110 . -b1000011111110011011001111111110 6 -b1000011111110011011001111111110 @ -b1000011111110011011001111111110 F -b10101100010011001101111110 ) -#274112000 -0& -#274128000 -b1000010111011 , -#274144000 -1& -#274160000 -b11101010110110000001000110000000 " -b11101010110110000001000110000000 4 -b11101010110110000001000110000000 1 -b11101010110110000001000110000000 C -b11110101101111000011001100100100 0 -b11110101101111000011001100100100 H -18 -b11101010110101111101000100010011 2 -b11101010110101111101000100010011 = -b11101111111110011011011110000001 < -b11101010110101111101000100010011 : -b11111010110111100001100110010010 $ -b11111010110111100001100110010010 - -b11111010110111100001100110010010 5 -b11111010110111100001100110010010 ? -b11111010110111100001100110010010 D -b11101111111110011011011110000001 % -b11101111111110011011011110000001 . -b11101111111110011011011110000001 6 -b11101111111110011011011110000001 @ -b11101111111110011011011110000001 F -b11101010110110000001000110000000 ) -#274176000 -0& -#274192000 -b1000010111100 , -#274208000 -1& -#274224000 -b1011010011101111000000000001100 " -b1011010011101111000000000001100 4 -b1011010011101111000000000001100 1 -b1011010011101111000000000001100 C -b1111000001100011101000000000000 0 -b1111000001100011101000000000000 H -08 -19 -b11011010011101110000111110001001 2 -b11011010011101110000111110001001 = -b1011010111111111000110001101100 < -b11011010011101110000111110001001 : -b1111111011101111000001100011101 $ -b1111111011101111000001100011101 - -b1111111011101111000001100011101 5 -b1111111011101111000001100011101 ? -b1111111011101111000001100011101 D -b1011010111111111000110001101100 % -b1011010111111111000110001101100 . -b1011010111111111000110001101100 6 -b1011010111111111000110001101100 @ -b1011010111111111000110001101100 F -b1011010011101111000000000001100 ) -#274240000 -0& -#274256000 -b1000010111101 , -#274272000 -1& -#274288000 -b1101010111100101000010010000001 " -b1101010111100101000010010000001 4 -b1101010111100101000010010000001 1 -b1101010111100101000010010000001 C -b1111110010101011101000000100000 0 -b1111110010101011101000000100000 H -09 -08 -b1101010111100100011010001000110 2 -b1101010111100100011010001000110 = -b11101110111111111000010111000101 < -b1101010111100100011010001000110 : -b1111011111100101010111010000001 $ -b1111011111100101010111010000001 - -b1111011111100101010111010000001 5 -b1111011111100101010111010000001 ? -b1111011111100101010111010000001 D -b11101110111111111000010111000101 % -b11101110111111111000010111000101 . -b11101110111111111000010111000101 6 -b11101110111111111000010111000101 @ -b11101110111111111000010111000101 F -b1101010111100101000010010000001 ) -#274304000 -0& -#274320000 -b1000010111110 , -#274336000 -1& -#274352000 -b1101111010100110000010011000000 " -b1101111010100110000010011000000 4 -b1101111010100110000010011000000 1 -b1101111010100110000010011000000 C -b11011111110000010011100001000000 0 -b11011111110000010011100001000000 H -19 -08 -b11101111010100100011010010100111 2 -b11101111010100100011010010100111 = -b1101111110100110010111111000110 < -b11101111010100100011010010100111 : -b1111111011111110000010011100001 $ -b1111111011111110000010011100001 - -b1111111011111110000010011100001 5 -b1111111011111110000010011100001 ? -b1111111011111110000010011100001 D -b1101111110100110010111111000110 % -b1101111110100110010111111000110 . -b1101111110100110010111111000110 6 -b1101111110100110010111111000110 @ -b1101111110100110010111111000110 F -b1101111010100110000010011000000 ) -#274368000 -0& -#274384000 -b1000010111111 , -#274400000 -1& -#274416000 -b10011111100000000100000000100 " -b10011111100000000100000000100 4 -b10011111100000000100000000100 1 -b10011111100000000100000000100 C -b11000100001010100000000000000000 0 -b11000100001010100000000000000000 H -09 -08 -b10011111011111001000001100011 2 -b10011111011111001000001100011 = -b1011011111100000000100000001111 < -b10011111011111001000001100011 : -b10110111111111111000100001010100 $ -b10110111111111111000100001010100 - -b10110111111111111000100001010100 5 -b10110111111111111000100001010100 ? -b10110111111111111000100001010100 D -b1011011111100000000100000001111 % -b1011011111100000000100000001111 . -b1011011111100000000100000001111 6 -b1011011111100000000100000001111 @ -b1011011111100000000100000001111 F -b10011111100000000100000000100 ) -#274432000 -0& -#274448000 -b1000011000000 , -#274464000 -1& -#274480000 -b1010101000101110000010000010000 " -b1010101000101110000010000010000 4 -b1010101000101110000010000010000 1 -b1010101000101110000010000010000 C -b11000110000000000000000000000000 0 -b11000110000000000000000000000000 H -b1010101000101110000010000000101 2 -b1010101000101110000010000000101 = -b11111101111111110001010111010101 < -b1010101000101110000010000000101 : -b1010111000101111110111000110000 $ -b1010111000101111110111000110000 - -b1010111000101111110111000110000 5 -b1010111000101111110111000110000 ? -b1010111000101111110111000110000 D -b11111101111111110001010111010101 % -b11111101111111110001010111010101 . -b11111101111111110001010111010101 6 -b11111101111111110001010111010101 @ -b11111101111111110001010111010101 F -b1010101000101110000010000010000 ) -#274496000 -0& -#274512000 -b1000011000001 , -#274528000 -1& -#274544000 -b11101111110111010000100100000001 " -b11101111110111010000100100000001 4 -b11101111110111010000100100000001 1 -b11101111110111010000100100000001 C -b11111011111100110110011000100000 0 -b11111011111100110110011000100000 H -18 -b11101111110111001110100000110110 2 -b11101111110111001110100000110110 = -b11111111111111010100110100000101 < -b11101111110111001110100000110110 : -b11101111110111111001101100110001 $ -b11101111110111111001101100110001 - -b11101111110111111001101100110001 5 -b11101111110111111001101100110001 ? -b11101111110111111001101100110001 D -b11111111111111010100110100000101 % -b11111111111111010100110100000101 . -b11111111111111010100110100000101 6 -b11111111111111010100110100000101 @ -b11111111111111010100110100000101 F -b11101111110111010000100100000001 ) -#274560000 -0& -#274576000 -b1000011000010 , -#274592000 -1& -#274608000 -b10111111011011110000000010000000 " -b10111111011011110000000010000000 4 -b10111111011011110000000010000000 1 -b10111111011011110000000010000000 C -b11010010001001000000000000000000 0 -b11010010001001000000000000000000 H -b10111111011011100100100101111110 2 -b10111111011011100100100101111110 = -b11111111011011110000000011101110 < -b10111111011011100100100101111110 : -b10111111111111110100100010010000 $ -b10111111111111110100100010010000 - -b10111111111111110100100010010000 5 -b10111111111111110100100010010000 ? -b10111111111111110100100010010000 D -b11111111011011110000000011101110 % -b11111111011011110000000011101110 . -b11111111011011110000000011101110 6 -b11111111011011110000000011101110 @ -b11111111011011110000000011101110 F -b10111111011011110000000010000000 ) -#274624000 -0& -#274640000 -b1000011000011 , -#274656000 -1& -#274672000 -b1111001111111101100000001001000 " -b1111001111111101100000001001000 4 -b1111001111111101100000001001000 1 -b1111001111111101100000001001000 C -b11111111011001111110000000000000 0 -b11111111011001111110000000000000 H -08 -b1111001111111101010110101000111 2 -b1111001111111101010110101000111 = -b11111011111111101100000001001011 < -b1111001111111101010110101000111 : -b1111101111111111110110011111100 $ -b1111101111111111110110011111100 - -b1111101111111111110110011111100 5 -b1111101111111111110110011111100 ? -b1111101111111111110110011111100 D -b11111011111111101100000001001011 % -b11111011111111101100000001001011 . -b11111011111111101100000001001011 6 -b11111011111111101100000001001011 @ -b11111011111111101100000001001011 F -b1111001111111101100000001001000 ) -#274688000 -0& -#274704000 -b1000011000100 , -#274720000 -1& -#274736000 -b111011011000010000000010001000 " -b111011011000010000000010001000 4 -b111011011000010000000010001000 1 -b111011011000010000000010001000 C -b1100001011100111101100000000000 0 -b1100001011100111101100000000000 H -b111011010111010000000001100000 2 -b111011010111010000000001100000 = -b1111111111110111000110010001000 < -b111011010111010000000001100000 : -b10111011011000010111001111011000 $ -b10111011011000010111001111011000 - -b10111011011000010111001111011000 5 -b10111011011000010111001111011000 ? -b10111011011000010111001111011000 D -b1111111111110111000110010001000 % -b1111111111110111000110010001000 . -b1111111111110111000110010001000 6 -b1111111111110111000110010001000 @ -b1111111111110111000110010001000 F -b111011011000010000000010001000 ) -#274752000 -0& -#274768000 -b1000011000101 , -#274784000 -1& -#274800000 -b1101011011101110101000000100000 " -b1101011011101110101000000100000 4 -b1101011011101110101000000100000 1 -b1101011011101110101000000100000 C -b11111101111111100010111000000000 0 -b11111101111111100010111000000000 H -19 -08 -b11101011011101110100100100011110 2 -b11101011011101110100100100011110 = -b1111011011111110101000001100110 < -b11101011011101110100100100011110 : -b1101111111101111111100010111000 $ -b1101111111101111111100010111000 - -b1101111111101111111100010111000 5 -b1101111111101111111100010111000 ? -b1101111111101111111100010111000 D -b1111011011111110101000001100110 % -b1111011011111110101000001100110 . -b1111011011111110101000001100110 6 -b1111011011111110101000001100110 @ -b1111011011111110101000001100110 F -b1101011011101110101000000100000 ) -#274816000 -0& -#274832000 -b1000011000110 , -#274848000 -1& -#274864000 -b1100001000101110001010001000000 " -b1100001000101110001010001000000 4 -b1100001000101110001010001000000 1 -b1100001000101110001010001000000 C -b11110011000101111001010101001101 0 -b11110011000101111001010101001101 H -09 -08 -b1100000010011101011010000101101 2 -b1100000010011101011010000101101 = -b1101101001101110001111011100000 < -b1100000010011101011010000101101 : -b11110011000101111001010101001101 $ -b11110011000101111001010101001101 - -b11110011000101111001010101001101 5 -b11110011000101111001010101001101 ? -b11110011000101111001010101001101 D -b1101101001101110001111011100000 % -b1101101001101110001111011100000 . -b1101101001101110001111011100000 6 -b1101101001101110001111011100000 @ -b1101101001101110001111011100000 F -b1100001000101110001010001000000 ) -#274880000 -0& -#274896000 -b1000011000111 , -#274912000 -1& -#274928000 -b1011100111100110100001000000 " -b1011100111100110100001000000 4 -b1011100111100110100001000000 1 -b1011100111100110100001000000 C -b0 0 -b0 H -b1011100111100101011000011101 2 -b1011100111100101011000011101 = -b11001111110111111110110001011101 < -b1011100111100101011000011101 : -b111011101111100110100111000000 $ -b111011101111100110100111000000 - -b111011101111100110100111000000 5 -b111011101111100110100111000000 ? -b111011101111100110100111000000 D -b11001111110111111110110001011101 % -b11001111110111111110110001011101 . -b11001111110111111110110001011101 6 -b11001111110111111110110001011101 @ -b11001111110111111110110001011101 F -b1011100111100110100001000000 ) -#274944000 -0& -#274960000 -b1000011001000 , -#274976000 -1& -#274992000 -b1110010000001100011000000011010 " -b1110010000001100011000000011010 4 -b1110010000001100011000000011010 1 -b1110010000001100011000000011010 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -b1110010000001010010111010010100 2 -b1110010000001010010111010010100 = -b1111111100111101111111001111010 < -b1110010000001010010111010010100 : -b11110010011001100011000000011010 $ -b11110010011001100011000000011010 - -b11110010011001100011000000011010 5 -b11110010011001100011000000011010 ? -b11110010011001100011000000011010 D -b1111111100111101111111001111010 % -b1111111100111101111111001111010 . -b1111111100111101111111001111010 6 -b1111111100111101111111001111010 @ -b1111111100111101111111001111010 F -b1110010000001100011000000011010 ) -#275008000 -0& -#275024000 -b1000011001001 , -#275040000 -1& -#275056000 -b111100111011000000100000100100 " -b111100111011000000100000100100 4 -b111100111011000000100000100100 1 -b111100111011000000100000100100 C -b11000010110000000000000000000000 0 -b11000010110000000000000000000000 H -b111100110110110100011001100000 2 -b111100110110110100011001100000 = -b11111111111011110000101000110100 < -b111100110110110100011001100000 : -b111100111011000011110000101100 $ -b111100111011000011110000101100 - -b111100111011000011110000101100 5 -b111100111011000011110000101100 ? -b111100111011000011110000101100 D -b11111111111011110000101000110100 % -b11111111111011110000101000110100 . -b11111111111011110000101000110100 6 -b11111111111011110000101000110100 @ -b11111111111011110000101000110100 F -b111100111011000000100000100100 ) -#275072000 -0& -#275088000 -b1000011001010 , -#275104000 -1& -#275120000 -b1101011001101000010001001110110 " -b1101011001101000010001001110110 4 -b1101011001101000010001001110110 1 -b1101011001101000010001001110110 C -b0 0 -b0 H -b1101011001100110101100111110101 2 -b1101011001100110101100111110101 = -b11101111001111010011011101111111 < -b1101011001100110101100111110101 : -b1111011111101100010001001110110 $ -b1111011111101100010001001110110 - -b1111011111101100010001001110110 5 -b1111011111101100010001001110110 ? -b1111011111101100010001001110110 D -b11101111001111010011011101111111 % -b11101111001111010011011101111111 . -b11101111001111010011011101111111 6 -b11101111001111010011011101111111 @ -b11101111001111010011011101111111 F -b1101011001101000010001001110110 ) -#275136000 -0& -#275152000 -b1000011001011 , -#275168000 -1& -#275184000 -b100111111101010000000001000010 " -b100111111101010000000001000010 4 -b100111111101010000000001000010 1 -b100111111101010000000001000010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b100111111101000001010100100001 2 -b100111111101000001010100100001 = -b1111111111111010000010001011110 < -b100111111101000001010100100001 : -b10100111111101110001000011000011 $ -b10100111111101110001000011000011 - -b10100111111101110001000011000011 5 -b10100111111101110001000011000011 ? -b10100111111101110001000011000011 D -b1111111111111010000010001011110 % -b1111111111111010000010001011110 . -b1111111111111010000010001011110 6 -b1111111111111010000010001011110 @ -b1111111111111010000010001011110 F -b100111111101010000000001000010 ) -#275200000 -0& -#275216000 -b1000011001100 , -#275232000 -1& -#275248000 -b10110000100101110000001000000010 " -b10110000100101110000001000000010 4 -b10110000100101110000001000000010 1 -b10110000100101110000001000000010 C -b11110111111110111001001111110000 0 -b11110111111110111001001111110000 H -18 -19 -b1110000100101110000000100000001 2 -b1110000100101110000000100000001 = -b10110001100101111000111010000011 < -b1110000100101110000000100000001 : -b10111110111111110111001001111110 $ -b10111110111111110111001001111110 - -b10111110111111110111001001111110 5 -b10111110111111110111001001111110 ? -b10111110111111110111001001111110 D -b10110001100101111000111010000011 % -b10110001100101111000111010000011 . -b10110001100101111000111010000011 6 -b10110001100101111000111010000011 @ -b10110001100101111000111010000011 F -b10110000100101110000001000000010 ) -#275264000 -0& -#275280000 -b1000011001101 , -#275296000 -1& -#275312000 -b1001010110001101000100000100000 " -b1001010110001101000100000100000 4 -b1001010110001101000100000100000 1 -b1001010110001101000100000100000 C -b11100010100100000000000000000000 0 -b11100010100100000000000000000000 H -08 -b10010110101111100011100000011101 2 -b10010110101111100011100000011101 = -b1001011110001101010100111110100 < -b10010110101111100011100000011101 : -b1001010111101111000111000101001 $ -b1001010111101111000111000101001 - -b1001010111101111000111000101001 5 -b1001010111101111000111000101001 ? -b1001010111101111000111000101001 D -b1001011110001101010100111110100 % -b1001011110001101010100111110100 . -b1001011110001101010100111110100 6 -b1001011110001101010100111110100 @ -b1001011110001101010100111110100 F -b1001010110001101000100000100000 ) -#275328000 -0& -#275344000 -b1000011001110 , -#275360000 -1& -#275376000 -b11111001011101011000010000101010 " -b11111001011101011000010000101010 4 -b11111001011101011000010000101010 1 -b11111001011101011000010000101010 C -b11111111101110111110110000000000 0 -b11111111101110111110110000000000 H -18 -09 -b11111001011101010111010000100101 2 -b11111001011101010111010000100101 = -b11111011111101011000010100101010 < -b11111001011101010111010000100101 : -b11111101011111111110111011111011 $ -b11111101011111111110111011111011 - -b11111101011111111110111011111011 5 -b11111101011111111110111011111011 ? -b11111101011111111110111011111011 D -b11111011111101011000010100101010 % -b11111011111101011000010100101010 . -b11111011111101011000010100101010 6 -b11111011111101011000010100101010 @ -b11111011111101011000010100101010 F -b11111001011101011000010000101010 ) -#275392000 -0& -#275408000 -b1000011001111 , -#275424000 -1& -#275440000 -b11100111010110000000010000010 " -b11100111010110000000010000010 4 -b11100111010110000000010000010 1 -b11100111010110000000010000010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b11100111010100101100001000000 2 -b11100111010100101100001000000 = -b1011101111010110100010110011110 < -b11100111010100101100001000000 : -b10111110111111110001001010100010 $ -b10111110111111110001001010100010 - -b10111110111111110001001010100010 5 -b10111110111111110001001010100010 ? -b10111110111111110001001010100010 D -b1011101111010110100010110011110 % -b1011101111010110100010110011110 . -b1011101111010110100010110011110 6 -b1011101111010110100010110011110 @ -b1011101111010110100010110011110 F -b11100111010110000000010000010 ) -#275456000 -0& -#275472000 -b1000011010000 , -#275488000 -1& -#275504000 -b111000001011011001100101010010 " -b111000001011011001100101010010 4 -b111000001011011001100101010010 1 -b111000001011011001100101010010 C -b11100101111010000000000000000000 0 -b11100101111010000000000000000000 H -b110111001011010101001101001100 2 -b110111001011010101001101001100 = -b111110011111111001100111010010 < -b110111001011010101001101001100 : -b11111000101011011011100101111010 $ -b11111000101011011011100101111010 - -b11111000101011011011100101111010 5 -b11111000101011011011100101111010 ? -b11111000101011011011100101111010 D -b111110011111111001100111010010 % -b111110011111111001100111010010 . -b111110011111111001100111010010 6 -b111110011111111001100111010010 @ -b111110011111111001100111010010 F -b111000001011011001100101010010 ) -#275520000 -0& -#275536000 -b1000011010001 , -#275552000 -1& -#275568000 -b11111111000001000000100010000010 " -b11111111000001000000100010000010 4 -b11111111000001000000100010000010 1 -b11111111000001000000100010000010 C -b11101010001101100100000100000000 0 -b11101010001101100100000100000000 H -18 -b11111111000000110111010100001001 2 -b11111111000000110111010100001001 = -b11111111001011110000100010000111 < -b11111111000000110111010100001001 : -b11111111110101000110110010000010 $ -b11111111110101000110110010000010 - -b11111111110101000110110010000010 5 -b11111111110101000110110010000010 ? -b11111111110101000110110010000010 D -b11111111001011110000100010000111 % -b11111111001011110000100010000111 . -b11111111001011110000100010000111 6 -b11111111001011110000100010000111 @ -b11111111001011110000100010000111 F -b11111111000001000000100010000010 ) -#275584000 -0& -#275600000 -b1000011010010 , -#275616000 -1& -#275632000 -b11101111001111011001001001010100 " -b11101111001111011001001001010100 4 -b11101111001111011001001001010100 1 -b11101111001111011001001001010100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -b11101110111111011001000101010011 2 -b11101110111111011001000101010011 = -b11101111001111111111111001111100 < -b11101110111111011001000101010011 : -b11111111101111011001001011010111 $ -b11111111101111011001001011010111 - -b11111111101111011001001011010111 5 -b11111111101111011001001011010111 ? -b11111111101111011001001011010111 D -b11101111001111111111111001111100 % -b11101111001111111111111001111100 . -b11101111001111111111111001111100 6 -b11101111001111111111111001111100 @ -b11101111001111111111111001111100 F -b11101111001111011001001001010100 ) -#275648000 -0& -#275664000 -b1000011010011 , -#275680000 -1& -#275696000 -b111110100000100000011001000001 " -b111110100000100000011001000001 4 -b111110100000100000011001000001 1 -b111110100000100000011001000001 C -b1111100100101100000000000000000 0 -b1111100100101100000000000000000 H -08 -b111110100000011100010110011100 2 -b111110100000011100010110011100 = -b1111110100010100000011101010001 < -b111110100000011100010110011100 : -b10111111111101111011111001001011 $ -b10111111111101111011111001001011 - -b10111111111101111011111001001011 5 -b10111111111101111011111001001011 ? -b10111111111101111011111001001011 D -b1111110100010100000011101010001 % -b1111110100010100000011101010001 . -b1111110100010100000011101010001 6 -b1111110100010100000011101010001 @ -b1111110100010100000011101010001 F -b111110100000100000011001000001 ) -#275712000 -0& -#275728000 -b1000011010100 , -#275744000 -1& -#275760000 -b11011011111101011001010101001100 " -b11011011111101011001010101001100 4 -b11011011111101011001010101001100 1 -b11011011111101011001010101001100 C -b1100101010101110000000000000000 0 -b1100101010101110000000000000000 H -18 -b11011011111101010111001011001010 2 -b11011011111101010111001011001010 = -b11111011111101111101110101101110 < -b11011011111101010111001011001010 : -b11011111111111011001010101011100 $ -b11011111111111011001010101011100 - -b11011111111111011001010101011100 5 -b11011111111111011001010101011100 ? -b11011111111111011001010101011100 D -b11111011111101111101110101101110 % -b11111011111101111101110101101110 . -b11111011111101111101110101101110 6 -b11111011111101111101110101101110 @ -b11111011111101111101110101101110 F -b11011011111101011001010101001100 ) -#275776000 -0& -#275792000 -b1000011010101 , -#275808000 -1& -#275824000 -b11100010110010100001000011001000 " -b11100010110010100001000011001000 4 -b11100010110010100001000011001000 1 -b11100010110010100001000011001000 C -b1011011100101100110000000000000 0 -b1011011100101100110000000000000 H -b11010010101010011000111110010111 2 -b11010010101010011000111110010111 = -b11100111110111100001110011001011 < -b11010010101010011000111110010111 : -b11101010110010110111001011001100 $ -b11101010110010110111001011001100 - -b11101010110010110111001011001100 5 -b11101010110010110111001011001100 ? -b11101010110010110111001011001100 D -b11100111110111100001110011001011 % -b11100111110111100001110011001011 . -b11100111110111100001110011001011 6 -b11100111110111100001110011001011 @ -b11100111110111100001110011001011 F -b11100010110010100001000011001000 ) -#275840000 -0& -#275856000 -b1000011010110 , -#275872000 -1& -#275888000 -b1011100011011001000010100100000 " -b1011100011011001000010100100000 4 -b1011100011011001000010100100000 1 -b1011100011011001000010100100000 C -b11010110111011001111001000100000 0 -b11010110111011001111001000100000 H -08 -b1011100011011000101010001000110 2 -b1011100011011000101010001000110 = -b11111110111111011000010100100100 < -b1011100011011000101010001000110 : -b1011101011011101100111100100010 $ -b1011101011011101100111100100010 - -b1011101011011101100111100100010 5 -b1011101011011101100111100100010 ? -b1011101011011101100111100100010 D -b11111110111111011000010100100100 % -b11111110111111011000010100100100 . -b11111110111111011000010100100100 6 -b11111110111111011000010100100100 @ -b11111110111111011000010100100100 F -b1011100011011001000010100100000 ) -#275904000 -0& -#275920000 -b1000011010111 , -#275936000 -1& -#275952000 -b111010111000110000010000000010 " -b111010111000110000010000000010 4 -b111010111000110000010000000010 1 -b111010111000110000010000000010 C -b10111001110100011110110011000000 0 -b10111001110100011110110011000000 H -19 -08 -b10110110110110100110101111111001 2 -b10110110110110100110101111111001 = -b1111011111100110010010001000110 < -b10110110110110100110101111111001 : -b111010111001110100011110110011 $ -b111010111001110100011110110011 - -b111010111001110100011110110011 5 -b111010111001110100011110110011 ? -b111010111001110100011110110011 D -b1111011111100110010010001000110 % -b1111011111100110010010001000110 . -b1111011111100110010010001000110 6 -b1111011111100110010010001000110 @ -b1111011111100110010010001000110 F -b111010111000110000010000000010 ) -#275968000 -0& -#275984000 -b1000011011000 , -#276000000 -1& -#276016000 -b11101001111110110000001101001000 " -b11101001111110110000001101001000 4 -b11101001111110110000001101001000 1 -b11101001111110110000001101001000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -18 -09 -b11101001111110101110001011000101 2 -b11101001111110101110001011000101 = -b11111101111111110101011101011100 < -b11101001111110101110001011000101 : -b11101011111110111000101101101001 $ -b11101011111110111000101101101001 - -b11101011111110111000101101101001 5 -b11101011111110111000101101101001 ? -b11101011111110111000101101101001 D -b11111101111111110101011101011100 % -b11111101111111110101011101011100 . -b11111101111111110101011101011100 6 -b11111101111111110101011101011100 @ -b11111101111111110101011101011100 F -b11101001111110110000001101001000 ) -#276032000 -0& -#276048000 -b1000011011001 , -#276064000 -1& -#276080000 -b1111111010001110010000101000010 " -b1111111010001110010000101000010 4 -b1111111010001110010000101000010 1 -b1111111010001110010000101000010 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -08 -b1111111010001110001110100011101 2 -b1111111010001110001110100011101 = -b11111111010011111111000101011011 < -b1111111010001110001110100011101 : -b1111111111101110010101111000010 $ -b1111111111101110010101111000010 - -b1111111111101110010101111000010 5 -b1111111111101110010101111000010 ? -b1111111111101110010101111000010 D -b11111111010011111111000101011011 % -b11111111010011111111000101011011 . -b11111111010011111111000101011011 6 -b11111111010011111111000101011011 @ -b11111111010011111111000101011011 F -b1111111010001110010000101000010 ) -#276096000 -0& -#276112000 -b1000011011010 , -#276128000 -1& -#276144000 -b11010110111000110000101110010000 " -b11010110111000110000101110010000 4 -b11010110111000110000101110010000 1 -b11010110111000110000101110010000 C -b1111011000000000000000000000000 0 -b1111011000000000000000000000000 H -18 -b11010110110110100100101101101101 2 -b11010110110110100100101101101101 = -b11010110111100110011111110010101 < -b11010110110110100100101101101101 : -b11111111111001110000101111011000 $ -b11111111111001110000101111011000 - -b11111111111001110000101111011000 5 -b11111111111001110000101111011000 ? -b11111111111001110000101111011000 D -b11010110111100110011111110010101 % -b11010110111100110011111110010101 . -b11010110111100110011111110010101 6 -b11010110111100110011111110010101 @ -b11010110111100110011111110010101 F -b11010110111000110000101110010000 ) -#276160000 -0& -#276176000 -b1000011011011 , -#276192000 -1& -#276208000 -b1011001110001110000110010000000 " -b1011001110001110000110010000000 4 -b1011001110001110000110010000000 1 -b1011001110001110000110010000000 C -b111110101011000000000000000000 0 -b111110101011000000000000000000 H -08 -b1011001101101101000101101111100 2 -b1011001101101101000101101111100 = -b11011101110001110100110011010000 < -b1011001101101101000101101111100 : -b1111011111011110011111010101100 $ -b1111011111011110011111010101100 - -b1111011111011110011111010101100 5 -b1111011111011110011111010101100 ? -b1111011111011110011111010101100 D -b11011101110001110100110011010000 % -b11011101110001110100110011010000 . -b11011101110001110100110011010000 6 -b11011101110001110100110011010000 @ -b11011101110001110100110011010000 F -b1011001110001110000110010000000 ) -#276224000 -0& -#276240000 -b1000011011100 , -#276256000 -1& -#276272000 -b1110010101101000000010000100000 " -b1110010101101000000010000100000 4 -b1110010101101000000010000100000 1 -b1110010101101000000010000100000 C -b11111101011010000001100101101000 0 -b11111101011010000001100101101000 H -b1110010101010110111001111010101 2 -b1110010101010110111001111010101 = -b1110011111101110110011100100001 < -b1110010101010110111001111010101 : -b11111110101101000000110010110100 $ -b11111110101101000000110010110100 - -b11111110101101000000110010110100 5 -b11111110101101000000110010110100 ? -b11111110101101000000110010110100 D -b1110011111101110110011100100001 % -b1110011111101110110011100100001 . -b1110011111101110110011100100001 6 -b1110011111101110110011100100001 @ -b1110011111101110110011100100001 F -b1110010101101000000010000100000 ) -#276288000 -0& -#276304000 -b1000011011101 , -#276320000 -1& -#276336000 -b101101101101111000010000110011 " -b101101101101111000010000110011 4 -b101101101101111000010000110011 1 -b101101101101111000010000110011 C -b11100011101110000000000000000000 0 -b11100011101110000000000000000000 H -b101101101011111000000100101010 2 -b101101101011111000000100101010 = -b111111111101111100010010110011 < -b101101101011111000000100101010 : -b11101101101101111011110001110111 $ -b11101101101101111011110001110111 - -b11101101101101111011110001110111 5 -b11101101101101111011110001110111 ? -b11101101101101111011110001110111 D -b111111111101111100010010110011 % -b111111111101111100010010110011 . -b111111111101111100010010110011 6 -b111111111101111100010010110011 @ -b111111111101111100010010110011 F -b101101101101111000010000110011 ) -#276352000 -0& -#276368000 -b1000011011110 , -#276384000 -1& -#276400000 -b10101000101111110100000010010000 " -b10101000101111110100000010010000 4 -b10101000101111110100000010010000 1 -b10101000101111110100000010010000 C -b11000101100111000000000000000000 0 -b11000101100111000000000000000000 H -18 -b10101000101111110001111000101100 2 -b10101000101111110001111000101100 = -b10111011101111110101100010010000 < -b10101000101111110001111000101100 : -b11101100111111111100010110011100 $ -b11101100111111111100010110011100 - -b11101100111111111100010110011100 5 -b11101100111111111100010110011100 ? -b11101100111111111100010110011100 D -b10111011101111110101100010010000 % -b10111011101111110101100010010000 . -b10111011101111110101100010010000 6 -b10111011101111110101100010010000 @ -b10111011101111110101100010010000 F -b10101000101111110100000010010000 ) -#276416000 -0& -#276432000 -b1000011011111 , -#276448000 -1& -#276464000 -b101001001100110000001100100010 " -b101001001100110000001100100010 4 -b101001001100110000001100100010 1 -b101001001100110000001100100010 C -b10100001100110010000000000000000 0 -b10100001100110010000000000000000 H -08 -b101001001011100101011010100001 2 -b101001001011100101011010100001 = -b10101101111110110001001101101111 < -b101001001011100101011010100001 : -b1111011001100110100001100110010 $ -b1111011001100110100001100110010 - -b1111011001100110100001100110010 5 -b1111011001100110100001100110010 ? -b1111011001100110100001100110010 D -b10101101111110110001001101101111 % -b10101101111110110001001101101111 . -b10101101111110110001001101101111 6 -b10101101111110110001001101101111 @ -b10101101111110110001001101101111 F -b101001001100110000001100100010 ) -#276480000 -0& -#276496000 -b1000011100000 , -#276512000 -1& -#276528000 -b1111111000100110101010001000000 " -b1111111000100110101010001000000 4 -b1111111000100110101010001000000 1 -b1111111000100110101010001000000 C -b10001000000000000000000000000000 0 -b10001000000000000000000000000000 H -b1111111000010101100101000011101 2 -b1111111000010101100101000011101 = -b1111111111101110111010101011001 < -b1111111000010101100101000011101 : -b11111111000100110101010011000100 $ -b11111111000100110101010011000100 - -b11111111000100110101010011000100 5 -b11111111000100110101010011000100 ? -b11111111000100110101010011000100 D -b1111111111101110111010101011001 % -b1111111111101110111010101011001 . -b1111111111101110111010101011001 6 -b1111111111101110111010101011001 @ -b1111111111101110111010101011001 F -b1111111000100110101010001000000 ) -#276544000 -0& -#276560000 -b1000011100001 , -#276576000 -1& -#276592000 -b111110011001011000000000000110 " -b111110011001011000000000000110 4 -b111110011001011000000000000110 1 -b111110011001011000000000000110 C -b11110011010001011100000000000000 0 -b11110011010001011100000000000000 H -b111110011001010100111101000101 2 -b111110011001010100111101000101 = -b10111110111111011000001000101110 < -b111110011001010100111101000101 : -b1111111011001111100110100010111 $ -b1111111011001111100110100010111 - -b1111111011001111100110100010111 5 -b1111111011001111100110100010111 ? -b1111111011001111100110100010111 D -b10111110111111011000001000101110 % -b10111110111111011000001000101110 . -b10111110111111011000001000101110 6 -b10111110111111011000001000101110 @ -b10111110111111011000001000101110 F -b111110011001011000000000000110 ) -#276608000 -0& -#276624000 -b1000011100010 , -#276640000 -1& -#276656000 -b10000010111100000000101100010000 " -b10000010111100000000101100010000 4 -b10000010111100000000101100010000 1 -b10000010111100000000101100010000 C -b11101011110100000000000000000000 0 -b11101011110100000000000000000000 H -18 -19 -b1111101111011011111011100000000 2 -b1111101111011011111011100000000 = -b11000010111100010000101100110000 < -b1111101111011011111011100000000 : -b10111010111111001110101111010000 $ -b10111010111111001110101111010000 - -b10111010111111001110101111010000 5 -b10111010111111001110101111010000 ? -b10111010111111001110101111010000 D -b11000010111100010000101100110000 % -b11000010111100010000101100110000 . -b11000010111100010000101100110000 6 -b11000010111100010000101100110000 @ -b11000010111100010000101100110000 F -b10000010111100000000101100010000 ) -#276672000 -0& -#276688000 -b1000011100011 , -#276704000 -1& -#276720000 -b10011101000011110001001100010100 " -b10011101000011110001001100010100 4 -b10011101000011110001001100010100 1 -b10011101000011110001001100010100 C -b11101101000000000000000000000000 0 -b11101101000000000000000000000000 H -09 -18 -b10011101000011101111001100001010 2 -b10011101000011101111001100001010 = -b10011101011011111101001101010110 < -b10011101000011101111001100001010 : -b11111111100111110001111110110100 $ -b11111111100111110001111110110100 - -b11111111100111110001111110110100 5 -b11111111100111110001111110110100 ? -b11111111100111110001111110110100 D -b10011101011011111101001101010110 % -b10011101011011111101001101010110 . -b10011101011011111101001101010110 6 -b10011101011011111101001101010110 @ -b10011101011011111101001101010110 F -b10011101000011110001001100010100 ) -#276736000 -0& -#276752000 -b1000011100100 , -#276768000 -1& -#276784000 -b1111110101111110001000001000011 " -b1111110101111110001000001000011 4 -b1111110101111110001000001000011 1 -b1111110101111110001000001000011 C -b10001011000110000000000000000000 0 -b10001011000110000000000000000000 H -08 -b1111110101111100110011000110110 2 -b1111110101111100110011000110110 = -b1111110101111110001010011010011 < -b1111110101111100110011000110110 : -b11111111111111110101000101100011 $ -b11111111111111110101000101100011 - -b11111111111111110101000101100011 5 -b11111111111111110101000101100011 ? -b11111111111111110101000101100011 D -b1111110101111110001010011010011 % -b1111110101111110001010011010011 . -b1111110101111110001010011010011 6 -b1111110101111110001010011010011 @ -b1111110101111110001010011010011 F -b1111110101111110001000001000011 ) -#276800000 -0& -#276816000 -b1000011100101 , -#276832000 -1& -#276848000 -b111110010001000111100001001000 " -b111110010001000111100001001000 4 -b111110010001000111100001001000 1 -b111110010001000111100001001000 C -b1010111100001011011000000000000 0 -b1010111100001011011000000000000 H -18 -b11111110000101000111000110100111 2 -b11111110000101000111000110100111 = -b10111110110011101111100101001100 < -b11111110000101000111000110100111 : -b111111010001010111100001011011 $ -b111111010001010111100001011011 - -b111111010001010111100001011011 5 -b111111010001010111100001011011 ? -b111111010001010111100001011011 D -b10111110110011101111100101001100 % -b10111110110011101111100101001100 . -b10111110110011101111100101001100 6 -b10111110110011101111100101001100 @ -b10111110110011101111100101001100 F -b111110010001000111100001001000 ) -#276864000 -0& -#276880000 -b1000011100110 , -#276896000 -1& -#276912000 -b1001101111111000010001000001010 " -b1001101111111000010001000001010 4 -b1001101111111000010001000001010 1 -b1001101111111000010001000001010 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -08 -b1001101111110110110000010101001 2 -b1001101111110110110000010101001 = -b11111111111111110011101010011011 < -b1001101111110110110000010101001 : -b1001101111111000010011000001110 $ -b1001101111111000010011000001110 - -b1001101111111000010011000001110 5 -b1001101111111000010011000001110 ? -b1001101111111000010011000001110 D -b11111111111111110011101010011011 % -b11111111111111110011101010011011 . -b11111111111111110011101010011011 6 -b11111111111111110011101010011011 @ -b11111111111111110011101010011011 F -b1001101111111000010001000001010 ) -#276928000 -0& -#276944000 -b1000011100111 , -#276960000 -1& -#276976000 -b1110111100110101000101000000000 " -b1110111100110101000101000000000 4 -b1110111100110101000101000000000 1 -b1110111100110101000101000000000 C -b11100110101100101000111000000000 0 -b11100110101100101000111000000000 H -b1110111100110010101010101111110 2 -b1110111100110010101010101111110 = -b1111111111111101000101101000110 < -b1110111100110010101010101111110 : -b11110111100110101100101000111000 $ -b11110111100110101100101000111000 - -b11110111100110101100101000111000 5 -b11110111100110101100101000111000 ? -b11110111100110101100101000111000 D -b1111111111111101000101101000110 % -b1111111111111101000101101000110 . -b1111111111111101000101101000110 6 -b1111111111111101000101101000110 @ -b1111111111111101000101101000110 F -b1110111100110101000101000000000 ) -#276992000 -0& -#277008000 -b1000011101000 , -#277024000 -1& -#277040000 -b101010011001010111000100000000 " -b101010011001010111000100000000 4 -b101010011001010111000100000000 1 -b101010011001010111000100000000 C -b10111011111001010111000110000011 0 -b10111011111001010111000110000011 H -b101010011001010110001010000011 2 -b101010011001010110001010000011 = -b1101110011111111111000100000000 < -b101010011001010110001010000011 : -b10111011111001010111000110000011 $ -b10111011111001010111000110000011 - -b10111011111001010111000110000011 5 -b10111011111001010111000110000011 ? -b10111011111001010111000110000011 D -b1101110011111111111000100000000 % -b1101110011111111111000100000000 . -b1101110011111111111000100000000 6 -b1101110011111111111000100000000 @ -b1101110011111111111000100000000 F -b101010011001010111000100000000 ) -#277056000 -0& -#277072000 -b1000011101001 , -#277088000 -1& -#277104000 -b1001111011110101000000000000000 " -b1001111011110101000000000000000 4 -b1001111011110101000000000000000 1 -b1001111011110101000000000000000 C -b10110100000000000000000000000000 0 -b10110100000000000000000000000000 H -19 -08 -b11001111011110010101111101111111 2 -b11001111011110010101111101111111 = -b1001111111110101100001000010111 < -b11001111011110010101111101111111 : -b1111111011111101001110101101000 $ -b1111111011111101001110101101000 - -b1111111011111101001110101101000 5 -b1111111011111101001110101101000 ? -b1111111011111101001110101101000 D -b1001111111110101100001000010111 % -b1001111111110101100001000010111 . -b1001111111110101100001000010111 6 -b1001111111110101100001000010111 @ -b1001111111110101100001000010111 F -b1001111011110101000000000000000 ) -#277120000 -0& -#277136000 -b1000011101010 , -#277152000 -1& -#277168000 -b10011011111110111000000001000000 " -b10011011111110111000000001000000 4 -b10011011111110111000000001000000 1 -b10011011111110111000000001000000 C -b11011110110010100000000000000000 0 -b11011110110010100000000000000000 H -18 -09 -b10011011111110110101110000001011 2 -b10011011111110110101110000001011 = -b11111111111111111000001011001011 < -b10011011111110110101110000001011 : -b10011011111110111101100101000000 $ -b10011011111110111101100101000000 - -b10011011111110111101100101000000 5 -b10011011111110111101100101000000 ? -b10011011111110111101100101000000 D -b11111111111111111000001011001011 % -b11111111111111111000001011001011 . -b11111111111111111000001011001011 6 -b11111111111111111000001011001011 @ -b11111111111111111000001011001011 F -b10011011111110111000000001000000 ) -#277184000 -0& -#277200000 -b1000011101011 , -#277216000 -1& -#277232000 -b1100010111110000000100000110 " -b1100010111110000000100000110 4 -b1100010111110000000100000110 1 -b1100010111110000000100000110 C -b10101111111000001101001100000000 0 -b10101111111000001101001100000000 H -18 -09 -b11001011110111110000000011101101 2 -b11001011110111110000000011101101 = -b10101110011111110011111101000111 < -b11001011110111110000000011101101 : -b11101010111111100000110100110 $ -b11101010111111100000110100110 - -b11101010111111100000110100110 5 -b11101010111111100000110100110 ? -b11101010111111100000110100110 D -b10101110011111110011111101000111 % -b10101110011111110011111101000111 . -b10101110011111110011111101000111 6 -b10101110011111110011111101000111 @ -b10101110011111110011111101000111 F -b1100010111110000000100000110 ) -#277248000 -0& -#277264000 -b1000011101100 , -#277280000 -1& -#277296000 -b1110101000110001000001010101010 " -b1110101000110001000001010101010 4 -b1110101000110001000001010101010 1 -b1110101000110001000001010101010 C -b10101100000000000000000000000000 0 -b10101100000000000000000000000000 H -08 -b1110101000101100111000110100101 2 -b1110101000101100111000110100101 = -b11110111011111011100111011111010 < -b1110101000101100111000110100101 : -b1111101100110001010001010101011 $ -b1111101100110001010001010101011 - -b1111101100110001010001010101011 5 -b1111101100110001010001010101011 ? -b1111101100110001010001010101011 D -b11110111011111011100111011111010 % -b11110111011111011100111011111010 . -b11110111011111011100111011111010 6 -b11110111011111011100111011111010 @ -b11110111011111011100111011111010 F -b1110101000110001000001010101010 ) -#277312000 -0& -#277328000 -b1000011101101 , -#277344000 -1& -#277360000 -b110000001000110100000000011100 " -b110000001000110100000000011100 4 -b110000001000110100000000011100 1 -b110000001000110100000000011100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b110000000111101010010000011001 2 -b110000000111101010010000011001 = -b1110100111010110110001010011101 < -b110000000111101010010000011001 : -b10111011001100110100000101111100 $ -b10111011001100110100000101111100 - -b10111011001100110100000101111100 5 -b10111011001100110100000101111100 ? -b10111011001100110100000101111100 D -b1110100111010110110001010011101 % -b1110100111010110110001010011101 . -b1110100111010110110001010011101 6 -b1110100111010110110001010011101 @ -b1110100111010110110001010011101 F -b110000001000110100000000011100 ) -#277376000 -0& -#277392000 -b1000011101110 , -#277408000 -1& -#277424000 -b1010101000010010100101000100101 " -b1010101000010010100101000100101 4 -b1010101000010010100101000100101 1 -b1010101000010010100101000100101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b1010101000010001100011000100010 2 -b1010101000010001100011000100010 = -b11011111110111110101101010111101 < -b1010101000010001100011000100010 : -b1110101001010010110101101100101 $ -b1110101001010010110101101100101 - -b1110101001010010110101101100101 5 -b1110101001010010110101101100101 ? -b1110101001010010110101101100101 D -b11011111110111110101101010111101 % -b11011111110111110101101010111101 . -b11011111110111110101101010111101 6 -b11011111110111110101101010111101 @ -b11011111110111110101101010111101 F -b1010101000010010100101000100101 ) -#277440000 -0& -#277456000 -b1000011101111 , -#277472000 -1& -#277488000 -b111101010101010100000000100000 " -b111101010101010100000000100000 4 -b111101010101010100000000100000 1 -b111101010101010100000000100000 C -b11111111010111011100010011100000 0 -b11111111010111011100010011100000 H -19 -08 -b10111101010101001011111101011010 2 -b10111101010101001011111101011010 = -b111101011111010100111000100010 < -b10111101010101001011111101011010 : -b1111111110101110111000100111000 $ -b1111111110101110111000100111000 - -b1111111110101110111000100111000 5 -b1111111110101110111000100111000 ? -b1111111110101110111000100111000 D -b111101011111010100111000100010 % -b111101011111010100111000100010 . -b111101011111010100111000100010 6 -b111101011111010100111000100010 @ -b111101011111010100111000100010 F -b111101010101010100000000100000 ) -#277504000 -0& -#277520000 -b1000011110000 , -#277536000 -1& -#277552000 -b11011011011110001010101000010000 " -b11011011011110001010101000010000 4 -b11011011011110001010101000010000 1 -b11011011011110001010101000010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -09 -b11011011011110001001010111101111 2 -b11011011011110001001010111101111 = -b11011111111111001010101011011110 < -b11011011011110001001010111101111 : -b11111011011110111110101100010001 $ -b11111011011110111110101100010001 - -b11111011011110111110101100010001 5 -b11111011011110111110101100010001 ? -b11111011011110111110101100010001 D -b11011111111111001010101011011110 % -b11011111111111001010101011011110 . -b11011111111111001010101011011110 6 -b11011111111111001010101011011110 @ -b11011111111111001010101011011110 F -b11011011011110001010101000010000 ) -#277568000 -0& -#277584000 -b1000011110001 , -#277600000 -1& -#277616000 -b10100010110011100000000000100000 " -b10100010110011100000000000100000 4 -b10100010110011100000000000100000 1 -b10100010110011100000000000100000 C -b11010000100101000000000000000000 0 -b11010000100101000000000000000000 H -b10100010110011001111111011010111 2 -b10100010110011001111111011010111 = -b10101010110111101100101010110010 < -b10100010110011001111111011010111 : -b11110111111011100011010000100101 $ -b11110111111011100011010000100101 - -b11110111111011100011010000100101 5 -b11110111111011100011010000100101 ? -b11110111111011100011010000100101 D -b10101010110111101100101010110010 % -b10101010110111101100101010110010 . -b10101010110111101100101010110010 6 -b10101010110111101100101010110010 @ -b10101010110111101100101010110010 F -b10100010110011100000000000100000 ) -#277632000 -0& -#277648000 -b1000011110010 , -#277664000 -1& -#277680000 -b10000010010101010001100000000010 " -b10000010010101010001100000000010 4 -b10000010010101010001100000000010 1 -b10000010010101010001100000000010 C -b1001011101001110000110111100 0 -b1001011101001110000110111100 H -b10000010010101001001001011110001 2 -b10000010010101001001001011110001 = -b11111111111101110101101010000010 < -b10000010010101001001001011110001 : -b10000010010111010011100001101111 $ -b10000010010111010011100001101111 - -b10000010010111010011100001101111 5 -b10000010010111010011100001101111 ? -b10000010010111010011100001101111 D -b11111111111101110101101010000010 % -b11111111111101110101101010000010 . -b11111111111101110101101010000010 6 -b11111111111101110101101010000010 @ -b11111111111101110101101010000010 F -b10000010010101010001100000000010 ) -#277696000 -0& -#277712000 -b1000011110011 , -#277728000 -1& -#277744000 -b11000000010010000000 " -b11000000010010000000 4 -b11000000010010000000 1 -b11000000010010000000 C -b1011011101000000000000000000000 0 -b1011011101000000000000000000000 H -18 -09 -b11111101111010110010010001111010 2 -b11111101111010110010010001111010 = -b11110000100011110000110110010010 < -b11111101111010110010010001111010 : -b1101010111000001011011101000 $ -b1101010111000001011011101000 - -b1101010111000001011011101000 5 -b1101010111000001011011101000 ? -b1101010111000001011011101000 D -b11110000100011110000110110010010 % -b11110000100011110000110110010010 . -b11110000100011110000110110010010 6 -b11110000100011110000110110010010 @ -b11110000100011110000110110010010 F -b11000000010010000000 ) -#277760000 -0& -#277776000 -b1000011110100 , -#277792000 -1& -#277808000 -b101011111100010000000111000000 " -b101011111100010000000111000000 4 -b101011111100010000000111000000 1 -b101011111100010000000111000000 C -b11111110101000100011100000000000 0 -b11111110101000100011100000000000 H -08 -19 -b10101011111011100111111110000101 2 -b10101011111011100111111110000101 = -b1111011111110010110110111000101 < -b10101011111011100111111110000101 : -b101111111101010001000111000000 $ -b101111111101010001000111000000 - -b101111111101010001000111000000 5 -b101111111101010001000111000000 ? -b101111111101010001000111000000 D -b1111011111110010110110111000101 % -b1111011111110010110110111000101 . -b1111011111110010110110111000101 6 -b1111011111110010110110111000101 @ -b1111011111110010110110111000101 F -b101011111100010000000111000000 ) -#277824000 -0& -#277840000 -b1000011110101 , -#277856000 -1& -#277872000 -b11100111011000000011000000100000 " -b11100111011000000011000000100000 4 -b11100111011000000011000000100000 1 -b11100111011000000011000000100000 C -b11111110110000001111111101100100 0 -b11111110110000001111111101100100 H -18 -09 -b11100111011000000011000000010011 2 -b11100111011000000011000000010011 = -b11100111111111111011000001100001 < -b11100111011000000011000000010011 : -b11111111011000000111111110110010 $ -b11111111011000000111111110110010 - -b11111111011000000111111110110010 5 -b11111111011000000111111110110010 ? -b11111111011000000111111110110010 D -b11100111111111111011000001100001 % -b11100111111111111011000001100001 . -b11100111111111111011000001100001 6 -b11100111111111111011000001100001 @ -b11100111111111111011000001100001 F -b11100111011000000011000000100000 ) -#277888000 -0& -#277904000 -b1000011110110 , -#277920000 -1& -#277936000 -b1101111000011100001010001011000 " -b1101111000011100001010001011000 4 -b1101111000011100001010001011000 1 -b1101111000011100001010001011000 C -b1011001000000000000000000000000 0 -b1011001000000000000000000000000 H -08 -b1101111000011011011000010110001 2 -b1101111000011011011000010110001 = -b1111111001111101001010001011000 < -b1101111000011011011000010110001 : -b11101111110011110001110001011001 $ -b11101111110011110001110001011001 - -b11101111110011110001110001011001 5 -b11101111110011110001110001011001 ? -b11101111110011110001110001011001 D -b1111111001111101001010001011000 % -b1111111001111101001010001011000 . -b1111111001111101001010001011000 6 -b1111111001111101001010001011000 @ -b1111111001111101001010001011000 F -b1101111000011100001010001011000 ) -#277952000 -0& -#277968000 -b1000011110111 , -#277984000 -1& -#278000000 -b1111101110000100000100000010001 " -b1111101110000100000100000010001 4 -b1111101110000100000100000010001 1 -b1111101110000100000100000010001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -19 -08 -b11111101110000100000100000001110 2 -b11111101110000100000100000001110 = -b1111111111011100011101101111101 < -b11111101110000100000100000001110 : -b1111101110100111100110010010001 $ -b1111101110100111100110010010001 - -b1111101110100111100110010010001 5 -b1111101110100111100110010010001 ? -b1111101110100111100110010010001 D -b1111111111011100011101101111101 % -b1111111111011100011101101111101 . -b1111111111011100011101101111101 6 -b1111111111011100011101101111101 @ -b1111111111011100011101101111101 F -b1111101110000100000100000010001 ) -#278016000 -0& -#278032000 -b1000011111000 , -#278048000 -1& -#278064000 -b11111111100011001100100001000 " -b11111111100011001100100001000 4 -b11111111100011001100100001000 1 -b11111111100011001100100001000 C -b11111101110111100100000000000000 0 -b11111101110111100100000000000000 H -18 -09 -b11111111111100010101100011010011 2 -b11111111111100010101100011010011 = -b1011111111100011001110100001011 < -b11111111111100010101100011010011 : -b10011111111111111011101111001000 $ -b10011111111111111011101111001000 - -b10011111111111111011101111001000 5 -b10011111111111111011101111001000 ? -b10011111111111111011101111001000 D -b1011111111100011001110100001011 % -b1011111111100011001110100001011 . -b1011111111100011001110100001011 6 -b1011111111100011001110100001011 @ -b1011111111100011001110100001011 F -b11111111100011001100100001000 ) -#278080000 -0& -#278096000 -b1000011111001 , -#278112000 -1& -#278128000 -b11111011101000000000000000000 " -b11111011101000000000000000000 4 -b11111011101000000000000000000 1 -b11111011101000000000000000000 C -b1111111110111010100101001000000 0 -b1111111110111010100101001000000 H -b11111111011100111111111010010010 2 -b11111111011100111111111010010010 = -b10011111011111001010110000000010 < -b11111111011100111111111010010010 : -b1011111111101110101001010010000 $ -b1011111111101110101001010010000 - -b1011111111101110101001010010000 5 -b1011111111101110101001010010000 ? -b1011111111101110101001010010000 D -b10011111011111001010110000000010 % -b10011111011111001010110000000010 . -b10011111011111001010110000000010 6 -b10011111011111001010110000000010 @ -b10011111011111001010110000000010 F -b11111011101000000000000000000 ) -#278144000 -0& -#278160000 -b1000011111010 , -#278176000 -1& -#278192000 -b1111000111100110100110000011000 " -b1111000111100110100110000011000 4 -b1111000111100110100110000011000 1 -b1111000111100110100110000011000 C -b10011111000000000000000000000000 0 -b10011111000000000000000000000000 H -08 -b1111000111010101100100100010111 2 -b1111000111010101100100100010111 = -b1111010111100110101110001111000 < -b1111000111010101100100100010111 : -b11111101111101110110110010011111 $ -b11111101111101110110110010011111 - -b11111101111101110110110010011111 5 -b11111101111101110110110010011111 ? -b11111101111101110110110010011111 D -b1111010111100110101110001111000 % -b1111010111100110101110001111000 . -b1111010111100110101110001111000 6 -b1111010111100110101110001111000 @ -b1111010111100110101110001111000 F -b1111000111100110100110000011000 ) -#278208000 -0& -#278224000 -b1000011111011 , -#278240000 -1& -#278256000 -b11001111111100110000000100001100 " -b11001111111100110000000100001100 4 -b11001111111100110000000100001100 1 -b11001111111100110000000100001100 C -b11110010010100001110000000000000 0 -b11110010010100001110000000000000 H -18 -b11001111111100100011100000111010 2 -b11001111111100100011100000111010 = -b11011111111100110001001100101100 < -b11001111111100100011100000111010 : -b11101111111111110010010100001110 $ -b11101111111111110010010100001110 - -b11101111111111110010010100001110 5 -b11101111111111110010010100001110 ? -b11101111111111110010010100001110 D -b11011111111100110001001100101100 % -b11011111111100110001001100101100 . -b11011111111100110001001100101100 6 -b11011111111100110001001100101100 @ -b11011111111100110001001100101100 F -b11001111111100110000000100001100 ) -#278272000 -0& -#278288000 -b1000011111100 , -#278304000 -1& -#278320000 -b1000111011111000001000000000101 " -b1000111011111000001000000000101 4 -b1000111011111000001000000000101 1 -b1000111011111000001000000000101 C -b11101111111001101100010011100000 0 -b11101111111001101100010011100000 H -08 -b1000111011110111000011000101100 2 -b1000111011110111000011000101100 = -b1100111111111000101000000000101 < -b1000111011110111000011000101100 : -b11011111011111110011011000100111 $ -b11011111011111110011011000100111 - -b11011111011111110011011000100111 5 -b11011111011111110011011000100111 ? -b11011111011111110011011000100111 D -b1100111111111000101000000000101 % -b1100111111111000101000000000101 . -b1100111111111000101000000000101 6 -b1100111111111000101000000000101 @ -b1100111111111000101000000000101 F -b1000111011111000001000000000101 ) -#278336000 -0& -#278352000 -b1000011111101 , -#278368000 -1& -#278384000 -b1011111111111110010000000100000 " -b1011111111111110010000000100000 4 -b1011111111111110010000000100000 1 -b1011111111111110010000000100000 C -b11001101100101000000000000000000 0 -b11001101100101000000000000000000 H -b1011111111111110001111110010111 2 -b1011111111111110001111110010111 = -b1011111111111111110110000110010 < -b1011111111111110001111110010111 : -b11111111111111110011001101100101 $ -b11111111111111110011001101100101 - -b11111111111111110011001101100101 5 -b11111111111111110011001101100101 ? -b11111111111111110011001101100101 D -b1011111111111111110110000110010 % -b1011111111111111110110000110010 . -b1011111111111111110110000110010 6 -b1011111111111111110110000110010 @ -b1011111111111111110110000110010 F -b1011111111111110010000000100000 ) -#278400000 -0& -#278416000 -b1000011111110 , -#278432000 -1& -#278448000 -b101111111111000000010000110000 " -b101111111111000000010000110000 4 -b101111111111000000010000110000 1 -b101111111111000000010000110000 C -b110001100000000000000000000000 0 -b110001100000000000000000000000 H -b11111111111000000001001100011 2 -b11111111111000000001001100011 = -b101111111111001101110000110011 < -b11111111111000000001001100011 : -b11101111111111110010011000110000 $ -b11101111111111110010011000110000 - -b11101111111111110010011000110000 5 -b11101111111111110010011000110000 ? -b11101111111111110010011000110000 D -b101111111111001101110000110011 % -b101111111111001101110000110011 . -b101111111111001101110000110011 6 -b101111111111001101110000110011 @ -b101111111111001101110000110011 F -b101111111111000000010000110000 ) -#278464000 -0& -#278480000 -b1000011111111 , -#278496000 -1& -#278512000 -b1011011100101010100000000000000 " -b1011011100101010100000000000000 4 -b1011011100101010100000000000000 1 -b1011011100101010100000000000000 C -b11111011110101011100000010010000 0 -b11111011110101011100000010010000 H -b1011011100101010001110111101101 2 -b1011011100101010001110111101101 = -b1111011110101111100000111100100 < -b1011011100101010001110111101101 : -b11011111101111010101110000001001 $ -b11011111101111010101110000001001 - -b11011111101111010101110000001001 5 -b11011111101111010101110000001001 ? -b11011111101111010101110000001001 D -b1111011110101111100000111100100 % -b1111011110101111100000111100100 . -b1111011110101111100000111100100 6 -b1111011110101111100000111100100 @ -b1111011110101111100000111100100 F -b1011011100101010100000000000000 ) -#278528000 -0& -#278544000 -b1000100000000 , -#278560000 -1& -#278576000 -b1110001101110010000000100100101 " -b1110001101110010000000100100101 4 -b1110001101110010000000100100101 1 -b1110001101110010000000100100101 C -b11111110101110001001101010000000 0 -b11111110101110001001101010000000 H -19 -08 -b11110001101110001111001100011100 2 -b11110001101110001111001100011100 = -b1111011101110111000000111100111 < -b11110001101110001111001100011100 : -b1110101111111010111000100110101 $ -b1110101111111010111000100110101 - -b1110101111111010111000100110101 5 -b1110101111111010111000100110101 ? -b1110101111111010111000100110101 D -b1111011101110111000000111100111 % -b1111011101110111000000111100111 . -b1111011101110111000000111100111 6 -b1111011101110111000000111100111 @ -b1111011101110111000000111100111 F -b1110001101110010000000100100101 ) -#278592000 -0& -#278608000 -b1000100000001 , -#278624000 -1& -#278640000 -b11110111001010001000001010000 " -b11110111001010001000001010000 4 -b11110111001010001000001010000 1 -b11110111001010001000001010000 C -b111000111010000000000000000000 0 -b111000111010000000000000000000 H -18 -09 -b11011110111001001010110111000101 2 -b11011110111001001010110111000101 = -b111110111011011001000101010001 < -b11011110111001001010110111000101 : -b10011111111101110001110001110100 $ -b10011111111101110001110001110100 - -b10011111111101110001110001110100 5 -b10011111111101110001110001110100 ? -b10011111111101110001110001110100 D -b111110111011011001000101010001 % -b111110111011011001000101010001 . -b111110111011011001000101010001 6 -b111110111011011001000101010001 @ -b111110111011011001000101010001 F -b11110111001010001000001010000 ) -#278656000 -0& -#278672000 -b1000100000010 , -#278688000 -1& -#278704000 -b1111100010001010000001110000100 " -b1111100010001010000001110000100 4 -b1111100010001010000001110000100 1 -b1111100010001010000001110000100 C -b11001100110110001011101101010000 0 -b11001100110110001011101101010000 H -08 -19 -b11111011010000101111001101111001 2 -b11111011010000101111001101111001 = -b1111110011101010110011111000100 < -b11111011010000101111001101111001 : -b1111100110011011000101110110101 $ -b1111100110011011000101110110101 - -b1111100110011011000101110110101 5 -b1111100110011011000101110110101 ? -b1111100110011011000101110110101 D -b1111110011101010110011111000100 % -b1111110011101010110011111000100 . -b1111110011101010110011111000100 6 -b1111110011101010110011111000100 @ -b1111110011101010110011111000100 F -b1111100010001010000001110000100 ) -#278720000 -0& -#278736000 -b1000100000011 , -#278752000 -1& -#278768000 -b1110001101000110010101000001000 " -b1110001101000110010101000001000 4 -b1110001101000110010101000001000 1 -b1110001101000110010101000001000 C -b10111100101110100011010000000000 0 -b10111100101110100011010000000000 H -09 -08 -b1110001101000101010100110110111 2 -b1110001101000101010100110110111 = -b1110001111100110111101100101010 < -b1110001101000101010100110110111 : -b11111111101011110010111010001101 $ -b11111111101011110010111010001101 - -b11111111101011110010111010001101 5 -b11111111101011110010111010001101 ? -b11111111101011110010111010001101 D -b1110001111100110111101100101010 % -b1110001111100110111101100101010 . -b1110001111100110111101100101010 6 -b1110001111100110111101100101010 @ -b1110001111100110111101100101010 F -b1110001101000110010101000001000 ) -#278784000 -0& -#278800000 -b1000100000100 , -#278816000 -1& -#278832000 -b111101010101100011010010000000 " -b111101010101100011010010000000 4 -b111101010101100011010010000000 1 -b111101010101100011010010000000 C -b1011010010010000000000000000000 0 -b1011010010010000000000000000000 H -19 -08 -b10111100110101100010110000111111 2 -b10111100110101100010110000111111 = -b1111111011101110111011110101111 < -b10111100110101100010110000111111 : -b111101010111101011010010010000 $ -b111101010111101011010010010000 - -b111101010111101011010010010000 5 -b111101010111101011010010010000 ? -b111101010111101011010010010000 D -b1111111011101110111011110101111 % -b1111111011101110111011110101111 . -b1111111011101110111011110101111 6 -b1111111011101110111011110101111 @ -b1111111011101110111011110101111 F -b111101010101100011010010000000 ) -#278848000 -0& -#278864000 -b1000100000101 , -#278880000 -1& -#278896000 -b10111000000011001001001001000001 " -b10111000000011001001001001000001 4 -b10111000000011001001001001000001 1 -b10111000000011001001001001000001 C -b10110010011010100010000000000000 0 -b10110010011010100010000000000000 H -18 -b1111000000011000110100110111110 2 -b1111000000011000110100110111110 = -b10111100001111101101011001101101 < -b1111000000011000110100110111110 : -b10111011110011011001001101010001 $ -b10111011110011011001001101010001 - -b10111011110011011001001101010001 5 -b10111011110011011001001101010001 ? -b10111011110011011001001101010001 D -b10111100001111101101011001101101 % -b10111100001111101101011001101101 . -b10111100001111101101011001101101 6 -b10111100001111101101011001101101 @ -b10111100001111101101011001101101 F -b10111000000011001001001001000001 ) -#278912000 -0& -#278928000 -b1000100000110 , -#278944000 -1& -#278960000 -b11010100000101100000000000010000 " -b11010100000101100000000000010000 4 -b11010100000101100000000000010000 1 -b11010100000101100000000000010000 C -b11101000000111000000000000000000 0 -b11101000000111000000000000000000 H -09 -18 -b11001100000101011111110100101100 2 -b11001100000101011111110100101100 = -b11110111100111110001010100010000 < -b11001100000101011111110100101100 : -b11010100011101101110100000011100 $ -b11010100011101101110100000011100 - -b11010100011101101110100000011100 5 -b11010100011101101110100000011100 ? -b11010100011101101110100000011100 D -b11110111100111110001010100010000 % -b11110111100111110001010100010000 . -b11110111100111110001010100010000 6 -b11110111100111110001010100010000 @ -b11110111100111110001010100010000 F -b11010100000101100000000000010000 ) -#278976000 -0& -#278992000 -b1000100000111 , -#279008000 -1& -#279024000 -b11011001101000001011010010010000 " -b11011001101000001011010010010000 4 -b11011001101000001011010010010000 1 -b11011001101000001011010010010000 C -b11111000000000000000000000000000 0 -b11111000000000000000000000000000 H -b11010111101000000111010010000111 2 -b11010111101000000111010010000111 = -b11011101101100111011011010010111 < -b11010111101000000111010010000111 : -b11111001111011001011110111110000 $ -b11111001111011001011110111110000 - -b11111001111011001011110111110000 5 -b11111001111011001011110111110000 ? -b11111001111011001011110111110000 D -b11011101101100111011011010010111 % -b11011101101100111011011010010111 . -b11011101101100111011011010010111 6 -b11011101101100111011011010010111 @ -b11011101101100111011011010010111 F -b11011001101000001011010010010000 ) -#279040000 -0& -#279056000 -b1000100001000 , -#279072000 -1& -#279088000 -b1000011001100000011100000110 " -b1000011001100000011100000110 4 -b1000011001100000011100000110 1 -b1000011001100000011100000110 C -b11111011100100111100001110000000 0 -b11111011100100111100001110000000 H -08 -b1000011001010101011001110100 2 -b1000011001010101011001110100 = -b1001000011101110000011101100110 < -b1000011001010101011001110100 : -b10111111111011100100111100001110 $ -b10111111111011100100111100001110 - -b10111111111011100100111100001110 5 -b10111111111011100100111100001110 ? -b10111111111011100100111100001110 D -b1001000011101110000011101100110 % -b1001000011101110000011101100110 . -b1001000011101110000011101100110 6 -b1001000011101110000011101100110 @ -b1001000011101110000011101100110 F -b1000011001100000011100000110 ) -#279104000 -0& -#279120000 -b1000100001001 , -#279136000 -1& -#279152000 -b11101010010011000110100101100001 " -b11101010010011000110100101100001 4 -b11101010010011000110100101100001 1 -b11101010010011000110100101100001 C -b11111011100111010111111101100000 0 -b11111011100111010111111101100000 H -18 -b11101010010010100101010101100000 2 -b11101010010010100101010101100000 = -b11101010011011010110100101100101 < -b11101010010010100101010101100000 : -b11111111110111001110101111111011 $ -b11111111110111001110101111111011 - -b11111111110111001110101111111011 5 -b11111111110111001110101111111011 ? -b11111111110111001110101111111011 D -b11101010011011010110100101100101 % -b11101010011011010110100101100101 . -b11101010011011010110100101100101 6 -b11101010011011010110100101100101 @ -b11101010011011010110100101100101 F -b11101010010011000110100101100001 ) -#279168000 -0& -#279184000 -b1000100001010 , -#279200000 -1& -#279216000 -b1001000100010100000100000100100 " -b1001000100010100000100000100100 4 -b1001000100010100000100000100100 1 -b1001000100010100000100000100100 C -b10101000101000001100001011000000 0 -b10101000101000001100001011000000 H -08 -19 -b11000100011010100000011100010000 2 -b11000100011010100000011100010000 = -b1001001110111111111101011100100 < -b11000100011010100000011100010000 : -b1111010100010100000110000101100 $ -b1111010100010100000110000101100 - -b1111010100010100000110000101100 5 -b1111010100010100000110000101100 ? -b1111010100010100000110000101100 D -b1001001110111111111101011100100 % -b1001001110111111111101011100100 . -b1001001110111111111101011100100 6 -b1001001110111111111101011100100 @ -b1001001110111111111101011100100 F -b1001000100010100000100000100100 ) -#279232000 -0& -#279248000 -b1000100001011 , -#279264000 -1& -#279280000 -b11100100010000000010001110001110 " -b11100100010000000010001110001110 4 -b11100100010000000010001110001110 1 -b11100100010000000010001110001110 C -b10111011111111110000000000000000 0 -b10111011111111110000000000000000 H -18 -09 -b11100100001101111001101110001101 2 -b11100100001101111001101110001101 = -b11101111110001000010001110001111 < -b11100100001101111001101110001101 : -b11110100011100110111011111111110 $ -b11110100011100110111011111111110 - -b11110100011100110111011111111110 5 -b11110100011100110111011111111110 ? -b11110100011100110111011111111110 D -b11101111110001000010001110001111 % -b11101111110001000010001110001111 . -b11101111110001000010001110001111 6 -b11101111110001000010001110001111 @ -b11101111110001000010001110001111 F -b11100100010000000010001110001110 ) -#279296000 -0& -#279312000 -b1000100001100 , -#279328000 -1& -#279344000 -b11011011010100010000001000000010 " -b11011011010100010000001000000010 4 -b11011011010100010000001000000010 1 -b11011011010100010000001000000010 C -b1111111111101011110100101111000 0 -b1111111111101011110100101111000 H -b10111011010100001000000010000000 2 -b10111011010100001000000010000000 = -b11011011010100110000011000100010 < -b10111011010100001000000010000000 : -b11011111111111010111101001011110 $ -b11011111111111010111101001011110 - -b11011111111111010111101001011110 5 -b11011111111111010111101001011110 ? -b11011111111111010111101001011110 D -b11011011010100110000011000100010 % -b11011011010100110000011000100010 . -b11011011010100110000011000100010 6 -b11011011010100110000011000100010 @ -b11011011010100110000011000100010 F -b11011011010100010000001000000010 ) -#279360000 -0& -#279376000 -b1000100001101 , -#279392000 -1& -#279408000 -b110110100000100100010000000010 " -b110110100000100100010000000010 4 -b110110100000100100010000000010 1 -b110110100000100100010000000010 C -b11111010001110110101000101101000 0 -b11111010001110110101000101101000 H -08 -b110110100000100010000001111100 2 -b110110100000100010000001111100 = -b1110111111100110100110000100010 < -b110110100000100010000001111100 : -b10111110100011101101010001011010 $ -b10111110100011101101010001011010 - -b10111110100011101101010001011010 5 -b10111110100011101101010001011010 ? -b10111110100011101101010001011010 D -b1110111111100110100110000100010 % -b1110111111100110100110000100010 . -b1110111111100110100110000100010 6 -b1110111111100110100110000100010 @ -b1110111111100110100110000100010 F -b110110100000100100010000000010 ) -#279424000 -0& -#279440000 -b1000100001110 , -#279456000 -1& -#279472000 -b1111011000001010110101110010000 " -b1111011000001010110101110010000 4 -b1111011000001010110101110010000 1 -b1111011000001010110101110010000 C -b11111111110111000000000000000000 0 -b11111111110111000000000000000000 H -b1110110010001010110101110001100 2 -b1110110010001010110101110001100 = -b11111011001101110110101110110000 < -b1110110010001010110101110001100 : -b1111011000011011111111111011100 $ -b1111011000011011111111111011100 - -b1111011000011011111111111011100 5 -b1111011000011011111111111011100 ? -b1111011000011011111111111011100 D -b11111011001101110110101110110000 % -b11111011001101110110101110110000 . -b11111011001101110110101110110000 6 -b11111011001101110110101110110000 @ -b11111011001101110110101110110000 F -b1111011000001010110101110010000 ) -#279488000 -0& -#279504000 -b1000100001111 , -#279520000 -1& -#279536000 -b11100111111100011100010000000 " -b11100111111100011100010000000 4 -b11100111111100011100010000000 1 -b11100111111100011100010000000 C -b11011111111001111111111000110000 0 -b11011111111001111111111000110000 H -19 -08 -b10011100111111011011100001100111 2 -b10011100111111011011100001100111 = -b1111110111111110011100010000100 < -b10011100111111011011100001100111 : -b11101111111100111111111100011 $ -b11101111111100111111111100011 - -b11101111111100111111111100011 5 -b11101111111100111111111100011 ? -b11101111111100111111111100011 D -b1111110111111110011100010000100 % -b1111110111111110011100010000100 . -b1111110111111110011100010000100 6 -b1111110111111110011100010000100 @ -b1111110111111110011100010000100 F -b11100111111100011100010000000 ) -#279552000 -0& -#279568000 -b1000100010000 , -#279584000 -1& -#279600000 -b10111101100001001110100000000000 " -b10111101100001001110100000000000 4 -b10111101100001001110100000000000 1 -b10111101100001001110100000000000 C -b100101000000000000000000000000 0 -b100101000000000000000000000000 H -18 -09 -b10111101100000111101000100111101 2 -b10111101100000111101000100111101 = -b11111101110001101110100100011000 < -b10111101100000111101000100111101 : -b10111111101111001110100000100101 $ -b10111111101111001110100000100101 - -b10111111101111001110100000100101 5 -b10111111101111001110100000100101 ? -b10111111101111001110100000100101 D -b11111101110001101110100100011000 % -b11111101110001101110100100011000 . -b11111101110001101110100100011000 6 -b11111101110001101110100100011000 @ -b11111101110001101110100100011000 F -b10111101100001001110100000000000 ) -#279616000 -0& -#279632000 -b1000100010001 , -#279648000 -1& -#279664000 -b1110011101110110010110000000100 " -b1110011101110110010110000000100 4 -b1110011101110110010110000000100 1 -b1110011101110110010110000000100 C -b11000000111100000000000000000000 0 -b11000000111100000000000000000000 H -08 -19 -b11110011101110110010100011000011 2 -b11110011101110110010100011000011 = -b1110111101110110010110010110100 < -b11110011101110110010100011000011 : -b1111011111111111111110000001111 $ -b1111011111111111111110000001111 - -b1111011111111111111110000001111 5 -b1111011111111111111110000001111 ? -b1111011111111111111110000001111 D -b1110111101110110010110010110100 % -b1110111101110110010110010110100 . -b1110111101110110010110010110100 6 -b1110111101110110010110010110100 @ -b1110111101110110010110010110100 F -b1110011101110110010110000000100 ) -#279680000 -0& -#279696000 -b1000100010010 , -#279712000 -1& -#279728000 -b10101111100110011100001000000 " -b10101111100110011100001000000 4 -b10101111100110011100001000000 1 -b10101111100110011100001000000 C -b11000010000000000000000000000000 0 -b11000010000000000000000000000000 H -b10010011111100101111011000111010 2 -b10010011111100101111011000111010 = -b110101111100111011100101111000 < -b10010011111100101111011000111010 : -b1011101111111110011110011000010 $ -b1011101111111110011110011000010 - -b1011101111111110011110011000010 5 -b1011101111111110011110011000010 ? -b1011101111111110011110011000010 D -b110101111100111011100101111000 % -b110101111100111011100101111000 . -b110101111100111011100101111000 6 -b110101111100111011100101111000 @ -b110101111100111011100101111000 F -b10101111100110011100001000000 ) -#279744000 -0& -#279760000 -b1000100010011 , -#279776000 -1& -#279792000 -b10000110011100010010100100000010 " -b10000110011100010010100100000010 4 -b10000110011100010010100100000010 1 -b10000110011100010010100100000010 C -b10100100100110000000000000000000 0 -b10100100100110000000000000000000 H -18 -09 -b10000110011100001101001000111000 2 -b10000110011100001101001000111000 = -b11111111011110110010100100010010 < -b10000110011100001101001000111000 : -b10000110111101011010100100100110 $ -b10000110111101011010100100100110 - -b10000110111101011010100100100110 5 -b10000110111101011010100100100110 ? -b10000110111101011010100100100110 D -b11111111011110110010100100010010 % -b11111111011110110010100100010010 . -b11111111011110110010100100010010 6 -b11111111011110110010100100010010 @ -b11111111011110110010100100010010 F -b10000110011100010010100100000010 ) -#279808000 -0& -#279824000 -b1000100010100 , -#279840000 -1& -#279856000 -b11100000100100100000000001011000 " -b11100000100100100000000001011000 4 -b11100000100100100000000001011000 1 -b11100000100100100000000001011000 C -b1011001000000000000000000000000 0 -b1011001000000000000000000000000 H -b11011010001100011000000000110001 2 -b11011010001100011000000000110001 = -b11110001100100110100101111011000 < -b11011010001100011000000000110001 : -b11101000100111100011010001011001 $ -b11101000100111100011010001011001 - -b11101000100111100011010001011001 5 -b11101000100111100011010001011001 ? -b11101000100111100011010001011001 D -b11110001100100110100101111011000 % -b11110001100100110100101111011000 . -b11110001100100110100101111011000 6 -b11110001100100110100101111011000 @ -b11110001100100110100101111011000 F -b11100000100100100000000001011000 ) -#279872000 -0& -#279888000 -b1000100010101 , -#279904000 -1& -#279920000 -b111010110101000000011001101000 " -b111010110101000000011001101000 4 -b111010110101000000011001101000 1 -b111010110101000000011001101000 C -b11101101000000000000000000000000 0 -b11101101000000000000000000000000 H -08 -19 -b10111010110101000000010101100101 2 -b10111010110101000000010101100101 = -b111111110101110001011001111000 < -b10111010110101000000010101100101 : -b1111010111111001110111011101101 $ -b1111010111111001110111011101101 - -b1111010111111001110111011101101 5 -b1111010111111001110111011101101 ? -b1111010111111001110111011101101 D -b111111110101110001011001111000 % -b111111110101110001011001111000 . -b111111110101110001011001111000 6 -b111111110101110001011001111000 @ -b111111110101110001011001111000 F -b111010110101000000011001101000 ) -#279936000 -0& -#279952000 -b1000100010110 , -#279968000 -1& -#279984000 -b110100101110110000001101000001 " -b110100101110110000001101000001 4 -b110100101110110000001101000001 1 -b110100101110110000001101000001 C -b1101001011111110101011010001010 0 -b1101001011111110101011010001010 H -b10110100101110101111111100000110 2 -b10110100101110101111111100000110 = -b1111111111110110101001111000001 < -b10110100101110101111111100000110 : -b110100101111111010101101000101 $ -b110100101111111010101101000101 - -b110100101111111010101101000101 5 -b110100101111111010101101000101 ? -b110100101111111010101101000101 D -b1111111111110110101001111000001 % -b1111111111110110101001111000001 . -b1111111111110110101001111000001 6 -b1111111111110110101001111000001 @ -b1111111111110110101001111000001 F -b110100101110110000001101000001 ) -#280000000 -0& -#280016000 -b1000100010111 , -#280032000 -1& -#280048000 -b11111001111010010000000110100110 " -b11111001111010010000000110100110 4 -b11111001111010010000000110100110 1 -b11111001111010010000000110100110 C -b11111101100110011111011100000000 0 -b11111101100110011111011100000000 H -18 -09 -b11111001111010001111100110010101 2 -b11111001111010001111100110010101 = -b11111001111011011100010110100111 < -b11111001111010001111100110010101 : -b11111111111110110011001111101110 $ -b11111111111110110011001111101110 - -b11111111111110110011001111101110 5 -b11111111111110110011001111101110 ? -b11111111111110110011001111101110 D -b11111001111011011100010110100111 % -b11111001111011011100010110100111 . -b11111001111011011100010110100111 6 -b11111001111011011100010110100111 @ -b11111001111011011100010110100111 F -b11111001111010010000000110100110 ) -#280064000 -0& -#280080000 -b1000100011000 , -#280096000 -1& -#280112000 -b1110001110100100100000000010010 " -b1110001110100100100000000010010 4 -b1110001110100100100000000010010 1 -b1110001110100100100000000010010 C -b10000111100000000000000000000 0 -b10000111100000000000000000000 H -08 -b1101011110100100001011000110001 2 -b1101011110100100001011000110001 = -b1111001110100100101010000010011 < -b1101011110100100001011000110001 : -b11110001111111111100001000011110 $ -b11110001111111111100001000011110 - -b11110001111111111100001000011110 5 -b11110001111111111100001000011110 ? -b11110001111111111100001000011110 D -b1111001110100100101010000010011 % -b1111001110100100101010000010011 . -b1111001110100100101010000010011 6 -b1111001110100100101010000010011 @ -b1111001110100100101010000010011 F -b1110001110100100100000000010010 ) -#280128000 -0& -#280144000 -b1000100011001 , -#280160000 -1& -#280176000 -b1101111111010110000001000011000 " -b1101111111010110000001000011000 4 -b1101111111010110000001000011000 1 -b1101111111010110000001000011000 C -b11001000000000000000000000000 0 -b11001000000000000000000000000 H -b1101111111010101111100110010001 2 -b1101111111010101111100110010001 = -b1111111111011110111011101111000 < -b1101111111010101111100110010001 : -b11101111111110111000001000011001 $ -b11101111111110111000001000011001 - -b11101111111110111000001000011001 5 -b11101111111110111000001000011001 ? -b11101111111110111000001000011001 D -b1111111111011110111011101111000 % -b1111111111011110111011101111000 . -b1111111111011110111011101111000 6 -b1111111111011110111011101111000 @ -b1111111111011110111011101111000 F -b1101111111010110000001000011000 ) -#280192000 -0& -#280208000 -b1000100011010 , -#280224000 -1& -#280240000 -b1111010001010000000100000000000 " -b1111010001010000000100000000000 4 -b1111010001010000000100000000000 1 -b1111010001010000000100000000000 C -b10110000010110000000000000000000 0 -b10110000010110000000000000000000 H -b1111001001000111000011101111101 2 -b1111001001000111000011101111101 = -b11111010101010000010111101010001 < -b1111001001000111000011101111101 : -b1111110011110110101100000101100 $ -b1111110011110110101100000101100 - -b1111110011110110101100000101100 5 -b1111110011110110101100000101100 ? -b1111110011110110101100000101100 D -b11111010101010000010111101010001 % -b11111010101010000010111101010001 . -b11111010101010000010111101010001 6 -b11111010101010000010111101010001 @ -b11111010101010000010111101010001 F -b1111010001010000000100000000000 ) -#280256000 -0& -#280272000 -b1000100011011 , -#280288000 -1& -#280304000 -b11001011100110110000110000000 " -b11001011100110110000110000000 4 -b11001011100110110000110000000 1 -b11001011100110110000110000000 C -b10110110101000000000000000000000 0 -b10110110101000000000000000000000 H -b11001011100101100111100111010 2 -b11001011100101100111100111010 = -b1011111011100110110000110010010 < -b11001011100101100111100111010 : -b10111001111111110110110110101000 $ -b10111001111111110110110110101000 - -b10111001111111110110110110101000 5 -b10111001111111110110110110101000 ? -b10111001111111110110110110101000 D -b1011111011100110110000110010010 % -b1011111011100110110000110010010 . -b1011111011100110110000110010010 6 -b1011111011100110110000110010010 @ -b1011111011100110110000110010010 F -b11001011100110110000110000000 ) -#280320000 -0& -#280336000 -b1000100011100 , -#280352000 -1& -#280368000 -b1110011011010001000000000000000 " -b1110011011010001000000000000000 4 -b1110011011010001000000000000000 1 -b1110011011010001000000000000000 C -b10001101000001000000000000000000 0 -b10001101000001000000000000000000 H -b1101111011010000010111111110100 2 -b1101111011010000010111111110100 = -b1110011011010111010001011110000 < -b1101111011010000010111111110100 : -b11111011111111001000110100000100 $ -b11111011111111001000110100000100 - -b11111011111111001000110100000100 5 -b11111011111111001000110100000100 ? -b11111011111111001000110100000100 D -b1110011011010111010001011110000 % -b1110011011010111010001011110000 . -b1110011011010111010001011110000 6 -b1110011011010111010001011110000 @ -b1110011011010111010001011110000 F -b1110011011010001000000000000000 ) -#280384000 -0& -#280400000 -b1000100011101 , -#280416000 -1& -#280432000 -b1010101001101011100000011000000 " -b1010101001101011100000011000000 4 -b1010101001101011100000011000000 1 -b1010101001101011100000011000000 C -b1110101101101011100101011010100 0 -b1110101101101011100101011010100 H -19 -08 -b11010100111101011011111110110100 2 -b11010100111101011011111110110100 = -b1011111001111111111010011100000 < -b11010100111101011011111110110100 : -b1110101101101011100101011010100 $ -b1110101101101011100101011010100 - -b1110101101101011100101011010100 5 -b1110101101101011100101011010100 ? -b1110101101101011100101011010100 D -b1011111001111111111010011100000 % -b1011111001111111111010011100000 . -b1011111001111111111010011100000 6 -b1011111001111111111010011100000 @ -b1011111001111111111010011100000 F -b1010101001101011100000011000000 ) -#280448000 -0& -#280464000 -b1000100011110 , -#280480000 -1& -#280496000 -b11111100111010011000010001000 " -b11111100111010011000010001000 4 -b11111100111010011000010001000 1 -b11111100111010011000010001000 C -b10110111011100110000000000000000 0 -b10110111011100110000000000000000 H -18 -09 -b11011111100111001110110000100101 2 -b11011111100111001110110000100101 = -b11111101111110011000010001101 < -b11011111100111001110110000100101 : -b10111111110111011011101110011000 $ -b10111111110111011011101110011000 - -b10111111110111011011101110011000 5 -b10111111110111011011101110011000 ? -b10111111110111011011101110011000 D -b11111101111110011000010001101 % -b11111101111110011000010001101 . -b11111101111110011000010001101 6 -b11111101111110011000010001101 @ -b11111101111110011000010001101 F -b11111100111010011000010001000 ) -#280512000 -0& -#280528000 -b1000100011111 , -#280544000 -1& -#280560000 -b100100111001100000001000001000 " -b100100111001100000001000001000 4 -b100100111001100000001000001000 1 -b100100111001100000001000001000 C -b11100110000000101001110000000000 0 -b11100110000000101001110000000000 H -08 -b100100110101011011010100000100 2 -b100100110101011011010100000100 = -b1110111111011111011001001101000 < -b100100110101011011010100000100 : -b10101100111001100000001010011100 $ -b10101100111001100000001010011100 - -b10101100111001100000001010011100 5 -b10101100111001100000001010011100 ? -b10101100111001100000001010011100 D -b1110111111011111011001001101000 % -b1110111111011111011001001101000 . -b1110111111011111011001001101000 6 -b1110111111011111011001001101000 @ -b1110111111011111011001001101000 F -b100100111001100000001000001000 ) -#280576000 -0& -#280592000 -b1000100100000 , -#280608000 -1& -#280624000 -b1111011001001110001100001001000 " -b1111011001001110001100001001000 4 -b1111011001001110001100001001000 1 -b1111011001001110001100001001000 C -b1001010000000000000000000000000 0 -b1001010000000000000000000000000 H -b1111011001001110001001001000010 2 -b1111011001001110001001001000010 = -b1111011011001111001100111111000 < -b1111011001001110001001001000010 : -b11111111101111110111100001001010 $ -b11111111101111110111100001001010 - -b11111111101111110111100001001010 5 -b11111111101111110111100001001010 ? -b11111111101111110111100001001010 D -b1111011011001111001100111111000 % -b1111011011001111001100111111000 . -b1111011011001111001100111111000 6 -b1111011011001111001100111111000 @ -b1111011011001111001100111111000 F -b1111011001001110001100001001000 ) -#280640000 -0& -#280656000 -b1000100100001 , -#280672000 -1& -#280688000 -b1111010110100001001001110001010 " -b1111010110100001001001110001010 4 -b1111010110100001001001110001010 1 -b1111010110100001001001110001010 C -b10111100111000101000000000000000 0 -b10111100111000101000000000000000 H -19 -08 -b11111010110010001000101100011000 2 -b11111010110010001000101100011000 = -b1111011111100011001011110001110 < -b11111010110010001000101100011000 : -b1111110110101101111001110001010 $ -b1111110110101101111001110001010 - -b1111110110101101111001110001010 5 -b1111110110101101111001110001010 ? -b1111110110101101111001110001010 D -b1111011111100011001011110001110 % -b1111011111100011001011110001110 . -b1111011111100011001011110001110 6 -b1111011111100011001011110001110 @ -b1111011111100011001011110001110 F -b1111010110100001001001110001010 ) -#280704000 -0& -#280720000 -b1000100100010 , -#280736000 -1& -#280752000 -b1100111101111110000000000100000 " -b1100111101111110000000000100000 4 -b1100111101111110000000000100000 1 -b1100111101111110000000000100000 C -b0 0 -b0 H -09 -08 -b1011111101111101011110000011111 2 -b1011111101111101011110000011111 = -b11110111101111110010000011111111 < -b1011111101111101011110000011111 : -b1100111111111111001101100100000 $ -b1100111111111111001101100100000 - -b1100111111111111001101100100000 5 -b1100111111111111001101100100000 ? -b1100111111111111001101100100000 D -b11110111101111110010000011111111 % -b11110111101111110010000011111111 . -b11110111101111110010000011111111 6 -b11110111101111110010000011111111 @ -b11110111101111110010000011111111 F -b1100111101111110000000000100000 ) -#280768000 -0& -#280784000 -b1000100100011 , -#280800000 -1& -#280816000 -b1100001001010110000010000000001 " -b1100001001010110000010000000001 4 -b1100001001010110000010000000001 1 -b1100001001010110000010000000001 C -b1001011111001111001100101000 0 -b1001011111001111001100101000 H -b1011101001010101110001001101000 2 -b1011101001010101110001001101000 = -b1111011111110110100010000000011 < -b1011101001010101110001001101000 : -b11100001001011111001111001100101 $ -b11100001001011111001111001100101 - -b11100001001011111001111001100101 5 -b11100001001011111001111001100101 ? -b11100001001011111001111001100101 D -b1111011111110110100010000000011 % -b1111011111110110100010000000011 . -b1111011111110110100010000000011 6 -b1111011111110110100010000000011 @ -b1111011111110110100010000000011 F -b1100001001010110000010000000001 ) -#280832000 -0& -#280848000 -b1000100100100 , -#280864000 -1& -#280880000 -b11101111011100010000000100000 " -b11101111011100010000000100000 4 -b11101111011100010000000100000 1 -b11101111011100010000000100000 C -b11111111111111101000000011110100 0 -b11111111111111101000000011110100 H -19 -08 -b10011101111011011100011001011111 2 -b10011101111011011100011001011111 = -b11101111011100010011000100010 < -b10011101111011011100011001011111 : -b1111111111111111010000000111101 $ -b1111111111111111010000000111101 - -b1111111111111111010000000111101 5 -b1111111111111111010000000111101 ? -b1111111111111111010000000111101 D -b11101111011100010011000100010 % -b11101111011100010011000100010 . -b11101111011100010011000100010 6 -b11101111011100010011000100010 @ -b11101111011100010011000100010 F -b11101111011100010000000100000 ) -#280896000 -0& -#280912000 -b1000100100101 , -#280928000 -1& -#280944000 -b110001010010110010000100000001 " -b110001010010110010000100000001 4 -b110001010010110010000100000001 1 -b110001010010110010000100000001 C -b1100011100111100110011010111010 0 -b1100011100111100110011010111010 H -18 -09 -b11101001010010100110000011111110 2 -b11101001010010100110000011111110 = -b110111011110110010110110100001 < -b11101001010010100110000011111110 : -b10110001110011110011001101011101 $ -b10110001110011110011001101011101 - -b10110001110011110011001101011101 5 -b10110001110011110011001101011101 ? -b10110001110011110011001101011101 D -b110111011110110010110110100001 % -b110111011110110010110110100001 . -b110111011110110010110110100001 6 -b110111011110110010110110100001 @ -b110111011110110010110110100001 F -b110001010010110010000100000001 ) -#280960000 -0& -#280976000 -b1000100100110 , -#280992000 -1& -#281008000 -b111110010100100000000000001100 " -b111110010100100000000000001100 4 -b111110010100100000000000001100 1 -b111110010100100000000000001100 C -b1000000001000111100000000000000 0 -b1000000001000111100000000000000 H -08 -b111110001100010011110101001011 2 -b111110001100010011110101001011 = -b1111111010111110011110000101101 < -b111110001100010011110101001011 : -b10111110110100100000000100011110 $ -b10111110110100100000000100011110 - -b10111110110100100000000100011110 5 -b10111110110100100000000100011110 ? -b10111110110100100000000100011110 D -b1111111010111110011110000101101 % -b1111111010111110011110000101101 . -b1111111010111110011110000101101 6 -b1111111010111110011110000101101 @ -b1111111010111110011110000101101 F -b111110010100100000000000001100 ) -#281024000 -0& -#281040000 -b1000100100111 , -#281056000 -1& -#281072000 -b10010011010110110110001101000000 " -b10010011010110110110001101000000 4 -b10010011010110110110001101000000 1 -b10010011010110110110001101000000 C -b11010000000000000000000000000 0 -b11010000000000000000000000000 H -18 -19 -b1010011010110110101001100010011 2 -b1010011010110110101001100010011 = -b10010111010110110110111111010011 < -b1010011010110110101001100010011 : -b10111011111111111110001101000000 $ -b10111011111111111110001101000000 - -b10111011111111111110001101000000 5 -b10111011111111111110001101000000 ? -b10111011111111111110001101000000 D -b10010111010110110110111111010011 % -b10010111010110110110111111010011 . -b10010111010110110110111111010011 6 -b10010111010110110110111111010011 @ -b10010111010110110110111111010011 F -b10010011010110110110001101000000 ) -#281088000 -0& -#281104000 -b1000100101000 , -#281120000 -1& -#281136000 -b10110011100010001001010000100 " -b10110011100010001001010000100 4 -b10110011100010001001010000100 1 -b10110011100010001001010000100 C -b11001111101100100111101110000000 0 -b11001111101100100111101110000000 H -09 -18 -b11110110011100010000101001100001 2 -b11110110011100010000101001100001 = -b1010111111100110111011010000101 < -b11110110011100010000101001100001 : -b10011110011111011001001111011100 $ -b10011110011111011001001111011100 - -b10011110011111011001001111011100 5 -b10011110011111011001001111011100 ? -b10011110011111011001001111011100 D -b1010111111100110111011010000101 % -b1010111111100110111011010000101 . -b1010111111100110111011010000101 6 -b1010111111100110111011010000101 @ -b1010111111100110111011010000101 F -b10110011100010001001010000100 ) -#281152000 -0& -#281168000 -b1000100101001 , -#281184000 -1& -#281200000 -b1101101101110000010001000100000 " -b1101101101110000010001000100000 4 -b1101101101110000010001000100000 1 -b1101101101110000010001000100000 C -b10111110001000100110001000000000 0 -b10111110001000100110001000000000 H -08 -b1101101011101100101110100001010 2 -b1101101011101100101110100001010 = -b11101111101110000011101010101000 < -b1101101011101100101110100001010 : -b1111101101111100010001001100010 $ -b1111101101111100010001001100010 - -b1111101101111100010001001100010 5 -b1111101101111100010001001100010 ? -b1111101101111100010001001100010 D -b11101111101110000011101010101000 % -b11101111101110000011101010101000 . -b11101111101110000011101010101000 6 -b11101111101110000011101010101000 @ -b11101111101110000011101010101000 F -b1101101101110000010001000100000 ) -#281216000 -0& -#281232000 -b1000100101010 , -#281248000 -1& -#281264000 -b1001101010100100000010001000000 " -b1001101010100100000010001000000 4 -b1001101010100100000010001000000 1 -b1001101010100100000010001000000 C -b11010111011100000110010000110000 0 -b11010111011100000110010000110000 H -19 -08 -b10101100110100011000001100100111 2 -b10101100110100011000001100100111 = -b1001111010110100111110011100100 < -b10101100110100011000001100100111 : -b1011101011101110000011001000011 $ -b1011101011101110000011001000011 - -b1011101011101110000011001000011 5 -b1011101011101110000011001000011 ? -b1011101011101110000011001000011 D -b1001111010110100111110011100100 % -b1001111010110100111110011100100 . -b1001111010110100111110011100100 6 -b1001111010110100111110011100100 @ -b1001111010110100111110011100100 F -b1001101010100100000010001000000 ) -#281280000 -0& -#281296000 -b1000100101011 , -#281312000 -1& -#281328000 -b10001011001100010100000001000001 " -b10001011001100010100000001000001 4 -b10001011001100010100000001000001 1 -b10001011001100010100000001000001 C -b11110110110010011100011000000000 0 -b11110110110010011100011000000000 H -18 -b1000110101011010011110100101100 2 -b1000110101011010011110100101100 = -b10011011001100011101100001001001 < -b1000110101011010011110100101100 : -b10101011011110110110010011100011 $ -b10101011011110110110010011100011 - -b10101011011110110110010011100011 5 -b10101011011110110110010011100011 ? -b10101011011110110110010011100011 D -b10011011001100011101100001001001 % -b10011011001100011101100001001001 . -b10011011001100011101100001001001 6 -b10011011001100011101100001001001 @ -b10011011001100011101100001001001 F -b10001011001100010100000001000001 ) -#281344000 -0& -#281360000 -b1000100101100 , -#281376000 -1& -#281392000 -b100011010001100001010001000000 " -b100011010001100001010001000000 4 -b100011010001100001010001000000 1 -b100011010001100001010001000000 C -b1111001100000000000000000000000 0 -b1111001100000000000000000000000 H -08 -09 -b10011001001010101001010110010 2 -b10011001001010101001010110010 = -b1100011110011100011010001010010 < -b10011001001010101001010110010 : -b10101111010101110001111001100000 $ -b10101111010101110001111001100000 - -b10101111010101110001111001100000 5 -b10101111010101110001111001100000 ? -b10101111010101110001111001100000 D -b1100011110011100011010001010010 % -b1100011110011100011010001010010 . -b1100011110011100011010001010010 6 -b1100011110011100011010001010010 @ -b1100011110011100011010001010010 F -b100011010001100001010001000000 ) -#281408000 -0& -#281424000 -b1000100101101 , -#281440000 -1& -#281456000 -b110101001011011010000000010000 " -b110101001011011010000000010000 4 -b110101001011011010000000010000 1 -b110101001011011010000000010000 C -b100110000000000000000000000000 0 -b100110000000000000000000000000 H -b110100101011011001100111101110 2 -b110100101011011001100111101110 = -b10111111001111111010100101010110 < -b110100101011011001100111101110 : -b1110101011011011111000010011000 $ -b1110101011011011111000010011000 - -b1110101011011011111000010011000 5 -b1110101011011011111000010011000 ? -b1110101011011011111000010011000 D -b10111111001111111010100101010110 % -b10111111001111111010100101010110 . -b10111111001111111010100101010110 6 -b10111111001111111010100101010110 @ -b10111111001111111010100101010110 F -b110101001011011010000000010000 ) -#281472000 -0& -#281488000 -b1000100101110 , -#281504000 -1& -#281520000 -b1111101110100000010010001000100 " -b1111101110100000010010001000100 4 -b1111101110100000010010001000100 1 -b1111101110100000010010001000100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -19 -08 -b11111101101011101110001000100011 2 -b11111101101011101110001000100011 = -b1111111110111001011110011011101 < -b11111101101011101110001000100011 : -b1111101110100100010010101000110 $ -b1111101110100100010010101000110 - -b1111101110100100010010101000110 5 -b1111101110100100010010101000110 ? -b1111101110100100010010101000110 D -b1111111110111001011110011011101 % -b1111111110111001011110011011101 . -b1111111110111001011110011011101 6 -b1111111110111001011110011011101 @ -b1111111110111001011110011011101 F -b1111101110100000010010001000100 ) -#281536000 -0& -#281552000 -b1000100101111 , -#281568000 -1& -#281584000 -b1110001011100110000001110100010 " -b1110001011100110000001110100010 4 -b1110001011100110000001110100010 1 -b1110001011100110000001110100010 C -b10101110100110000000000000000000 0 -b10101110100110000000000000000000 H -b11101111011100101111001110011000 2 -b11101111011100101111001110011000 = -b1110101011101110100011111110010 < -b11101111011100101111001110011000 : -b1111001111110111010101110100110 $ -b1111001111110111010101110100110 - -b1111001111110111010101110100110 5 -b1111001111110111010101110100110 ? -b1111001111110111010101110100110 D -b1110101011101110100011111110010 % -b1110101011101110100011111110010 . -b1110101011101110100011111110010 6 -b1110101011101110100011111110010 @ -b1110101011101110100011111110010 F -b1110001011100110000001110100010 ) -#281600000 -0& -#281616000 -b1000100110000 , -#281632000 -1& -#281648000 -b111100000111000110000011000000 " -b111100000111000110000011000000 4 -b111100000111000110000011000000 1 -b111100000111000110000011000000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -09 -08 -b111010010111000101100010111111 2 -b111010010111000101100010111111 = -b1111100000111001111001011111100 < -b111010010111000101100010111111 : -b10111110001111110110010111000011 $ -b10111110001111110110010111000011 - -b10111110001111110110010111000011 5 -b10111110001111110110010111000011 ? -b10111110001111110110010111000011 D -b1111100000111001111001011111100 % -b1111100000111001111001011111100 . -b1111100000111001111001011111100 6 -b1111100000111001111001011111100 @ -b1111100000111001111001011111100 F -b111100000111000110000011000000 ) -#281664000 -0& -#281680000 -b1000100110001 , -#281696000 -1& -#281712000 -b11110100001001100000011000111001 " -b11110100001001100000011000111001 4 -b11110100001001100000011000111001 1 -b11110100001001100000011000111001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -18 -b11110100000101010101110100111000 2 -b11110100000101010101110100111000 = -b11110101011001110101011000111011 < -b11110100000101010101110100111000 : -b11111110101011100000011011111101 $ -b11111110101011100000011011111101 - -b11111110101011100000011011111101 5 -b11111110101011100000011011111101 ? -b11111110101011100000011011111101 D -b11110101011001110101011000111011 % -b11110101011001110101011000111011 . -b11110101011001110101011000111011 6 -b11110101011001110101011000111011 @ -b11110101011001110101011000111011 F -b11110100001001100000011000111001 ) -#281728000 -0& -#281744000 -b1000100110010 , -#281760000 -1& -#281776000 -b1110011100110000010011000010000 " -b1110011100110000010011000010000 4 -b1110011100110000010011000010000 1 -b1110011100110000010011000010000 C -b110001111000000000000000000000 0 -b110001111000000000000000000000 H -08 -19 -b11110011011101010101011000001111 2 -b11110011011101010101011000001111 = -b1111011100111010010111111010011 < -b11110011011101010101011000001111 : -b1110111110110000010011000111100 $ -b1110111110110000010011000111100 - -b1110111110110000010011000111100 5 -b1110111110110000010011000111100 ? -b1110111110110000010011000111100 D -b1111011100111010010111111010011 % -b1111011100111010010111111010011 . -b1111011100111010010111111010011 6 -b1111011100111010010111111010011 @ -b1111011100111010010111111010011 F -b1110011100110000010011000010000 ) -#281792000 -0& -#281808000 -b1000100110011 , -#281824000 -1& -#281840000 -b11101110010001011000101000110100 " -b11101110010001011000101000110100 4 -b11101110010001011000101000110100 1 -b11101110010001011000101000110100 C -b10001101010000000000000000000000 0 -b10001101010000000000000000000000 H -18 -09 -b11011110001111010011010001101011 2 -b11011110001111010011010001101011 = -b11101111010101111010101000110110 < -b11011110001111010011010001101011 : -b11101110111001011000101000110101 $ -b11101110111001011000101000110101 - -b11101110111001011000101000110101 5 -b11101110111001011000101000110101 ? -b11101110111001011000101000110101 D -b11101111010101111010101000110110 % -b11101111010101111010101000110110 . -b11101111010101111010101000110110 6 -b11101111010101111010101000110110 @ -b11101111010101111010101000110110 F -b11101110010001011000101000110100 ) -#281856000 -0& -#281872000 -b1000100110100 , -#281888000 -1& -#281904000 -b11101110110111011011010000000000 " -b11101110110111011011010000000000 4 -b11101110110111011011010000000000 1 -b11101110110111011011010000000000 C -b10110111010010000000000000000000 0 -b10110111010010000000000000000000 H -b11101110110111011010101111011000 2 -b11101110110111011010101111011000 = -b11111111111111011111010010010000 < -b11101110110111011010101111011000 : -b11101110110111111011011101001000 $ -b11101110110111111011011101001000 - -b11101110110111111011011101001000 5 -b11101110110111111011011101001000 ? -b11101110110111111011011101001000 D -b11111111111111011111010010010000 % -b11111111111111011111010010010000 . -b11111111111111011111010010010000 6 -b11111111111111011111010010010000 @ -b11111111111111011111010010010000 F -b11101110110111011011010000000000 ) -#281920000 -0& -#281936000 -b1000100110101 , -#281952000 -1& -#281968000 -b1110010010101000001000000001 " -b1110010010101000001000000001 4 -b1110010010101000001000000001 1 -b1110010010101000001000000001 C -b10010101000011110000101000000000 0 -b10010101000011110000101000000000 H -08 -19 -b10001110010001100100100111101110 2 -b10001110010001100100100111101110 = -b1001110111110111100001001101001 < -b10001110010001100100100111101110 : -b111111010010101000011110000101 $ -b111111010010101000011110000101 - -b111111010010101000011110000101 5 -b111111010010101000011110000101 ? -b111111010010101000011110000101 D -b1001110111110111100001001101001 % -b1001110111110111100001001101001 . -b1001110111110111100001001101001 6 -b1001110111110111100001001101001 @ -b1001110111110111100001001101001 F -b1110010010101000001000000001 ) -#281984000 -0& -#282000000 -b1000100110110 , -#282016000 -1& -#282032000 -b10111011000000001100010000010010 " -b10111011000000001100010000010010 4 -b10111011000000001100010000010010 1 -b10111011000000001100010000010010 C -b11010010110000000000000000000 0 -b11010010110000000000000000000 H -18 -09 -b10111011000000001011101100001000 2 -b10111011000000001011101100001000 = -b11111011000010111111010001110010 < -b10111011000000001011101100001000 : -b10111111111101001100011010010110 $ -b10111111111101001100011010010110 - -b10111111111101001100011010010110 5 -b10111111111101001100011010010110 ? -b10111111111101001100011010010110 D -b11111011000010111111010001110010 % -b11111011000010111111010001110010 . -b11111011000010111111010001110010 6 -b11111011000010111111010001110010 @ -b11111011000010111111010001110010 F -b10111011000000001100010000010010 ) -#282048000 -0& -#282064000 -b1000100110111 , -#282080000 -1& -#282096000 -b110101011111000110000000000000 " -b110101011111000110000000000000 4 -b110101011111000110000000000000 1 -b110101011111000110000000000000 C -b11000101000011000000000000000000 0 -b11000101000011000000000000000000 H -08 -b101100111110110100101010010111 2 -b101100111110110100101010010111 = -b1110101011111001110100000010001 < -b101100111110110100101010010111 : -b10110111011111100110001010000110 $ -b10110111011111100110001010000110 - -b10110111011111100110001010000110 5 -b10110111011111100110001010000110 ? -b10110111011111100110001010000110 D -b1110101011111001110100000010001 % -b1110101011111001110100000010001 . -b1110101011111001110100000010001 6 -b1110101011111001110100000010001 @ -b1110101011111001110100000010001 F -b110101011111000110000000000000 ) -#282112000 -0& -#282128000 -b1000100111000 , -#282144000 -1& -#282160000 -b1001001101111010100000001000001 " -b1001001101111010100000001000001 4 -b1001001101111010100000001000001 1 -b1001001101111010100000001000001 C -b10110100100000000000000000000000 0 -b10110100100000000000000000000000 H -b1001001101111010000000111000000 2 -b1001001101111010000000111000000 = -b1101011111111111100000001010111 < -b1001001101111010000000111000000 : -b11011101101111010100000101101001 $ -b11011101101111010100000101101001 - -b11011101101111010100000101101001 5 -b11011101101111010100000101101001 ? -b11011101101111010100000101101001 D -b1101011111111111100000001010111 % -b1101011111111111100000001010111 . -b1101011111111111100000001010111 6 -b1101011111111111100000001010111 @ -b1101011111111111100000001010111 F -b1001001101111010100000001000001 ) -#282176000 -0& -#282192000 -b1000100111001 , -#282208000 -1& -#282224000 -b110010011001000001000100000000 " -b110010011001000001000100000000 4 -b110010011001000001000100000000 1 -b110010011001000001000100000000 C -b0 0 -b0 H -18 -b11110001110110111001000001111001 2 -b11110001110110111001000001111001 = -b110011011001100111100101111001 < -b11110001110110111001000001111001 : -b10111110011101010001011100000000 $ -b10111110011101010001011100000000 - -b10111110011101010001011100000000 5 -b10111110011101010001011100000000 ? -b10111110011101010001011100000000 D -b110011011001100111100101111001 % -b110011011001100111100101111001 . -b110011011001100111100101111001 6 -b110011011001100111100101111001 @ -b110011011001100111100101111001 F -b110010011001000001000100000000 ) -#282240000 -0& -#282256000 -b1000100111010 , -#282272000 -1& -#282288000 -b1010101010011110101000110011001 " -b1010101010011110101000110011001 4 -b1010101010011110101000110011001 1 -b1010101010011110101000110011001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -08 -19 -b10110101010011110010100110011000 2 -b10110101010011110010100110011000 = -b1011101111111111101000111011011 < -b10110101010011110010100110011000 : -b1010111010011110101011110111101 $ -b1010111010011110101011110111101 - -b1010111010011110101011110111101 5 -b1010111010011110101011110111101 ? -b1010111010011110101011110111101 D -b1011101111111111101000111011011 % -b1011101111111111101000111011011 . -b1011101111111111101000111011011 6 -b1011101111111111101000111011011 @ -b1011101111111111101000111011011 F -b1010101010011110101000110011001 ) -#282304000 -0& -#282320000 -b1000100111011 , -#282336000 -1& -#282352000 -b11010101000101100010101000100111 " -b11010101000101100010101000100111 4 -b11010101000101100010101000100111 1 -b11010101000101100010101000100111 C -b1010101110100111000000000000000 0 -b1010101110100111000000000000000 H -18 -09 -b11010100001101001110101000010110 2 -b11010100001101001110101000010110 = -b11111101000101100011111001101111 < -b11010100001101001110101000010110 : -b11010111000111101010101110100111 $ -b11010111000111101010101110100111 - -b11010111000111101010101110100111 5 -b11010111000111101010101110100111 ? -b11010111000111101010101110100111 D -b11111101000101100011111001101111 % -b11111101000101100011111001101111 . -b11111101000101100011111001101111 6 -b11111101000101100011111001101111 @ -b11111101000101100011111001101111 F -b11010101000101100010101000100111 ) -#282368000 -0& -#282384000 -b1000100111100 , -#282400000 -1& -#282416000 -b1000000101000110010000001001000 " -b1000000101000110010000001001000 4 -b1000000101000110010000001001000 1 -b1000000101000110010000001001000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b101000100011110001001000100111 2 -b101000100011110001001000100111 = -b11000000111000110110000101011110 < -b101000100011110001001000100111 : -b1100111101010111011000011001001 $ -b1100111101010111011000011001001 - -b1100111101010111011000011001001 5 -b1100111101010111011000011001001 ? -b1100111101010111011000011001001 D -b11000000111000110110000101011110 % -b11000000111000110110000101011110 . -b11000000111000110110000101011110 6 -b11000000111000110110000101011110 @ -b11000000111000110110000101011110 F -b1000000101000110010000001001000 ) -#282432000 -0& -#282448000 -b1000100111101 , -#282464000 -1& -#282480000 -b11100011011101111000100000000000 " -b11100011011101111000100000000000 4 -b11100011011101111000100000000000 1 -b11100011011101111000100000000000 C -b11110111011101111001100010101100 0 -b11110111011101111001100010101100 H -18 -b11011010111011110100010011101100 2 -b11011010111011110100010011101100 = -b11100011011101111010110001000000 < -b11011010111011110100010011101100 : -b11110111011101111001100010101100 $ -b11110111011101111001100010101100 - -b11110111011101111001100010101100 5 -b11110111011101111001100010101100 ? -b11110111011101111001100010101100 D -b11100011011101111010110001000000 % -b11100011011101111010110001000000 . -b11100011011101111010110001000000 6 -b11100011011101111010110001000000 @ -b11100011011101111010110001000000 F -b11100011011101111000100000000000 ) -#282496000 -0& -#282512000 -b1000100111110 , -#282528000 -1& -#282544000 -b10010000100000000000000100110000 " -b10010000100000000000000100110000 4 -b10010000100000000000000100110000 1 -b10010000100000000000000100110000 C -b10001111110000000000000000000000 0 -b10001111110000000000000000000000 H -b10000001011111111101011100101011 2 -b10000001011111111101011100101011 = -b10110000111010101100010100110011 < -b10000001011111111101011100101011 : -b11010000100101010001000111111000 $ -b11010000100101010001000111111000 - -b11010000100101010001000111111000 5 -b11010000100101010001000111111000 ? -b11010000100101010001000111111000 D -b10110000111010101100010100110011 % -b10110000111010101100010100110011 . -b10110000111010101100010100110011 6 -b10110000111010101100010100110011 @ -b10110000111010101100010100110011 F -b10010000100000000000000100110000 ) -#282560000 -0& -#282576000 -b1000100111111 , -#282592000 -1& -#282608000 -b101011011101100100010000000000 " -b101011011101100100010000000000 4 -b101011011101100100010000000000 1 -b101011011101100100010000000000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -09 -08 -b101011011101100011101111110011 2 -b101011011101100011101111110011 = -b1111011011101110101010111110011 < -b101011011101100011101111110011 : -b10101111111111101110011000000000 $ -b10101111111111101110011000000000 - -b10101111111111101110011000000000 5 -b10101111111111101110011000000000 ? -b10101111111111101110011000000000 D -b1111011011101110101010111110011 % -b1111011011101110101010111110011 . -b1111011011101110101010111110011 6 -b1111011011101110101010111110011 @ -b1111011011101110101010111110011 F -b101011011101100100010000000000 ) -#282624000 -0& -#282640000 -b1000101000000 , -#282656000 -1& -#282672000 -b11100101100011110000010000000001 " -b11100101100011110000010000000001 4 -b11100101100011110000010000000001 1 -b11100101100011110000010000000001 C -b1111111111010011010100000000000 0 -b1111111111010011010100000000000 H -18 -b11100101100011110000001000000000 2 -b11100101100011110000001000000000 = -b11101101101111110000010011001011 < -b11100101100011110000001000000000 : -b11110111110011111111110100110101 $ -b11110111110011111111110100110101 - -b11110111110011111111110100110101 5 -b11110111110011111111110100110101 ? -b11110111110011111111110100110101 D -b11101101101111110000010011001011 % -b11101101101111110000010011001011 . -b11101101101111110000010011001011 6 -b11101101101111110000010011001011 @ -b11101101101111110000010011001011 F -b11100101100011110000010000000001 ) -#282688000 -0& -#282704000 -b1000101000001 , -#282720000 -1& -#282736000 -b1011111000000010011000110000111 " -b1011111000000010011000110000111 4 -b1011111000000010011000110000111 1 -b1011111000000010011000110000111 C -b11011100110000111000000000000000 0 -b11011100110000111000000000000000 H -08 -b1011110100000001110101101010110 2 -b1011110100000001110101101010110 = -b1111111011110010011000111001111 < -b1011110100000001110101101010110 : -b11011111000001111011100110000111 $ -b11011111000001111011100110000111 - -b11011111000001111011100110000111 5 -b11011111000001111011100110000111 ? -b11011111000001111011100110000111 D -b1111111011110010011000111001111 % -b1111111011110010011000111001111 . -b1111111011110010011000111001111 6 -b1111111011110010011000111001111 @ -b1111111011110010011000111001111 F -b1011111000000010011000110000111 ) -#282752000 -0& -#282768000 -b1000101000010 , -#282784000 -1& -#282800000 -b1110110010011100011001101010000 " -b1110110010011100011001101010000 4 -b1110110010011100011001101010000 1 -b1110110010011100011001101010000 C -b1101010000000000000000000000000 0 -b1101010000000000000000000000000 H -19 -08 -b11110110010011100010011011000101 2 -b11110110010011100010011011000101 = -b1111110011011110011001101110101 < -b11110110010011100010011011000101 : -b1110111110111101111001101010000 $ -b1110111110111101111001101010000 - -b1110111110111101111001101010000 5 -b1110111110111101111001101010000 ? -b1110111110111101111001101010000 D -b1111110011011110011001101110101 % -b1111110011011110011001101110101 . -b1111110011011110011001101110101 6 -b1111110011011110011001101110101 @ -b1111110011011110011001101110101 F -b1110110010011100011001101010000 ) -#282816000 -0& -#282832000 -b1000101000011 , -#282848000 -1& -#282864000 -b10101011011110000101010000100000 " -b10101011011110000101010000100000 4 -b10101011011110000101010000100000 1 -b10101011011110000101010000100000 C -b10101111111110011111010000100010 0 -b10101111111110011111010000100010 H -18 -09 -b10101011011110000100100100000010 2 -b10101011011110000100100100000010 = -b11111011011111100101010011100000 < -b10101011011110000100100100000010 : -b10101111111110011111010000100010 $ -b10101111111110011111010000100010 - -b10101111111110011111010000100010 5 -b10101111111110011111010000100010 ? -b10101111111110011111010000100010 D -b11111011011111100101010011100000 % -b11111011011111100101010011100000 . -b11111011011111100101010011100000 6 -b11111011011111100101010011100000 @ -b11111011011111100101010011100000 F -b10101011011110000101010000100000 ) -#282880000 -0& -#282896000 -b1000101000100 , -#282912000 -1& -#282928000 -b1110101000111011010100000000101 " -b1110101000111011010100000000101 4 -b1110101000111011010100000000101 1 -b1110101000111011010100000000101 C -b10001111110111001100001010000000 0 -b10001111110111001100001010000000 H -08 -b1110101000111010110011111001100 2 -b1110101000111010110011111001100 = -b11110111111111011010111001000111 < -b1110101000111010110011111001100 : -b1111101000111111011100110000101 $ -b1111101000111111011100110000101 - -b1111101000111111011100110000101 5 -b1111101000111111011100110000101 ? -b1111101000111111011100110000101 D -b11110111111111011010111001000111 % -b11110111111111011010111001000111 . -b11110111111111011010111001000111 6 -b11110111111111011010111001000111 @ -b11110111111111011010111001000111 F -b1110101000111011010100000000101 ) -#282944000 -0& -#282960000 -b1000101000101 , -#282976000 -1& -#282992000 -b11101010101011000010001000000100 " -b11101010101011000010001000000100 4 -b11101010101011000010001000000100 1 -b11101010101011000010001000000100 C -b1010111101101110000101000000000 0 -b1010111101101110000101000000000 H -18 -b11101010011010111001000111111011 2 -b11101010011010111001000111111011 = -b11101111101111000010001111100111 < -b11101010011010111001000111111011 : -b11111010101011110110111000010100 $ -b11111010101011110110111000010100 - -b11111010101011110110111000010100 5 -b11111010101011110110111000010100 ? -b11111010101011110110111000010100 D -b11101111101111000010001111100111 % -b11101111101111000010001111100111 . -b11101111101111000010001111100111 6 -b11101111101111000010001111100111 @ -b11101111101111000010001111100111 F -b11101010101011000010001000000100 ) -#283008000 -0& -#283024000 -b1000101000110 , -#283040000 -1& -#283056000 -b101011010110110100100000000011 " -b101011010110110100100000000011 4 -b101011010110110100100000000011 1 -b101011010110110100100000000011 C -b11111110110110111110010111111000 0 -b11111110110110111110010111111000 H -08 -b101011010110101100011011000010 2 -b101011010110101100011011000010 = -b11101011011111110100101000000011 < -b101011010110101100011011000010 : -b111111110110110111110010111111 $ -b111111110110110111110010111111 - -b111111110110110111110010111111 5 -b111111110110110111110010111111 ? -b111111110110110111110010111111 D -b11101011011111110100101000000011 % -b11101011011111110100101000000011 . -b11101011011111110100101000000011 6 -b11101011011111110100101000000011 @ -b11101011011111110100101000000011 F -b101011010110110100100000000011 ) -#283072000 -0& -#283088000 -b1000101000111 , -#283104000 -1& -#283120000 -b1101001110011110000001000000000 " -b1101001110011110000001000000000 4 -b1101001110011110000001000000000 1 -b1101001110011110000001000000000 C -b11011101111110011010000000010000 0 -b11011101111110011010000000010000 H -b1101001101011101110000101100101 2 -b1101001101011101110000101100101 = -b11101011110011110100011101100100 < -b1101001101011101110000101100101 : -b1111101110111111001101000000001 $ -b1111101110111111001101000000001 - -b1111101110111111001101000000001 5 -b1111101110111111001101000000001 ? -b1111101110111111001101000000001 D -b11101011110011110100011101100100 % -b11101011110011110100011101100100 . -b11101011110011110100011101100100 6 -b11101011110011110100011101100100 @ -b11101011110011110100011101100100 F -b1101001110011110000001000000000 ) -#283136000 -0& -#283152000 -b1000101001000 , -#283168000 -1& -#283184000 -b1101111101111101001000100000000 " -b1101111101111101001000100000000 4 -b1101111101111101001000100000000 1 -b1101111101111101001000100000000 C -b111010000101000000000000000000 0 -b111010000101000000000000000000 H -b1101111101111100100111011011011 2 -b1101111101111100100111011011011 = -b1101111101111101011000111010001 < -b1101111101111100100111011011011 : -b11111111111111111001110100001010 $ -b11111111111111111001110100001010 - -b11111111111111111001110100001010 5 -b11111111111111111001110100001010 ? -b11111111111111111001110100001010 D -b1101111101111101011000111010001 % -b1101111101111101011000111010001 . -b1101111101111101011000111010001 6 -b1101111101111101011000111010001 @ -b1101111101111101011000111010001 F -b1101111101111101001000100000000 ) -#283200000 -0& -#283216000 -b1000101001001 , -#283232000 -1& -#283248000 -b1001000011101100000000100010000 " -b1001000011101100000000100010000 4 -b1001000011101100000000100010000 1 -b1001000011101100000000100010000 C -b101011100101010000000000000000 0 -b101011100101010000000000000000 H -19 -08 -b10010110011101010011000011100101 2 -b10010110011101010011000011100101 = -b1001000011111100000010101010000 < -b10010110011101010011000011100101 : -b1001101111101110010101110010101 $ -b1001101111101110010101110010101 - -b1001101111101110010101110010101 5 -b1001101111101110010101110010101 ? -b1001101111101110010101110010101 D -b1001000011111100000010101010000 % -b1001000011111100000010101010000 . -b1001000011111100000010101010000 6 -b1001000011111100000010101010000 @ -b1001000011111100000010101010000 F -b1001000011101100000000100010000 ) -#283264000 -0& -#283280000 -b1000101001010 , -#283296000 -1& -#283312000 -b1111101011111000000011011001010 " -b1111101011111000000011011001010 4 -b1111101011111000000011011001010 1 -b1111101011111000000011011001010 C -b11111100010111111110100000000000 0 -b11111100010111111110100000000000 H -09 -08 -b1111101011110110110011011000100 2 -b1111101011110110110011011000100 = -b1111101111111000100111011001010 < -b1111101011110110110011011000100 : -b11111111011111110001011111111010 $ -b11111111011111110001011111111010 - -b11111111011111110001011111111010 5 -b11111111011111110001011111111010 ? -b11111111011111110001011111111010 D -b1111101111111000100111011001010 % -b1111101111111000100111011001010 . -b1111101111111000100111011001010 6 -b1111101111111000100111011001010 @ -b1111101111111000100111011001010 F -b1111101011111000000011011001010 ) -#283328000 -0& -#283344000 -b1000101001011 , -#283360000 -1& -#283376000 -b1011001111111110010001000000000 " -b1011001111111110010001000000000 4 -b1011001111111110010001000000000 1 -b1011001111111110010001000000000 C -b11101000001100000000000000000000 0 -b11101000001100000000000000000000 H -b1011001111111110010000100011110 2 -b1011001111111110010000100011110 = -b1011101111111110010011100010010 < -b1011001111111110010000100011110 : -b11111011111111111111101000001100 $ -b11111011111111111111101000001100 - -b11111011111111111111101000001100 5 -b11111011111111111111101000001100 ? -b11111011111111111111101000001100 D -b1011101111111110010011100010010 % -b1011101111111110010011100010010 . -b1011101111111110010011100010010 6 -b1011101111111110010011100010010 @ -b1011101111111110010011100010010 F -b1011001111111110010001000000000 ) -#283392000 -0& -#283408000 -b1000101001100 , -#283424000 -1& -#283440000 -b10001100110110101111100000001 " -b10001100110110101111100000001 4 -b10001100110110101111100000001 1 -b10001100110110101111100000001 C -b100011011101101011111000011110 0 -b100011011101101011111000011110 H -18 -b11110001010110110101111010010000 2 -b11110001010110110101111010010000 = -b11011111100111111111111110000001 < -b11110001010110110101111010010000 : -b10001101110110101111100001111 $ -b10001101110110101111100001111 - -b10001101110110101111100001111 5 -b10001101110110101111100001111 ? -b10001101110110101111100001111 D -b11011111100111111111111110000001 % -b11011111100111111111111110000001 . -b11011111100111111111111110000001 6 -b11011111100111111111111110000001 @ -b11011111100111111111111110000001 F -b10001100110110101111100000001 ) -#283456000 -0& -#283472000 -b1000101001101 , -#283488000 -1& -#283504000 -b1101101010011110001100000000000 " -b1101101010011110001100000000000 4 -b1101101010011110001100000000000 1 -b1101101010011110001100000000000 C -b111111111001011001000000000000 0 -b111111111001011001000000000000 H -08 -19 -b11101101001111110001010111101110 2 -b11101101001111110001010111101110 = -b1101111111011110001110010001010 < -b11101101001111110001010111101110 : -b1111101010011111111100101100100 $ -b1111101010011111111100101100100 - -b1111101010011111111100101100100 5 -b1111101010011111111100101100100 ? -b1111101010011111111100101100100 D -b1101111111011110001110010001010 % -b1101111111011110001110010001010 . -b1101111111011110001110010001010 6 -b1101111111011110001110010001010 @ -b1101111111011110001110010001010 F -b1101101010011110001100000000000 ) -#283520000 -0& -#283536000 -b1000101001110 , -#283552000 -1& -#283568000 -b1000010010110010001000100000000 " -b1000010010110010001000100000000 4 -b1000010010110010001000100000000 1 -b1000010010110010001000100000000 C -b10001011111011000110111101100000 0 -b10001011111011000110111101100000 H -09 -08 -b111110010110000111000011011010 2 -b111110010110000111000011011010 = -b1011011010111010101010100000010 < -b111110010110000111000011011010 : -b11100010111110110001101111011000 $ -b11100010111110110001101111011000 - -b11100010111110110001101111011000 5 -b11100010111110110001101111011000 ? -b11100010111110110001101111011000 D -b1011011010111010101010100000010 % -b1011011010111010101010100000010 . -b1011011010111010101010100000010 6 -b1011011010111010101010100000010 @ -b1011011010111010101010100000010 F -b1000010010110010001000100000000 ) -#283584000 -0& -#283600000 -b1000101001111 , -#283616000 -1& -#283632000 -b1001011110111111100000000000000 " -b1001011110111111100000000000000 4 -b1001011110111111100000000000000 1 -b1001011110111111100000000000000 C -b10000000100010000000000000000000 0 -b10000000100010000000000000000000 H -b1001011110111111011100101010101 2 -b1001011110111111011100101010101 = -b11101011110111111111100100010001 < -b1001011110111111011100101010101 : -b1011111111111111100000001000100 $ -b1011111111111111100000001000100 - -b1011111111111111100000001000100 5 -b1011111111111111100000001000100 ? -b1011111111111111100000001000100 D -b11101011110111111111100100010001 % -b11101011110111111111100100010001 . -b11101011110111111111100100010001 6 -b11101011110111111111100100010001 @ -b11101011110111111111100100010001 F -b1001011110111111100000000000000 ) -#283648000 -0& -#283664000 -b1000101010000 , -#283680000 -1& -#283696000 -b11100001111101100100100100110010 " -b11100001111101100100100100110010 4 -b11100001111101100100100100110010 1 -b11100001111101100100100100110010 C -b11101011100100000000000000000000 0 -b11101011100100000000000000000000 H -18 -b11011011111101011100011100100101 2 -b11011011111101011100011100100101 = -b11111001111111110100100110110011 < -b11011011111101011100011100100101 : -b11100001111101100111110101110010 $ -b11100001111101100111110101110010 - -b11100001111101100111110101110010 5 -b11100001111101100111110101110010 ? -b11100001111101100111110101110010 D -b11111001111111110100100110110011 % -b11111001111111110100100110110011 . -b11111001111111110100100110110011 6 -b11111001111111110100100110110011 @ -b11111001111111110100100110110011 F -b11100001111101100100100100110010 ) -#283712000 -0& -#283728000 -b1000101010001 , -#283744000 -1& -#283760000 -b10010011110100110101000100000000 " -b10010011110100110101000100000000 4 -b10010011110100110101000100000000 1 -b10010011110100110101000100000000 C -b101111101001111110111001001100 0 -b101111101001111110111001001100 H -b10001011110100110101000011100111 2 -b10001011110100110101000011100111 = -b11110011111111110101100111000001 < -b10001011110100110101000011100111 : -b10010111110100111111011100100110 $ -b10010111110100111111011100100110 - -b10010111110100111111011100100110 5 -b10010111110100111111011100100110 ? -b10010111110100111111011100100110 D -b11110011111111110101100111000001 % -b11110011111111110101100111000001 . -b11110011111111110101100111000001 6 -b11110011111111110101100111000001 @ -b11110011111111110101100111000001 F -b10010011110100110101000100000000 ) -#283776000 -0& -#283792000 -b1000101010010 , -#283808000 -1& -#283824000 -b10000001111010111000000010001000 " -b10000001111010111000000010001000 4 -b10000001111010111000000010001000 1 -b10000001111010111000000010001000 C -b11101111110000011000101100000000 0 -b11101111110000011000101100000000 H -19 -18 -b1111111111010110111100001010011 2 -b1111111111010110111100001010011 = -b10110101111110111011011011001000 < -b1111111111010110111100001010011 : -b11001001111011111100000110001011 $ -b11001001111011111100000110001011 - -b11001001111011111100000110001011 5 -b11001001111011111100000110001011 ? -b11001001111011111100000110001011 D -b10110101111110111011011011001000 % -b10110101111110111011011011001000 . -b10110101111110111011011011001000 6 -b10110101111110111011011011001000 @ -b10110101111110111011011011001000 F -b10000001111010111000000010001000 ) -#283840000 -0& -#283856000 -b1000101010011 , -#283872000 -1& -#283888000 -b1011111101001010010101000001000 " -b1011111101001010010101000001000 4 -b1011111101001010010101000001000 1 -b1011111101001010010101000001000 C -b10110111101010100110111100000000 0 -b10110111101010100110111100000000 H -08 -09 -b1011111101001001110100111110111 2 -b1011111101001001110100111110111 = -b11011111111011010011111110001000 < -b1011111101001001110100111110111 : -b1111111101101111010101001101111 $ -b1111111101101111010101001101111 - -b1111111101101111010101001101111 5 -b1111111101101111010101001101111 ? -b1111111101101111010101001101111 D -b11011111111011010011111110001000 % -b11011111111011010011111110001000 . -b11011111111011010011111110001000 6 -b11011111111011010011111110001000 @ -b11011111111011010011111110001000 F -b1011111101001010010101000001000 ) -#283904000 -0& -#283920000 -b1000101010100 , -#283936000 -1& -#283952000 -b1110111010110010000010000000110 " -b1110111010110010000010000000110 4 -b1110111010110010000010000000110 1 -b1110111010110010000010000000110 C -b11110111010100111001101111000000 0 -b11110111010100111001101111000000 H -b1101111010110001000001111110101 2 -b1101111010110001000001111110101 = -b11110111011110110011010110000110 < -b1101111010110001000001111110101 : -b1110111110111010100111001101111 $ -b1110111110111010100111001101111 - -b1110111110111010100111001101111 5 -b1110111110111010100111001101111 ? -b1110111110111010100111001101111 D -b11110111011110110011010110000110 % -b11110111011110110011010110000110 . -b11110111011110110011010110000110 6 -b11110111011110110011010110000110 @ -b11110111011110110011010110000110 F -b1110111010110010000010000000110 ) -#283968000 -0& -#283984000 -b1000101010101 , -#284000000 -1& -#284016000 -b1101111010110111101010100000000 " -b1101111010110111101010100000000 4 -b1101111010110111101010100000000 1 -b1101111010110111101010100000000 C -b11010111111101010110000000000000 0 -b11010111111101010110000000000000 H -b1011111010110111011010010100110 2 -b1011111010110111011010010100110 = -b11101111111110111101111100100110 < -b1011111010110111011010010100110 : -b1101111010111111101010110000000 $ -b1101111010111111101010110000000 - -b1101111010111111101010110000000 5 -b1101111010111111101010110000000 ? -b1101111010111111101010110000000 D -b11101111111110111101111100100110 % -b11101111111110111101111100100110 . -b11101111111110111101111100100110 6 -b11101111111110111101111100100110 @ -b11101111111110111101111100100110 F -b1101111010110111101010100000000 ) -#284032000 -0& -#284048000 -b1000101010110 , -#284064000 -1& -#284080000 -b1111110011111100000100000011000 " -b1111110011111100000100000011000 4 -b1111110011111100000100000011000 1 -b1111110011111100000100000011000 C -b111100000000000000000000000000 0 -b111100000000000000000000000000 H -19 -08 -b11111110011111011010011111010111 2 -b11111110011111011010011111010111 = -b1111111111111100001111100111001 < -b11111110011111011010011111010111 : -b1111110011111111000100010011110 $ -b1111110011111111000100010011110 - -b1111110011111111000100010011110 5 -b1111110011111111000100010011110 ? -b1111110011111111000100010011110 D -b1111111111111100001111100111001 % -b1111111111111100001111100111001 . -b1111111111111100001111100111001 6 -b1111111111111100001111100111001 @ -b1111111111111100001111100111001 F -b1111110011111100000100000011000 ) -#284096000 -0& -#284112000 -b1000101010111 , -#284128000 -1& -#284144000 -b1001001011100110001011001001011 " -b1001001011100110001011001001011 4 -b1001001011100110001011001001011 1 -b1001001011100110001011001001011 C -b11001011001101011000000000000000 0 -b11001011001101011000000000000000 H -09 -08 -b1001001011100110000110010111010 2 -b1001001011100110000110010111010 = -b1011111111111110111011001001111 < -b1001001011100110000110010111010 : -b11101001011100111001011001101011 $ -b11101001011100111001011001101011 - -b11101001011100111001011001101011 5 -b11101001011100111001011001101011 ? -b11101001011100111001011001101011 D -b1011111111111110111011001001111 % -b1011111111111110111011001001111 . -b1011111111111110111011001001111 6 -b1011111111111110111011001001111 @ -b1011111111111110111011001001111 F -b1001001011100110001011001001011 ) -#284160000 -0& -#284176000 -b1000101011000 , -#284192000 -1& -#284208000 -b1111110110111110000000100000100 " -b1111110110111110000000100000100 4 -b1111110110111110000000100000100 1 -b1111110110111110000000100000100 C -b11100000101110101100000000000000 0 -b11100000101110101100000000000000 H -19 -08 -b11111110110111100010011011100011 2 -b11111110110111100010011011100011 = -b1111110111111110010000100001101 < -b11111110110111100010011011100011 : -b1111111110111110000010111010110 $ -b1111111110111110000010111010110 - -b1111111110111110000010111010110 5 -b1111111110111110000010111010110 ? -b1111111110111110000010111010110 D -b1111110111111110010000100001101 % -b1111110111111110010000100001101 . -b1111110111111110010000100001101 6 -b1111110111111110010000100001101 @ -b1111110111111110010000100001101 F -b1111110110111110000000100000100 ) -#284224000 -0& -#284240000 -b1000101011001 , -#284256000 -1& -#284272000 -b11111100101010100001010010000010 " -b11111100101010100001010010000010 4 -b11111100101010100001010010000010 1 -b11111100101010100001010010000010 C -b11111011111111000111001111111100 0 -b11111011111111000111001111111100 H -18 -09 -b11111011101010011011000110000001 2 -b11111011101010011011000110000001 = -b11111100101010101001010010000010 < -b11111011101010011011000110000001 : -b11111110111111110001110011111111 $ -b11111110111111110001110011111111 - -b11111110111111110001110011111111 5 -b11111110111111110001110011111111 ? -b11111110111111110001110011111111 D -b11111100101010101001010010000010 % -b11111100101010101001010010000010 . -b11111100101010101001010010000010 6 -b11111100101010101001010010000010 @ -b11111100101010101001010010000010 F -b11111100101010100001010010000010 ) -#284288000 -0& -#284304000 -b1000101011010 , -#284320000 -1& -#284336000 -b100101110011110001000110001010 " -b100101110011110001000110001010 4 -b100101110011110001000110001010 1 -b100101110011110001000110001010 C -b11001110111000101000000000000000 0 -b11001110111000101000000000000000 H -08 -19 -b10100101110011100101000100111000 2 -b10100101110011100101000100111000 = -b101101110011110001010110101110 < -b10100101110011100101000100111000 : -b1110111111111110011101110001010 $ -b1110111111111110011101110001010 - -b1110111111111110011101110001010 5 -b1110111111111110011101110001010 ? -b1110111111111110011101110001010 D -b101101110011110001010110101110 % -b101101110011110001010110101110 . -b101101110011110001010110101110 6 -b101101110011110001010110101110 @ -b101101110011110001010110101110 F -b100101110011110001000110001010 ) -#284352000 -0& -#284368000 -b1000101011011 , -#284384000 -1& -#284400000 -b1010010011000101001000100100000 " -b1010010011000101001000100100000 4 -b1010010011000101001000100100000 1 -b1010010011000101001000100100000 C -b1010110111001000000000000000000 0 -b1010110111001000000000000000000 H -09 -08 -b1010010011000100101000011001101 2 -b1010010011000100101000011001101 = -b11011011011011111001100110101101 < -b1010010011000100101000011001101 : -b1110110111100101011011100100000 $ -b1110110111100101011011100100000 - -b1110110111100101011011100100000 5 -b1110110111100101011011100100000 ? -b1110110111100101011011100100000 D -b11011011011011111001100110101101 % -b11011011011011111001100110101101 . -b11011011011011111001100110101101 6 -b11011011011011111001100110101101 @ -b11011011011011111001100110101101 F -b1010010011000101001000100100000 ) -#284416000 -0& -#284432000 -b1000101011100 , -#284448000 -1& -#284464000 -b111011111010100000001111000000 " -b111011111010100000001111000000 4 -b111011111010100000001111000000 1 -b111011111010100000001111000000 C -b111111111011110000011111101010 0 -b111111111011110000011111101010 H -18 -b11111011111010011100001110101010 2 -b11111011111010011100001110101010 = -b10111011111110101011101111000000 < -b11111011111010011100001110101010 : -b111111111011110000011111101010 $ -b111111111011110000011111101010 - -b111111111011110000011111101010 5 -b111111111011110000011111101010 ? -b111111111011110000011111101010 D -b10111011111110101011101111000000 % -b10111011111110101011101111000000 . -b10111011111110101011101111000000 6 -b10111011111110101011101111000000 @ -b10111011111110101011101111000000 F -b111011111010100000001111000000 ) -#284480000 -0& -#284496000 -b1000101011101 , -#284512000 -1& -#284528000 -b1101110110110000000001001010000 " -b1101110110110000000001001010000 4 -b1101110110110000000001001010000 1 -b1101110110110000000001001010000 C -b10101101111101000000000000000000 0 -b10101101111101000000000000000000 H -08 -b1101110101101111111001001001111 2 -b1101110101101111111001001001111 = -b11111111110110100100011011010010 < -b1101110101101111111001001001111 : -b1101110110111011010101101111101 $ -b1101110110111011010101101111101 - -b1101110110111011010101101111101 5 -b1101110110111011010101101111101 ? -b1101110110111011010101101111101 D -b11111111110110100100011011010010 % -b11111111110110100100011011010010 . -b11111111110110100100011011010010 6 -b11111111110110100100011011010010 @ -b11111111110110100100011011010010 F -b1101110110110000000001001010000 ) -#284544000 -0& -#284560000 -b1000101011110 , -#284576000 -1& -#284592000 -b10111111110101100000100010010000 " -b10111111110101100000100010010000 4 -b10111111110101100000100010010000 1 -b10111111110101100000100010010000 C -b11010101000000000000000000000000 0 -b11010101000000000000000000000000 H -18 -b10111111110101011001100001101101 2 -b10111111110101011001100001101101 = -b10111111110111110000101010011000 < -b10111111110101011001100001101101 : -b11111111111101101000110111010101 $ -b11111111111101101000110111010101 - -b11111111111101101000110111010101 5 -b11111111111101101000110111010101 ? -b11111111111101101000110111010101 D -b10111111110111110000101010011000 % -b10111111110111110000101010011000 . -b10111111110111110000101010011000 6 -b10111111110111110000101010011000 @ -b10111111110111110000101010011000 F -b10111111110101100000100010010000 ) -#284608000 -0& -#284624000 -b1000101011111 , -#284640000 -1& -#284656000 -b100001100011100000010110000 " -b100001100011100000010110000 4 -b100001100011100000010110000 1 -b100001100011100000010110000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -09 -08 -b11111100011011110010101111 2 -b11111100011011110010101111 = -b1111100001101011111100111111101 < -b11111100011011110010101111 : -b10000111101110111100001010110010 $ -b10000111101110111100001010110010 - -b10000111101110111100001010110010 5 -b10000111101110111100001010110010 ? -b10000111101110111100001010110010 D -b1111100001101011111100111111101 % -b1111100001101011111100111111101 . -b1111100001101011111100111111101 6 -b1111100001101011111100111111101 @ -b1111100001101011111100111111101 F -b100001100011100000010110000 ) -#284672000 -0& -#284688000 -b1000101100000 , -#284704000 -1& -#284720000 -b10110000000011100010001000011000 " -b10110000000011100010001000011000 4 -b10110000000011100010001000011000 1 -b10110000000011100010001000011000 C -18 -19 -b1101100000011100000110110010111 2 -b1101100000011100000110110010111 = -b10111011101111110110101100111110 < -b1101100000011100000110110010111 : -b10110000010011101010001001011001 $ -b10110000010011101010001001011001 - -b10110000010011101010001001011001 5 -b10110000010011101010001001011001 ? -b10110000010011101010001001011001 D -b10111011101111110110101100111110 % -b10111011101111110110101100111110 . -b10111011101111110110101100111110 6 -b10111011101111110110101100111110 @ -b10111011101111110110101100111110 F -b10110000000011100010001000011000 ) -#284736000 -0& -#284752000 -b1000101100001 , -#284768000 -1& -#284784000 -b10100110001110101010100011111 " -b10100110001110101010100011111 4 -b10100110001110101010100011111 1 -b10100110001110101010100011111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -09 -b10100110001110101001010111110 2 -b10100110001110101001010111110 = -b11111110101110101010100011111 < -b10100110001110101001010111110 : -b11110100111011111111110110011111 $ -b11110100111011111111110110011111 - -b11110100111011111111110110011111 5 -b11110100111011111111110110011111 ? -b11110100111011111111110110011111 D -b11111110101110101010100011111 % -b11111110101110101010100011111 . -b11111110101110101010100011111 6 -b11111110101110101010100011111 @ -b11111110101110101010100011111 F -b10100110001110101010100011111 ) -#284800000 -0& -#284816000 -b1000101100010 , -#284832000 -1& -#284848000 -b1110110110011000000001000000000 " -b1110110110011000000001000000000 4 -b1110110110011000000001000000000 1 -b1110110110011000000001000000000 C -b1110110110011011000011101000011 0 -b1110110110011011000011101000011 H -19 -08 -b11110110101010011101100101100011 2 -b11110110101010011101100101100011 = -b1111111110111000101001000100000 < -b11110110101010011101100101100011 : -b1110110110011011000011101000011 $ -b1110110110011011000011101000011 - -b1110110110011011000011101000011 5 -b1110110110011011000011101000011 ? -b1110110110011011000011101000011 D -b1111111110111000101001000100000 % -b1111111110111000101001000100000 . -b1111111110111000101001000100000 6 -b1111111110111000101001000100000 @ -b1111111110111000101001000100000 F -b1110110110011000000001000000000 ) -#284864000 -0& -#284880000 -b1000101100011 , -#284896000 -1& -#284912000 -b1110100000011100000110010000000 " -b1110100000011100000110010000000 4 -b1110100000011100000110010000000 1 -b1110100000011100000110010000000 C -b10100010111111010111010000000000 0 -b10100010111111010111010000000000 H -09 -08 -b1110011000011011111110000100011 2 -b1110011000011011111110000100011 = -b1111110101011100100110110100011 < -b1110011000011011111110000100011 : -b11110100010111111010111010000000 $ -b11110100010111111010111010000000 - -b11110100010111111010111010000000 5 -b11110100010111111010111010000000 ? -b11110100010111111010111010000000 D -b1111110101011100100110110100011 % -b1111110101011100100110110100011 . -b1111110101011100100110110100011 6 -b1111110101011100100110110100011 @ -b1111110101011100100110110100011 F -b1110100000011100000110010000000 ) -#284928000 -0& -#284944000 -b1000101100100 , -#284960000 -1& -#284976000 -b1101000011011000111000000001000 " -b1101000011011000111000000001000 4 -b1101000011011000111000000001000 1 -b1101000011011000111000000001000 C -b111000001101000000000000000000 0 -b111000001101000000000000000000 H -b1100010011010100110101011110111 2 -b1100010011010100110101011110111 = -b11101001011111011111101010001111 < -b1100010011010100110101011110111 : -b1111000111011000111000001101000 $ -b1111000111011000111000001101000 - -b1111000111011000111000001101000 5 -b1111000111011000111000001101000 ? -b1111000111011000111000001101000 D -b11101001011111011111101010001111 % -b11101001011111011111101010001111 . -b11101001011111011111101010001111 6 -b11101001011111011111101010001111 @ -b11101001011111011111101010001111 F -b1101000011011000111000000001000 ) -#284992000 -0& -#285008000 -b1000101100101 , -#285024000 -1& -#285040000 -b1000011011001000000100010001100 " -b1000011011001000000100010001100 4 -b1000011011001000000100010001100 1 -b1000011011001000000100010001100 C -b11001000110100000000000000 0 -b11001000110100000000000000 H -b1000011011000110100011100011011 2 -b1000011011000110100011100011011 = -b1101011111011110011101010001110 < -b1000011011000110100011100011011 : -b11010111011101000000110010001101 $ -b11010111011101000000110010001101 - -b11010111011101000000110010001101 5 -b11010111011101000000110010001101 ? -b11010111011101000000110010001101 D -b1101011111011110011101010001110 % -b1101011111011110011101010001110 . -b1101011111011110011101010001110 6 -b1101011111011110011101010001110 @ -b1101011111011110011101010001110 F -b1000011011001000000100010001100 ) -#285056000 -0& -#285072000 -b1000101100110 , -#285088000 -1& -#285104000 -b1101101101110000000000101000100 " -b1101101101110000000000101000100 4 -b1101101101110000000000101000100 1 -b1101101101110000000000101000100 C -b10011110110100000000000000000000 0 -b10011110110100000000000000000000 H -19 -08 -b11011101101101110101101101000001 2 -b11011101101101110101101101000001 = -b1101101111110000001000101010100 < -b11011101101101110101101101000001 : -b1101111101111110100100111101101 $ -b1101111101111110100100111101101 - -b1101111101111110100100111101101 5 -b1101111101111110100100111101101 ? -b1101111101111110100100111101101 D -b1101101111110000001000101010100 % -b1101101111110000001000101010100 . -b1101101111110000001000101010100 6 -b1101101111110000001000101010100 @ -b1101101111110000001000101010100 F -b1101101101110000000000101000100 ) -#285120000 -0& -#285136000 -b1000101100111 , -#285152000 -1& -#285168000 -b10000010101111010001000000000000 " -b10000010101111010001000000000000 4 -b10000010101111010001000000000000 1 -b10000010101111010001000000000000 C -b10111111000100001100000000000000 0 -b10111111000100001100000000000000 H -18 -09 -b10000010101111001100001011101000 2 -b10000010101111001100001011101000 = -b10101110111111011011001000101000 < -b10000010101111001100001011101000 : -b11010011101111110001000011000000 $ -b11010011101111110001000011000000 - -b11010011101111110001000011000000 5 -b11010011101111110001000011000000 ? -b11010011101111110001000011000000 D -b10101110111111011011001000101000 % -b10101110111111011011001000101000 . -b10101110111111011011001000101000 6 -b10101110111111011011001000101000 @ -b10101110111111011011001000101000 F -b10000010101111010001000000000000 ) -#285184000 -0& -#285200000 -b1000101101000 , -#285216000 -1& -#285232000 -b1111000011101001000001000100010 " -b1111000011101001000001000100010 4 -b1111000011101001000001000100010 1 -b1111000011101001000001000100010 C -b11111111110100100010100011011000 0 -b11111111110100100010100011011000 H -08 -19 -b11111000011100110101000100011000 2 -b11111000011100110101000100011000 = -b1111000011111101100011011100010 < -b11111000011100110101000100011000 : -b1111111111101001000101000110110 $ -b1111111111101001000101000110110 - -b1111111111101001000101000110110 5 -b1111111111101001000101000110110 ? -b1111111111101001000101000110110 D -b1111000011111101100011011100010 % -b1111000011111101100011011100010 . -b1111000011111101100011011100010 6 -b1111000011111101100011011100010 @ -b1111000011111101100011011100010 F -b1111000011101001000001000100010 ) -#285248000 -0& -#285264000 -b1000101101001 , -#285280000 -1& -#285296000 -b1001010110101110011000101110011 " -b1001010110101110011000101110011 4 -b1001010110101110011000101110011 1 -b1001010110101110011000101110011 C -b10111101100000000000000000000000 0 -b10111101100000000000000000000000 H -09 -08 -b1001001110101101110111101110010 2 -b1001001110101101110111101110010 = -b11101010110111111011010111110111 < -b1001001110101101110111101110010 : -b1011110111101110011100101111011 $ -b1011110111101110011100101111011 - -b1011110111101110011100101111011 5 -b1011110111101110011100101111011 ? -b1011110111101110011100101111011 D -b11101010110111111011010111110111 % -b11101010110111111011010111110111 . -b11101010110111111011010111110111 6 -b11101010110111111011010111110111 @ -b11101010110111111011010111110111 F -b1001010110101110011000101110011 ) -#285312000 -0& -#285328000 -b1000101101010 , -#285344000 -1& -#285360000 -b101100000011010001000100000010 " -b101100000011010001000100000010 4 -b101100000011010001000100000010 1 -b101100000011010001000100000010 C -b1000111111001011100000010000000 0 -b1000111111001011100000010000000 H -b101011011011001010100000101000 2 -b101011011011001010100000101000 = -b111110010011010001000100100110 < -b101011011011001010100000101000 : -b11101101000111111001011100000010 $ -b11101101000111111001011100000010 - -b11101101000111111001011100000010 5 -b11101101000111111001011100000010 ? -b11101101000111111001011100000010 D -b111110010011010001000100100110 % -b111110010011010001000100100110 . -b111110010011010001000100100110 6 -b111110010011010001000100100110 @ -b111110010011010001000100100110 F -b101100000011010001000100000010 ) -#285376000 -0& -#285392000 -b1000101101011 , -#285408000 -1& -#285424000 -b10011111000011110001001000000000 " -b10011111000011110001001000000000 4 -b10011111000011110001001000000000 1 -b10011111000011110001001000000000 C -b111100010010011000010000000000 0 -b111100010010011000010000000000 H -18 -b10011110111111100100100011101011 2 -b10011110111111100100100011101011 = -b10111111011011110011011010001010 < -b10011110111111100100100011101011 : -b11011111100011110001001001100001 $ -b11011111100011110001001001100001 - -b11011111100011110001001001100001 5 -b11011111100011110001001001100001 ? -b11011111100011110001001001100001 D -b10111111011011110011011010001010 % -b10111111011011110011011010001010 . -b10111111011011110011011010001010 6 -b10111111011011110011011010001010 @ -b10111111011011110011011010001010 F -b10011111000011110001001000000000 ) -#285440000 -0& -#285456000 -b1000101101100 , -#285472000 -1& -#285488000 -b11010001100111001011010010010000 " -b11010001100111001011010010010000 4 -b11010001100111001011010010010000 1 -b11010001100111001011010010010000 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b11010001100111000110101110001010 2 -b11010001100111000110101110001010 = -b11011101110111011011011011011010 < -b11010001100111000110101110001010 : -b11110011101111101011010010110000 $ -b11110011101111101011010010110000 - -b11110011101111101011010010110000 5 -b11110011101111101011010010110000 ? -b11110011101111101011010010110000 D -b11011101110111011011011011011010 % -b11011101110111011011011011011010 . -b11011101110111011011011011011010 6 -b11011101110111011011011011011010 @ -b11011101110111011011011011011010 F -b11010001100111001011010010010000 ) -#285504000 -0& -#285520000 -b1000101101101 , -#285536000 -1& -#285552000 -b1000010011001100100001010011000 " -b1000010011001100100001010011000 4 -b1000010011001100100001010011000 1 -b1000010011001100100001010011000 C -b0 0 -b0 H -08 -b1000001011001100001001001010110 2 -b1000001011001100001001001010110 = -b1100110111011111100011110111110 < -b1000001011001100001001001010110 : -b11011010011101100100101010011000 $ -b11011010011101100100101010011000 - -b11011010011101100100101010011000 5 -b11011010011101100100101010011000 ? -b11011010011101100100101010011000 D -b1100110111011111100011110111110 % -b1100110111011111100011110111110 . -b1100110111011111100011110111110 6 -b1100110111011111100011110111110 @ -b1100110111011111100011110111110 F -b1000010011001100100001010011000 ) -#285568000 -0& -#285584000 -b1000101101110 , -#285600000 -1& -#285616000 -b101010001111100000010010010011 " -b101010001111100000010010010011 4 -b101010001111100000010010010011 1 -b101010001111100000010010010011 C -b100101101110000000000000000000 0 -b100101101110000000000000000000 H -b11010001111011101100110001010 2 -b11010001111011101100110001010 = -b1101011101111101001010011010011 < -b11010001111011101100110001010 : -b10101110011111110100010010110111 $ -b10101110011111110100010010110111 - -b10101110011111110100010010110111 5 -b10101110011111110100010010110111 ? -b10101110011111110100010010110111 D -b1101011101111101001010011010011 % -b1101011101111101001010011010011 . -b1101011101111101001010011010011 6 -b1101011101111101001010011010011 @ -b1101011101111101001010011010011 F -b101010001111100000010010010011 ) -#285632000 -0& -#285648000 -b1000101101111 , -#285664000 -1& -#285680000 -b1110111000001100001000010001010 " -b1110111000001100001000010001010 4 -b1110111000001100001000010001010 1 -b1110111000001100001000010001010 C -b11010101001000101000000000000000 0 -b11010101001000101000000000000000 H -b1110110111101010110100001111000 2 -b1110110111101010110100001111000 = -b1110111001011100001001111101110 < -b1110110111101010110100001111000 : -b11111111110001110101010010001010 $ -b11111111110001110101010010001010 - -b11111111110001110101010010001010 5 -b11111111110001110101010010001010 ? -b11111111110001110101010010001010 D -b1110111001011100001001111101110 % -b1110111001011100001001111101110 . -b1110111001011100001001111101110 6 -b1110111001011100001001111101110 @ -b1110111001011100001001111101110 F -b1110111000001100001000010001010 ) -#285696000 -0& -#285712000 -b1000101110000 , -#285728000 -1& -#285744000 -b10011001110001100100000010101000 " -b10011001110001100100000010101000 4 -b10011001110001100100000010101000 1 -b10011001110001100100000010101000 C -b1011100000000000000000000000000 0 -b1011100000000000000000000000000 H -18 -19 -b1011001110001010100000010100111 2 -b1011001110001010100000010100111 = -b10011111111101101101101011111001 < -b1011001110001010100000010100111 : -b10111001110011100110010110101110 $ -b10111001110011100110010110101110 - -b10111001110011100110010110101110 5 -b10111001110011100110010110101110 ? -b10111001110011100110010110101110 D -b10011111111101101101101011111001 % -b10011111111101101101101011111001 . -b10011111111101101101101011111001 6 -b10011111111101101101101011111001 @ -b10011111111101101101101011111001 F -b10011001110001100100000010101000 ) -#285760000 -0& -#285776000 -b1000101110001 , -#285792000 -1& -#285808000 -b1111100111111110100001011001100 " -b1111100111111110100001011001100 4 -b1111100111111110100001011001100 1 -b1111100111111110100001011001100 C -b11101101010111011000000000000000 0 -b11101101010111011000000000000000 H -08 -09 -b1111100111111110011111010111001 2 -b1111100111111110011111010111001 = -b11111110111111111101001111001101 < -b1111100111111110011111010111001 : -b1111101111111110110101011101100 $ -b1111101111111110110101011101100 - -b1111101111111110110101011101100 5 -b1111101111111110110101011101100 ? -b1111101111111110110101011101100 D -b11111110111111111101001111001101 % -b11111110111111111101001111001101 . -b11111110111111111101001111001101 6 -b11111110111111111101001111001101 @ -b11111110111111111101001111001101 F -b1111100111111110100001011001100 ) -#285824000 -0& -#285840000 -b1000101110010 , -#285856000 -1& -#285872000 -b111000111110110001011000110000 " -b111000111110110001011000110000 4 -b111000111110110001011000110000 1 -b111000111110110001011000110000 C -b0 0 -b0 H -19 -08 -b10111000111110101101010111101101 2 -b10111000111110101101010111101101 = -b111011111110111001111000111101 < -b10111000111110101101010111101101 : -b1111100111111110011011110110000 $ -b1111100111111110011011110110000 - -b1111100111111110011011110110000 5 -b1111100111111110011011110110000 ? -b1111100111111110011011110110000 D -b111011111110111001111000111101 % -b111011111110111001111000111101 . -b111011111110111001111000111101 6 -b111011111110111001111000111101 @ -b111011111110111001111000111101 F -b111000111110110001011000110000 ) -#285888000 -0& -#285904000 -b1000101110011 , -#285920000 -1& -#285936000 -b1100000101001001000010000100000 " -b1100000101001001000010000100000 4 -b1100000101001001000010000100000 1 -b1100000101001001000010000100000 C -b11110000111011111001011000101001 0 -b11110000111011111001011000101001 H -09 -08 -b1100000101001000110001110001001 2 -b1100000101001000110001110001001 = -b1101111101101001100110101100000 < -b1100000101001000110001110001001 : -b11110000111011111001011000101001 $ -b11110000111011111001011000101001 - -b11110000111011111001011000101001 5 -b11110000111011111001011000101001 ? -b11110000111011111001011000101001 D -b1101111101101001100110101100000 % -b1101111101101001100110101100000 . -b1101111101101001100110101100000 6 -b1101111101101001100110101100000 @ -b1101111101101001100110101100000 F -b1100000101001001000010000100000 ) -#285952000 -0& -#285968000 -b1000101110100 , -#285984000 -1& -#286000000 -b1101111010101110101111000000001 " -b1101111010101110101111000000001 4 -b1101111010101110101111000000001 1 -b1101111010101110101111000000001 C -b10101011101111110110010010000000 0 -b10101011101111110110010010000000 H -b1101111010101110101110011010000 2 -b1101111010101110101110011010000 = -b11101111111111111101111000000111 < -b1101111010101110101110011010000 : -b1111111010101110111111011001001 $ -b1111111010101110111111011001001 - -b1111111010101110111111011001001 5 -b1111111010101110111111011001001 ? -b1111111010101110111111011001001 D -b11101111111111111101111000000111 % -b11101111111111111101111000000111 . -b11101111111111111101111000000111 6 -b11101111111111111101111000000111 @ -b11101111111111111101111000000111 F -b1101111010101110101111000000001 ) -#286016000 -0& -#286032000 -b1000101110101 , -#286048000 -1& -#286064000 -b11000110010100000001010100000000 " -b11000110010100000001010100000000 4 -b11000110010100000001010100000000 1 -b11000110010100000001010100000000 C -b11000110010101010000000000000000 0 -b11000110010101010000000000000000 H -18 -b11000101110001111100101001001010 2 -b11000101110001111100101001001010 = -b11111110010101100011010100001010 < -b11000101110001111100101001001010 : -b11000111011100011001010101000000 $ -b11000111011100011001010101000000 - -b11000111011100011001010101000000 5 -b11000111011100011001010101000000 ? -b11000111011100011001010101000000 D -b11111110010101100011010100001010 % -b11111110010101100011010100001010 . -b11111110010101100011010100001010 6 -b11111110010101100011010100001010 @ -b11111110010101100011010100001010 F -b11000110010100000001010100000000 ) -#286080000 -0& -#286096000 -b1000101110110 , -#286112000 -1& -#286128000 -b1110000111110100010010001010 " -b1110000111110100010010001010 4 -b1110000111110100010010001010 1 -b1110000111110100010010001010 C -b1111101010101110111100000000000 0 -b1111101010101110111100000000000 H -18 -09 -b11101110000111110011101010001000 2 -b11101110000111110011101010001000 = -b10001110101111111110010010101010 < -b11101110000111110011101010001000 : -b1011111010111110101010111011110 $ -b1011111010111110101010111011110 - -b1011111010111110101010111011110 5 -b1011111010111110101010111011110 ? -b1011111010111110101010111011110 D -b10001110101111111110010010101010 % -b10001110101111111110010010101010 . -b10001110101111111110010010101010 6 -b10001110101111111110010010101010 @ -b10001110101111111110010010101010 F -b1110000111110100010010001010 ) -#286144000 -0& -#286160000 -b1000101110111 , -#286176000 -1& -#286192000 -b1000110000001110011010000001011 " -b1000110000001110011010000001011 4 -b1000110000001110011010000001011 1 -b1000110000001110011010000001011 C -b1111000000000000000000000000000 0 -b1111000000000000000000000000000 H -08 -b1000101110001110011001000001010 2 -b1000101110001110011001000001010 = -b11001110101011110011110001011011 < -b1000101110001110011001000001010 : -b1110111000101111111010110101111 $ -b1110111000101111111010110101111 - -b1110111000101111111010110101111 5 -b1110111000101111111010110101111 ? -b1110111000101111111010110101111 D -b11001110101011110011110001011011 % -b11001110101011110011110001011011 . -b11001110101011110011110001011011 6 -b11001110101011110011110001011011 @ -b11001110101011110011110001011011 F -b1000110000001110011010000001011 ) -#286208000 -0& -#286224000 -b1000101111000 , -#286240000 -1& -#286256000 -b10101001101110000000000100011 " -b10101001101110000000000100011 4 -b10101001101110000000000100011 1 -b10101001101110000000000100011 C -b10111000101001011011100000000000 0 -b10111000101001011011100000000000 H -b10101001101101011011100100010 2 -b10101001101101011011100100010 = -b1011111011111111010001001101011 < -b10101001101101011011100100010 : -b10110101101101110001010010110111 $ -b10110101101101110001010010110111 - -b10110101101101110001010010110111 5 -b10110101101101110001010010110111 ? -b10110101101101110001010010110111 D -b1011111011111111010001001101011 % -b1011111011111111010001001101011 . -b1011111011111111010001001101011 6 -b1011111011111111010001001101011 @ -b1011111011111111010001001101011 F -b10101001101110000000000100011 ) -#286272000 -0& -#286288000 -b1000101111001 , -#286304000 -1& -#286320000 -b1101111000010010011000001000000 " -b1101111000010010011000001000000 4 -b1101111000010010011000001000000 1 -b1101111000010010011000001000000 C -b11001100000100000000000000000000 0 -b11001100000100000000000000000000 H -19 -08 -b11101111000001101111000000110101 2 -b11101111000001101111000000110101 = -b1111111010011010011001101110100 < -b11101111000001101111000000110101 : -b1101111101110011011110011000001 $ -b1101111101110011011110011000001 - -b1101111101110011011110011000001 5 -b1101111101110011011110011000001 ? -b1101111101110011011110011000001 D -b1111111010011010011001101110100 % -b1111111010011010011001101110100 . -b1111111010011010011001101110100 6 -b1111111010011010011001101110100 @ -b1111111010011010011001101110100 F -b1101111000010010011000001000000 ) -#286336000 -0& -#286352000 -b1000101111010 , -#286368000 -1& -#286384000 -b110101011001100010100001001000 " -b110101011001100010100001001000 4 -b110101011001100010100001001000 1 -b110101011001100010100001001000 C -b11110111101010100111101100000000 0 -b11110111101010100111101100000000 H -b10101011011001100010100001000011 2 -b10101011011001100010100001000011 = -b1110101011011100111110111001000 < -b10101011011001100010100001000011 : -b110101111101111010101001111011 $ -b110101111101111010101001111011 - -b110101111101111010101001111011 5 -b110101111101111010101001111011 ? -b110101111101111010101001111011 D -b1110101011011100111110111001000 % -b1110101011011100111110111001000 . -b1110101011011100111110111001000 6 -b1110101011011100111110111001000 @ -b1110101011011100111110111001000 F -b110101011001100010100001001000 ) -#286400000 -0& -#286416000 -b1000101111011 , -#286432000 -1& -#286448000 -b11010011001111111000000100010001 " -b11010011001111111000000100010001 4 -b11010011001111111000000100010001 1 -b11010011001111111000000100010001 C -b10001000000000000000000000000000 0 -b10001000000000000000000000000000 H -18 -09 -b11001010101111110110110100001100 2 -b11001010101111110110110100001100 = -b11110011011111111100000110011011 < -b11001010101111110110110100001100 : -b11010111001111111010101101110001 $ -b11010111001111111010101101110001 - -b11010111001111111010101101110001 5 -b11010111001111111010101101110001 ? -b11010111001111111010101101110001 D -b11110011011111111100000110011011 % -b11110011011111111100000110011011 . -b11110011011111111100000110011011 6 -b11110011011111111100000110011011 @ -b11110011011111111100000110011011 F -b11010011001111111000000100010001 ) -#286464000 -0& -#286480000 -b1000101111100 , -#286496000 -1& -#286512000 -b1011001001100100001000100101000 " -b1011001001100100001000100101000 4 -b1011001001100100001000100101000 1 -b1011001001100100001000100101000 C -b110110000100010011111100000000 0 -b110110000100010011111100000000 H -08 -b1011001001010001000101001100111 2 -b1011001001010001000101001100111 = -b1111111111100100111100100101000 < -b1011001001010001000101001100111 : -b11011001001101100001000100111111 $ -b11011001001101100001000100111111 - -b11011001001101100001000100111111 5 -b11011001001101100001000100111111 ? -b11011001001101100001000100111111 D -b1111111111100100111100100101000 % -b1111111111100100111100100101000 . -b1111111111100100111100100101000 6 -b1111111111100100111100100101000 @ -b1111111111100100111100100101000 F -b1011001001100100001000100101000 ) -#286528000 -0& -#286544000 -b1000101111101 , -#286560000 -1& -#286576000 -b1101101011011010010000000100100 " -b1101101011011010010000000100100 4 -b1101101011011010010000000100100 1 -b1101101011011010010000000100100 C -b1011111010010000001110100000000 0 -b1011111010010000001110100000000 H -b1011101011010100101100110011010 2 -b1011101011010100101100110011010 = -b11101111111011010011100100100110 < -b1011101011010100101100110011010 : -b1101101011111010010000001110100 $ -b1101101011111010010000001110100 - -b1101101011111010010000001110100 5 -b1101101011111010010000001110100 ? -b1101101011111010010000001110100 D -b11101111111011010011100100100110 % -b11101111111011010011100100100110 . -b11101111111011010011100100100110 6 -b11101111111011010011100100100110 @ -b11101111111011010011100100100110 F -b1101101011011010010000000100100 ) -#286592000 -0& -#286608000 -b1000101111110 , -#286624000 -1& -#286640000 -b10110011000000100100000000001 " -b10110011000000100100000000001 4 -b10110011000000100100000000001 1 -b10110011000000100100000000001 C -b1111101111000001101100001010010 0 -b1111101111000001101100001010010 H -b1010110010110000011011111101010 2 -b1010110010110000011011111101010 = -b10111011001111100101111000001 < -b1010110010110000011011111101010 : -b111110111100000110110000101001 $ -b111110111100000110110000101001 - -b111110111100000110110000101001 5 -b111110111100000110110000101001 ? -b111110111100000110110000101001 D -b10111011001111100101111000001 % -b10111011001111100101111000001 . -b10111011001111100101111000001 6 -b10111011001111100101111000001 @ -b10111011001111100101111000001 F -b10110011000000100100000000001 ) -#286656000 -0& -#286672000 -b1000101111111 , -#286688000 -1& -#286704000 -b111100010101000010000000100001 " -b111100010101000010000000100001 4 -b111100010101000010000000100001 1 -b111100010101000010000000100001 C -b10100101001011011010100000000000 0 -b10100101001011011010100000000000 H -08 -09 -b111100001010011100011000100000 2 -b111100001010011100011000100000 = -b111111010101010010000001101011 < -b111100001010011100011000100000 : -b11111100110101001010010110110101 $ -b11111100110101001010010110110101 - -b11111100110101001010010110110101 5 -b11111100110101001010010110110101 ? -b11111100110101001010010110110101 D -b111111010101010010000001101011 % -b111111010101010010000001101011 . -b111111010101010010000001101011 6 -b111111010101010010000001101011 @ -b111111010101010010000001101011 F -b111100010101000010000000100001 ) -#286720000 -0& -#286736000 -b1000110000000 , -#286752000 -1& -#286768000 -b10111110111110000000001110010 " -b10111110111110000000001110010 4 -b10111110111110000000001110010 1 -b10111110111110000000001110010 C -b10101111110111000000000000000000 0 -b10101111110111000000000000000000 H -b1111110111110000000001101001 2 -b1111110111110000000001101001 = -b10010111110111111101010001110010 < -b1111110111110000000001101001 : -b1110111111111110010101111110111 $ -b1110111111111110010101111110111 - -b1110111111111110010101111110111 5 -b1110111111111110010101111110111 ? -b1110111111111110010101111110111 D -b10010111110111111101010001110010 % -b10010111110111111101010001110010 . -b10010111110111111101010001110010 6 -b10010111110111111101010001110010 @ -b10010111110111111101010001110010 F -b10111110111110000000001110010 ) -#286784000 -0& -#286800000 -b1000110000001 , -#286816000 -1& -#286832000 -b110010101100100000100000000100 " -b110010101100100000100000000100 4 -b110010101100100000100000000100 1 -b110010101100100000100000000100 C -b10111100100001101000001101000000 0 -b10111100100001101000001101000000 H -b110010101100000110011011110011 2 -b110010101100000110011011110011 = -b1110111101111100100110011100110 < -b110010101100000110011011110011 : -b10111010111100100001101000001101 $ -b10111010111100100001101000001101 - -b10111010111100100001101000001101 5 -b10111010111100100001101000001101 ? -b10111010111100100001101000001101 D -b1110111101111100100110011100110 % -b1110111101111100100110011100110 . -b1110111101111100100110011100110 6 -b1110111101111100100110011100110 @ -b1110111101111100100110011100110 F -b110010101100100000100000000100 ) -#286848000 -0& -#286864000 -b1000110000010 , -#286880000 -1& -#286896000 -b10010110110101010000010100101010 " -b10010110110101010000010100101010 4 -b10010110110101010000010100101010 1 -b10010110110101010000010100101010 C -b11000001110011101000000000000000 0 -b11000001110011101000000000000000 H -18 -b10010101110011000011110100101000 2 -b10010101110011000011110100101000 = -b11010110110101010011010111101110 < -b10010101110011000011110100101000 : -b10111110111101110000011100111010 $ -b10111110111101110000011100111010 - -b10111110111101110000011100111010 5 -b10111110111101110000011100111010 ? -b10111110111101110000011100111010 D -b11010110110101010011010111101110 % -b11010110110101010011010111101110 . -b11010110110101010011010111101110 6 -b11010110110101010011010111101110 @ -b11010110110101010011010111101110 F -b10010110110101010000010100101010 ) -#286912000 -0& -#286928000 -b1000110000011 , -#286944000 -1& -#286960000 -b1011100101110001001001000000010 " -b1011100101110001001001000000010 4 -b1011100101110001001001000000010 1 -b1011100101110001001001000000010 C -b11110101100000001100000000000000 0 -b11110101100000001100000000000000 H -08 -b1011010101110001001000000110001 2 -b1011010101110001001000000110001 = -b1111101111110001011101000101110 < -b1011010101110001001000000110001 : -b11011100101111111101011000000011 $ -b11011100101111111101011000000011 - -b11011100101111111101011000000011 5 -b11011100101111111101011000000011 ? -b11011100101111111101011000000011 D -b1111101111110001011101000101110 % -b1111101111110001011101000101110 . -b1111101111110001011101000101110 6 -b1111101111110001011101000101110 @ -b1111101111110001011101000101110 F -b1011100101110001001001000000010 ) -#286976000 -0& -#286992000 -b1000110000100 , -#287008000 -1& -#287024000 -b1010001111100100001000100000000 " -b1010001111100100001000100000000 4 -b1010001111100100001000100000000 1 -b1010001111100100001000100000000 C -b10001010010100000000000000000 0 -b10001010010100000000000000000 H -19 -08 -b11000101111100011010110011011010 2 -b11000101111100011010110011011010 = -b1010011111100111001101110010000 < -b11000101111100011010110011011010 : -b1110001111111100001000101001010 $ -b1110001111111100001000101001010 - -b1110001111111100001000101001010 5 -b1110001111111100001000101001010 ? -b1110001111111100001000101001010 D -b1010011111100111001101110010000 % -b1010011111100111001101110010000 . -b1010011111100111001101110010000 6 -b1010011111100111001101110010000 @ -b1010011111100111001101110010000 F -b1010001111100100001000100000000 ) -#287040000 -0& -#287056000 -b1000110000101 , -#287072000 -1& -#287088000 -b1011011100010100100100000000000 " -b1011011100010100100100000000000 4 -b1011011100010100100100000000000 1 -b1011011100010100100100000000000 C -b100011001101000000000000000000 0 -b100011001101000000000000000000 H -b11011011001110100011001111011111 2 -b11011011001110100011001111011111 = -b1111011100011100110101100010010 < -b11011011001110100011001111011111 : -b1011111101010111100100011001101 $ -b1011111101010111100100011001101 - -b1011111101010111100100011001101 5 -b1011111101010111100100011001101 ? -b1011111101010111100100011001101 D -b1111011100011100110101100010010 % -b1111011100011100110101100010010 . -b1111011100011100110101100010010 6 -b1111011100011100110101100010010 @ -b1111011100011100110101100010010 F -b1011011100010100100100000000000 ) -#287104000 -0& -#287120000 -b1000110000110 , -#287136000 -1& -#287152000 -b10111100011010000101011111101 " -b10111100011010000101011111101 4 -b10111100011010000101011111101 1 -b10111100011010000101011111101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -09 -08 -b10111100011000110011011111100 2 -b10111100011000110011011111100 = -b1111111100011110001101011111101 < -b10111100011000110011011111100 : -b10010111111111010100101111111111 $ -b10010111111111010100101111111111 - -b10010111111111010100101111111111 5 -b10010111111111010100101111111111 ? -b10010111111111010100101111111111 D -b1111111100011110001101011111101 % -b1111111100011110001101011111101 . -b1111111100011110001101011111101 6 -b1111111100011110001101011111101 @ -b1111111100011110001101011111101 F -b10111100011010000101011111101 ) -#287168000 -0& -#287184000 -b1000110000111 , -#287200000 -1& -#287216000 -b1000000000011010000001001110000 " -b1000000000011010000001001110000 4 -b1000000000011010000001001110000 1 -b1000000000011010000001001110000 C -b1100111001000000000000000000000 0 -b1100111001000000000000000000000 H -19 -08 -b11000000000011010000000111100110 2 -b11000000000011010000000111100110 = -b1000010100111111101101101110100 < -b11000000000011010000000111100110 : -b1111101011011010010011001110010 $ -b1111101011011010010011001110010 - -b1111101011011010010011001110010 5 -b1111101011011010010011001110010 ? -b1111101011011010010011001110010 D -b1000010100111111101101101110100 % -b1000010100111111101101101110100 . -b1000010100111111101101101110100 6 -b1000010100111111101101101110100 @ -b1000010100111111101101101110100 F -b1000000000011010000001001110000 ) -#287232000 -0& -#287248000 -b1000110001000 , -#287264000 -1& -#287280000 -b10100101101100000000010000100 " -b10100101101100000000010000100 4 -b10100101101100000000010000100 1 -b10100101101100000000010000100 C -b1011111000010000111101000000000 0 -b1011111000010000111101000000000 H -09 -08 -b10100011101010001111001111011 2 -b10100011101010001111001111011 = -b1110111101101110000110110000111 < -b10100011101010001111001111011 : -b10011100101111100001000011110100 $ -b10011100101111100001000011110100 - -b10011100101111100001000011110100 5 -b10011100101111100001000011110100 ? -b10011100101111100001000011110100 D -b1110111101101110000110110000111 % -b1110111101101110000110110000111 . -b1110111101101110000110110000111 6 -b1110111101101110000110110000111 @ -b1110111101101110000110110000111 F -b10100101101100000000010000100 ) -#287296000 -0& -#287312000 -b1000110001001 , -#287328000 -1& -#287344000 -b101100011110011000000000010 " -b101100011110011000000000010 4 -b101100011110011000000000010 1 -b101100011110011000000000010 C -b11111001101000101011000000000000 0 -b11111001101000101011000000000000 H -b1100101100011101010010111100001 2 -b1100101100011101010010111100001 = -b101101011110111000110001011 < -b1100101100011101010010111100001 : -b1011111110111110011010001010110 $ -b1011111110111110011010001010110 - -b1011111110111110011010001010110 5 -b1011111110111110011010001010110 ? -b1011111110111110011010001010110 D -b101101011110111000110001011 % -b101101011110111000110001011 . -b101101011110111000110001011 6 -b101101011110111000110001011 @ -b101101011110111000110001011 F -b101100011110011000000000010 ) -#287360000 -0& -#287376000 -b1000110001010 , -#287392000 -1& -#287408000 -b1010110010000001001100010010100 " -b1010110010000001001100010010100 4 -b1010110010000001001100010010100 1 -b1010110010000001001100010010100 C -b1011110000000000000000000000000 0 -b1011110000000000000000000000000 H -19 -08 -b11010110001110000011001001010011 2 -b11010110001110000011001001010011 = -b1110110110000111001100110010111 < -b11010110001110000011001001010011 : -b1011111011101001001100010111100 $ -b1011111011101001001100010111100 - -b1011111011101001001100010111100 5 -b1011111011101001001100010111100 ? -b1011111011101001001100010111100 D -b1110110110000111001100110010111 % -b1110110110000111001100110010111 . -b1110110110000111001100110010111 6 -b1110110110000111001100110010111 @ -b1110110110000111001100110010111 F -b1010110010000001001100010010100 ) -#287424000 -0& -#287440000 -b1000110001011 , -#287456000 -1& -#287472000 -b1100100110111000000000000011001 " -b1100100110111000000000000011001 4 -b1100100110111000000000000011001 1 -b1100100110111000000000000011001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -09 -08 -b1100100110110111011101101111000 2 -b1100100110110111011101101111000 = -b1111110111111001010100100011101 < -b1100100110110111011101101111000 : -b11100101110111110001001001011011 $ -b11100101110111110001001001011011 - -b11100101110111110001001001011011 5 -b11100101110111110001001001011011 ? -b11100101110111110001001001011011 D -b1111110111111001010100100011101 % -b1111110111111001010100100011101 . -b1111110111111001010100100011101 6 -b1111110111111001010100100011101 @ -b1111110111111001010100100011101 F -b1100100110111000000000000011001 ) -#287488000 -0& -#287504000 -b1000110001100 , -#287520000 -1& -#287536000 -b11111111111001100000010100110000 " -b11111111111001100000010100110000 4 -b11111111111001100000010100110000 1 -b11111111111001100000010100110000 C -b1000101011111000000000000000000 0 -b1000101011111000000000000000000 H -18 -b11111111111001010111110010101100 2 -b11111111111001010111110010101100 = -b11111111111011110011011100110000 < -b11111111111001010111110010101100 : -b11111111111101100100010101111100 $ -b11111111111101100100010101111100 - -b11111111111101100100010101111100 5 -b11111111111101100100010101111100 ? -b11111111111101100100010101111100 D -b11111111111011110011011100110000 % -b11111111111011110011011100110000 . -b11111111111011110011011100110000 6 -b11111111111011110011011100110000 @ -b11111111111011110011011100110000 F -b11111111111001100000010100110000 ) -#287552000 -0& -#287568000 -b1000110001101 , -#287584000 -1& -#287600000 -b1001111000001111110100100100101 " -b1001111000001111110100100100101 4 -b1001111000001111110100100100101 1 -b1001111000001111110100100100101 C -b11100111111111011111010010100000 0 -b11100111111111011111010010100000 H -08 -19 -b10111111000001111101100100001010 2 -b10111111000001111101100100001010 = -b1001111110001111110100101100101 < -b10111111000001111101100100001010 : -b1101111001111111110111110100101 $ -b1101111001111111110111110100101 - -b1101111001111111110111110100101 5 -b1101111001111111110111110100101 ? -b1101111001111111110111110100101 D -b1001111110001111110100101100101 % -b1001111110001111110100101100101 . -b1001111110001111110100101100101 6 -b1001111110001111110100101100101 @ -b1001111110001111110100101100101 F -b1001111000001111110100100100101 ) -#287616000 -0& -#287632000 -b1000110001110 , -#287648000 -1& -#287664000 -b101001001111110000001101011001 " -b101001001111110000001101011001 4 -b101001001111110000001101011001 1 -b101001001111110000001101011001 C -b10110110000000000000000000000000 0 -b10110110000000000000000000000000 H -b10101001001111100111001101010100 2 -b10101001001111100111001101010100 = -b111011011111110110101111111001 < -b10101001001111100111001101010100 : -b1101101101111110000011101011011 $ -b1101101101111110000011101011011 - -b1101101101111110000011101011011 5 -b1101101101111110000011101011011 ? -b1101101101111110000011101011011 D -b111011011111110110101111111001 % -b111011011111110110101111111001 . -b111011011111110110101111111001 6 -b111011011111110110101111111001 @ -b111011011111110110101111111001 F -b101001001111110000001101011001 ) -#287680000 -0& -#287696000 -b1000110001111 , -#287712000 -1& -#287728000 -b1110010010010010000000000110010 " -b1110010010010010000000000110010 4 -b1110010010010010000000000110010 1 -b1110010010010010000000000110010 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -09 -08 -b1110010010010000111101011101100 2 -b1110010010010000111101011101100 = -b1111010011111010000000000111010 < -b1110010010010000111101011101100 : -b11110111110010110111101010110010 $ -b11110111110010110111101010110010 - -b11110111110010110111101010110010 5 -b11110111110010110111101010110010 ? -b11110111110010110111101010110010 D -b1111010011111010000000000111010 % -b1111010011111010000000000111010 . -b1111010011111010000000000111010 6 -b1111010011111010000000000111010 @ -b1111010011111010000000000111010 F -b1110010010010010000000000110010 ) -#287744000 -0& -#287760000 -b1000110010000 , -#287776000 -1& -#287792000 -b1001101011011000010110100010000 " -b1001101011011000010110100010000 4 -b1001101011011000010110100010000 1 -b1001101011011000010110100010000 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -b1001010111010100010110010001110 2 -b1001010111010100010110010001110 = -b1001101011111000011110101011010 < -b1001010111010100010110010001110 : -b11111101011011011110111100110100 $ -b11111101011011011110111100110100 - -b11111101011011011110111100110100 5 -b11111101011011011110111100110100 ? -b11111101011011011110111100110100 D -b1001101011111000011110101011010 % -b1001101011111000011110101011010 . -b1001101011111000011110101011010 6 -b1001101011111000011110101011010 @ -b1001101011111000011110101011010 F -b1001101011011000010110100010000 ) -#287808000 -0& -#287824000 -b1000110010001 , -#287840000 -1& -#287856000 -b11000110101100100000100001000000 " -b11000110101100100000100001000000 4 -b11000110101100100000100001000000 1 -b11000110101100100000100001000000 C -b1101011101000001001110000100000 0 -b1101011101000001001110000100000 H -18 -b11000110101100100000010000000110 2 -b11000110101100100000010000000110 = -b11011111111101111111101001000100 < -b11000110101100100000010000000110 : -b11100110101110100000100111000010 $ -b11100110101110100000100111000010 - -b11100110101110100000100111000010 5 -b11100110101110100000100111000010 ? -b11100110101110100000100111000010 D -b11011111111101111111101001000100 % -b11011111111101111111101001000100 . -b11011111111101111111101001000100 6 -b11011111111101111111101001000100 @ -b11011111111101111111101001000100 F -b11000110101100100000100001000000 ) -#287872000 -0& -#287888000 -b1000110010010 , -#287904000 -1& -#287920000 -b10100111010100110110001010000100 " -b10100111010100110110001010000100 4 -b10100111010100110110001010000100 1 -b10100111010100110110001010000100 C -b11011100100000000000000000000000 0 -b11011100100000000000000000000000 H -b10100111001010110110000101111001 2 -b10100111001010110110000101111001 = -b10110111010100111110101010010101 < -b10100111001010110110000101111001 : -b11101111110101110111011011100100 $ -b11101111110101110111011011100100 - -b11101111110101110111011011100100 5 -b11101111110101110111011011100100 ? -b11101111110101110111011011100100 D -b10110111010100111110101010010101 % -b10110111010100111110101010010101 . -b10110111010100111110101010010101 6 -b10110111010100111110101010010101 @ -b10110111010100111110101010010101 F -b10100111010100110110001010000100 ) -#287936000 -0& -#287952000 -b1000110010011 , -#287968000 -1& -#287984000 -b10001000100110011011101000101100 " -b10001000100110011011101000101100 4 -b10001000100110011011101000101100 1 -b10001000100110011011101000101100 C -b10011011101110111101000000000000 0 -b10011011101110111101000000000000 H -19 -18 -b1111000100101111011100111101001 2 -b1111000100101111011100111101001 = -b10001000101111011111111000101100 < -b1111000100101111011100111101001 : -b11101111110110011011101110111101 $ -b11101111110110011011101110111101 - -b11101111110110011011101110111101 5 -b11101111110110011011101110111101 ? -b11101111110110011011101110111101 D -b10001000101111011111111000101100 % -b10001000101111011111111000101100 . -b10001000101111011111111000101100 6 -b10001000101111011111111000101100 @ -b10001000101111011111111000101100 F -b10001000100110011011101000101100 ) -#288000000 -0& -#288016000 -b1000110010100 , -#288032000 -1& -#288048000 -b1111110111110000000010000000000 " -b1111110111110000000010000000000 4 -b1111110111110000000010000000000 1 -b1111110111110000000010000000000 C -b10011000100101000000000000000000 0 -b10011000100101000000000000000000 H -08 -09 -b1111110111100110111001110110111 2 -b1111110111100110111001110110111 = -b1111111111110010000110110010010 < -b1111110111100110111001110110111 : -b11111110111110100110011000100101 $ -b11111110111110100110011000100101 - -b11111110111110100110011000100101 5 -b11111110111110100110011000100101 ? -b11111110111110100110011000100101 D -b1111111111110010000110110010010 % -b1111111111110010000110110010010 . -b1111111111110010000110110010010 6 -b1111111111110010000110110010010 @ -b1111111111110010000110110010010 F -b1111110111110000000010000000000 ) -#288064000 -0& -#288080000 -b1000110010101 , -#288096000 -1& -#288112000 -b11011100101100100000101011001 " -b11011100101100100000101011001 4 -b11011100101100100000101011001 1 -b11011100101100100000101011001 C -b10111010000000000000000000000000 0 -b10111010000000000000000000000000 H -19 -08 -b10010111100101011010101010110110 2 -b10010111100101011010101010110110 = -b1011011100101110110100101011001 < -b10010111100101011010101010110110 : -b111011111111100100000101011101 $ -b111011111111100100000101011101 - -b111011111111100100000101011101 5 -b111011111111100100000101011101 ? -b111011111111100100000101011101 D -b1011011100101110110100101011001 % -b1011011100101110110100101011001 . -b1011011100101110110100101011001 6 -b1011011100101110110100101011001 @ -b1011011100101110110100101011001 F -b11011100101100100000101011001 ) -#288128000 -0& -#288144000 -b1000110010110 , -#288160000 -1& -#288176000 -b1011110011110110000000000011001 " -b1011110011110110000000000011001 4 -b1011110011110110000000000011001 1 -b1011110011110110000000000011001 C -b10110110000000000000000000000000 0 -b10110110000000000000000000000000 H -09 -08 -b1011110011110100111111111110100 2 -b1011110011110100111111111110100 = -b11111111111110110110000110011001 < -b1011110011110100111111111110100 : -b1011110011111110001111001011011 $ -b1011110011111110001111001011011 - -b1011110011111110001111001011011 5 -b1011110011111110001111001011011 ? -b1011110011111110001111001011011 D -b11111111111110110110000110011001 % -b11111111111110110110000110011001 . -b11111111111110110110000110011001 6 -b11111111111110110110000110011001 @ -b11111111111110110110000110011001 F -b1011110011110110000000000011001 ) -#288192000 -0& -#288208000 -b1000110010111 , -#288224000 -1& -#288240000 -b11010000011101100000100000100000 " -b11010000011101100000100000100000 4 -b11010000011101100000100000100000 1 -b11010000011101100000100000100000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -18 -b11001100011101011101011010011111 2 -b11001100011101011101011010011111 = -b11011001011111100000101001111011 < -b11001100011101011101011010011111 : -b11110010111101111100110000100100 $ -b11110010111101111100110000100100 - -b11110010111101111100110000100100 5 -b11110010111101111100110000100100 ? -b11110010111101111100110000100100 D -b11011001011111100000101001111011 % -b11011001011111100000101001111011 . -b11011001011111100000101001111011 6 -b11011001011111100000101001111011 @ -b11011001011111100000101001111011 F -b11010000011101100000100000100000 ) -#288256000 -0& -#288272000 -b1000110011000 , -#288288000 -1& -#288304000 -b1110111000100110000100010010110 " -b1110111000100110000100010010110 4 -b1110111000100110000100010010110 1 -b1110111000100110000100010010110 C -b1101111000000000000000000000000 0 -b1101111000000000000000000000000 H -08 -19 -b11110110110100100100100010010101 2 -b11110110110100100100100010010101 = -b1110111101110110010101110110111 < -b11110110110100100100100010010101 : -b1111111000101110001110011011110 $ -b1111111000101110001110011011110 - -b1111111000101110001110011011110 5 -b1111111000101110001110011011110 ? -b1111111000101110001110011011110 D -b1110111101110110010101110110111 % -b1110111101110110010101110110111 . -b1110111101110110010101110110111 6 -b1110111101110110010101110110111 @ -b1110111101110110010101110110111 F -b1110111000100110000100010010110 ) -#288320000 -0& -#288336000 -b1000110011001 , -#288352000 -1& -#288368000 -b101100010100100000100110011000 " -b101100010100100000100110011000 4 -b101100010100100000100110011000 1 -b101100010100100000100110011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -09 -b11101011101100000100100110010111 2 -b11101011101100000100100110010111 = -b10111100010101100011111110011110 < -b11101011101100000100100110010111 : -b101111010110100000100111111001 $ -b101111010110100000100111111001 - -b101111010110100000100111111001 5 -b101111010110100000100111111001 ? -b101111010110100000100111111001 D -b10111100010101100011111110011110 % -b10111100010101100011111110011110 . -b10111100010101100011111110011110 6 -b10111100010101100011111110011110 @ -b10111100010101100011111110011110 F -b101100010100100000100110011000 ) -#288384000 -0& -#288400000 -b1000110011010 , -#288416000 -1& -#288432000 -b11001011100000011000000111100 " -b11001011100000011000000111100 4 -b11001011100000011000000111100 1 -b11001011100000011000000111100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b11010101011100000010111110111000 2 -b11010101011100000010111110111000 = -b11001011110011011000100111100 < -b11010101011100000010111110111000 : -b10111011111101100111111001111100 $ -b10111011111101100111111001111100 - -b10111011111101100111111001111100 5 -b10111011111101100111111001111100 ? -b10111011111101100111111001111100 D -b11001011110011011000100111100 % -b11001011110011011000100111100 . -b11001011110011011000100111100 6 -b11001011110011011000100111100 @ -b11001011110011011000100111100 F -b11001011100000011000000111100 ) -#288448000 -0& -#288464000 -b1000110011011 , -#288480000 -1& -#288496000 -b11110010000001110001000010000001 " -b11110010000001110001000010000001 4 -b11110010000001110001000010000001 1 -b11110010000001110001000010000001 C -b11100110000111110110001100101010 0 -b11100110000111110110001100101010 H -b11110001100001101100010000110110 2 -b11110001100001101100010000110110 = -b11111110011101110001001010100001 < -b11110001100001101100010000110110 : -b11110011000011111011000110010101 $ -b11110011000011111011000110010101 - -b11110011000011111011000110010101 5 -b11110011000011111011000110010101 ? -b11110011000011111011000110010101 D -b11111110011101110001001010100001 % -b11111110011101110001001010100001 . -b11111110011101110001001010100001 6 -b11111110011101110001001010100001 @ -b11111110011101110001001010100001 F -b11110010000001110001000010000001 ) -#288512000 -0& -#288528000 -b1000110011100 , -#288544000 -1& -#288560000 -b1001111111001000000000000000000 " -b1001111111001000000000000000000 4 -b1001111111001000000000000000000 1 -b1001111111001000000000000000000 C -b1000110111000000100000000000000 0 -b1000110111000000100000000000000 H -08 -b1001111111000010101111111101111 2 -b1001111111000010101111111101111 = -b11011111111011000100010001101110 < -b1001111111000010101111111101111 : -b1101111111101010001101110000001 $ -b1101111111101010001101110000001 - -b1101111111101010001101110000001 5 -b1101111111101010001101110000001 ? -b1101111111101010001101110000001 D -b11011111111011000100010001101110 % -b11011111111011000100010001101110 . -b11011111111011000100010001101110 6 -b11011111111011000100010001101110 @ -b11011111111011000100010001101110 F -b1001111111001000000000000000000 ) -#288576000 -0& -#288592000 -b1000110011101 , -#288608000 -1& -#288624000 -b100001011101101101110101000000 " -b100001011101101101110101000000 4 -b100001011101101101110101000000 1 -b100001011101101101110101000000 C -b10111101011101101101110101010010 0 -b10111101011101101101110101010010 H -b100001011011011101110010110010 2 -b100001011011011101110010110010 = -b1100011111101101111111101100000 < -b100001011011011101110010110010 : -b10111101011101101101110101010010 $ -b10111101011101101101110101010010 - -b10111101011101101101110101010010 5 -b10111101011101101101110101010010 ? -b10111101011101101101110101010010 D -b1100011111101101111111101100000 % -b1100011111101101111111101100000 . -b1100011111101101111111101100000 6 -b1100011111101101111111101100000 @ -b1100011111101101111111101100000 F -b100001011101101101110101000000 ) -#288640000 -0& -#288656000 -b1000110011110 , -#288672000 -1& -#288688000 -b1001101101100100100110001101 " -b1001101101100100100110001101 4 -b1001101101100100100110001101 1 -b1001101101100100100110001101 C -b11001011001111111010000000000000 0 -b11001011001111111010000000000000 H -19 -08 -b10001001101101100010100110001010 2 -b10001001101101100010100110001010 = -b111111101111111100111110001101 < -b10001001101101100010100110001010 : -b1001001111101100101100111111101 $ -b1001001111101100101100111111101 - -b1001001111101100101100111111101 5 -b1001001111101100101100111111101 ? -b1001001111101100101100111111101 D -b111111101111111100111110001101 % -b111111101111111100111110001101 . -b111111101111111100111110001101 6 -b111111101111111100111110001101 @ -b111111101111111100111110001101 F -b1001101101100100100110001101 ) -#288704000 -0& -#288720000 -b1000110011111 , -#288736000 -1& -#288752000 -b1110110011001010010000000100000 " -b1110110011001010010000000100000 4 -b1110110011001010010000000100000 1 -b1110110011001010010000000100000 C -b100110001000000000000000000000 0 -b100110001000000000000000000000 H -09 -08 -b1101101110101000101101010010110 2 -b1101101110101000101101010010110 = -b11110111011011010010100000110100 < -b1101101110101000101101010010110 : -b1110110011001110011001001100010 $ -b1110110011001110011001001100010 - -b1110110011001110011001001100010 5 -b1110110011001110011001001100010 ? -b1110110011001110011001001100010 D -b11110111011011010010100000110100 % -b11110111011011010010100000110100 . -b11110111011011010010100000110100 6 -b11110111011011010010100000110100 @ -b11110111011011010010100000110100 F -b1110110011001010010000000100000 ) -#288768000 -0& -#288784000 -b1000110100000 , -#288800000 -1& -#288816000 -b1001110000001000100000010011010 " -b1001110000001000100000010011010 4 -b1001110000001000100000010011010 1 -b1001110000001000100000010011010 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -b1001110000001000000100001110101 2 -b1001110000001000000100001110101 = -b1011111110111111100000010011011 < -b1001110000001000000100001110101 : -b11101110001001000100011111011010 $ -b11101110001001000100011111011010 - -b11101110001001000100011111011010 5 -b11101110001001000100011111011010 ? -b11101110001001000100011111011010 D -b1011111110111111100000010011011 % -b1011111110111111100000010011011 . -b1011111110111111100000010011011 6 -b1011111110111111100000010011011 @ -b1011111110111111100000010011011 F -b1001110000001000100000010011010 ) -#288832000 -0& -#288848000 -b1000110100001 , -#288864000 -1& -#288880000 -b1110010110000111000100000000 " -b1110010110000111000100000000 4 -b1110010110000111000100000000 1 -b1110010110000111000100000000 C -b10101100111111011000010000000000 0 -b10101100111111011000010000000000 H -18 -b11111110010101100111000011101111 2 -b11111110010101100111000011101111 = -b11101110111111000111010111100111 < -b11111110010101100111000011101111 : -b1111010110011111101100001000 $ -b1111010110011111101100001000 - -b1111010110011111101100001000 5 -b1111010110011111101100001000 ? -b1111010110011111101100001000 D -b11101110111111000111010111100111 % -b11101110111111000111010111100111 . -b11101110111111000111010111100111 6 -b11101110111111000111010111100111 @ -b11101110111111000111010111100111 F -b1110010110000111000100000000 ) -#288896000 -0& -#288912000 -b1000110100010 , -#288928000 -1& -#288944000 -b10011001110010110001010100110000 " -b10011001110010110001010100110000 4 -b10011001110010110001010100110000 1 -b10011001110010110001010100110000 C -b10110110010000000000000000000000 0 -b10110110010000000000000000000000 H -b10011001101010101101010011100111 2 -b10011001101010101101010011100111 = -b10111111110011111011011100110101 < -b10011001101010101101010011100111 : -b11011001110110110001110110110010 $ -b11011001110110110001110110110010 - -b11011001110110110001110110110010 5 -b11011001110110110001110110110010 ? -b11011001110110110001110110110010 D -b10111111110011111011011100110101 % -b10111111110011111011011100110101 . -b10111111110011111011011100110101 6 -b10111111110011111011011100110101 @ -b10111111110011111011011100110101 F -b10011001110010110001010100110000 ) -#288960000 -0& -#288976000 -b1000110100011 , -#288992000 -1& -#289008000 -b110011001110100001100010010100 " -b110011001110100001100010010100 4 -b110011001110100001100010010100 1 -b110011001110100001100010010100 C -b1100101000000000000000000000000 0 -b1100101000000000000000000000000 H -08 -19 -b10110011001110011011100000101010 2 -b10110011001110011011100000101010 = -b110011111110101001101010010110 < -b10110011001110011011100000101010 : -b1111111001111110001110110010100 $ -b1111111001111110001110110010100 - -b1111111001111110001110110010100 5 -b1111111001111110001110110010100 ? -b1111111001111110001110110010100 D -b110011111110101001101010010110 % -b110011111110101001101010010110 . -b110011111110101001101010010110 6 -b110011111110101001101010010110 @ -b110011111110101001101010010110 F -b110011001110100001100010010100 ) -#289024000 -0& -#289040000 -b1000110100100 , -#289056000 -1& -#289072000 -b11011010010010000001000101010 " -b11011010010010000001000101010 4 -b11011010010010000001000101010 1 -b11011010010010000001000101010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b11011010001100101110110101000 2 -b11011010001100101110110101000 = -b11111111111010010001101101111110 < -b11011010001100101110110101000 : -b11011010111010100001000101010 $ -b11011010111010100001000101010 - -b11011010111010100001000101010 5 -b11011010111010100001000101010 ? -b11011010111010100001000101010 D -b11111111111010010001101101111110 % -b11111111111010010001101101111110 . -b11111111111010010001101101111110 6 -b11111111111010010001101101111110 @ -b11111111111010010001101101111110 F -b11011010010010000001000101010 ) -#289088000 -0& -#289104000 -b1000110100101 , -#289120000 -1& -#289136000 -b1101011110010000100001010100001 " -b1101011110010000100001010100001 4 -b1101011110010000100001010100001 1 -b1101011110010000100001010100001 C -b11010111111111111010110111000010 0 -b11010111111111111010110111000010 H -19 -08 -b11101011110010000010001010000010 2 -b11101011110010000010001010000010 = -b1111111110010000100101110100001 < -b11101011110010000010001010000010 : -b1101011111111111101011011100001 $ -b1101011111111111101011011100001 - -b1101011111111111101011011100001 5 -b1101011111111111101011011100001 ? -b1101011111111111101011011100001 D -b1111111110010000100101110100001 % -b1111111110010000100101110100001 . -b1111111110010000100101110100001 6 -b1111111110010000100101110100001 @ -b1111111110010000100101110100001 F -b1101011110010000100001010100001 ) -#289152000 -0& -#289168000 -b1000110100110 , -#289184000 -1& -#289200000 -b1011100101011100010000001001000 " -b1011100101011100010000001001000 4 -b1011100101011100010000001001000 1 -b1011100101011100010000001001000 C -b0 0 -b0 H -b11011010101011011001111001000111 2 -b11011010101011011001111001000111 = -b1111101101111100111000101011111 < -b11011010101011011001111001000111 : -b1011100111011110010110011101000 $ -b1011100111011110010110011101000 - -b1011100111011110010110011101000 5 -b1011100111011110010110011101000 ? -b1011100111011110010110011101000 D -b1111101101111100111000101011111 % -b1111101101111100111000101011111 . -b1111101101111100111000101011111 6 -b1111101101111100111000101011111 @ -b1111101101111100111000101011111 F -b1011100101011100010000001001000 ) -#289216000 -0& -#289232000 -b1000110100111 , -#289248000 -1& -#289264000 -b1001001110011101100100100100100 " -b1001001110011101100100100100100 4 -b1001001110011101100100100100100 1 -b1001001110011101100100100100100 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -09 -08 -b1001001110011101100100001100010 2 -b1001001110011101100100001100010 = -b11111111110011101111111100111100 < -b1001001110011101100100001100010 : -b1001001111111111100100100100110 $ -b1001001111111111100100100100110 - -b1001001111111111100100100100110 5 -b1001001111111111100100100100110 ? -b1001001111111111100100100100110 D -b11111111110011101111111100111100 % -b11111111110011101111111100111100 . -b11111111110011101111111100111100 6 -b11111111110011101111111100111100 @ -b11111111110011101111111100111100 F -b1001001110011101100100100100100 ) -#289280000 -0& -#289296000 -b1000110101000 , -#289312000 -1& -#289328000 -b1000011010100110000110001010001 " -b1000011010100110000110001010001 4 -b1000011010100110000110001010001 1 -b1000011010100110000110001010001 C -b10101010000000000000000000000000 0 -b10101010000000000000000000000000 H -b110111010100100010101100101110 2 -b110111010100100010101100101110 = -b1000011010101110000111011011001 < -b110111010100100010101100101110 : -b11110011111110110001110001010101 $ -b11110011111110110001110001010101 - -b11110011111110110001110001010101 5 -b11110011111110110001110001010101 ? -b11110011111110110001110001010101 D -b1000011010101110000111011011001 % -b1000011010101110000111011011001 . -b1000011010101110000111011011001 6 -b1000011010101110000111011011001 @ -b1000011010101110000111011011001 F -b1000011010100110000110001010001 ) -#289344000 -0& -#289360000 -b1000110101001 , -#289376000 -1& -#289392000 -b10110011011111011000000100010111 " -b10110011011111011000000100010111 4 -b10110011011111011000000100010111 1 -b10110011011111011000000100010111 C -b10001111100000000000000000000000 0 -b10001111100000000000000000000000 H -18 -b10110010111111010010110001010110 2 -b10110010111111010010110001010110 = -b10110111011111111000100100110111 < -b10110010111111010010110001010110 : -b11111011011111011010001100011111 $ -b11111011011111011010001100011111 - -b11111011011111011010001100011111 5 -b11111011011111011010001100011111 ? -b11111011011111011010001100011111 D -b10110111011111111000100100110111 % -b10110111011111111000100100110111 . -b10110111011111111000100100110111 6 -b10110111011111111000100100110111 @ -b10110111011111111000100100110111 F -b10110011011111011000000100010111 ) -#289408000 -0& -#289424000 -b1000110101010 , -#289440000 -1& -#289456000 -b11111100001100010001100010010000 " -b11111100001100010001100010010000 4 -b11111100001100010001100010010000 1 -b11111100001100010001100010010000 C -b11110001101001000000000000000000 0 -b11110001101001000000000000000000 H -b11111010001100010001010110000011 2 -b11111010001100010001010110000011 = -b11111101011100010001110010110001 < -b11111010001100010001010110000011 : -b11111100101111111111100011010010 $ -b11111100101111111111100011010010 - -b11111100101111111111100011010010 5 -b11111100101111111111100011010010 ? -b11111100101111111111100011010010 D -b11111101011100010001110010110001 % -b11111101011100010001110010110001 . -b11111101011100010001110010110001 6 -b11111101011100010001110010110001 @ -b11111101011100010001110010110001 F -b11111100001100010001100010010000 ) -#289472000 -0& -#289488000 -b1000110101011 , -#289504000 -1& -#289520000 -b11111110101101101001000010010 " -b11111110101101101001000010010 4 -b11111110101101101001000010010 1 -b11111110101101101001000010010 C -b11101011010110000000000000000000 0 -b11101011010110000000000000000000 H -08 -b11111110011101100111000001000 2 -b11111110011101100111000001000 = -b111111110101111101001100110010 < -b11111110011101100111000001000 : -b11011111111101101111101011010110 $ -b11011111111101101111101011010110 - -b11011111111101101111101011010110 5 -b11011111111101101111101011010110 ? -b11011111111101101111101011010110 D -b111111110101111101001100110010 % -b111111110101111101001100110010 . -b111111110101111101001100110010 6 -b111111110101111101001100110010 @ -b111111110101111101001100110010 F -b11111110101101101001000010010 ) -#289536000 -0& -#289552000 -b1000110101100 , -#289568000 -1& -#289584000 -b10011010111011101000010000000101 " -b10011010111011101000010000000101 4 -b10011010111011101000010000000101 1 -b10011010111011101000010000000101 C -b11110101100000001010000000000000 0 -b11110101100000001010000000000000 H -18 -19 -b1011010111011100111000001110010 2 -b1011010111011100111000001110010 = -b10011010111111101100010001101101 < -b1011010111011100111000001110010 : -b10111111111011111010110000000101 $ -b10111111111011111010110000000101 - -b10111111111011111010110000000101 5 -b10111111111011111010110000000101 ? -b10111111111011111010110000000101 D -b10011010111111101100010001101101 % -b10011010111111101100010001101101 . -b10011010111111101100010001101101 6 -b10011010111111101100010001101101 @ -b10011010111111101100010001101101 F -b10011010111011101000010000000101 ) -#289600000 -0& -#289616000 -b1000110101101 , -#289632000 -1& -#289648000 -b1001001111000010000000000000010 " -b1001001111000010000000000000010 4 -b1001001111000010000000000000010 1 -b1001001111000010000000000000010 C -b1010001100110000000000000000000 0 -b1010001100110000000000000000000 H -08 -09 -b1001001111000001111110011111000 2 -b1001001111000001111110011111000 = -b1101011111101011010100010010010 < -b1001001111000001111110011111000 : -b11011101111010110101010001100110 $ -b11011101111010110101010001100110 - -b11011101111010110101010001100110 5 -b11011101111010110101010001100110 ? -b11011101111010110101010001100110 D -b1101011111101011010100010010010 % -b1101011111101011010100010010010 . -b1101011111101011010100010010010 6 -b1101011111101011010100010010010 @ -b1101011111101011010100010010010 F -b1001001111000010000000000000010 ) -#289664000 -0& -#289680000 -b1000110101110 , -#289696000 -1& -#289712000 -b110000000100010011100100000000 " -b110000000100010011100100000000 4 -b110000000100010011100100000000 1 -b110000000100010011100100000000 C -b10000010011111110111000100000000 0 -b10000010011111110111000100000000 H -b100100100011010011010010101101 2 -b100100100011010011010010101101 = -b11110000011110010011100100100101 < -b100100100011010011010010101101 : -b110100000100111111101110001000 $ -b110100000100111111101110001000 - -b110100000100111111101110001000 5 -b110100000100111111101110001000 ? -b110100000100111111101110001000 D -b11110000011110010011100100100101 % -b11110000011110010011100100100101 . -b11110000011110010011100100100101 6 -b11110000011110010011100100100101 @ -b11110000011110010011100100100101 F -b110000000100010011100100000000 ) -#289728000 -0& -#289744000 -b1000110101111 , -#289760000 -1& -#289776000 -b11001011010110010000111100000100 " -b11001011010110010000111100000100 4 -b11001011010110010000111100000100 1 -b11001011010110010000111100000100 C -b11110111100111001111001001000000 0 -b11110111100111001111001001000000 H -18 -b11001010110101101111111011101000 2 -b11001010110101101111111011101000 = -b11001011010111010010111111000100 < -b11001010110101101111111011101000 : -b11111111011110011100111100100100 $ -b11111111011110011100111100100100 - -b11111111011110011100111100100100 5 -b11111111011110011100111100100100 ? -b11111111011110011100111100100100 D -b11001011010111010010111111000100 % -b11001011010111010010111111000100 . -b11001011010111010010111111000100 6 -b11001011010111010010111111000100 @ -b11001011010111010010111111000100 F -b11001011010110010000111100000100 ) -#289792000 -0& -#289808000 -b1000110110000 , -#289824000 -1& -#289840000 -b110111001011011011100000000000 " -b110111001011011011100000000000 4 -b110111001011011011100000000000 1 -b110111001011011011100000000000 C -b1101111111111111111000111011000 0 -b1101111111111111111000111011000 H -08 -19 -b10110111001011011011000011101101 2 -b10110111001011011011000011101101 = -b1111111001011011011100000000001 < -b10110111001011011011000011101101 : -b110111111111111111100011101100 $ -b110111111111111111100011101100 - -b110111111111111111100011101100 5 -b110111111111111111100011101100 ? -b110111111111111111100011101100 D -b1111111001011011011100000000001 % -b1111111001011011011100000000001 . -b1111111001011011011100000000001 6 -b1111111001011011011100000000001 @ -b1111111001011011011100000000001 F -b110111001011011011100000000000 ) -#289856000 -0& -#289872000 -b1000110110001 , -#289888000 -1& -#289904000 -b1111000101010011010000000000110 " -b1111000101010011010000000000110 4 -b1111000101010011010000000000110 1 -b1111000101010011010000000000110 C -b1101011000100011000000000000000 0 -b1101011000100011000000000000000 H -b11110110101010010100111101010100 2 -b11110110101010010100111101010100 = -b1111100111111111010001100001110 < -b11110110101010010100111101010100 : -b1111001101010011010110001000110 $ -b1111001101010011010110001000110 - -b1111001101010011010110001000110 5 -b1111001101010011010110001000110 ? -b1111001101010011010110001000110 D -b1111100111111111010001100001110 % -b1111100111111111010001100001110 . -b1111100111111111010001100001110 6 -b1111100111111111010001100001110 @ -b1111100111111111010001100001110 F -b1111000101010011010000000000110 ) -#289920000 -0& -#289936000 -b1000110110010 , -#289952000 -1& -#289968000 -b1010010000011100001000011000001 " -b1010010000011100001000011000001 4 -b1010010000011100001000011000001 1 -b1010010000011100001000011000001 C -b11100001111001110001100000100000 0 -b11100001111001110001100000100000 H -b11010010000011011000101010000110 2 -b11010010000011011000101010000110 = -b1010010111111100101000111000101 < -b11010010000011011000101010000110 : -b1111111000011110011100011000001 $ -b1111111000011110011100011000001 - -b1111111000011110011100011000001 5 -b1111111000011110011100011000001 ? -b1111111000011110011100011000001 D -b1010010111111100101000111000101 % -b1010010111111100101000111000101 . -b1010010111111100101000111000101 6 -b1010010111111100101000111000101 @ -b1010010111111100101000111000101 F -b1010010000011100001000011000001 ) -#289984000 -0& -#290000000 -b1000110110011 , -#290016000 -1& -#290032000 -b101110111110110000000010110001 " -b101110111110110000000010110001 4 -b101110111110110000000010110001 1 -b101110111110110000000010110001 C -b11101010000000000000000000000000 0 -b11101010000000000000000000000000 H -09 -08 -b101101111110101011101010101110 2 -b101101111110101011101010101110 = -b10101110111111110011000110111001 < -b101101111110101011101010101110 : -b1111110111110111000100011110101 $ -b1111110111110111000100011110101 - -b1111110111110111000100011110101 5 -b1111110111110111000100011110101 ? -b1111110111110111000100011110101 D -b10101110111111110011000110111001 % -b10101110111111110011000110111001 . -b10101110111111110011000110111001 6 -b10101110111111110011000110111001 @ -b10101110111111110011000110111001 F -b101110111110110000000010110001 ) -#290048000 -0& -#290064000 -b1000110110100 , -#290080000 -1& -#290096000 -b11000100101111000000010000001000 " -b11000100101111000000010000001000 4 -b11000100101111000000010000001000 1 -b11000100101111000000010000001000 C -b1100000000000000000000000000 0 -b1100000000000000000000000000 H -18 -b11000011101110111111001111100100 2 -b11000011101110111111001111100100 = -b11011100111111111000111111011000 < -b11000011101110111111001111100100 : -b11100110101111000110010000001100 $ -b11100110101111000110010000001100 - -b11100110101111000110010000001100 5 -b11100110101111000110010000001100 ? -b11100110101111000110010000001100 D -b11011100111111111000111111011000 % -b11011100111111111000111111011000 . -b11011100111111111000111111011000 6 -b11011100111111111000111111011000 @ -b11011100111111111000111111011000 F -b11000100101111000000010000001000 ) -#290112000 -0& -#290128000 -b1000110110101 , -#290144000 -1& -#290160000 -b101101111010110001000001000010 " -b101101111010110001000001000010 4 -b101101111010110001000001000010 1 -b101101111010110001000001000010 C -b10011010100000000000000000000000 0 -b10011010100000000000000000000000 H -08 -b101101111010110000001101000000 2 -b101101111010110000001101000000 = -b101111111011110001000011010110 < -b101101111010110000001101000000 : -b11111101111110111111001001101010 $ -b11111101111110111111001001101010 - -b11111101111110111111001001101010 5 -b11111101111110111111001001101010 ? -b11111101111110111111001001101010 D -b101111111011110001000011010110 % -b101111111011110001000011010110 . -b101111111011110001000011010110 6 -b101111111011110001000011010110 @ -b101111111011110001000011010110 F -b101101111010110001000001000010 ) -#290176000 -0& -#290192000 -b1000110110110 , -#290208000 -1& -#290224000 -b11110110100110010000100100110 " -b11110110100110010000100100110 4 -b11110110100110010000100100110 1 -b11110110100110010000100100110 C -b10011001100100110000000000000000 0 -b10011001100100110000000000000000 H -19 -08 -b10011110110100101010000010010101 2 -b10011110110100101010000010010101 = -b111110111110110110110101101111 < -b10011110110100101010000010010101 : -b1011111110101110011001100100110 $ -b1011111110101110011001100100110 - -b1011111110101110011001100100110 5 -b1011111110101110011001100100110 ? -b1011111110101110011001100100110 D -b111110111110110110110101101111 % -b111110111110110110110101101111 . -b111110111110110110110101101111 6 -b111110111110110110110101101111 @ -b111110111110110110110101101111 F -b11110110100110010000100100110 ) -#290240000 -0& -#290256000 -b1000110110111 , -#290272000 -1& -#290288000 -b11111001011011110110010001000000 " -b11111001011011110110010001000000 4 -b11111001011011110110010001000000 1 -b11111001011011110110010001000000 C -b11110110011001000011000000000000 0 -b11110110011001000011000000000000 H -18 -09 -b11111001011011101101001010001111 2 -b11111001011011101101001010001111 = -b11111011011111110110110001001100 < -b11111001011011101101001010001111 : -b11111101111011110110011001000011 $ -b11111101111011110110011001000011 - -b11111101111011110110011001000011 5 -b11111101111011110110011001000011 ? -b11111101111011110110011001000011 D -b11111011011111110110110001001100 % -b11111011011111110110110001001100 . -b11111011011111110110110001001100 6 -b11111011011111110110110001001100 @ -b11111011011111110110110001001100 F -b11111001011011110110010001000000 ) -#290304000 -0& -#290320000 -b1000110111000 , -#290336000 -1& -#290352000 -b11100000000110000100010000001 " -b11100000000110000100010000001 4 -b11100000000110000100010000001 1 -b11100000000110000100010000001 C -b11001111101100010010001000000000 0 -b11001111101100010010001000000000 H -08 -19 -b10011010111110110000010000111010 2 -b10011010111110110000010000111010 = -b111110100100110010101110101001 < -b10011010111110110000010000111010 : -b1011100011001111101100010010001 $ -b1011100011001111101100010010001 - -b1011100011001111101100010010001 5 -b1011100011001111101100010010001 ? -b1011100011001111101100010010001 D -b111110100100110010101110101001 % -b111110100100110010101110101001 . -b111110100100110010101110101001 6 -b111110100100110010101110101001 @ -b111110100100110010101110101001 F -b11100000000110000100010000001 ) -#290368000 -0& -#290384000 -b1000110111001 , -#290400000 -1& -#290416000 -b1110011110111010010000010000001 " -b1110011110111010010000010000001 4 -b1110011110111010010000010000001 1 -b1110011110111010010000010000001 C -b11101110110101011110000010000000 0 -b11101110110101011110000010000000 H -b11101111110111001101000001101000 2 -b11101111110111001101000001101000 = -b1110011111111110010010010100111 < -b11101111110111001101000001101000 : -b1111011110111011010101111000001 $ -b1111011110111011010101111000001 - -b1111011110111011010101111000001 5 -b1111011110111011010101111000001 ? -b1111011110111011010101111000001 D -b1110011111111110010010010100111 % -b1110011111111110010010010100111 . -b1110011111111110010010010100111 6 -b1110011111111110010010010100111 @ -b1110011111111110010010010100111 F -b1110011110111010010000010000001 ) -#290432000 -0& -#290448000 -b1000110111010 , -#290464000 -1& -#290480000 -b10011110110000110000001110011100 " -b10011110110000110000001110011100 4 -b10011110110000110000001110011100 1 -b10011110110000110000001110011100 C -b0 0 -b0 H -18 -09 -b10011110110000101011101101111011 2 -b10011110110000101011101101111011 = -b11111110110111111000001111011111 < -b10011110110000101011101101111011 : -b10011111111000110011011110011100 $ -b10011111111000110011011110011100 - -b10011111111000110011011110011100 5 -b10011111111000110011011110011100 ? -b10011111111000110011011110011100 D -b11111110110111111000001111011111 % -b11111110110111111000001111011111 . -b11111110110111111000001111011111 6 -b11111110110111111000001111011111 @ -b11111110110111111000001111011111 F -b10011110110000110000001110011100 ) -#290496000 -0& -#290512000 -b1000110111011 , -#290528000 -1& -#290544000 -b1011101111111011000110101100100 " -b1011101111111011000110101100100 4 -b1011101111111011000110101100100 1 -b1011101111111011000110101100100 C -b11111111011001110101101101000000 0 -b11111111011001110101101101000000 H -08 -b1011101111111011000101101010011 2 -b1011101111111011000101101010011 = -b1111101111111111110110111100110 < -b1011101111111011000101101010011 : -b11011111111111011001110101101101 $ -b11011111111111011001110101101101 - -b11011111111111011001110101101101 5 -b11011111111111011001110101101101 ? -b11011111111111011001110101101101 D -b1111101111111111110110111100110 % -b1111101111111111110110111100110 . -b1111101111111111110110111100110 6 -b1111101111111111110110111100110 @ -b1111101111111111110110111100110 F -b1011101111111011000110101100100 ) -#290560000 -0& -#290576000 -b1000110111100 , -#290592000 -1& -#290608000 -b11000001011110100000100001000010 " -b11000001011110100000100001000010 4 -b11000001011110100000100001000010 1 -b11000001011110100000100001000010 C -b1111110100000101001011011000000 0 -b1111110100000101001011011000000 H -18 -b11000001011110100000011101000001 2 -b11000001011110100000011101000001 = -b11111111011111111111110011100110 < -b11000001011110100000011101000001 : -b11000001111110100000101001011011 $ -b11000001111110100000101001011011 - -b11000001111110100000101001011011 5 -b11000001111110100000101001011011 ? -b11000001111110100000101001011011 D -b11111111011111111111110011100110 % -b11111111011111111111110011100110 . -b11111111011111111111110011100110 6 -b11111111011111111111110011100110 @ -b11111111011111111111110011100110 F -b11000001011110100000100001000010 ) -#290624000 -0& -#290640000 -b1000110111101 , -#290656000 -1& -#290672000 -b111010010111110000001010110100 " -b111010010111110000001010110100 4 -b111010010111110000001010110100 1 -b111010010111110000001010110100 C -b0 0 -b0 H -08 -19 -b10111010010111101101111001110011 2 -b10111010010111101101111001110011 = -b111011010111111101101010111111 < -b10111010010111101101111001110011 : -b1111110111111110000001110110100 $ -b1111110111111110000001110110100 - -b1111110111111110000001110110100 5 -b1111110111111110000001110110100 ? -b1111110111111110000001110110100 D -b111011010111111101101010111111 % -b111011010111111101101010111111 . -b111011010111111101101010111111 6 -b111011010111111101101010111111 @ -b111011010111111101101010111111 F -b111010010111110000001010110100 ) -#290688000 -0& -#290704000 -b1000110111110 , -#290720000 -1& -#290736000 -b1011101101111000100000000000001 " -b1011101101111000100000000000001 4 -b1011101101111000100000000000001 1 -b1011101101111000100000000000001 C -b11111000100000000010001000000000 0 -b11111000100000000010001000000000 H -b11011101101111000010111011111010 2 -b11011101101111000010111011111010 = -b1011101101111111110111011101001 < -b11011101101111000010111011111010 : -b1111111111111000100000000010001 $ -b1111111111111000100000000010001 - -b1111111111111000100000000010001 5 -b1111111111111000100000000010001 ? -b1111111111111000100000000010001 D -b1011101101111111110111011101001 % -b1011101101111111110111011101001 . -b1011101101111111110111011101001 6 -b1011101101111111110111011101001 @ -b1011101101111111110111011101001 F -b1011101101111000100000000000001 ) -#290752000 -0& -#290768000 -b1000110111111 , -#290784000 -1& -#290800000 -b1000101111110101000000010000010 " -b1000101111110101000000010000010 4 -b1000101111110101000000010000010 1 -b1000101111110101000000010000010 C -b1101000011110010000000000000000 0 -b1101000011110010000000000000000 H -09 -08 -b110101111110100101001010000001 2 -b110101111110100101001010000001 = -b11100111111111111000000110001111 < -b110101111110100101001010000001 : -b1001101111110101101000011110010 $ -b1001101111110101101000011110010 - -b1001101111110101101000011110010 5 -b1001101111110101101000011110010 ? -b1001101111110101101000011110010 D -b11100111111111111000000110001111 % -b11100111111111111000000110001111 . -b11100111111111111000000110001111 6 -b11100111111111111000000110001111 @ -b11100111111111111000000110001111 F -b1000101111110101000000010000010 ) -#290816000 -0& -#290832000 -b1000111000000 , -#290848000 -1& -#290864000 -b111100110000010001000000000001 " -b111100110000010001000000000001 4 -b111100110000010001000000000001 1 -b111100110000010001000000000001 C -b11101010100110010000110010000000 0 -b11101010100110010000110010000000 H -b111100101110001100011010100000 2 -b111100101110001100011010100000 = -b111110111000111001010010000111 < -b111100101110001100011010100000 : -b11111101110101010011001000011001 $ -b11111101110101010011001000011001 - -b11111101110101010011001000011001 5 -b11111101110101010011001000011001 ? -b11111101110101010011001000011001 D -b111110111000111001010010000111 % -b111110111000111001010010000111 . -b111110111000111001010010000111 6 -b111110111000111001010010000111 @ -b111110111000111001010010000111 F -b111100110000010001000000000001 ) -#290880000 -0& -#290896000 -b1000111000001 , -#290912000 -1& -#290928000 -b1001110011100001000100011000000 " -b1001110011100001000100011000000 4 -b1001110011100001000100011000000 1 -b1001110011100001000100011000000 C -b10011101111010110001011111101000 0 -b10011101111010110001011111101000 H -19 -08 -b11001101011100000010100010110101 2 -b11001101011100000010100010110101 = -b1111110011110101001110011000001 < -b11001101011100000010100010110101 : -b1001110111101011000101111110100 $ -b1001110111101011000101111110100 - -b1001110111101011000101111110100 5 -b1001110111101011000101111110100 ? -b1001110111101011000101111110100 D -b1111110011110101001110011000001 % -b1111110011110101001110011000001 . -b1111110011110101001110011000001 6 -b1111110011110101001110011000001 @ -b1111110011110101001110011000001 F -b1001110011100001000100011000000 ) -#290944000 -0& -#290960000 -b1000111000010 , -#290976000 -1& -#290992000 -b101110101001010111000000010010 " -b101110101001010111000000010010 4 -b101110101001010111000000010010 1 -b101110101001010111000000010010 C -b11101001000000000000000000000000 0 -b11101001000000000000000000000000 H -09 -08 -b101110101001010110011111101001 2 -b101110101001010110011111101001 = -b10101110111001011111000000010111 < -b101110101001010110011111101001 : -b1111111101111110111011111010010 $ -b1111111101111110111011111010010 - -b1111111101111110111011111010010 5 -b1111111101111110111011111010010 ? -b1111111101111110111011111010010 D -b10101110111001011111000000010111 % -b10101110111001011111000000010111 . -b10101110111001011111000000010111 6 -b10101110111001011111000000010111 @ -b10101110111001011111000000010111 F -b101110101001010111000000010010 ) -#291008000 -0& -#291024000 -b1000111000011 , -#291040000 -1& -#291056000 -b1111010000011000011000101010001 " -b1111010000011000011000101010001 4 -b1111010000011000011000101010001 1 -b1111010000011000011000101010001 C -b10001000000000000000000000000000 0 -b10001000000000000000000000000000 H -19 -08 -b11111010000011000010100101001100 2 -b11111010000011000010100101001100 = -b1111011011111011111001101111011 < -b11111010000011000010100101001100 : -b1111110100011100011010111010001 $ -b1111110100011100011010111010001 - -b1111110100011100011010111010001 5 -b1111110100011100011010111010001 ? -b1111110100011100011010111010001 D -b1111011011111011111001101111011 % -b1111011011111011111001101111011 . -b1111011011111011111001101111011 6 -b1111011011111011111001101111011 @ -b1111011011111011111001101111011 F -b1111010000011000011000101010001 ) -#291072000 -0& -#291088000 -b1000111000100 , -#291104000 -1& -#291120000 -b1101111001110100000001000000000 " -b1101111001110100000001000000000 4 -b1101111001110100000001000000000 1 -b1101111001110100000001000000000 C -b1101111101110101101011000110101 0 -b1101111101110101101011000110101 H -b11101111001101011110000001110101 2 -b11101111001101011110000001110101 = -b1111111011110110000101001000000 < -b11101111001101011110000001110101 : -b1101111101110101101011000110101 $ -b1101111101110101101011000110101 - -b1101111101110101101011000110101 5 -b1101111101110101101011000110101 ? -b1101111101110101101011000110101 D -b1111111011110110000101001000000 % -b1111111011110110000101001000000 . -b1111111011110110000101001000000 6 -b1111111011110110000101001000000 @ -b1111111011110110000101001000000 F -b1101111001110100000001000000000 ) -#291136000 -0& -#291152000 -b1000111000101 , -#291168000 -1& -#291184000 -b101010111100110001000010000000 " -b101010111100110001000010000000 4 -b101010111100110001000010000000 1 -b101010111100110001000010000000 C -b11111100110001001010100000000000 0 -b11111100110001001010100000000000 H -09 -08 -b101010111100101100100000100110 2 -b101010111100101100100000100110 = -b11101010111111111011010110000110 < -b101010111100101100100000100110 : -b111111111100110001001010100000 $ -b111111111100110001001010100000 - -b111111111100110001001010100000 5 -b111111111100110001001010100000 ? -b111111111100110001001010100000 D -b11101010111111111011010110000110 % -b11101010111111111011010110000110 . -b11101010111111111011010110000110 6 -b11101010111111111011010110000110 @ -b11101010111111111011010110000110 F -b101010111100110001000010000000 ) -#291200000 -0& -#291216000 -b1000111000110 , -#291232000 -1& -#291248000 -b1101111111111100000000100000001 " -b1101111111111100000000100000001 4 -b1101111111111100000000100000001 1 -b1101111111111100000000100000001 C -b1111111111100011010111011001000 0 -b1111111111100011010111011001000 H -b1101111111111001011011011111100 2 -b1101111111111001011011011111100 = -b1111111111111101000000100100011 < -b1101111111111001011011011111100 : -b11101111111111100011010111011001 $ -b11101111111111100011010111011001 - -b11101111111111100011010111011001 5 -b11101111111111100011010111011001 ? -b11101111111111100011010111011001 D -b1111111111111101000000100100011 % -b1111111111111101000000100100011 . -b1111111111111101000000100100011 6 -b1111111111111101000000100100011 @ -b1111111111111101000000100100011 F -b1101111111111100000000100000001 ) -#291264000 -0& -#291280000 -b1000111000111 , -#291296000 -1& -#291312000 -b101110100110110100010000100001 " -b101110100110110100010000100001 4 -b101110100110110100010000100001 1 -b101110100110110100010000100001 C -b1101000111111000110000000000000 0 -b1101000111111000110000000000000 H -b101110010110101000110000010000 2 -b101110010110101000110000010000 = -b11111111100111110100010000101101 < -b101110010110101000110000010000 : -b101110101110110100011111100011 $ -b101110101110110100011111100011 - -b101110101110110100011111100011 5 -b101110101110110100011111100011 ? -b101110101110110100011111100011 D -b11111111100111110100010000101101 % -b11111111100111110100010000101101 . -b11111111100111110100010000101101 6 -b11111111100111110100010000101101 @ -b11111111100111110100010000101101 F -b101110100110110100010000100001 ) -#291328000 -0& -#291344000 -b1000111001000 , -#291360000 -1& -#291376000 -b1101011001111000000000001100010 " -b1101011001111000000000001100010 4 -b1101011001111000000000001100010 1 -b1101011001111000000000001100010 C -b10011000000000000000000000000000 0 -b10011000000000000000000000000000 H -19 -08 -b11010110111110001100110011100000 2 -b11010110111110001100110011100000 = -b1101011001111000000000001111010 < -b11010110111110001100110011100000 : -b1101011101111001100110001100110 $ -b1101011101111001100110001100110 - -b1101011101111001100110001100110 5 -b1101011101111001100110001100110 ? -b1101011101111001100110001100110 D -b1101011001111000000000001111010 % -b1101011001111000000000001111010 . -b1101011001111000000000001111010 6 -b1101011001111000000000001111010 @ -b1101011001111000000000001111010 F -b1101011001111000000000001100010 ) -#291392000 -0& -#291408000 -b1000111001001 , -#291424000 -1& -#291440000 -b1011010010010100001110100101001 " -b1011010010010100001110100101001 4 -b1011010010010100001110100101001 1 -b1011010010010100001110100101001 C -b11111100111010010100100000000000 0 -b11111100111010010100100000000000 H -09 -08 -b1011001010010011011110010010100 2 -b1011001010010011011110010010100 = -b11111010011010100001111101101011 < -b1011001010010011011110010010100 : -b1011110110111111001110100101001 $ -b1011110110111111001110100101001 - -b1011110110111111001110100101001 5 -b1011110110111111001110100101001 ? -b1011110110111111001110100101001 D -b11111010011010100001111101101011 % -b11111010011010100001111101101011 . -b11111010011010100001111101101011 6 -b11111010011010100001111101101011 @ -b11111010011010100001111101101011 F -b1011010010010100001110100101001 ) -#291456000 -0& -#291472000 -b1000111001010 , -#291488000 -1& -#291504000 -b10000111101101100000011011000000 " -b10000111101101100000011011000000 4 -b10000111101101100000011011000000 1 -b10000111101101100000011011000000 C -b11111111110011011101101110100 0 -b11111111110011011101101110100 H -18 -19 -b1011111101101011111010110111111 2 -b1011111101101011111010110111111 = -b10010111101101111000011011100010 < -b1011111101101011111010110111111 : -b11000111111111100110111011011101 $ -b11000111111111100110111011011101 - -b11000111111111100110111011011101 5 -b11000111111111100110111011011101 ? -b11000111111111100110111011011101 D -b10010111101101111000011011100010 % -b10010111101101111000011011100010 . -b10010111101101111000011011100010 6 -b10010111101101111000011011100010 @ -b10010111101101111000011011100010 F -b10000111101101100000011011000000 ) -#291520000 -0& -#291536000 -b1000111001011 , -#291552000 -1& -#291568000 -b1101101010011110101000000001000 " -b1101101010011110101000000001000 4 -b1101101010011110101000000001000 1 -b1101101010011110101000000001000 C -b11111111110111011011000000000000 0 -b11111111110111011011000000000000 H -08 -b11101011010011110100111000000111 2 -b11101011010011110100111000000111 = -b1111101010111110101000000101100 < -b11101011010011110100111000000111 : -b1101101111011111111110111011011 $ -b1101101111011111111110111011011 - -b1101101111011111111110111011011 5 -b1101101111011111111110111011011 ? -b1101101111011111111110111011011 D -b1111101010111110101000000101100 % -b1111101010111110101000000101100 . -b1111101010111110101000000101100 6 -b1111101010111110101000000101100 @ -b1111101010111110101000000101100 F -b1101101010011110101000000001000 ) -#291584000 -0& -#291600000 -b1000111001100 , -#291616000 -1& -#291632000 -b10011111000000101000000001010001 " -b10011111000000101000000001010001 4 -b10011111000000101000000001010001 1 -b10011111000000101000000001010001 C -b10000010100010000000000000000000 0 -b10000010100010000000000000000000 H -18 -b1011111000000100111111101000100 2 -b1011111000000100111111101000100 = -b10011111011000111010111011110011 < -b1011111000000100111111101000100 : -b10111111100111101101000001010001 $ -b10111111100111101101000001010001 - -b10111111100111101101000001010001 5 -b10111111100111101101000001010001 ? -b10111111100111101101000001010001 D -b10011111011000111010111011110011 % -b10011111011000111010111011110011 . -b10011111011000111010111011110011 6 -b10011111011000111010111011110011 @ -b10011111011000111010111011110011 F -b10011111000000101000000001010001 ) -#291648000 -0& -#291664000 -b1000111001101 , -#291680000 -1& -#291696000 -b11110000101101010000000010100010 " -b11110000101101010000000010100010 4 -b11110000101101010000000010100010 1 -b11110000101101010000000010100010 C -b1101111001100000000000000000000 0 -b1101111001100000000000000000000 H -09 -18 -b11101000101101000000111010011001 2 -b11101000101101000000111010011001 = -b11110110111111010000000010110011 < -b11101000101101000000111010011001 : -b11110001101101110000110111100110 $ -b11110001101101110000110111100110 - -b11110001101101110000110111100110 5 -b11110001101101110000110111100110 ? -b11110001101101110000110111100110 D -b11110110111111010000000010110011 % -b11110110111111010000000010110011 . -b11110110111111010000000010110011 6 -b11110110111111010000000010110011 @ -b11110110111111010000000010110011 F -b11110000101101010000000010100010 ) -#291712000 -0& -#291728000 -b1000111001110 , -#291744000 -1& -#291760000 -b1100000100001000000000000011001 " -b1100000100001000000000000011001 4 -b1100000100001000000000000011001 1 -b1100000100001000000000000011001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b11100000100000011111110110111000 2 -b11100000100000011111110110111000 = -b1100011101101010001110000011111 < -b11100000100000011111110110111000 : -b1111100110011001110000110011001 $ -b1111100110011001110000110011001 - -b1111100110011001110000110011001 5 -b1111100110011001110000110011001 ? -b1111100110011001110000110011001 D -b1100011101101010001110000011111 % -b1100011101101010001110000011111 . -b1100011101101010001110000011111 6 -b1100011101101010001110000011111 @ -b1100011101101010001110000011111 F -b1100000100001000000000000011001 ) -#291776000 -0& -#291792000 -b1000111001111 , -#291808000 -1& -#291824000 -b1100101000010100000000001000000 " -b1100101000010100000000001000000 4 -b1100101000010100000000001000000 1 -b1100101000010100000000001000000 C -b0 0 -b0 H -b11010101000010010011011010111110 2 -b11010101000010010011011010111110 = -b1100111000010100000011001011110 < -b11010101000010010011011010111110 : -b1101101111111110011000001100000 $ -b1101101111111110011000001100000 - -b1101101111111110011000001100000 5 -b1101101111111110011000001100000 ? -b1101101111111110011000001100000 D -b1100111000010100000011001011110 % -b1100111000010100000011001011110 . -b1100111000010100000011001011110 6 -b1100111000010100000011001011110 @ -b1100111000010100000011001011110 F -b1100101000010100000000001000000 ) -#291840000 -0& -#291856000 -b1000111010000 , -#291872000 -1& -#291888000 -b101010000010101001000000100010 " -b101010000010101001000000100010 4 -b101010000010101001000000100010 1 -b101010000010101001000000100010 C -b11110000100110000000000000000000 0 -b11110000100110000000000000000000 H -b10100101100010101000110110011000 2 -b10100101100010101000110110011000 = -b1101011010111111101000101110010 < -b10100101100010101000110110011000 : -b111010001010101011110000100110 $ -b111010001010101011110000100110 - -b111010001010101011110000100110 5 -b111010001010101011110000100110 ? -b111010001010101011110000100110 D -b1101011010111111101000101110010 % -b1101011010111111101000101110010 . -b1101011010111111101000101110010 6 -b1101011010111111101000101110010 @ -b1101011010111111101000101110010 F -b101010000010101001000000100010 ) -#291904000 -0& -#291920000 -b1000111010001 , -#291936000 -1& -#291952000 -b1011100111101100100101101000010 " -b1011100111101100100101101000010 4 -b1011100111101100100101101000010 1 -b1011100111101100100101101000010 C -b101101001111000000000000000000 0 -b101101001111000000000000000000 H -09 -08 -b1011100111011011010101101000001 2 -b1011100111011011010101101000001 = -b1011110111101110101111111110010 < -b1011100111011011010101101000001 : -b11111101111101100100101101001111 $ -b11111101111101100100101101001111 - -b11111101111101100100101101001111 5 -b11111101111101100100101101001111 ? -b11111101111101100100101101001111 D -b1011110111101110101111111110010 % -b1011110111101110101111111110010 . -b1011110111101110101111111110010 6 -b1011110111101110101111111110010 @ -b1011110111101110101111111110010 F -b1011100111101100100101101000010 ) -#291968000 -0& -#291984000 -b1000111010010 , -#292000000 -1& -#292016000 -b1010100001000000100100011010000 " -b1010100001000000100100011010000 4 -b1010100001000000100100011010000 1 -b1010100001000000100100011010000 C -b10111110000000000000000000000000 0 -b10111110000000000000000000000000 H -b110010100111100010011011000101 2 -b110010100111100010011011000101 = -b11011110011101010100100011010101 < -b110010100111100010011011000101 : -b1010100001010001101110111110000 $ -b1010100001010001101110111110000 - -b1010100001010001101110111110000 5 -b1010100001010001101110111110000 ? -b1010100001010001101110111110000 D -b11011110011101010100100011010101 % -b11011110011101010100100011010101 . -b11011110011101010100100011010101 6 -b11011110011101010100100011010101 @ -b11011110011101010100100011010101 F -b1010100001000000100100011010000 ) -#292032000 -0& -#292048000 -b1000111010011 , -#292064000 -1& -#292080000 -b1110110111101110100100011000000 " -b1110110111101110100100011000000 4 -b1110110111101110100100011000000 1 -b1110110111101110100100011000000 C -b11111111111111111110100011110001 0 -b11111111111111111110100011110001 H -b1110110111101110100000110110001 2 -b1110110111101110100000110110001 = -b1110110111101110101100011000000 < -b1110110111101110100000110110001 : -b11111111111111111110100011110001 $ -b11111111111111111110100011110001 - -b11111111111111111110100011110001 5 -b11111111111111111110100011110001 ? -b11111111111111111110100011110001 D -b1110110111101110101100011000000 % -b1110110111101110101100011000000 . -b1110110111101110101100011000000 6 -b1110110111101110101100011000000 @ -b1110110111101110101100011000000 F -b1110110111101110100100011000000 ) -#292096000 -0& -#292112000 -b1000111010100 , -#292128000 -1& -#292144000 -b1000111011110010100010000101010 " -b1000111011110010100010000101010 4 -b1000111011110010100010000101010 1 -b1000111011110010100010000101010 C -b11101010101100110101000000000000 0 -b11101010101100110101000000000000 H -b1000111011101110100001110010101 2 -b1000111011101110100001110010101 = -b1011111111110011110110100101011 < -b1000111011101110100001110010101 : -b11100111011111010101011001101010 $ -b11100111011111010101011001101010 - -b11100111011111010101011001101010 5 -b11100111011111010101011001101010 ? -b11100111011111010101011001101010 D -b1011111111110011110110100101011 % -b1011111111110011110110100101011 . -b1011111111110011110110100101011 6 -b1011111111110011110110100101011 @ -b1011111111110011110110100101011 F -b1000111011110010100010000101010 ) -#292160000 -0& -#292176000 -b1000111010101 , -#292192000 -1& -#292208000 -b1110000110100000000100001000 " -b1110000110100000000100001000 4 -b1110000110100000000100001000 1 -b1110000110100000000100001000 C -b1111110001011110010000000000000 0 -b1111110001011110010000000000000 H -18 -b10111101111110011110110011110010 2 -b10111101111110011110110011110010 = -b1111010110100110000100101010 < -b10111101111110011110110011110010 : -b10101110100111111000101111001000 $ -b10101110100111111000101111001000 - -b10101110100111111000101111001000 5 -b10101110100111111000101111001000 ? -b10101110100111111000101111001000 D -b1111010110100110000100101010 % -b1111010110100110000100101010 . -b1111010110100110000100101010 6 -b1111010110100110000100101010 @ -b1111010110100110000100101010 F -b1110000110100000000100001000 ) -#292224000 -0& -#292240000 -b1000111010110 , -#292256000 -1& -#292272000 -b110011011111001100000001010000 " -b110011011111001100000001010000 4 -b110011011111001100000001010000 1 -b110011011111001100000001010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b110011011111001001011001001010 2 -b110011011111001001011001001010 = -b10111111111111101100000011111010 < -b110011011111001001011001001010 : -b1110011011111011101010101010000 $ -b1110011011111011101010101010000 - -b1110011011111011101010101010000 5 -b1110011011111011101010101010000 ? -b1110011011111011101010101010000 D -b10111111111111101100000011111010 % -b10111111111111101100000011111010 . -b10111111111111101100000011111010 6 -b10111111111111101100000011111010 @ -b10111111111111101100000011111010 F -b110011011111001100000001010000 ) -#292288000 -0& -#292304000 -b1000111010111 , -#292320000 -1& -#292336000 -b1101001010101110111000000101110 " -b1101001010101110111000000101110 4 -b1101001010101110111000000101110 1 -b1101001010101110111000000101110 C -b0 0 -b0 H -19 -08 -b11101001010101101110110000101101 2 -b11101001010101101110110000101101 = -b1101011010101110111101010111111 < -b11101001010101101110110000101101 : -b1111101111111110111000101101110 $ -b1111101111111110111000101101110 - -b1111101111111110111000101101110 5 -b1111101111111110111000101101110 ? -b1111101111111110111000101101110 D -b1101011010101110111101010111111 % -b1101011010101110111101010111111 . -b1101011010101110111101010111111 6 -b1101011010101110111101010111111 @ -b1101011010101110111101010111111 F -b1101001010101110111000000101110 ) -#292352000 -0& -#292368000 -b1000111011000 , -#292384000 -1& -#292400000 -b11000001111110100110100100010010 " -b11000001111110100110100100010010 4 -b11000001111110100110100100010010 1 -b11000001111110100110100100010010 C -b1001100000000000000000000000000 0 -b1001100000000000000000000000000 H -18 -09 -b11000001111110100110100100001101 2 -b11000001111110100110100100001101 = -b11111101111111110111100101111010 < -b11000001111110100110100100001101 : -b11000011111110101110111110010011 $ -b11000011111110101110111110010011 - -b11000011111110101110111110010011 5 -b11000011111110101110111110010011 ? -b11000011111110101110111110010011 D -b11111101111111110111100101111010 % -b11111101111111110111100101111010 . -b11111101111111110111100101111010 6 -b11111101111111110111100101111010 @ -b11111101111111110111100101111010 F -b11000001111110100110100100010010 ) -#292416000 -0& -#292432000 -b1000111011001 , -#292448000 -1& -#292464000 -b1111010010000101100110010000100 " -b1111010010000101100110010000100 4 -b1111010010000101100110010000100 1 -b1111010010000101100110010000100 C -b10101101001011101110110101000000 0 -b10101101001011101110110101000000 H -08 -b1111010001000101011101101111000 2 -b1111010001000101011101101111000 = -b11111111010011111100110010100100 < -b1111010001000101011101101111000 : -b1111010110100101110111011010100 $ -b1111010110100101110111011010100 - -b1111010110100101110111011010100 5 -b1111010110100101110111011010100 ? -b1111010110100101110111011010100 D -b11111111010011111100110010100100 % -b11111111010011111100110010100100 . -b11111111010011111100110010100100 6 -b11111111010011111100110010100100 @ -b11111111010011111100110010100100 F -b1111010010000101100110010000100 ) -#292480000 -0& -#292496000 -b1000111011010 , -#292512000 -1& -#292528000 -b1110110010001100100010010110000 " -b1110110010001100100010010110000 4 -b1110110010001100100010010110000 1 -b1110110010001100100010010110000 C -b1101100111100100000000000000000 0 -b1101100111100100000000000000000 H -b1110101101001100100001010100010 2 -b1110101101001100100001010100010 = -b11111111010111111101010110110000 < -b1110101101001100100001010100010 : -b1110110010001100110110011110010 $ -b1110110010001100110110011110010 - -b1110110010001100110110011110010 5 -b1110110010001100110110011110010 ? -b1110110010001100110110011110010 D -b11111111010111111101010110110000 % -b11111111010111111101010110110000 . -b11111111010111111101010110110000 6 -b11111111010111111101010110110000 @ -b11111111010111111101010110110000 F -b1110110010001100100010010110000 ) -#292544000 -0& -#292560000 -b1000111011011 , -#292576000 -1& -#292592000 -b1110111111100101010000000000000 " -b1110111111100101010000000000000 4 -b1110111111100101010000000000000 1 -b1110111111100101010000000000000 C -b11101001110001000000000000000000 0 -b11101001110001000000000000000000 H -19 -08 -b11110111111100101000101111110100 2 -b11110111111100101000101111110100 = -b1111111111111101010001000110000 < -b11110111111100101000101111110100 : -b1110111111100111110100111000100 $ -b1110111111100111110100111000100 - -b1110111111100111110100111000100 5 -b1110111111100111110100111000100 ? -b1110111111100111110100111000100 D -b1111111111111101010001000110000 % -b1111111111111101010001000110000 . -b1111111111111101010001000110000 6 -b1111111111111101010001000110000 @ -b1111111111111101010001000110000 F -b1110111111100101010000000000000 ) -#292608000 -0& -#292624000 -b1000111011100 , -#292640000 -1& -#292656000 -b1010110111101000011010110000101 " -b1010110111101000011010110000101 4 -b1010110111101000011010110000101 1 -b1010110111101000011010110000101 C -b10111000101000000000000000000000 0 -b10111000101000000000000000000000 H -09 -08 -b1010110111101000011010101011010 2 -b1010110111101000011010101011010 = -b11110110111101010011111110010101 < -b1010110111101000011010101011010 : -b1011111111111101111010111000101 $ -b1011111111111101111010111000101 - -b1011111111111101111010111000101 5 -b1011111111111101111010111000101 ? -b1011111111111101111010111000101 D -b11110110111101010011111110010101 % -b11110110111101010011111110010101 . -b11110110111101010011111110010101 6 -b11110110111101010011111110010101 @ -b11110110111101010011111110010101 F -b1010110111101000011010110000101 ) -#292672000 -0& -#292688000 -b1000111011101 , -#292704000 -1& -#292720000 -b11010000111101110001110010001000 " -b11010000111101110001110010001000 4 -b11010000111101110001110010001000 1 -b11010000111101110001110010001000 C -b11101110001110010101100000000000 0 -b11101110001110010101100000000000 H -18 -b10110000111101101101101001110101 2 -b10110000111101101101101001110101 = -b11010000111111111011110111001001 < -b10110000111101101101101001110101 : -b11011111111101110001110010101100 $ -b11011111111101110001110010101100 - -b11011111111101110001110010101100 5 -b11011111111101110001110010101100 ? -b11011111111101110001110010101100 D -b11010000111111111011110111001001 % -b11010000111111111011110111001001 . -b11010000111111111011110111001001 6 -b11010000111111111011110111001001 @ -b11010000111111111011110111001001 F -b11010000111101110001110010001000 ) -#292736000 -0& -#292752000 -b1000111011110 , -#292768000 -1& -#292784000 -b100000110101000100000001000 " -b100000110101000100000001000 4 -b100000110101000100000001000 1 -b100000110101000100000001000 C -b11101000100011011011000000000000 0 -b11101000100011011011000000000000 H -08 -b100000110100010011011100111 2 -b100000110100010011011100111 = -b11101110101110111001111000001100 < -b100000110100010011011100111 : -b10101010111101000100011011011 $ -b10101010111101000100011011011 - -b10101010111101000100011011011 5 -b10101010111101000100011011011 ? -b10101010111101000100011011011 D -b11101110101110111001111000001100 % -b11101110101110111001111000001100 . -b11101110101110111001111000001100 6 -b11101110101110111001111000001100 @ -b11101110101110111001111000001100 F -b100000110101000100000001000 ) -#292800000 -0& -#292816000 -b1000111011111 , -#292832000 -1& -#292848000 -b1011110111000110010100000000000 " -b1011110111000110010100000000000 4 -b1011110111000110010100000000000 1 -b1011110111000110010100000000000 C -b10110110100100000000000000000000 0 -b10110110100100000000000000000000 H -19 -08 -b11011110110110101010010110101111 2 -b11011110110110101010010110101111 = -b1111110111001110011100010001111 < -b11011110110110101010010110101111 : -b1011111111100110110110100100000 $ -b1011111111100110110110100100000 - -b1011111111100110110110100100000 5 -b1011111111100110110110100100000 ? -b1011111111100110110110100100000 D -b1111110111001110011100010001111 % -b1111110111001110011100010001111 . -b1111110111001110011100010001111 6 -b1111110111001110011100010001111 @ -b1111110111001110011100010001111 F -b1011110111000110010100000000000 ) -#292864000 -0& -#292880000 -b1000111100000 , -#292896000 -1& -#292912000 -b1001011010111000000100010000100 " -b1001011010111000000100010000100 4 -b1001011010111000000100010000100 1 -b1001011010111000000100010000100 C -b11100101010000100000000000000000 0 -b11100101010000100000000000000000 H -09 -08 -b1000111010110011101001101110011 2 -b1000111010110011101001101110011 = -b11101011010111000000100011101111 < -b1000111010110011101001101110011 : -b1011011111111011100101010000100 $ -b1011011111111011100101010000100 - -b1011011111111011100101010000100 5 -b1011011111111011100101010000100 ? -b1011011111111011100101010000100 D -b11101011010111000000100011101111 % -b11101011010111000000100011101111 . -b11101011010111000000100011101111 6 -b11101011010111000000100011101111 @ -b11101011010111000000100011101111 F -b1001011010111000000100010000100 ) -#292928000 -0& -#292944000 -b1000111100001 , -#292960000 -1& -#292976000 -b11100110010110110000000010000000 " -b11100110010110110000000010000000 4 -b11100110010110110000000010000000 1 -b11100110010110110000000010000000 C -b1101101100000010110100000000000 0 -b1101101100000010110100000000000 H -18 -b11100110010110100001011101110111 2 -b11100110010110100001011101110111 = -b11101111011111110001010010100111 < -b11100110010110100001011101110111 : -b11110110110110110000001011010000 $ -b11110110110110110000001011010000 - -b11110110110110110000001011010000 5 -b11110110110110110000001011010000 ? -b11110110110110110000001011010000 D -b11101111011111110001010010100111 % -b11101111011111110001010010100111 . -b11101111011111110001010010100111 6 -b11101111011111110001010010100111 @ -b11101111011111110001010010100111 F -b11100110010110110000000010000000 ) -#292992000 -0& -#293008000 -b1000111100010 , -#293024000 -1& -#293040000 -b1011111110001010101000001100100 " -b1011111110001010101000001100100 4 -b1011111110001010101000001100100 1 -b1011111110001010101000001100100 C -b11111110110111011001011001010000 0 -b11111110110111011001011001010000 H -08 -b1011111110000110010100111001001 2 -b1011111110000110010100111001001 = -b11111111110101010101000001100100 < -b1011111110000110010100111001001 : -b1011111111011011101100101100101 $ -b1011111111011011101100101100101 - -b1011111111011011101100101100101 5 -b1011111111011011101100101100101 ? -b1011111111011011101100101100101 D -b11111111110101010101000001100100 % -b11111111110101010101000001100100 . -b11111111110101010101000001100100 6 -b11111111110101010101000001100100 @ -b11111111110101010101000001100100 F -b1011111110001010101000001100100 ) -#293056000 -0& -#293072000 -b1000111100011 , -#293088000 -1& -#293104000 -b100001111111101010110100000010 " -b100001111111101010110100000010 4 -b100001111111101010110100000010 1 -b100001111111101010110100000010 C -b111111111111011110101100010000 0 -b111111111111011110101100010000 H -b10001111111101010110001100101 2 -b10001111111101010110001100101 = -b10101001111111101110111100000011 < -b10001111111101010110001100101 : -b1100111111111111011110101100010 $ -b1100111111111111011110101100010 - -b1100111111111111011110101100010 5 -b1100111111111111011110101100010 ? -b1100111111111111011110101100010 D -b10101001111111101110111100000011 % -b10101001111111101110111100000011 . -b10101001111111101110111100000011 6 -b10101001111111101110111100000011 @ -b10101001111111101110111100000011 F -b100001111111101010110100000010 ) -#293120000 -0& -#293136000 -b1000111100100 , -#293152000 -1& -#293168000 -b10100011000001100000010100101000 " -b10100011000001100000010100101000 4 -b10100011000001100000010100101000 1 -b10100011000001100000010100101000 C -b10110000001010010110000000000 0 -b10110000001010010110000000000 H -18 -19 -b1100010111111010001110010010100 2 -b1100010111111010001110010010100 = -b10101111111001110001011101101000 < -b1100010111111010001110010010100 : -b10110011000101100000010100101100 $ -b10110011000101100000010100101100 - -b10110011000101100000010100101100 5 -b10110011000101100000010100101100 ? -b10110011000101100000010100101100 D -b10101111111001110001011101101000 % -b10101111111001110001011101101000 . -b10101111111001110001011101101000 6 -b10101111111001110001011101101000 @ -b10101111111001110001011101101000 F -b10100011000001100000010100101000 ) -#293184000 -0& -#293200000 -b1000111100101 , -#293216000 -1& -#293232000 -b10100101110000010000010110001010 " -b10100101110000010000010110001010 4 -b10100101110000010000010110001010 1 -b10100101110000010000010110001010 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -09 -18 -b10100101101110000011010101101001 2 -b10100101101110000011010101101001 = -b10111111110100110000111111011011 < -b10100101101110000011010101101001 : -b11100101111001010010010110001110 $ -b11100101111001010010010110001110 - -b11100101111001010010010110001110 5 -b11100101111001010010010110001110 ? -b11100101111001010010010110001110 D -b10111111110100110000111111011011 % -b10111111110100110000111111011011 . -b10111111110100110000111111011011 6 -b10111111110100110000111111011011 @ -b10111111110100110000111111011011 F -b10100101110000010000010110001010 ) -#293248000 -0& -#293264000 -b1000111100110 , -#293280000 -1& -#293296000 -b1001011000010000010000000 " -b1001011000010000010000000 4 -b1001011000010000010000000 1 -b1001011000010000010000000 C -b1000000000000000000000000000 0 -b1000000000000000000000000000 H -08 -b1101110111010100000111101111101 2 -b1101110111010100000111101111101 = -b1101001101011000010110011111001 < -b1101110111010100000111101111101 : -b101001111011110001010000100 $ -b101001111011110001010000100 - -b101001111011110001010000100 5 -b101001111011110001010000100 ? -b101001111011110001010000100 D -b1101001101011000010110011111001 % -b1101001101011000010110011111001 . -b1101001101011000010110011111001 6 -b1101001101011000010110011111001 @ -b1101001101011000010110011111001 F -b1001011000010000010000000 ) -#293312000 -0& -#293328000 -b1000111100111 , -#293344000 -1& -#293360000 -b10101100111100101011100010000 " -b10101100111100101011100010000 4 -b10101100111100101011100010000 1 -b10101100111100101011100010000 C -b11011000000000000000000000000000 0 -b11011000000000000000000000000000 H -08 -09 -b10101100111100100111100000111 2 -b10101100111100100111100000111 = -b1011101101111110101011101010111 < -b10101100111100100111100000111 : -b10110111110111101111011110110000 $ -b10110111110111101111011110110000 - -b10110111110111101111011110110000 5 -b10110111110111101111011110110000 ? -b10110111110111101111011110110000 D -b1011101101111110101011101010111 % -b1011101101111110101011101010111 . -b1011101101111110101011101010111 6 -b1011101101111110101011101010111 @ -b1011101101111110101011101010111 F -b10101100111100101011100010000 ) -#293376000 -0& -#293392000 -b1000111101000 , -#293408000 -1& -#293424000 -b10001011000110001000100111100 " -b10001011000110001000100111100 4 -b10001011000110001000100111100 1 -b10001011000110001000100111100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b11001101011000101000001001111011 2 -b11001101011000101000001001111011 = -b10010011011111110011000100111110 < -b11001101011000101000001001111011 : -b111001111000110101000100111101 $ -b111001111000110101000100111101 - -b111001111000110101000100111101 5 -b111001111000110101000100111101 ? -b111001111000110101000100111101 D -b10010011011111110011000100111110 % -b10010011011111110011000100111110 . -b10010011011111110011000100111110 6 -b10010011011111110011000100111110 @ -b10010011011111110011000100111110 F -b10001011000110001000100111100 ) -#293440000 -0& -#293456000 -b1000111101001 , -#293472000 -1& -#293488000 -b11101110111010110100100000000000 " -b11101110111010110100100000000000 4 -b11101110111010110100100000000000 1 -b11101110111010110100100000000000 C -b11011110110100001110100000000000 0 -b11011110110100001110100000000000 H -b11101110110110101100010011111101 2 -b11101110110110101100010011111101 = -b11111110111010110101110010001001 < -b11101110110110101100010011111101 : -b11101111111011110110100001110100 $ -b11101111111011110110100001110100 - -b11101111111011110110100001110100 5 -b11101111111011110110100001110100 ? -b11101111111011110110100001110100 D -b11111110111010110101110010001001 % -b11111110111010110101110010001001 . -b11111110111010110101110010001001 6 -b11111110111010110101110010001001 @ -b11111110111010110101110010001001 F -b11101110111010110100100000000000 ) -#293504000 -0& -#293520000 -b1000111101010 , -#293536000 -1& -#293552000 -b10111101011010000001000000000 " -b10111101011010000001000000000 4 -b10111101011010000001000000000 1 -b10111101011010000001000000000 C -b11111111111010000100100100000000 0 -b11111111111010000100100100000000 H -18 -09 -b11110111101011001110110011001101 2 -b11110111101011001110110011001101 = -b10011111101011011010101010000101 < -b11110111101011001110110011001101 : -b1010111111111110100001001001000 $ -b1010111111111110100001001001000 - -b1010111111111110100001001001000 5 -b1010111111111110100001001001000 ? -b1010111111111110100001001001000 D -b10011111101011011010101010000101 % -b10011111101011011010101010000101 . -b10011111101011011010101010000101 6 -b10011111101011011010101010000101 @ -b10011111101011011010101010000101 F -b10111101011010000001000000000 ) -#293568000 -0& -#293584000 -b1000111101011 , -#293600000 -1& -#293616000 -b110101100000000100010110000000 " -b110101100000000100010110000000 4 -b110101100000000100010110000000 1 -b110101100000000100010110000000 C -b111101111000000100010111101100 0 -b111101111000000100010111101100 H -b11110101011111111001010101101100 2 -b11110101011111111001010101101100 = -b10110111100111110100111110000000 < -b11110101011111111001010101101100 : -b111101111000000100010111101100 $ -b111101111000000100010111101100 - -b111101111000000100010111101100 5 -b111101111000000100010111101100 ? -b111101111000000100010111101100 D -b10110111100111110100111110000000 % -b10110111100111110100111110000000 . -b10110111100111110100111110000000 6 -b10110111100111110100111110000000 @ -b10110111100111110100111110000000 F -b110101100000000100010110000000 ) -#293632000 -0& -#293648000 -b1000111101100 , -#293664000 -1& -#293680000 -b1110101101101101010000110101110 " -b1110101101101101010000110101110 4 -b1110101101101101010000110101110 1 -b1110101101101101010000110101110 C -b11110110110101110000000000000000 0 -b11110110110101110000000000000000 H -08 -19 -b11110011101011101001111101011101 2 -b11110011101011101001111101011101 = -b1110101111101101011000110101111 < -b11110011101011101001111101011101 : -b1111101101101111110110110101110 $ -b1111101101101111110110110101110 - -b1111101101101111110110110101110 5 -b1111101101101111110110110101110 ? -b1111101101101111110110110101110 D -b1110101111101101011000110101111 % -b1110101111101101011000110101111 . -b1110101111101101011000110101111 6 -b1110101111101101011000110101111 @ -b1110101111101101011000110101111 F -b1110101101101101010000110101110 ) -#293696000 -0& -#293712000 -b1000111101101 , -#293728000 -1& -#293744000 -b1100011101111110010000100001110 " -b1100011101111110010000100001110 4 -b1100011101111110010000100001110 1 -b1100011101111110010000100001110 C -b0 0 -b0 H -09 -08 -b1010011101111101001100011001101 2 -b1010011101111101001100011001101 = -b11100111101111110011000100111111 < -b1010011101111101001100011001101 : -b1101011111111110110011110001110 $ -b1101011111111110110011110001110 - -b1101011111111110110011110001110 5 -b1101011111111110110011110001110 ? -b1101011111111110110011110001110 D -b11100111101111110011000100111111 % -b11100111101111110011000100111111 . -b11100111101111110011000100111111 6 -b11100111101111110011000100111111 @ -b11100111101111110011000100111111 F -b1100011101111110010000100001110 ) -#293760000 -0& -#293776000 -b1000111101110 , -#293792000 -1& -#293808000 -b1010111100101001100000000101101 " -b1010111100101001100000000101101 4 -b1010111100101001100000000101101 1 -b1010111100101001100000000101101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b11010111100101001010010010101100 2 -b11010111100101001010010010101100 = -b1010111111101111110010000111111 < -b11010111100101001010010010101100 : -b1111111100111001100000001101101 $ -b1111111100111001100000001101101 - -b1111111100111001100000001101101 5 -b1111111100111001100000001101101 ? -b1111111100111001100000001101101 D -b1010111111101111110010000111111 % -b1010111111101111110010000111111 . -b1010111111101111110010000111111 6 -b1010111111101111110010000111111 @ -b1010111111101111110010000111111 F -b1010111100101001100000000101101 ) -#293824000 -0& -#293840000 -b1000111101111 , -#293856000 -1& -#293872000 -b1101000011001000010100000001110 " -b1101000011001000010100000001110 4 -b1101000011001000010100000001110 1 -b1101000011001000010100000001110 C -b10101110010111111100000000000000 0 -b10101110010111111100000000000000 H -b11101000011001000010000110001101 2 -b11101000011001000010000110001101 = -b1111011011101010110100000001110 < -b11101000011001000010000110001101 : -b1101100111011101011100101111111 $ -b1101100111011101011100101111111 - -b1101100111011101011100101111111 5 -b1101100111011101011100101111111 ? -b1101100111011101011100101111111 D -b1111011011101010110100000001110 % -b1111011011101010110100000001110 . -b1111011011101010110100000001110 6 -b1111011011101010110100000001110 @ -b1111011011101010110100000001110 F -b1101000011001000010100000001110 ) -#293888000 -0& -#293904000 -b1000111110000 , -#293920000 -1& -#293936000 -b10011111101111110100011110001001 " -b10011111101111110100011110001001 4 -b10011111101111110100011110001001 1 -b10011111101111110100011110001001 C -b1111110101011110011111000000000 0 -b1111110101011110011111000000000 H -18 -09 -b10011111101111110011111101101000 2 -b10011111101111110011111101101000 = -b11011111111111111110011111001001 < -b10011111101111110011111101101000 : -b10111111101111110101011110011111 $ -b10111111101111110101011110011111 - -b10111111101111110101011110011111 5 -b10111111101111110101011110011111 ? -b10111111101111110101011110011111 D -b11011111111111111110011111001001 % -b11011111111111111110011111001001 . -b11011111111111111110011111001001 6 -b11011111111111111110011111001001 @ -b11011111111111111110011111001001 F -b10011111101111110100011110001001 ) -#293952000 -0& -#293968000 -b1000111110001 , -#293984000 -1& -#294000000 -b1111000100111100000000001001001 " -b1111000100111100000000001001001 4 -b1111000100111100000000001001001 1 -b1111000100111100000000001001001 C -b10011010000000000000000000000000 0 -b10011010000000000000000000000000 H -08 -19 -b11110100100111010111010010100110 2 -b11110100100111010111010010100110 = -b1111001110111100101000001011001 < -b11110100100111010111010010100110 : -b1111010101111110010010001001101 $ -b1111010101111110010010001001101 - -b1111010101111110010010001001101 5 -b1111010101111110010010001001101 ? -b1111010101111110010010001001101 D -b1111001110111100101000001011001 % -b1111001110111100101000001011001 . -b1111001110111100101000001011001 6 -b1111001110111100101000001011001 @ -b1111001110111100101000001011001 F -b1111000100111100000000001001001 ) -#294016000 -0& -#294032000 -b1000111110010 , -#294048000 -1& -#294064000 -b11100111111110011110000011010 " -b11100111111110011110000011010 4 -b11100111111110011110000011010 1 -b11100111111110011110000011010 C -b11101100000000000000000000000000 0 -b11101100000000000000000000000000 H -09 -08 -b11010111111110011101110010101 2 -b11010111111110011101110010101 = -b10011101111111111011110000011010 < -b11010111111110011101110010101 : -b1111100111111110111111101111011 $ -b1111100111111110111111101111011 - -b1111100111111110111111101111011 5 -b1111100111111110111111101111011 ? -b1111100111111110111111101111011 D -b10011101111111111011110000011010 % -b10011101111111111011110000011010 . -b10011101111111111011110000011010 6 -b10011101111111111011110000011010 @ -b10011101111111111011110000011010 F -b11100111111110011110000011010 ) -#294080000 -0& -#294096000 -b1000111110011 , -#294112000 -1& -#294128000 -b1111101101000110010110001010000 " -b1111101101000110010110001010000 4 -b1111101101000110010110001010000 1 -b1111101101000110010110001010000 C -b10001110010000000000000000000000 0 -b10001110010000000000000000000000 H -19 -08 -b11111101100100100110101001000111 2 -b11111101100100100110101001000111 = -b1111111101000110010110111010101 < -b11111101100100100110101001000111 : -b1111101111011110011110001110010 $ -b1111101111011110011110001110010 - -b1111101111011110011110001110010 5 -b1111101111011110011110001110010 ? -b1111101111011110011110001110010 D -b1111111101000110010110111010101 % -b1111111101000110010110111010101 . -b1111111101000110010110111010101 6 -b1111111101000110010110111010101 @ -b1111111101000110010110111010101 F -b1111101101000110010110001010000 ) -#294144000 -0& -#294160000 -b1000111110100 , -#294176000 -1& -#294192000 -b101100001000101001011000001000 " -b101100001000101001011000001000 4 -b101100001000101001011000001000 1 -b101100001000101001011000001000 C -b101101111000011010000000000000 0 -b101101111000011010000000000000 H -18 -09 -b11101010001000101001010110100110 2 -b11101010001000101001010110100110 = -b10111100011111111011011110001100 < -b11101010001000101001010110100110 : -b101101101000101101111000011010 $ -b101101101000101101111000011010 - -b101101101000101101111000011010 5 -b101101101000101101111000011010 ? -b101101101000101101111000011010 D -b10111100011111111011011110001100 % -b10111100011111111011011110001100 . -b10111100011111111011011110001100 6 -b10111100011111111011011110001100 @ -b10111100011111111011011110001100 F -b101100001000101001011000001000 ) -#294208000 -0& -#294224000 -b1000111110101 , -#294240000 -1& -#294256000 -b1111110011100010000000010001000 " -b1111110011100010000000010001000 4 -b1111110011100010000000010001000 1 -b1111110011100010000000010001000 C -b1110111000000011000110000000000 0 -b1110111000000011000110000000000 H -08 -b1111110011100001011110000010100 2 -b1111110011100001011110000010100 = -b11111110111110011011101010001000 < -b1111110011100001011110000010100 : -b1111111011101110000000110001100 $ -b1111111011101110000000110001100 - -b1111111011101110000000110001100 5 -b1111111011101110000000110001100 ? -b1111111011101110000000110001100 D -b11111110111110011011101010001000 % -b11111110111110011011101010001000 . -b11111110111110011011101010001000 6 -b11111110111110011011101010001000 @ -b11111110111110011011101010001000 F -b1111110011100010000000010001000 ) -#294272000 -0& -#294288000 -b1000111110110 , -#294304000 -1& -#294320000 -b1001110100001110001100010000011 " -b1001110100001110001100010000011 4 -b1001110100001110001100010000011 1 -b1001110100001110001100010000011 C -b1111101001110101110111110111000 0 -b1111101001110101110111110111000 H -19 -08 -b10111110011111100111100001111010 2 -b10111110011111100111100001111010 = -b1001110110101110001101010000011 < -b10111110011111100111100001111010 : -b1101111101001110101110111110111 $ -b1101111101001110101110111110111 - -b1101111101001110101110111110111 5 -b1101111101001110101110111110111 ? -b1101111101001110101110111110111 D -b1001110110101110001101010000011 % -b1001110110101110001101010000011 . -b1001110110101110001101010000011 6 -b1001110110101110001101010000011 @ -b1001110110101110001101010000011 F -b1001110100001110001100010000011 ) -#294336000 -0& -#294352000 -b1000111110111 , -#294368000 -1& -#294384000 -b110011010111000001010000110001 " -b110011010111000001010000110001 4 -b110011010111000001010000110001 1 -b110011010111000001010000110001 C -b10001110001000000000000000000000 0 -b10001110001000000000000000000000 H -09 -08 -b110011010110110101010000100110 2 -b110011010110110101010000100110 = -b1111011010111000011011110110101 < -b110011010110110101010000100110 : -b10110111111111110001110001110001 $ -b10110111111111110001110001110001 - -b10110111111111110001110001110001 5 -b10110111111111110001110001110001 ? -b10110111111111110001110001110001 D -b1111011010111000011011110110101 % -b1111011010111000011011110110101 . -b1111011010111000011011110110101 6 -b1111011010111000011011110110101 @ -b1111011010111000011011110110101 F -b110011010111000001010000110001 ) -#294400000 -0& -#294416000 -b1000111111000 , -#294432000 -1& -#294448000 -b100101011001010000100000000000 " -b100101011001010000100000000000 4 -b100101011001010000100000000000 1 -b100101011001010000100000000000 C -b1101000000100000000000000000000 0 -b1101000000100000000000000000000 H -b100101011001001110001110010110 2 -b100101011001001110001110010110 = -b10110101011001110100100110010010 < -b100101011001001110001110010110 : -b1101111111111011001101000000100 $ -b1101111111111011001101000000100 - -b1101111111111011001101000000100 5 -b1101111111111011001101000000100 ? -b1101111111111011001101000000100 D -b10110101011001110100100110010010 % -b10110101011001110100100110010010 . -b10110101011001110100100110010010 6 -b10110101011001110100100110010010 @ -b10110101011001110100100110010010 F -b100101011001010000100000000000 ) -#294464000 -0& -#294480000 -b1000111111001 , -#294496000 -1& -#294512000 -b1100000001101100001001100111100 " -b1100000001101100001001100111100 4 -b1100000001101100001001100111100 1 -b1100000001101100001001100111100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1010100001101011100101001111001 2 -b1010100001101011100101001111001 = -b11100001101101101001011100111101 < -b1010100001101011100101001111001 : -b1110010011111110011001100111100 $ -b1110010011111110011001100111100 - -b1110010011111110011001100111100 5 -b1110010011111110011001100111100 ? -b1110010011111110011001100111100 D -b11100001101101101001011100111101 % -b11100001101101101001011100111101 . -b11100001101101101001011100111101 6 -b11100001101101101001011100111101 @ -b11100001101101101001011100111101 F -b1100000001101100001001100111100 ) -#294528000 -0& -#294544000 -b1000111111010 , -#294560000 -1& -#294576000 -b1010110101111001001010000000000 " -b1010110101111001001010000000000 4 -b1010110101111001001010000000000 1 -b1010110101111001001010000000000 C -b11101111001001111100011000000000 0 -b11101111001001111100011000000000 H -19 -08 -b11010110101110111001001111111110 2 -b11010110101110111001001111111110 = -b1011110111111101111010011100110 < -b11010110101110111001001111111110 : -b1110111101111001001111100011000 $ -b1110111101111001001111100011000 - -b1110111101111001001111100011000 5 -b1110111101111001001111100011000 ? -b1110111101111001001111100011000 D -b1011110111111101111010011100110 % -b1011110111111101111010011100110 . -b1011110111111101111010011100110 6 -b1011110111111101111010011100110 @ -b1011110111111101111010011100110 F -b1010110101111001001010000000000 ) -#294592000 -0& -#294608000 -b1000111111011 , -#294624000 -1& -#294640000 -b1111000101000110100000101001001 " -b1111000101000110100000101001001 4 -b1111000101000110100000101001001 1 -b1111000101000110100000101001001 C -b1101000001110010010000000000000 0 -b1101000001110010010000000000000 H -b11111000100100110011000100110110 2 -b11111000100100110011000100110110 = -b1111111101011111110111101101101 < -b11111000100100110011000100110110 : -b1111000111000110100000111001001 $ -b1111000111000110100000111001001 - -b1111000111000110100000111001001 5 -b1111000111000110100000111001001 ? -b1111000111000110100000111001001 D -b1111111101011111110111101101101 % -b1111111101011111110111101101101 . -b1111111101011111110111101101101 6 -b1111111101011111110111101101101 @ -b1111111101011111110111101101101 F -b1111000101000110100000101001001 ) -#294656000 -0& -#294672000 -b1000111111100 , -#294688000 -1& -#294704000 -b11001111110011001101000110001 " -b11001111110011001101000110001 4 -b11001111110011001101000110001 1 -b11001111110011001101000110001 C -b10111100100000000000000000000000 0 -b10111100100000000000000000000000 H -09 -08 -b1010111111110011001101000110000 2 -b1010111111110011001101000110000 = -b111001111111011111111010110111 < -b1010111111110011001101000110000 : -b11101111110111001101101111001 $ -b11101111110111001101101111001 - -b11101111110111001101101111001 5 -b11101111110111001101101111001 ? -b11101111110111001101101111001 D -b111001111111011111111010110111 % -b111001111111011111111010110111 . -b111001111111011111111010110111 6 -b111001111111011111111010110111 @ -b111001111111011111111010110111 F -b11001111110011001101000110001 ) -#294720000 -0& -#294736000 -b1000111111101 , -#294752000 -1& -#294768000 -b10110010000000100000000000100111 " -b10110010000000100000000000100111 4 -b10110010000000100000000000100111 1 -b10110010000000100000000000100111 C -b10011100000000000000000000000 0 -b10011100000000000000000000000 H -18 -b10110001001100011111110010011110 2 -b10110001001100011111110010011110 = -b11110011001001111101100001110111 < -b10110001001100011111110010011110 : -b10111110000010100010010000100111 $ -b10111110000010100010010000100111 - -b10111110000010100010010000100111 5 -b10111110000010100010010000100111 ? -b10111110000010100010010000100111 D -b11110011001001111101100001110111 % -b11110011001001111101100001110111 . -b11110011001001111101100001110111 6 -b11110011001001111101100001110111 @ -b11110011001001111101100001110111 F -b10110010000000100000000000100111 ) -#294784000 -0& -#294800000 -b1000111111110 , -#294816000 -1& -#294832000 -b10101011000001010000000000000000 " -b10101011000001010000000000000000 4 -b10101011000001010000000000000000 1 -b10101011000001010000000000000000 C -b10101111001001011010010101001110 0 -b10101111001001011010010101001110 H -19 -18 -b1101010011011001010110101101110 2 -b1101010011011001010110101101110 = -b10111011010001110000100000100000 < -b1101010011011001010110101101110 : -b10101111001001011010010101001110 $ -b10101111001001011010010101001110 - -b10101111001001011010010101001110 5 -b10101111001001011010010101001110 ? -b10101111001001011010010101001110 D -b10111011010001110000100000100000 % -b10111011010001110000100000100000 . -b10111011010001110000100000100000 6 -b10111011010001110000100000100000 @ -b10111011010001110000100000100000 F -b10101011000001010000000000000000 ) -#294848000 -0& -#294864000 -b1000111111111 , -#294880000 -1& -#294896000 -b11010000000010101001110010000 " -b11010000000010101001110010000 4 -b11010000000010101001110010000 1 -b11010000000010101001110010000 C -b11011011100100010000000000000000 0 -b11011011100100010000000000000000 H -09 -18 -b11111010000000010011001101100001 2 -b11111010000000010011001101100001 = -b1011011110000110101011111010000 < -b11111010000000010011001101100001 : -b10011110001111011101101110010001 $ -b10011110001111011101101110010001 - -b10011110001111011101101110010001 5 -b10011110001111011101101110010001 ? -b10011110001111011101101110010001 D -b1011011110000110101011111010000 % -b1011011110000110101011111010000 . -b1011011110000110101011111010000 6 -b1011011110000110101011111010000 @ -b1011011110000110101011111010000 F -b11010000000010101001110010000 ) -#294912000 -0& -#294928000 -b1001000000000 , -#294944000 -1& -#294960000 -b1100111100111110000110000000100 " -b1100111100111110000110000000100 4 -b1100111100111110000110000000100 1 -b1100111100111110000110000000100 C -b1111011111100011110001011000000 0 -b1111011111100011110001011000000 H -08 -b1010111100111100010101011110000 2 -b1010111100111100010101011110000 = -b11101111110111110000110011000100 < -b1010111100111100010101011110000 : -b1100111101111110001111000101100 $ -b1100111101111110001111000101100 - -b1100111101111110001111000101100 5 -b1100111101111110001111000101100 ? -b1100111101111110001111000101100 D -b11101111110111110000110011000100 % -b11101111110111110000110011000100 . -b11101111110111110000110011000100 6 -b11101111110111110000110011000100 @ -b11101111110111110000110011000100 F -b1100111100111110000110000000100 ) -#294976000 -0& -#294992000 -b1001000000001 , -#295008000 -1& -#295024000 -b110000011010001001000000000 " -b110000011010001001000000000 4 -b110000011010001001000000000 1 -b110000011010001001000000000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -b101110011000110100111110001 2 -b101110011000110100111110001 = -b10010110100111010001011111110001 < -b101110011000110100111110001 : -b1101111001011110101001000000000 $ -b1101111001011110101001000000000 - -b1101111001011110101001000000000 5 -b1101111001011110101001000000000 ? -b1101111001011110101001000000000 D -b10010110100111010001011111110001 % -b10010110100111010001011111110001 . -b10010110100111010001011111110001 6 -b10010110100111010001011111110001 @ -b10010110100111010001011111110001 F -b110000011010001001000000000 ) -#295040000 -0& -#295056000 -b1001000000010 , -#295072000 -1& -#295088000 -b1110010111101001001000000001000 " -b1110010111101001001000000001000 4 -b1110010111101001001000000001000 1 -b1110010111101001001000000001000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -b1101110111101000100101111100101 2 -b1101110111101000100101111100101 = -b1110011111101011011100101011100 < -b1101110111101000100101111100101 : -b11111010111111101001001010001001 $ -b11111010111111101001001010001001 - -b11111010111111101001001010001001 5 -b11111010111111101001001010001001 ? -b11111010111111101001001010001001 D -b1110011111101011011100101011100 % -b1110011111101011011100101011100 . -b1110011111101011011100101011100 6 -b1110011111101011011100101011100 @ -b1110011111101011011100101011100 F -b1110010111101001001000000001000 ) -#295104000 -0& -#295120000 -b1001000000011 , -#295136000 -1& -#295152000 -b1001001111111011100000000010000 " -b1001001111111011100000000010000 4 -b1001001111111011100000000010000 1 -b1001001111111011100000000010000 C -b10001101100000000000000000000 0 -b10001101100000000000000000000 H -b1001001111111011000111111001111 2 -b1001001111111011000111111001111 = -b11011101111111111100111010110100 < -b1001001111111011000111111001111 : -b1101011111111011100000100011011 $ -b1101011111111011100000100011011 - -b1101011111111011100000100011011 5 -b1101011111111011100000100011011 ? -b1101011111111011100000100011011 D -b11011101111111111100111010110100 % -b11011101111111111100111010110100 . -b11011101111111111100111010110100 6 -b11011101111111111100111010110100 @ -b11011101111111111100111010110100 F -b1001001111111011100000000010000 ) -#295168000 -0& -#295184000 -b1001000000100 , -#295200000 -1& -#295216000 -b10111100111100000001000000000 " -b10111100111100000001000000000 4 -b10111100111100000001000000000 1 -b10111100111100000001000000000 C -b111000100000000000000000000 0 -b111000100000000000000000000 H -b10111100111011011110111111001 2 -b10111100111011011110111111001 = -b1111111110111100011101001110001 < -b10111100111011011110111111001 : -b10010111101111111000001110001000 $ -b10010111101111111000001110001000 - -b10010111101111111000001110001000 5 -b10010111101111111000001110001000 ? -b10010111101111111000001110001000 D -b1111111110111100011101001110001 % -b1111111110111100011101001110001 . -b1111111110111100011101001110001 6 -b1111111110111100011101001110001 @ -b1111111110111100011101001110001 F -b10111100111100000001000000000 ) -#295232000 -0& -#295248000 -b1001000000101 , -#295264000 -1& -#295280000 -b1110100101101100010100100001000 " -b1110100101101100010100100001000 4 -b1110100101101100010100100001000 1 -b1110100101101100010100100001000 C -b10111110001010110001100000000000 0 -b10111110001010110001100000000000 H -b1110100101101011101010100000000 2 -b1110100101101011101010100000000 = -b1110110111101111010100111101000 < -b1110100101101011101010100000000 : -b11111101101111100010101100011000 $ -b11111101101111100010101100011000 - -b11111101101111100010101100011000 5 -b11111101101111100010101100011000 ? -b11111101101111100010101100011000 D -b1110110111101111010100111101000 % -b1110110111101111010100111101000 . -b1110110111101111010100111101000 6 -b1110110111101111010100111101000 @ -b1110110111101111010100111101000 F -b1110100101101100010100100001000 ) -#295296000 -0& -#295312000 -b1001000000110 , -#295328000 -1& -#295344000 -b1101101111010110100100100000000 " -b1101101111010110100100100000000 4 -b1101101111010110100100100000000 1 -b1101101111010110100100100000000 C -b11110110100100100000010000000000 0 -b11110110100100100000010000000000 H -b1101011111010110100100011101011 2 -b1101011111010110100100011101011 = -b1111101111011111111111111101001 < -b1101011111010110100100011101011 : -b11101101111110110100100100000010 $ -b11101101111110110100100100000010 - -b11101101111110110100100100000010 5 -b11101101111110110100100100000010 ? -b11101101111110110100100100000010 D -b1111101111011111111111111101001 % -b1111101111011111111111111101001 . -b1111101111011111111111111101001 6 -b1111101111011111111111111101001 @ -b1111101111011111111111111101001 F -b1101101111010110100100100000000 ) -#295360000 -0& -#295376000 -b1001000000111 , -#295392000 -1& -#295408000 -b111011100101110100001000010010 " -b111011100101110100001000010010 4 -b111011100101110100001000010010 1 -b111011100101110100001000010010 C -b1111000011011000000000000000000 0 -b1111000011011000000000000000000 H -19 -08 -b10111011100011101010000110001101 2 -b10111011100011101010000110001101 = -b1111111101101110100001101110010 < -b10111011100011101010000110001101 : -b111011110101110101111000011011 $ -b111011110101110101111000011011 - -b111011110101110101111000011011 5 -b111011110101110101111000011011 ? -b111011110101110101111000011011 D -b1111111101101110100001101110010 % -b1111111101101110100001101110010 . -b1111111101101110100001101110010 6 -b1111111101101110100001101110010 @ -b1111111101101110100001101110010 F -b111011100101110100001000010010 ) -#295424000 -0& -#295440000 -b1001000001000 , -#295456000 -1& -#295472000 -b11101100100000010100000000000 " -b11101100100000010100000000000 4 -b11101100100000010100000000000 1 -b11101100100000010100000000000 C -b10010010000000000000000000000000 0 -b10010010000000000000000000000000 H -b10011101100011110101011101110100 2 -b10011101100011110101011101110100 = -b111111100111010010111001010100 < -b10011101100011110101011101110100 : -b1011101111100100010100100100000 $ -b1011101111100100010100100100000 - -b1011101111100100010100100100000 5 -b1011101111100100010100100100000 ? -b1011101111100100010100100100000 D -b111111100111010010111001010100 % -b111111100111010010111001010100 . -b111111100111010010111001010100 6 -b111111100111010010111001010100 @ -b111111100111010010111001010100 F -b11101100100000010100000000000 ) -#295488000 -0& -#295504000 -b1001000001001 , -#295520000 -1& -#295536000 -b1111111011100100001001000000000 " -b1111111011100100001001000000000 4 -b1111111011100100001001000000000 1 -b1111111011100100001001000000000 C -b11111101111010000110101001010000 0 -b11111101111010000110101001010000 H -09 -08 -b1111111011100011000110010010110 2 -b1111111011100011000110010010110 = -b1111111111101110111001000000010 < -b1111111011100011000110010010110 : -b11111111011110100001101010010100 $ -b11111111011110100001101010010100 - -b11111111011110100001101010010100 5 -b11111111011110100001101010010100 ? -b11111111011110100001101010010100 D -b1111111111101110111001000000010 % -b1111111111101110111001000000010 . -b1111111111101110111001000000010 6 -b1111111111101110111001000000010 @ -b1111111111101110111001000000010 F -b1111111011100100001001000000000 ) -#295552000 -0& -#295568000 -b1001000001010 , -#295584000 -1& -#295600000 -b10110101111100110000110010010 " -b10110101111100110000110010010 4 -b10110101111100110000110010010 1 -b10110101111100110000110010010 C -b10101110010111000000000000000000 0 -b10101110010111000000000000000000 H -18 -b11110110101111100110000101001001 2 -b11110110101111100110000101001001 = -b11010111111111111111010110110010 < -b11110110101111100110000101001001 : -b11110101111100110101110010111 $ -b11110101111100110101110010111 - -b11110101111100110101110010111 5 -b11110101111100110101110010111 ? -b11110101111100110101110010111 D -b11010111111111111111010110110010 % -b11010111111111111111010110110010 . -b11010111111111111111010110110010 6 -b11010111111111111111010110110010 @ -b11010111111111111111010110110010 F -b10110101111100110000110010010 ) -#295616000 -0& -#295632000 -b1001000001011 , -#295648000 -1& -#295664000 -b11011111100111110000001100100100 " -b11011111100111110000001100100100 4 -b11011111100111110000001100100100 1 -b11011111100111110000001100100100 C -b11001101110111010000000000000000 0 -b11001101110111010000000000000000 H -b11011111100111100111101010100010 2 -b11011111100111100111101010100010 = -b11011111100111110100001100101110 < -b11011111100111100111101010100010 : -b11111111111111110011011101110100 $ -b11111111111111110011011101110100 - -b11111111111111110011011101110100 5 -b11111111111111110011011101110100 ? -b11111111111111110011011101110100 D -b11011111100111110100001100101110 % -b11011111100111110100001100101110 . -b11011111100111110100001100101110 6 -b11011111100111110100001100101110 @ -b11011111100111110100001100101110 F -b11011111100111110000001100100100 ) -#295680000 -0& -#295696000 -b1001000001100 , -#295712000 -1& -#295728000 -b10010000111101000000000000000 " -b10010000111101000000000000000 4 -b10010000111101000000000000000 1 -b10010000111101000000000000000 C -b0 0 -b0 H -08 -b10001110111100001101111111101 2 -b10001110111100001101111111101 = -b1011110001111101000001010111101 < -b10001110111100001101111111101 : -b10110011100111111001100101000000 $ -b10110011100111111001100101000000 - -b10110011100111111001100101000000 5 -b10110011100111111001100101000000 ? -b10110011100111111001100101000000 D -b1011110001111101000001010111101 % -b1011110001111101000001010111101 . -b1011110001111101000001010111101 6 -b1011110001111101000001010111101 @ -b1011110001111101000001010111101 F -b10010000111101000000000000000 ) -#295744000 -0& -#295760000 -b1001000001101 , -#295776000 -1& -#295792000 -b11000100010010001101110000010 " -b11000100010010001101110000010 4 -b11000100010010001101110000010 1 -b11000100010010001101110000010 C -b11000001000000000000000000000000 0 -b11000001000000000000000000000000 H -b10110100010010001011100111001 2 -b10110100010010001011100111001 = -b10011001111111010101101110110111 < -b10110100010010001011100111001 : -b1111100100010111011101110000010 $ -b1111100100010111011101110000010 - -b1111100100010111011101110000010 5 -b1111100100010111011101110000010 ? -b1111100100010111011101110000010 D -b10011001111111010101101110110111 % -b10011001111111010101101110110111 . -b10011001111111010101101110110111 6 -b10011001111111010101101110110111 @ -b10011001111111010101101110110111 F -b11000100010010001101110000010 ) -#295808000 -0& -#295824000 -b1001000001110 , -#295840000 -1& -#295856000 -b1111100001111100011000001000000 " -b1111100001111100011000001000000 4 -b1111100001111100011000001000000 1 -b1111100001111100011000001000000 C -b11111000010010100000000000000000 0 -b11111000010010100000000000000000 H -19 -08 -b11111010111111100010100010011010 2 -b11111010111111100010100010011010 = -b1111110001111100011000001010000 < -b11111010111111100010100010011010 : -b1111100101111111111100001001010 $ -b1111100101111111111100001001010 - -b1111100101111111111100001001010 5 -b1111100101111111111100001001010 ? -b1111100101111111111100001001010 D -b1111110001111100011000001010000 % -b1111110001111100011000001010000 . -b1111110001111100011000001010000 6 -b1111110001111100011000001010000 @ -b1111110001111100011000001010000 F -b1111100001111100011000001000000 ) -#295872000 -0& -#295888000 -b1001000001111 , -#295904000 -1& -#295920000 -b100110111000110000100101010100 " -b100110111000110000100101010100 4 -b100110111000110000100101010100 1 -b100110111000110000100101010100 C -b0 0 -b0 H -09 -08 -b100110111000100111011011010010 2 -b100110111000100111011011010010 = -b11100111111110110010100101111110 < -b100110111000100111011011010010 : -b111110111001110100110101010100 $ -b111110111001110100110101010100 - -b111110111001110100110101010100 5 -b111110111001110100110101010100 ? -b111110111001110100110101010100 D -b11100111111110110010100101111110 % -b11100111111110110010100101111110 . -b11100111111110110010100101111110 6 -b11100111111110110010100101111110 @ -b11100111111110110010100101111110 F -b100110111000110000100101010100 ) -#295936000 -0& -#295952000 -b1001000010000 , -#295968000 -1& -#295984000 -b110000000010100000000010001100 " -b110000000010100000000010001100 4 -b110000000010100000000010001100 1 -b110000000010100000000010001100 C -18 -b11110000000001010111111110001010 2 -b11110000000001010111111110001010 = -b10111010110010110011010010111110 < -b11110000000001010111111110001010 : -b110101001110100100101011001100 $ -b110101001110100100101011001100 - -b110101001110100100101011001100 5 -b110101001110100100101011001100 ? -b110101001110100100101011001100 D -b10111010110010110011010010111110 % -b10111010110010110011010010111110 . -b10111010110010110011010010111110 6 -b10111010110010110011010010111110 @ -b10111010110010110011010010111110 F -b110000000010100000000010001100 ) -#296000000 -0& -#296016000 -b1001000010001 , -#296032000 -1& -#296048000 -b101000010010000000100111000000 " -b101000010010000000100111000000 4 -b101000010010000000100111000000 1 -b101000010010000000100111000000 C -b1111111111101010001001110101100 0 -b1111111111101010001001110101100 H -08 -b101000010000111001001110010111 2 -b101000010000111001001110010111 = -b1101000010010010000100111000001 < -b101000010000111001001110010111 : -b10111111111110101000100111010110 $ -b10111111111110101000100111010110 - -b10111111111110101000100111010110 5 -b10111111111110101000100111010110 ? -b10111111111110101000100111010110 D -b1101000010010010000100111000001 % -b1101000010010010000100111000001 . -b1101000010010010000100111000001 6 -b1101000010010010000100111000001 @ -b1101000010010010000100111000001 F -b101000010010000000100111000000 ) -#296064000 -0& -#296080000 -b1001000010010 , -#296096000 -1& -#296112000 -b1010110000101100000000000101000 " -b1010110000101100000000000101000 4 -b1010110000101100000000000101000 1 -b1010110000101100000000000101000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -b1010110000101011100011011100101 2 -b1010110000101011100011011100101 = -b11011111100111100000000010111100 < -b1010110000101011100011011100101 : -b1110110011101111100011000101001 $ -b1110110011101111100011000101001 - -b1110110011101111100011000101001 5 -b1110110011101111100011000101001 ? -b1110110011101111100011000101001 D -b11011111100111100000000010111100 % -b11011111100111100000000010111100 . -b11011111100111100000000010111100 6 -b11011111100111100000000010111100 @ -b11011111100111100000000010111100 F -b1010110000101100000000000101000 ) -#296128000 -0& -#296144000 -b1001000010011 , -#296160000 -1& -#296176000 -b1000101010011110010110000010010 " -b1000101010011110010110000010010 4 -b1000101010011110010110000010010 1 -b1000101010011110010110000010010 C -b10110001110010000000000000000000 0 -b10110001110010000000000000000000 H -19 -08 -b11000101010011101101101100000100 2 -b11000101010011101101101100000100 = -b1010101010111111010111010010010 < -b11000101010011101101101100000100 : -b1101111111011110010110001110010 $ -b1101111111011110010110001110010 - -b1101111111011110010110001110010 5 -b1101111111011110010110001110010 ? -b1101111111011110010110001110010 D -b1010101010111111010111010010010 % -b1010101010111111010111010010010 . -b1010101010111111010111010010010 6 -b1010101010111111010111010010010 @ -b1010101010111111010111010010010 F -b1000101010011110010110000010010 ) -#296192000 -0& -#296208000 -b1001000010100 , -#296224000 -1& -#296240000 -b1100111100011000000010000000 " -b1100111100011000000010000000 4 -b1100111100011000000010000000 1 -b1100111100011000000010000000 C -b1110001000101100100000000000000 0 -b1110001000101100100000000000000 H -b10001100111100010011000001111111 2 -b10001100111100010011000001111111 = -b1101101111111011010011111001101 < -b10001100111100010011000001111111 : -b11110111100111000100010110010 $ -b11110111100111000100010110010 - -b11110111100111000100010110010 5 -b11110111100111000100010110010 ? -b11110111100111000100010110010 D -b1101101111111011010011111001101 % -b1101101111111011010011111001101 . -b1101101111111011010011111001101 6 -b1101101111111011010011111001101 @ -b1101101111111011010011111001101 F -b1100111100011000000010000000 ) -#296256000 -0& -#296272000 -b1001000010101 , -#296288000 -1& -#296304000 -b10010101001010100000001010010000 " -b10010101001010100000001010010000 4 -b10010101001010100000001010010000 1 -b10010101001010100000001010010000 C -b1010110010000000000000000000000 0 -b1010110010000000000000000000000 H -18 -09 -b10010010110110010010101001000111 2 -b10010010110110010010101001000111 = -b11111101001010110010011110010101 < -b10010010110110010010101001000111 : -b10010101101011100000001010110010 $ -b10010101101011100000001010110010 - -b10010101101011100000001010110010 5 -b10010101101011100000001010110010 ? -b10010101101011100000001010110010 D -b11111101001010110010011110010101 % -b11111101001010110010011110010101 . -b11111101001010110010011110010101 6 -b11111101001010110010011110010101 @ -b11111101001010110010011110010101 F -b10010101001010100000001010010000 ) -#296320000 -0& -#296336000 -b1001000010110 , -#296352000 -1& -#296368000 -b10110100000011101111000010011001 " -b10110100000011101111000010011001 4 -b10110100000011101111000010011001 1 -b10110100000011101111000010011001 C -b10110010000000000000000000000000 0 -b10110010000000000000000000000000 H -b10110100000011011110101001110010 2 -b10110100000011011110101001110010 = -b10111100101011101111000110011001 < -b10110100000011011110101001110010 : -b11110111010111101111100011011001 $ -b11110111010111101111100011011001 - -b11110111010111101111100011011001 5 -b11110111010111101111100011011001 ? -b11110111010111101111100011011001 D -b10111100101011101111000110011001 % -b10111100101011101111000110011001 . -b10111100101011101111000110011001 6 -b10111100101011101111000110011001 @ -b10111100101011101111000110011001 F -b10110100000011101111000010011001 ) -#296384000 -0& -#296400000 -b1001000010111 , -#296416000 -1& -#296432000 -b11110000100100000100001000100 " -b11110000100100000100001000100 4 -b11110000100100000100001000100 1 -b11110000100100000100001000100 C -b110011010000000000000000000000 0 -b110011010000000000000000000000 H -09 -08 -b11110000100001001100000100011 2 -b11110000100001001100000100011 = -b1111111100100101000101101010110 < -b11110000100001001100000100011 : -b10011110011111100000110011001101 $ -b10011110011111100000110011001101 - -b10011110011111100000110011001101 5 -b10011110011111100000110011001101 ? -b10011110011111100000110011001101 D -b1111111100100101000101101010110 % -b1111111100100101000101101010110 . -b1111111100100101000101101010110 6 -b1111111100100101000101101010110 @ -b1111111100100101000101101010110 F -b11110000100100000100001000100 ) -#296448000 -0& -#296464000 -b1001000011000 , -#296480000 -1& -#296496000 -b1000100010000000101000110000000 " -b1000100010000000101000110000000 4 -b1000100010000000101000110000000 1 -b1000100010000000101000110000000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b1000001001111000011000100111111 2 -b1000001001111000011000100111111 = -b1001100110100001101100110011101 < -b1000001001111000011000100111111 : -b11110100011010110101011110100010 $ -b11110100011010110101011110100010 - -b11110100011010110101011110100010 5 -b11110100011010110101011110100010 ? -b11110100011010110101011110100010 D -b1001100110100001101100110011101 % -b1001100110100001101100110011101 . -b1001100110100001101100110011101 6 -b1001100110100001101100110011101 @ -b1001100110100001101100110011101 F -b1000100010000000101000110000000 ) -#296512000 -0& -#296528000 -b1001000011001 , -#296544000 -1& -#296560000 -b1010010100000000010011000000110 " -b1010010100000000010011000000110 4 -b1010010100000000010011000000110 1 -b1010010100000000010011000000110 C -b0 0 -b0 H -b1010010010111100101010111100101 2 -b1010010010111100101010111100101 = -b1111010100111000010011110011111 < -b1010010010111100101010111100101 : -b11010111110000100010111001000110 $ -b11010111110000100010111001000110 - -b11010111110000100010111001000110 5 -b11010111110000100010111001000110 ? -b11010111110000100010111001000110 D -b1111010100111000010011110011111 % -b1111010100111000010011110011111 . -b1111010100111000010011110011111 6 -b1111010100111000010011110011111 @ -b1111010100111000010011110011111 F -b1010010100000000010011000000110 ) -#296576000 -0& -#296592000 -b1001000011010 , -#296608000 -1& -#296624000 -b100111011001001010000001011000 " -b100111011001001010000001011000 4 -b100111011001001010000001011000 1 -b100111011001001010000001011000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b100111011001001000111101010110 2 -b100111011001001000111101010110 = -b10101111111011001110110001111100 < -b100111011001001000111101010110 : -b1110111011101111010001011011010 $ -b1110111011101111010001011011010 - -b1110111011101111010001011011010 5 -b1110111011101111010001011011010 ? -b1110111011101111010001011011010 D -b10101111111011001110110001111100 % -b10101111111011001110110001111100 . -b10101111111011001110110001111100 6 -b10101111111011001110110001111100 @ -b10101111111011001110110001111100 F -b100111011001001010000001011000 ) -#296640000 -0& -#296656000 -b1001000011011 , -#296672000 -1& -#296688000 -b11001111110110000010100001000111 " -b11001111110110000010100001000111 4 -b11001111110110000010100001000111 1 -b11001111110110000010100001000111 C -b10110110001000111000000000000000 0 -b10110110001000111000000000000000 H -18 -b11001111110101011001100000010110 2 -b11001111110101011001100000010110 = -b11111111110111000010101111001111 < -b11001111110101011001100000010110 : -b11001111111110010110110001000111 $ -b11001111111110010110110001000111 - -b11001111111110010110110001000111 5 -b11001111111110010110110001000111 ? -b11001111111110010110110001000111 D -b11111111110111000010101111001111 % -b11111111110111000010101111001111 . -b11111111110111000010101111001111 6 -b11111111110111000010101111001111 @ -b11111111110111000010101111001111 F -b11001111110110000010100001000111 ) -#296704000 -0& -#296720000 -b1001000011100 , -#296736000 -1& -#296752000 -b110101110111010001000001100000 " -b110101110111010001000001100000 4 -b110101110111010001000001100000 1 -b110101110111010001000001100000 C -b11111101110101000111011000000000 0 -b11111101110101000111011000000000 H -08 -b110101110111010000110111011110 2 -b110101110111010000110111011110 = -b10111111110111110011100101101000 < -b110101110111010000110111011110 : -b1110101111111011101010001110110 $ -b1110101111111011101010001110110 - -b1110101111111011101010001110110 5 -b1110101111111011101010001110110 ? -b1110101111111011101010001110110 D -b10111111110111110011100101101000 % -b10111111110111110011100101101000 . -b10111111110111110011100101101000 6 -b10111111110111110011100101101000 @ -b10111111110111110011100101101000 F -b110101110111010001000001100000 ) -#296768000 -0& -#296784000 -b1001000011101 , -#296800000 -1& -#296816000 -b11111010011100010100110101101000 " -b11111010011100010100110101101000 4 -b11111010011100010100110101101000 1 -b11111010011100010100110101101000 C -b1010100111111101011000000000000 0 -b1010100111111101011000000000000 H -18 -b11111010011011101001110101010111 2 -b11111010011011101001110101010111 = -b11111110111110010100110101101100 < -b11111010011011101001110101010111 : -b11111011011101010100111111101011 $ -b11111011011101010100111111101011 - -b11111011011101010100111111101011 5 -b11111011011101010100111111101011 ? -b11111011011101010100111111101011 D -b11111110111110010100110101101100 % -b11111110111110010100110101101100 . -b11111110111110010100110101101100 6 -b11111110111110010100110101101100 @ -b11111110111110010100110101101100 F -b11111010011100010100110101101000 ) -#296832000 -0& -#296848000 -b1001000011110 , -#296864000 -1& -#296880000 -b100010110101100000100001101001 " -b100010110101100000100001101001 4 -b100010110101100000100001101001 1 -b100010110101100000100001101001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -09 -b11100010110101001001011111101000 2 -b11100010110101001001011111101000 = -b10101011111111100000100101111111 < -b11100010110101001001011111101000 : -b110110110101101000111001101001 $ -b110110110101101000111001101001 - -b110110110101101000111001101001 5 -b110110110101101000111001101001 ? -b110110110101101000111001101001 D -b10101011111111100000100101111111 % -b10101011111111100000100101111111 . -b10101011111111100000100101111111 6 -b10101011111111100000100101111111 @ -b10101011111111100000100101111111 F -b100010110101100000100001101001 ) -#296896000 -0& -#296912000 -b1001000011111 , -#296928000 -1& -#296944000 -b10010110110001101000011110000011 " -b10010110110001101000011110000011 4 -b10010110110001101000011110000011 1 -b10010110110001101000011110000011 C -b110101101111101011100000000000 0 -b110101101111101011100000000000 H -19 -18 -b1010110110001100011111101100010 2 -b1010110110001100011111101100010 = -b10111111110111111000011110001011 < -b1010110110001100011111101100010 : -b10010110111001101011011111010111 $ -b10010110111001101011011111010111 - -b10010110111001101011011111010111 5 -b10010110111001101011011111010111 ? -b10010110111001101011011111010111 D -b10111111110111111000011110001011 % -b10111111110111111000011110001011 . -b10111111110111111000011110001011 6 -b10111111110111111000011110001011 @ -b10111111110111111000011110001011 F -b10010110110001101000011110000011 ) -#296960000 -0& -#296976000 -b1001000100000 , -#296992000 -1& -#297008000 -b1000010010011000100000000110010 " -b1000010010011000100000000110010 4 -b1000010010011000100000000110010 1 -b1000010010011000100000000110010 C -b111101000000000000000000000000 0 -b111101000000000000000000000000 H -08 -09 -b1000010010011000011011100110001 2 -b1000010010011000011011100110001 = -b1101010111011000110010010110111 < -b1000010010011000011011100110001 : -b11010111010111111101001001111010 $ -b11010111010111111101001001111010 - -b11010111010111111101001001111010 5 -b11010111010111111101001001111010 ? -b11010111010111111101001001111010 D -b1101010111011000110010010110111 % -b1101010111011000110010010110111 . -b1101010111011000110010010110111 6 -b1101010111011000110010010110111 @ -b1101010111011000110010010110111 F -b1000010010011000100000000110010 ) -#297024000 -0& -#297040000 -b1001000100001 , -#297056000 -1& -#297072000 -b110010110001001000000011100000 " -b110010110001001000000011100000 4 -b110010110001001000000011100000 1 -b110010110001001000000011100000 C -b1111000000000000000000000000 0 -b1111000000000000000000000000 H -18 -b11110010110001000010111011010011 2 -b11110010110001000010111011010011 = -b10110110111011111010110011110011 < -b11110010110001000010111011010011 : -b111011110101001000000111100000 $ -b111011110101001000000111100000 - -b111011110101001000000111100000 5 -b111011110101001000000111100000 ? -b111011110101001000000111100000 D -b10110110111011111010110011110011 % -b10110110111011111010110011110011 . -b10110110111011111010110011110011 6 -b10110110111011111010110011110011 @ -b10110110111011111010110011110011 F -b110010110001001000000011100000 ) -#297088000 -0& -#297104000 -b1001000100010 , -#297120000 -1& -#297136000 -b111001111110010010000000000001 " -b111001111110010010000000000001 4 -b111001111110010010000000000001 1 -b111001111110010010000000000001 C -b1111111111100101100001010111010 0 -b1111111111100101100001010111010 H -b11111001111110010001001101111110 2 -b11111001111110010001001101111110 = -b111001111111111011001000100001 < -b11111001111110010001001101111110 : -b10111111111110010110000101011101 $ -b10111111111110010110000101011101 - -b10111111111110010110000101011101 5 -b10111111111110010110000101011101 ? -b10111111111110010110000101011101 D -b111001111111111011001000100001 % -b111001111111111011001000100001 . -b111001111111111011001000100001 6 -b111001111111111011001000100001 @ -b111001111111111011001000100001 F -b111001111110010010000000000001 ) -#297152000 -0& -#297168000 -b1001000100011 , -#297184000 -1& -#297200000 -b111001101111010100000000000100 " -b111001101111010100000000000100 4 -b111001101111010100000000000100 1 -b111001101111010100000000000100 C -b10011111111111000010101001100000 0 -b10011111111111000010101001100000 H -08 -b110111101111010011001010101010 2 -b110111101111010011001010101010 = -b1111101101111010111000000000100 < -b110111101111010011001010101010 : -b10111001111111111100001010100110 $ -b10111001111111111100001010100110 - -b10111001111111111100001010100110 5 -b10111001111111111100001010100110 ? -b10111001111111111100001010100110 D -b1111101101111010111000000000100 % -b1111101101111010111000000000100 . -b1111101101111010111000000000100 6 -b1111101101111010111000000000100 @ -b1111101101111010111000000000100 F -b111001101111010100000000000100 ) -#297216000 -0& -#297232000 -b1001000100100 , -#297248000 -1& -#297264000 -b111101111001000011100000000111 " -b111101111001000011100000000111 4 -b111101111001000011100000000111 1 -b111101111001000011100000000111 C -b11110011000111010111101110000000 0 -b11110011000111010111101110000000 H -19 -08 -b10111101111000111111001111111110 2 -b10111101111000111111001111111110 = -b1111101111111011011100100000111 < -b10111101111000111111001111111110 : -b111111111001100011101011110111 $ -b111111111001100011101011110111 - -b111111111001100011101011110111 5 -b111111111001100011101011110111 ? -b111111111001100011101011110111 D -b1111101111111011011100100000111 % -b1111101111111011011100100000111 . -b1111101111111011011100100000111 6 -b1111101111111011011100100000111 @ -b1111101111111011011100100000111 F -b111101111001000011100000000111 ) -#297280000 -0& -#297296000 -b1001000100101 , -#297312000 -1& -#297328000 -b10011011010010100000100010110001 " -b10011011010010100000100010110001 4 -b10011011010010100000100010110001 1 -b10011011010010100000100010110001 C -b1010101111100100000000000000000 0 -b1010101111100100000000000000000 H -18 -09 -b10011011010010011100100010101010 2 -b10011011010010011100100010101010 = -b11011111111110110001110110110001 < -b10011011010010011100100010101010 : -b10111011010011101010101011111001 $ -b10111011010011101010101011111001 - -b10111011010011101010101011111001 5 -b10111011010011101010101011111001 ? -b10111011010011101010101011111001 D -b11011111111110110001110110110001 % -b11011111111110110001110110110001 . -b11011111111110110001110110110001 6 -b11011111111110110001110110110001 @ -b11011111111110110001110110110001 F -b10011011010010100000100010110001 ) -#297344000 -0& -#297360000 -b1001000100110 , -#297376000 -1& -#297392000 -b1011101011001110110000100000010 " -b1011101011001110110000100000010 4 -b1011101011001110110000100000010 1 -b1011101011001110110000100000010 C -b10110100000010000000000000000000 0 -b10110100000010000000000000000000 H -08 -b1011101010101110101000010010100 2 -b1011101010101110101000010010100 = -b11011111111011111110001110010010 < -b1011101010101110101000010010100 : -b1111101011001110110110100000010 $ -b1111101011001110110110100000010 - -b1111101011001110110110100000010 5 -b1111101011001110110110100000010 ? -b1111101011001110110110100000010 D -b11011111111011111110001110010010 % -b11011111111011111110001110010010 . -b11011111111011111110001110010010 6 -b11011111111011111110001110010010 @ -b11011111111011111110001110010010 F -b1011101011001110110000100000010 ) -#297408000 -0& -#297424000 -b1001000100111 , -#297440000 -1& -#297456000 -b1010001101001001100001000000000 " -b1010001101001001100001000000000 4 -b1010001101001001100001000000000 1 -b1010001101001001100001000000000 C -b11001010001001000000000000000000 0 -b11001010001001000000000000000000 H -b1010001101001001010110010110100 2 -b1010001101001001010110010110100 = -b1110011111011011110001010010000 < -b1010001101001001010110010110100 : -b11011101101101101100101000100100 $ -b11011101101101101100101000100100 - -b11011101101101101100101000100100 5 -b11011101101101101100101000100100 ? -b11011101101101101100101000100100 D -b1110011111011011110001010010000 % -b1110011111011011110001010010000 . -b1110011111011011110001010010000 6 -b1110011111011011110001010010000 @ -b1110011111011011110001010010000 F -b1010001101001001100001000000000 ) -#297472000 -0& -#297488000 -b1001000101000 , -#297504000 -1& -#297520000 -b10010011101100010000000000001010 " -b10010011101100010000000000001010 4 -b10010011101100010000000000001010 1 -b10010011101100010000000000001010 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -18 -b10010011011100000111010100001001 2 -b10010011011100000111010100001001 = -b11111011101111010010010011111011 < -b10010011011100000111010100001001 : -b10010111101100110101000000001110 $ -b10010111101100110101000000001110 - -b10010111101100110101000000001110 5 -b10010111101100110101000000001110 ? -b10010111101100110101000000001110 D -b11111011101111010010010011111011 % -b11111011101111010010010011111011 . -b11111011101111010010010011111011 6 -b11111011101111010010010011111011 @ -b11111011101111010010010011111011 F -b10010011101100010000000000001010 ) -#297536000 -0& -#297552000 -b1001000101001 , -#297568000 -1& -#297584000 -b10111001011001000000000001010 " -b10111001011001000000000001010 4 -b10111001011001000000000001010 1 -b10111001011001000000000001010 C -b11100111110000100101000000000000 0 -b11100111110000100101000000000000 H -08 -19 -b10010110101011000111101111010101 2 -b10010110101011000111101111010101 = -b10111001011111000001110001011 < -b10010110101011000111101111010101 : -b1111111011111001111100001001010 $ -b1111111011111001111100001001010 - -b1111111011111001111100001001010 5 -b1111111011111001111100001001010 ? -b1111111011111001111100001001010 D -b10111001011111000001110001011 % -b10111001011111000001110001011 . -b10111001011111000001110001011 6 -b10111001011111000001110001011 @ -b10111001011111000001110001011 F -b10111001011001000000000001010 ) -#297600000 -0& -#297616000 -b1001000101010 , -#297632000 -1& -#297648000 -b1111110100000011001000100011100 " -b1111110100000011001000100011100 4 -b1111110100000011001000100011100 1 -b1111110100000011001000100011100 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -09 -08 -b1111110100000010101000100011001 2 -b1111110100000010101000100011001 = -b11111110111101011001011110011100 < -b1111110100000010101000100011001 : -b1111111100010111011100101111101 $ -b1111111100010111011100101111101 - -b1111111100010111011100101111101 5 -b1111111100010111011100101111101 ? -b1111111100010111011100101111101 D -b11111110111101011001011110011100 % -b11111110111101011001011110011100 . -b11111110111101011001011110011100 6 -b11111110111101011001011110011100 @ -b11111110111101011001011110011100 F -b1111110100000011001000100011100 ) -#297664000 -0& -#297680000 -b1001000101011 , -#297696000 -1& -#297712000 -b110000000011110010100111000100 " -b110000000011110010100111000100 4 -b110000000011110010100111000100 1 -b110000000011110010100111000100 C -b10011100111000000000000000000000 0 -b10011100111000000000000000000000 H -b110000000011101110010111000010 2 -b110000000011101110010111000010 = -b111100111111110011101111110100 < -b110000000011101110010111000010 : -b11110011000011111010100111001110 $ -b11110011000011111010100111001110 - -b11110011000011111010100111001110 5 -b11110011000011111010100111001110 ? -b11110011000011111010100111001110 D -b111100111111110011101111110100 % -b111100111111110011101111110100 . -b111100111111110011101111110100 6 -b111100111111110011101111110100 @ -b111100111111110011101111110100 F -b110000000011110010100111000100 ) -#297728000 -0& -#297744000 -b1001000101100 , -#297760000 -1& -#297776000 -b10011111110100000000010110000 " -b10011111110100000000010110000 4 -b10011111110100000000010110000 1 -b10011111110100000000010110000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b11110011111110010101111110101111 2 -b11110011111110010101111110101111 = -b11010011111111110101000011111110 < -b11110011111110010101111110101111 : -b11111111110100000111010110001 $ -b11111111110100000111010110001 - -b11111111110100000111010110001 5 -b11111111110100000111010110001 ? -b11111111110100000111010110001 D -b11010011111111110101000011111110 % -b11010011111111110101000011111110 . -b11010011111111110101000011111110 6 -b11010011111111110101000011111110 @ -b11010011111111110101000011111110 F -b10011111110100000000010110000 ) -#297792000 -0& -#297808000 -b1001000101101 , -#297824000 -1& -#297840000 -b1010110110110100000101000000110 " -b1010110110110100000101000000110 4 -b1010110110110100000101000000110 1 -b1010110110110100000101000000110 C -b10110110111000101001001110000000 0 -b10110110111000101001001110000000 H -08 -19 -b11010110110110011011100011110100 2 -b11010110110110011011100011110100 = -b1011111111111100010111010100110 < -b11010110110110011011100011110100 : -b1110110110110111000101001001110 $ -b1110110110110111000101001001110 - -b1110110110110111000101001001110 5 -b1110110110110111000101001001110 ? -b1110110110110111000101001001110 D -b1011111111111100010111010100110 % -b1011111111111100010111010100110 . -b1011111111111100010111010100110 6 -b1011111111111100010111010100110 @ -b1011111111111100010111010100110 F -b1010110110110100000101000000110 ) -#297856000 -0& -#297872000 -b1001000101110 , -#297888000 -1& -#297904000 -b1110001110010100100000110001000 " -b1110001110010100100000110001000 4 -b1110001110010100100000110001000 1 -b1110001110010100100000110001000 C -b1111000111100010100000000000000 0 -b1111000111100010100000000000000 H -09 -08 -b1100111110010100001100100110111 2 -b1100111110010100001100100110111 = -b1110001110111100101000110101101 < -b1100111110010100001100100110111 : -b11110101111010111100011110001010 $ -b11110101111010111100011110001010 - -b11110101111010111100011110001010 5 -b11110101111010111100011110001010 ? -b11110101111010111100011110001010 D -b1110001110111100101000110101101 % -b1110001110111100101000110101101 . -b1110001110111100101000110101101 6 -b1110001110111100101000110101101 @ -b1110001110111100101000110101101 F -b1110001110010100100000110001000 ) -#297920000 -0& -#297936000 -b1001000101111 , -#297952000 -1& -#297968000 -b1111100000101000100010011100000 " -b1111100000101000100010011100000 4 -b1111100000101000100010011100000 1 -b1111100000101000100010011100000 C -b10011001101111000100000000000000 0 -b10011001101111000100000000000000 H -b1111011000100100001010011001111 2 -b1111011000100100001010011001111 = -b11111100000111010100011011101101 < -b1111011000100100001010011001111 : -b1111110111101001100110111100010 $ -b1111110111101001100110111100010 - -b1111110111101001100110111100010 5 -b1111110111101001100110111100010 ? -b1111110111101001100110111100010 D -b11111100000111010100011011101101 % -b11111100000111010100011011101101 . -b11111100000111010100011011101101 6 -b11111100000111010100011011101101 @ -b11111100000111010100011011101101 F -b1111100000101000100010011100000 ) -#297984000 -0& -#298000000 -b1001000110000 , -#298016000 -1& -#298032000 -b10110000111111110001010000001101 " -b10110000111111110001010000001101 4 -b10110000111111110001010000001101 1 -b10110000111111110001010000001101 C -b10001010000111101000000000000000 0 -b10001010000111101000000000000000 H -18 -b10110000111111101101001101001100 2 -b10110000111111101101001101001100 = -b11111011111111111011111100001111 < -b10110000111111101101001101001100 : -b10110100111111110001010000111101 $ -b10110100111111110001010000111101 - -b10110100111111110001010000111101 5 -b10110100111111110001010000111101 ? -b10110100111111110001010000111101 D -b11111011111111111011111100001111 % -b11111011111111111011111100001111 . -b11111011111111111011111100001111 6 -b11111011111111111011111100001111 @ -b11111011111111111011111100001111 F -b10110000111111110001010000001101 ) -#298048000 -0& -#298064000 -b1001000110001 , -#298080000 -1& -#298096000 -b101110011010111000001010000100 " -b101110011010111000001010000100 4 -b101110011010111000001010000100 1 -b101110011010111000001010000100 C -b1111000010100001100000000000000 0 -b1111000010100001100000000000000 H -08 -b101101111010110100111001110011 2 -b101101111010110100111001110011 = -b111111011011111000101111101101 < -b101101111010110100111001110011 : -b11101110011110111100001010000110 $ -b11101110011110111100001010000110 - -b11101110011110111100001010000110 5 -b11101110011110111100001010000110 ? -b11101110011110111100001010000110 D -b111111011011111000101111101101 % -b111111011011111000101111101101 . -b111111011011111000101111101101 6 -b111111011011111000101111101101 @ -b111111011011111000101111101101 F -b101110011010111000001010000100 ) -#298112000 -0& -#298128000 -b1001000110010 , -#298144000 -1& -#298160000 -b10001011101111011001000000011001 " -b10001011101111011001000000011001 4 -b10001011101111011001000000011001 1 -b10001011101111011001000000011001 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -18 -b10001011101111011000110101110100 2 -b10001011101111011000110101110100 = -b10111011101111111111000100011011 < -b10001011101111011000110101110100 : -b11001111111111011001110001011001 $ -b11001111111111011001110001011001 - -b11001111111111011001110001011001 5 -b11001111111111011001110001011001 ? -b11001111111111011001110001011001 D -b10111011101111111111000100011011 % -b10111011101111111111000100011011 . -b10111011101111111111000100011011 6 -b10111011101111111111000100011011 @ -b10111011101111111111000100011011 F -b10001011101111011001000000011001 ) -#298176000 -0& -#298192000 -b1001000110011 , -#298208000 -1& -#298224000 -b1010100101110110010000000100000 " -b1010100101110110010000000100000 4 -b1010100101110110010000000100000 1 -b1010100101110110010000000100000 C -b111010001010000000000000000000 0 -b111010001010000000000000000000 H -08 -19 -b11001100011110101101111011011000 2 -b11001100011110101101111011011000 = -b1010111101111111010010010110000 < -b11001100011110101101111011011000 : -b1110100101110110011101000101000 $ -b1110100101110110011101000101000 - -b1110100101110110011101000101000 5 -b1110100101110110011101000101000 ? -b1110100101110110011101000101000 D -b1010111101111111010010010110000 % -b1010111101111111010010010110000 . -b1010111101111111010010010110000 6 -b1010111101111111010010010110000 @ -b1010111101111111010010010110000 F -b1010100101110110010000000100000 ) -#298240000 -0& -#298256000 -b1001000110100 , -#298272000 -1& -#298288000 -b1110011011000110000000000000100 " -b1110011011000110000000000000100 4 -b1110011011000110000000000000100 1 -b1110011011000110000000000000100 C -b11010100010001010000000000000000 0 -b11010100010001010000000000000000 H -b11110011011000100111110110100010 2 -b11110011011000100111110110100010 = -b1110111111011110010110010001110 < -b11110011011000100111110110100010 : -b1111011011100110101000100010100 $ -b1111011011100110101000100010100 - -b1111011011100110101000100010100 5 -b1111011011100110101000100010100 ? -b1111011011100110101000100010100 D -b1110111111011110010110010001110 % -b1110111111011110010110010001110 . -b1110111111011110010110010001110 6 -b1110111111011110010110010001110 @ -b1110111111011110010110010001110 F -b1110011011000110000000000000100 ) -#298304000 -0& -#298320000 -b1001000110101 , -#298336000 -1& -#298352000 -b1010100100111100000000100100011 " -b1010100100111100000000100100011 4 -b1010100100111100000000100100011 1 -b1010100100111100000000100100011 C -b11111110111100000010100101011000 0 -b11111110111100000010100101011000 H -b11010100011111000010111010001110 2 -b11010100011111000010111010001110 = -b1110100100111100010100101100011 < -b11010100011111000010111010001110 : -b1011111110111100000010100101011 $ -b1011111110111100000010100101011 - -b1011111110111100000010100101011 5 -b1011111110111100000010100101011 ? -b1011111110111100000010100101011 D -b1110100100111100010100101100011 % -b1110100100111100010100101100011 . -b1110100100111100010100101100011 6 -b1110100100111100010100101100011 @ -b1110100100111100010100101100011 F -b1010100100111100000000100100011 ) -#298368000 -0& -#298384000 -b1001000110110 , -#298400000 -1& -#298416000 -b1110111110011101011000100100000 " -b1110111110011101011000100100000 4 -b1110111110011101011000100100000 1 -b1110111110011101011000100100000 C -b11111101011100111100110000000000 0 -b11111101011100111100110000000000 H -09 -08 -b1110111110011100110111100001111 2 -b1110111110011100110111100001111 = -b11110111110011111011010100101001 < -b1110111110011100110111100001111 : -b1111111111111101011100111100110 $ -b1111111111111101011100111100110 - -b1111111111111101011100111100110 5 -b1111111111111101011100111100110 ? -b1111111111111101011100111100110 D -b11110111110011111011010100101001 % -b11110111110011111011010100101001 . -b11110111110011111011010100101001 6 -b11110111110011111011010100101001 @ -b11110111110011111011010100101001 F -b1110111110011101011000100100000 ) -#298432000 -0& -#298448000 -b1001000110111 , -#298464000 -1& -#298480000 -b11111000010001111001000011001111 " -b11111000010001111001000011001111 4 -b11111000010001111001000011001111 1 -b11111000010001111001000011001111 C -b11001100011101111000000000000000 0 -b11001100011101111000000000000000 H -18 -b11110100010001111000110110111110 2 -b11110100010001111000110110111110 = -b11111000111001111111010011001111 < -b11110100010001111000110110111110 : -b11111011010111111001100011101111 $ -b11111011010111111001100011101111 - -b11111011010111111001100011101111 5 -b11111011010111111001100011101111 ? -b11111011010111111001100011101111 D -b11111000111001111111010011001111 % -b11111000111001111111010011001111 . -b11111000111001111111010011001111 6 -b11111000111001111111010011001111 @ -b11111000111001111111010011001111 F -b11111000010001111001000011001111 ) -#298496000 -0& -#298512000 -b1001000111000 , -#298528000 -1& -#298544000 -b10011101010100000001100000011 " -b10011101010100000001100000011 4 -b10011101010100000001100000011 1 -b10011101010100000001100000011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b10011101001011111111011100010 2 -b10011101001011111111011100010 = -b10010111101010111010101110011111 < -b10011101001011111111011100010 : -b1111011111110100101001101000011 $ -b1111011111110100101001101000011 - -b1111011111110100101001101000011 5 -b1111011111110100101001101000011 ? -b1111011111110100101001101000011 D -b10010111101010111010101110011111 % -b10010111101010111010101110011111 . -b10010111101010111010101110011111 6 -b10010111101010111010101110011111 @ -b10010111101010111010101110011111 F -b10011101010100000001100000011 ) -#298560000 -0& -#298576000 -b1001000111001 , -#298592000 -1& -#298608000 -b100111100100000000000000100000 " -b100111100100000000000000100000 4 -b100111100100000000000000100000 1 -b100111100100000000000000100000 C -b1011001010001001000000000000000 0 -b1011001010001001000000000000000 H -19 -08 -b10100111100001110101110111001010 2 -b10100111100001110101110111001010 = -b100111101100010000110010101010 < -b10100111100001110101110111001010 : -b1111111110101100101000100100000 $ -b1111111110101100101000100100000 - -b1111111110101100101000100100000 5 -b1111111110101100101000100100000 ? -b1111111110101100101000100100000 D -b100111101100010000110010101010 % -b100111101100010000110010101010 . -b100111101100010000110010101010 6 -b100111101100010000110010101010 @ -b100111101100010000110010101010 F -b100111100100000000000000100000 ) -#298624000 -0& -#298640000 -b1001000111010 , -#298656000 -1& -#298672000 -b1101101000111110011000011000001 " -b1101101000111110011000011000001 4 -b1101101000111110011000011000001 1 -b1101101000111110011000011000001 C -b1101101111110011000011011001000 0 -b1101101111110011000011011001000 H -09 -08 -b1101101000111110010110110111100 2 -b1101101000111110010110110111100 = -b11111111010111111111110011100011 < -b1101101000111110010110110111100 : -b1101101101111110011000011011001 $ -b1101101101111110011000011011001 - -b1101101101111110011000011011001 5 -b1101101101111110011000011011001 ? -b1101101101111110011000011011001 D -b11111111010111111111110011100011 % -b11111111010111111111110011100011 . -b11111111010111111111110011100011 6 -b11111111010111111111110011100011 @ -b11111111010111111111110011100011 F -b1101101000111110011000011000001 ) -#298688000 -0& -#298704000 -b1001000111011 , -#298720000 -1& -#298736000 -b10011000011111100100100000001110 " -b10011000011111100100100000001110 4 -b10011000011111100100100000001110 1 -b10011000011111100100100000001110 C -b10011110010000111100000000000000 0 -b10011110010000111100000000000000 H -18 -b10011000011111010100010111011101 2 -b10011000011111010100010111011101 = -b11011001011111101100110011001110 < -b10011000011111010100010111011101 : -b10111110111111100111100100001111 $ -b10111110111111100111100100001111 - -b10111110111111100111100100001111 5 -b10111110111111100111100100001111 ? -b10111110111111100111100100001111 D -b11011001011111101100110011001110 % -b11011001011111101100110011001110 . -b11011001011111101100110011001110 6 -b11011001011111101100110011001110 @ -b11011001011111101100110011001110 F -b10011000011111100100100000001110 ) -#298752000 -0& -#298768000 -b1001000111100 , -#298784000 -1& -#298800000 -b1110001110100000101000000001000 " -b1110001110100000101000000001000 4 -b1110001110100000101000000001000 1 -b1110001110100000101000000001000 C -b110100000000000000000000000000 0 -b110100000000000000000000000000 H -08 -b1110001110011111010111100000111 2 -b1110001110011111010111100000111 = -b1111011110111010101110000111010 < -b1110001110011111010111100000111 : -b11110101111100100101001011001101 $ -b11110101111100100101001011001101 - -b11110101111100100101001011001101 5 -b11110101111100100101001011001101 ? -b11110101111100100101001011001101 D -b1111011110111010101110000111010 % -b1111011110111010101110000111010 . -b1111011110111010101110000111010 6 -b1111011110111010101110000111010 @ -b1111011110111010101110000111010 F -b1110001110100000101000000001000 ) -#298816000 -0& -#298832000 -b1001000111101 , -#298848000 -1& -#298864000 -b110011101110100111100010000000 " -b110011101110100111100010000000 4 -b110011101110100111100010000000 1 -b110011101110100111100010000000 C -b11011110111010011110011000110000 0 -b11011110111010011110011000110000 H -19 -08 -b10110011101101011111001001001110 2 -b10110011101101011111001001001110 = -b111011111110110111100011000010 < -b10110011101101011111001001001110 : -b1110111101110100111100110001100 $ -b1110111101110100111100110001100 - -b1110111101110100111100110001100 5 -b1110111101110100111100110001100 ? -b1110111101110100111100110001100 D -b111011111110110111100011000010 % -b111011111110110111100011000010 . -b111011111110110111100011000010 6 -b111011111110110111100011000010 @ -b111011111110110111100011000010 F -b110011101110100111100010000000 ) -#298880000 -0& -#298896000 -b1001000111110 , -#298912000 -1& -#298928000 -b1100111001111000001000000100010 " -b1100111001111000001000000100010 4 -b1100111001111000001000000100010 1 -b1100111001111000001000000100010 C -b10011101111100000101111010011000 0 -b10011101111100000101111010011000 H -09 -08 -b1011111001110111000100000001000 2 -b1011111001110111000100000001000 = -b11110111101111110111000001100010 < -b1011111001110111000100000001000 : -b1100111011111000001011110100110 $ -b1100111011111000001011110100110 - -b1100111011111000001011110100110 5 -b1100111011111000001011110100110 ? -b1100111011111000001011110100110 D -b11110111101111110111000001100010 % -b11110111101111110111000001100010 . -b11110111101111110111000001100010 6 -b11110111101111110111000001100010 @ -b11110111101111110111000001100010 F -b1100111001111000001000000100010 ) -#298944000 -0& -#298960000 -b1001000111111 , -#298976000 -1& -#298992000 -b1001010100011001001101010010 " -b1001010100011001001101010010 4 -b1001010100011001001101010010 1 -b1001010100011001001101010010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -19 -08 -b10001001010100011000011101010001 2 -b10001001010100011000011101010001 = -b1011010110111011001111111110 < -b10001001010100011000011101010001 : -b1111101111101011101001101010011 $ -b1111101111101011101001101010011 - -b1111101111101011101001101010011 5 -b1111101111101011101001101010011 ? -b1111101111101011101001101010011 D -b1011010110111011001111111110 % -b1011010110111011001111111110 . -b1011010110111011001111111110 6 -b1011010110111011001111111110 @ -b1011010110111011001111111110 F -b1001010100011001001101010010 ) -#299008000 -0& -#299024000 -b1001001000000 , -#299040000 -1& -#299056000 -b11101101011001110000100100000000 " -b11101101011001110000100100000000 4 -b11101101011001110000100100000000 1 -b11101101011001110000100100000000 C -b11000100000000000000000000000000 0 -b11000100000000000000000000000000 H -18 -09 -b11101101011001100100011011011100 2 -b11101101011001100100011011011100 = -b11111111111111110001100100011000 < -b11101101011001100100011011011100 : -b11101101011001110010110111000100 $ -b11101101011001110010110111000100 - -b11101101011001110010110111000100 5 -b11101101011001110010110111000100 ? -b11101101011001110010110111000100 D -b11111111111111110001100100011000 % -b11111111111111110001100100011000 . -b11111111111111110001100100011000 6 -b11111111111111110001100100011000 @ -b11111111111111110001100100011000 F -b11101101011001110000100100000000 ) -#299072000 -0& -#299088000 -b1001001000001 , -#299104000 -1& -#299120000 -b11110010011000100000011000010 " -b11110010011000100000011000010 4 -b11110010011000100000011000010 1 -b11110010011000100000011000010 C -b1110100001111001100000000000000 0 -b1110100001111001100000000000000 H -b11111110010011000011111111000001 2 -b11111110010011000011111111000001 = -b1011111110011100110111011001110 < -b11111110010011000011111111000001 : -b10011110011111011101000011110011 $ -b10011110011111011101000011110011 - -b10011110011111011101000011110011 5 -b10011110011111011101000011110011 ? -b10011110011111011101000011110011 D -b1011111110011100110111011001110 % -b1011111110011100110111011001110 . -b1011111110011100110111011001110 6 -b1011111110011100110111011001110 @ -b1011111110011100110111011001110 F -b11110010011000100000011000010 ) -#299136000 -0& -#299152000 -b1001001000010 , -#299168000 -1& -#299184000 -b1000011100110100000000010001000 " -b1000011100110100000000010001000 4 -b1000011100110100000000010001000 1 -b1000011100110100000000010001000 C -b10011010010110001111110000000000 0 -b10011010010110001111110000000000 H -08 -b1000011010110010111101110000100 2 -b1000011010110010111101110000100 = -b1011011101111110010001010001000 < -b1000011010110010111101110000100 : -b11100111100110100101100011111100 $ -b11100111100110100101100011111100 - -b11100111100110100101100011111100 5 -b11100111100110100101100011111100 ? -b11100111100110100101100011111100 D -b1011011101111110010001010001000 % -b1011011101111110010001010001000 . -b1011011101111110010001010001000 6 -b1011011101111110010001010001000 @ -b1011011101111110010001010001000 F -b1000011100110100000000010001000 ) -#299200000 -0& -#299216000 -b1001001000011 , -#299232000 -1& -#299248000 -b101101101111100011010001000001 " -b101101101111100011010001000001 4 -b101101101111100011010001000001 1 -b101101101111100011010001000001 C -b11011111011110110010010010000000 0 -b11011111011110110010010010000000 H -19 -08 -b10101101011111100011001100110000 2 -b10101101011111100011001100110000 = -b1101111101111110011110011100111 < -b10101101011111100011001100110000 : -b111101101111101111011001001001 $ -b111101101111101111011001001001 - -b111101101111101111011001001001 5 -b111101101111101111011001001001 ? -b111101101111101111011001001001 D -b1101111101111110011110011100111 % -b1101111101111110011110011100111 . -b1101111101111110011110011100111 6 -b1101111101111110011110011100111 @ -b1101111101111110011110011100111 F -b101101101111100011010001000001 ) -#299264000 -0& -#299280000 -b1001001000100 , -#299296000 -1& -#299312000 -b1111100000111010000001000000000 " -b1111100000111010000001000000000 4 -b1111100000111010000001000000000 1 -b1111100000111010000001000000000 C -b0 0 -b0 H -09 -08 -b1111100000111010000000111011001 2 -b1111100000111010000000111011001 = -b11111101010111010110001011011001 < -b1111100000111010000000111011001 : -b1111110101111111001111100000000 $ -b1111110101111111001111100000000 - -b1111110101111111001111100000000 5 -b1111110101111111001111100000000 ? -b1111110101111111001111100000000 D -b11111101010111010110001011011001 % -b11111101010111010110001011011001 . -b11111101010111010110001011011001 6 -b11111101010111010110001011011001 @ -b11111101010111010110001011011001 F -b1111100000111010000001000000000 ) -#299328000 -0& -#299344000 -b1001001000101 , -#299360000 -1& -#299376000 -b11111001000111110100010010000000 " -b11111001000111110100010010000000 4 -b11111001000111110100010010000000 1 -b11111001000111110100010010000000 C -b11111111111111111100010011111001 0 -b11111111111111111100010011111001 H -18 -b11111001000111110011110001111001 2 -b11111001000111110011110001111001 = -b11111001000111110111011110000000 < -b11111001000111110011110001111001 : -b11111111111111111100010011111001 $ -b11111111111111111100010011111001 - -b11111111111111111100010011111001 5 -b11111111111111111100010011111001 ? -b11111111111111111100010011111001 D -b11111001000111110111011110000000 % -b11111001000111110111011110000000 . -b11111001000111110111011110000000 6 -b11111001000111110111011110000000 @ -b11111001000111110111011110000000 F -b11111001000111110100010010000000 ) -#299392000 -0& -#299408000 -b1001001000110 , -#299424000 -1& -#299440000 -b110010110110000000100010111000 " -b110010110110000000100010111000 4 -b110010110110000000100010111000 1 -b110010110110000000100010111000 C -b0 0 -b0 H -08 -b101110110101101000011010110111 2 -b101110110101101000011010110111 = -b111010110110000001100110111111 < -b101110110101101000011010110111 : -b11110011111111100110110011111000 $ -b11110011111111100110110011111000 - -b11110011111111100110110011111000 5 -b11110011111111100110110011111000 ? -b11110011111111100110110011111000 D -b111010110110000001100110111111 % -b111010110110000001100110111111 . -b111010110110000001100110111111 6 -b111010110110000001100110111111 @ -b111010110110000001100110111111 F -b110010110110000000100010111000 ) -#299456000 -0& -#299472000 -b1001001000111 , -#299488000 -1& -#299504000 -b110101100000111010100010000000 " -b110101100000111010100010000000 4 -b110101100000111010100010000000 1 -b110101100000111010100010000000 C -b11111000111110110010000001000000 0 -b11111000111110110010000001000000 H -19 -08 -b10110101011011111010010100100111 2 -b10110101011011111010010100100111 = -b110101100010111011100010100110 < -b10110101011011111010010100100111 : -b1111111111000111110110010000001 $ -b1111111111000111110110010000001 - -b1111111111000111110110010000001 5 -b1111111111000111110110010000001 ? -b1111111111000111110110010000001 D -b110101100010111011100010100110 % -b110101100010111011100010100110 . -b110101100010111011100010100110 6 -b110101100010111011100010100110 @ -b110101100010111011100010100110 F -b110101100000111010100010000000 ) -#299520000 -0& -#299536000 -b1001001001000 , -#299552000 -1& -#299568000 -b100010101011100000010000110001 " -b100010101011100000010000110001 4 -b100010101011100000010000110001 1 -b100010101011100000010000110001 C -b10010110011000000000000000000000 0 -b10010110011000000000000000000000 H -09 -08 -b11110101011011111101100101000 2 -b11110101011011111101100101000 = -b100010111111110101011001110101 < -b11110101011011111101100101000 : -b11111011101011101010010010110011 $ -b11111011101011101010010010110011 - -b11111011101011101010010010110011 5 -b11111011101011101010010010110011 ? -b11111011101011101010010010110011 D -b100010111111110101011001110101 % -b100010111111110101011001110101 . -b100010111111110101011001110101 6 -b100010111111110101011001110101 @ -b100010111111110101011001110101 F -b100010101011100000010000110001 ) -#299584000 -0& -#299600000 -b1001001001001 , -#299616000 -1& -#299632000 -b1111010110100101010001010101 " -b1111010110100101010001010101 4 -b1111010110100101010001010101 1 -b1111010110100101010001010101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b10001110110101100101001101010100 2 -b10001110110101100101001101010100 = -b1101111010110110111011001111111 < -b10001110110101100101001101010100 : -b11111011110101101110011010101 $ -b11111011110101101110011010101 - -b11111011110101101110011010101 5 -b11111011110101101110011010101 ? -b11111011110101101110011010101 D -b1101111010110110111011001111111 % -b1101111010110110111011001111111 . -b1101111010110110111011001111111 6 -b1101111010110110111011001111111 @ -b1101111010110110111011001111111 F -b1111010110100101010001010101 ) -#299648000 -0& -#299664000 -b1001001001010 , -#299680000 -1& -#299696000 -b10110001111101010000100000001100 " -b10110001111101010000100000001100 4 -b10110001111101010000100000001100 1 -b10110001111101010000100000001100 C -b1110001101010111111000000000000 0 -b1110001101010111111000000000000 H -18 -09 -b10101101111101001100011100001011 2 -b10101101111101001100011100001011 = -b11111011111111011010110001001100 < -b10101101111101001100011100001011 : -b10110001111101110001101010111111 $ -b10110001111101110001101010111111 - -b10110001111101110001101010111111 5 -b10110001111101110001101010111111 ? -b10110001111101110001101010111111 D -b11111011111111011010110001001100 % -b11111011111111011010110001001100 . -b11111011111111011010110001001100 6 -b11111011111111011010110001001100 @ -b11111011111111011010110001001100 F -b10110001111101010000100000001100 ) -#299712000 -0& -#299728000 -b1001001001011 , -#299744000 -1& -#299760000 -b101010100100010010010100101010 " -b101010100100010010010100101010 4 -b101010100100010010010100101010 1 -b101010100100010010010100101010 C -b11001101011010101000000000000000 0 -b11001101011010101000000000000000 H -08 -b101010011100001110001100011000 2 -b101010011100001110001100011000 = -b111010100101011010110101101110 < -b101010011100001110001100011000 : -b11101111110110110011010110101010 $ -b11101111110110110011010110101010 - -b11101111110110110011010110101010 5 -b11101111110110110011010110101010 ? -b11101111110110110011010110101010 D -b111010100101011010110101101110 % -b111010100101011010110101101110 . -b111010100101011010110101101110 6 -b111010100101011010110101101110 @ -b111010100101011010110101101110 F -b101010100100010010010100101010 ) -#299776000 -0& -#299792000 -b1001001001100 , -#299808000 -1& -#299824000 -b100100110011010010000100000100 " -b100100110011010010000100000100 4 -b100100110011010010000100000100 1 -b100100110011010010000100000100 C -b11101101110111111011100011000000 0 -b11101101110111111011100011000000 H -b100011110011010001110011110000 2 -b100011110011010001110011110000 = -b11100100111011110010000101100100 < -b100011110011010001110011110000 : -b111110110111011111101110001100 $ -b111110110111011111101110001100 - -b111110110111011111101110001100 5 -b111110110111011111101110001100 ? -b111110110111011111101110001100 D -b11100100111011110010000101100100 % -b11100100111011110010000101100100 . -b11100100111011110010000101100100 6 -b11100100111011110010000101100100 @ -b11100100111011110010000101100100 F -b100100110011010010000100000100 ) -#299840000 -0& -#299856000 -b1001001001101 , -#299872000 -1& -#299888000 -b11111001000001100000000010000 " -b11111001000001100000000010000 4 -b11111001000001100000000010000 1 -b11111001000001100000000010000 C -b10011000001111000000000000000000 0 -b10011000001111000000000000000000 H -b11111001000001000111101001111 2 -b11111001000001000111101001111 = -b11011111111100001100001100110001 < -b11111001000001000111101001111 : -b111111001011111100110000011110 $ -b111111001011111100110000011110 - -b111111001011111100110000011110 5 -b111111001011111100110000011110 ? -b111111001011111100110000011110 D -b11011111111100001100001100110001 % -b11011111111100001100001100110001 . -b11011111111100001100001100110001 6 -b11011111111100001100001100110001 @ -b11011111111100001100001100110001 F -b11111001000001100000000010000 ) -#299904000 -0& -#299920000 -b1001001001110 , -#299936000 -1& -#299952000 -b1111100101011110000011001000000 " -b1111100101011110000011001000000 4 -b1111100101011110000011001000000 1 -b1111100101011110000011001000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b1111100101011101110111000011111 2 -b1111100101011101110111000011111 = -b1111100111111110110011001011011 < -b1111100101011101110111000011111 : -b11111111101011111000011111000100 $ -b11111111101011111000011111000100 - -b11111111101011111000011111000100 5 -b11111111101011111000011111000100 ? -b11111111101011111000011111000100 D -b1111100111111110110011001011011 % -b1111100111111110110011001011011 . -b1111100111111110110011001011011 6 -b1111100111111110110011001011011 @ -b1111100111111110110011001011011 F -b1111100101011110000011001000000 ) -#299968000 -0& -#299984000 -b1001001001111 , -#300000000 -1& -#300016000 -b1010111000110010010110000111100 " -b1010111000110010010110000111100 4 -b1010111000110010010110000111100 1 -b1010111000110010010110000111100 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -b1010111000110001110110000111011 2 -b1010111000110001110110000111011 = -b1010111110110011010110001111100 < -b1010111000110001110110000111011 : -b11111111001111110011111110111111 $ -b11111111001111110011111110111111 - -b11111111001111110011111110111111 5 -b11111111001111110011111110111111 ? -b11111111001111110011111110111111 D -b1010111110110011010110001111100 % -b1010111110110011010110001111100 . -b1010111110110011010110001111100 6 -b1010111110110011010110001111100 @ -b1010111110110011010110001111100 F -b1010111000110010010110000111100 ) -#300032000 -0& -#300048000 -b1001001010000 , -#300064000 -1& -#300080000 -b111101001001110010000000000001 " -b111101001001110010000000000001 4 -b111101001001110010000000000001 1 -b111101001001110010000000000001 C -b10101101111111010001000100100000 0 -b10101101111111010001000100100000 H -b111101000101110000100110101110 2 -b111101000101110000100110101110 = -b10111111101001110010000100100101 < -b111101000101110000100110101110 : -b1111101011011111110100010001001 $ -b1111101011011111110100010001001 - -b1111101011011111110100010001001 5 -b1111101011011111110100010001001 ? -b1111101011011111110100010001001 D -b10111111101001110010000100100101 % -b10111111101001110010000100100101 . -b10111111101001110010000100100101 6 -b10111111101001110010000100100101 @ -b10111111101001110010000100100101 F -b111101001001110010000000000001 ) -#300096000 -0& -#300112000 -b1001001010001 , -#300128000 -1& -#300144000 -b11010000111100110000100010100 " -b11010000111100110000100010100 4 -b11010000111100110000100010100 1 -b11010000111100110000100010100 C -b10011010000000000000000000000000 0 -b10011010000000000000000000000000 H -19 -08 -b10011001000111011101111010001011 2 -b10011001000111011101111010001011 = -b1111110111111110111100101010111 < -b10011001000111011101111010001011 : -b11010000111100110010100110100 $ -b11010000111100110010100110100 - -b11010000111100110010100110100 5 -b11010000111100110010100110100 ? -b11010000111100110010100110100 D -b1111110111111110111100101010111 % -b1111110111111110111100101010111 . -b1111110111111110111100101010111 6 -b1111110111111110111100101010111 @ -b1111110111111110111100101010111 F -b11010000111100110000100010100 ) -#300160000 -0& -#300176000 -b1001001010010 , -#300192000 -1& -#300208000 -b1011111111010100000100000100010 " -b1011111111010100000100000100010 4 -b1011111111010100000100000100010 1 -b1011111111010100000100000100010 C -b101000101111000000000000000000 0 -b101000101111000000000000000000 H -b11011111111010011110001100100001 2 -b11011111111010011110001100100001 = -b1011111111011110101100011110010 < -b11011111111010011110001100100001 : -b1111111111110101000101000101111 $ -b1111111111110101000101000101111 - -b1111111111110101000101000101111 5 -b1111111111110101000101000101111 ? -b1111111111110101000101000101111 D -b1011111111011110101100011110010 % -b1011111111011110101100011110010 . -b1011111111011110101100011110010 6 -b1011111111011110101100011110010 @ -b1011111111011110101100011110010 F -b1011111111010100000100000100010 ) -#300224000 -0& -#300240000 -b1001001010011 , -#300256000 -1& -#300272000 -b10100110001010100010000000010000 " -b10100110001010100010000000010000 4 -b10100110001010100010000000010000 1 -b10100110001010100010000000010000 C -b11101011011101000000000000000000 0 -b11101011011101000000000000000000 H -18 -09 -b10100110001010100001110000001111 2 -b10100110001010100001110000001111 = -b11100111011110110010000100110010 < -b10100110001010100001110000001111 : -b10111110101011101111101011011101 $ -b10111110101011101111101011011101 - -b10111110101011101111101011011101 5 -b10111110101011101111101011011101 ? -b10111110101011101111101011011101 D -b11100111011110110010000100110010 % -b11100111011110110010000100110010 . -b11100111011110110010000100110010 6 -b11100111011110110010000100110010 @ -b11100111011110110010000100110010 F -b10100110001010100010000000010000 ) -#300288000 -0& -#300304000 -b1001001010100 , -#300320000 -1& -#300336000 -b11010110010100000000010010101 " -b11010110010100000000010010101 4 -b11010110010100000000010010101 1 -b11010110010100000000010010101 C -b1111010100000000000000000000000 0 -b1111010100000000000000000000000 H -08 -b11010110010010010000010001100 2 -b11010110010010010000010001100 = -b1111010110111100000100110010111 < -b11010110010010010000010001100 : -b10011111111010110001011011110101 $ -b10011111111010110001011011110101 - -b10011111111010110001011011110101 5 -b10011111111010110001011011110101 ? -b10011111111010110001011011110101 D -b1111010110111100000100110010111 % -b1111010110111100000100110010111 . -b1111010110111100000100110010111 6 -b1111010110111100000100110010111 @ -b1111010110111100000100110010111 F -b11010110010100000000010010101 ) -#300352000 -0& -#300368000 -b1001001010101 , -#300384000 -1& -#300400000 -b11011000010101000000000100101000 " -b11011000010101000000000100101000 4 -b11011000010101000000000100101000 1 -b11011000010101000000000100101000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -18 -b11010111110100111101110100100011 2 -b11010111110100111101110100100011 = -b11111000010101101100100110111010 < -b11010111110100111101110100100011 : -b11011111011111010001001101101001 $ -b11011111011111010001001101101001 - -b11011111011111010001001101101001 5 -b11011111011111010001001101101001 ? -b11011111011111010001001101101001 D -b11111000010101101100100110111010 % -b11111000010101101100100110111010 . -b11111000010101101100100110111010 6 -b11111000010101101100100110111010 @ -b11111000010101101100100110111010 F -b11011000010101000000000100101000 ) -#300416000 -0& -#300432000 -b1001001010110 , -#300448000 -1& -#300464000 -b110011000010111000000110001001 " -b110011000010111000000110001001 4 -b110011000010111000000110001001 1 -b110011000010111000000110001001 C -b10010010000000000000000000000000 0 -b10010010000000000000000000000000 H -08 -19 -b10100111000010110001110101100010 2 -b10100111000010110001110101100010 = -b110011111011111001100110011001 < -b10100111000010110001110101100010 : -b1110011000110111000001111001001 $ -b1110011000110111000001111001001 - -b1110011000110111000001111001001 5 -b1110011000110111000001111001001 ? -b1110011000110111000001111001001 D -b110011111011111001100110011001 % -b110011111011111001100110011001 . -b110011111011111001100110011001 6 -b110011111011111001100110011001 @ -b110011111011111001100110011001 F -b110011000010111000000110001001 ) -#300480000 -0& -#300496000 -b1001001010111 , -#300512000 -1& -#300528000 -b1111011100110100000001000000010 " -b1111011100110100000001000000010 4 -b1111011100110100000001000000010 1 -b1111011100110100000001000000010 C -b11111110011010000110101101111100 0 -b11111110011010000110101101111100 H -b11111011011110010101110011100001 2 -b11111011011110010101110011100001 = -b1111011110111110100001000000010 < -b11111011011110010101110011100001 : -b1111111100110100001101011011111 $ -b1111111100110100001101011011111 - -b1111111100110100001101011011111 5 -b1111111100110100001101011011111 ? -b1111111100110100001101011011111 D -b1111011110111110100001000000010 % -b1111011110111110100001000000010 . -b1111011110111110100001000000010 6 -b1111011110111110100001000000010 @ -b1111011110111110100001000000010 F -b1111011100110100000001000000010 ) -#300544000 -0& -#300560000 -b1001001011000 , -#300576000 -1& -#300592000 -b1001010000100110000000000001011 " -b1001010000100110000000000001011 4 -b1001010000100110000000000001011 1 -b1001010000100110000000000001011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b1001010000011101101110111001010 2 -b1001010000011101101110111001010 = -b1101010111110110000000110111111 < -b1001010000011101101110111001010 : -b11011111000100111101110000001011 $ -b11011111000100111101110000001011 - -b11011111000100111101110000001011 5 -b11011111000100111101110000001011 ? -b11011111000100111101110000001011 D -b1101010111110110000000110111111 % -b1101010111110110000000110111111 . -b1101010111110110000000110111111 6 -b1101010111110110000000110111111 @ -b1101010111110110000000110111111 F -b1001010000100110000000000001011 ) -#300608000 -0& -#300624000 -b1001001011001 , -#300640000 -1& -#300656000 -b10011010011011010000010100000010 " -b10011010011011010000010100000010 4 -b10011010011011010000010100000010 1 -b10011010011011010000010100000010 C -b10111011010000110101011010000000 0 -b10111011010000110101011010000000 H -18 -b10011010010111000010010011100000 2 -b10011010010111000010010011100000 = -b10111111011011110001011110000110 < -b10011010010111000010010011100000 : -b11011010111011010000110101011010 $ -b11011010111011010000110101011010 - -b11011010111011010000110101011010 5 -b11011010111011010000110101011010 ? -b11011010111011010000110101011010 D -b10111111011011110001011110000110 % -b10111111011011110001011110000110 . -b10111111011011110001011110000110 6 -b10111111011011110001011110000110 @ -b10111111011011110001011110000110 F -b10011010011011010000010100000010 ) -#300672000 -0& -#300688000 -b1001001011010 , -#300704000 -1& -#300720000 -b1110101100110110000001000010000 " -b1110101100110110000001000010000 4 -b1110101100110110000001000010000 1 -b1110101100110110000001000010000 C -b10111011100100000000000000000000 0 -b10111011100100000000000000000000 H -08 -b1101101100110101100000111000000 2 -b1101101100110101100000111000000 = -b11110101101111110000011000110000 < -b1101101100110101100000111000000 : -b1110111110110111011101110010000 $ -b1110111110110111011101110010000 - -b1110111110110111011101110010000 5 -b1110111110110111011101110010000 ? -b1110111110110111011101110010000 D -b11110101101111110000011000110000 % -b11110101101111110000011000110000 . -b11110101101111110000011000110000 6 -b11110101101111110000011000110000 @ -b11110101101111110000011000110000 F -b1110101100110110000001000010000 ) -#300736000 -0& -#300752000 -b1001001011011 , -#300768000 -1& -#300784000 -b1100010111101100000010000000000 " -b1100010111101100000010000000000 4 -b1100010111101100000010000000000 1 -b1100010111101100000010000000000 C -b1111010111101111100011101011111 0 -b1111010111101111100011101011111 H -19 -08 -b11100010111101011110001101011111 2 -b11100010111101011110001101011111 = -b1100111111111100001110000000000 < -b11100010111101011110001101011111 : -b1111010111101111100011101011111 $ -b1111010111101111100011101011111 - -b1111010111101111100011101011111 5 -b1111010111101111100011101011111 ? -b1111010111101111100011101011111 D -b1100111111111100001110000000000 % -b1100111111111100001110000000000 . -b1100111111111100001110000000000 6 -b1100111111111100001110000000000 @ -b1100111111111100001110000000000 F -b1100010111101100000010000000000 ) -#300800000 -0& -#300816000 -b1001001011100 , -#300832000 -1& -#300848000 -b1000010111110101110100100000000 " -b1000010111110101110100100000000 4 -b1000010111110101110100100000000 1 -b1000010111110101110100100000000 C -b10101111111111011111101010101000 0 -b10101111111111011111101010101000 H -09 -08 -b1000010111110011110100011110101 2 -b1000010111110011110100011110101 = -b11101010111110101110101110100001 < -b1000010111110011110100011110101 : -b1010111111111101111110101010100 $ -b1010111111111101111110101010100 - -b1010111111111101111110101010100 5 -b1010111111111101111110101010100 ? -b1010111111111101111110101010100 D -b11101010111110101110101110100001 % -b11101010111110101110101110100001 . -b11101010111110101110101110100001 6 -b11101010111110101110101110100001 @ -b11101010111110101110101110100001 F -b1000010111110101110100100000000 ) -#300864000 -0& -#300880000 -b1001001011101 , -#300896000 -1& -#300912000 -b10110001111111010000000000100010 " -b10110001111111010000000000100010 4 -b10110001111111010000000000100010 1 -b10110001111111010000000000100010 C -b11100111100100010000000000000000 0 -b11100111100100010000000000000000 H -18 -b10110001111111001111000000010001 2 -b10110001111111001111000000010001 = -b11110001111111110010000011101111 < -b10110001111111001111000000010001 : -b10111111111111011100111100100010 $ -b10111111111111011100111100100010 - -b10111111111111011100111100100010 5 -b10111111111111011100111100100010 ? -b10111111111111011100111100100010 D -b11110001111111110010000011101111 % -b11110001111111110010000011101111 . -b11110001111111110010000011101111 6 -b11110001111111110010000011101111 @ -b11110001111111110010000011101111 F -b10110001111111010000000000100010 ) -#300928000 -0& -#300944000 -b1001001011110 , -#300960000 -1& -#300976000 -b1000100111011101001101110000010 " -b1000100111011101001101110000010 4 -b1000100111011101001101110000010 1 -b1000100111011101001101110000010 C -b11111111111011110100110000000000 0 -b11111111111011110100110000000000 H -08 -19 -b10110100111011101001101101111101 2 -b10110100111011101001101101111101 = -b1001101111011101001111110101010 < -b10110100111011101001101101111101 : -b1100110111111111111101111010011 $ -b1100110111111111111101111010011 - -b1100110111111111111101111010011 5 -b1100110111111111111101111010011 ? -b1100110111111111111101111010011 D -b1001101111011101001111110101010 % -b1001101111011101001111110101010 . -b1001101111011101001111110101010 6 -b1001101111011101001111110101010 @ -b1001101111011101001111110101010 F -b1000100111011101001101110000010 ) -#300992000 -0& -#301008000 -b1001001011111 , -#301024000 -1& -#301040000 -b1111111110000100010111001101110 " -b1111111110000100010111001101110 4 -b1111111110000100010111001101110 1 -b1111111110000100010111001101110 C -b11001011100110111000000000000000 0 -b11001011100110111000000000000000 H -b11111111101100010101110111011100 2 -b11111111101100010101110111011100 = -b1111111111011100010111101101110 < -b11111111101100010101110111011100 : -b1111111110000110010111001101110 $ -b1111111110000110010111001101110 - -b1111111110000110010111001101110 5 -b1111111110000110010111001101110 ? -b1111111110000110010111001101110 D -b1111111111011100010111101101110 % -b1111111111011100010111101101110 . -b1111111111011100010111101101110 6 -b1111111111011100010111101101110 @ -b1111111111011100010111101101110 F -b1111111110000100010111001101110 ) -#301056000 -0& -#301072000 -b1001001100000 , -#301088000 -1& -#301104000 -b1011100110000000000100010100 " -b1011100110000000000100010100 4 -b1011100110000000000100010100 1 -b1011100110000000000100010100 C -b0 0 -b0 H -09 -08 -b1011011101001111000011110011 2 -b1011011101001111000011110011 = -b11111111110111000100100101011111 < -b1011011101001111000011110011 : -b1011100110001010011110010100 $ -b1011100110001010011110010100 - -b1011100110001010011110010100 5 -b1011100110001010011110010100 ? -b1011100110001010011110010100 D -b11111111110111000100100101011111 % -b11111111110111000100100101011111 . -b11111111110111000100100101011111 6 -b11111111110111000100100101011111 @ -b11111111110111000100100101011111 F -b1011100110000000000100010100 ) -#301120000 -0& -#301136000 -b1001001100001 , -#301152000 -1& -#301168000 -b1101100010010000100010001010 " -b1101100010010000100010001010 4 -b1101100010010000100010001010 1 -b1101100010010000100010001010 C -b101000000000000000000000000000 0 -b101000000000000000000000000000 H -b1101100010000110001010000100 2 -b1101100010000110001010000100 = -b11111111101111110000100010111010 < -b1101100010000110001010000100 : -b1101110010010101100111001010 $ -b1101110010010101100111001010 - -b1101110010010101100111001010 5 -b1101110010010101100111001010 ? -b1101110010010101100111001010 D -b11111111101111110000100010111010 % -b11111111101111110000100010111010 . -b11111111101111110000100010111010 6 -b11111111101111110000100010111010 @ -b11111111101111110000100010111010 F -b1101100010010000100010001010 ) -#301184000 -0& -#301200000 -b1001001100010 , -#301216000 -1& -#301232000 -b1011101010001110000100000000000 " -b1011101010001110000100000000000 4 -b1011101010001110000100000000000 1 -b1011101010001110000100000000000 C -b11010100011110011100001100010000 0 -b11010100011110011100001100010000 H -b1011101001001101110011010110101 2 -b1011101001001101110011010110101 = -b11011111110111110100101010000100 < -b1011101001001101110011010110101 : -b1111101010001111001110000110001 $ -b1111101010001111001110000110001 - -b1111101010001111001110000110001 5 -b1111101010001111001110000110001 ? -b1111101010001111001110000110001 D -b11011111110111110100101010000100 % -b11011111110111110100101010000100 . -b11011111110111110100101010000100 6 -b11011111110111110100101010000100 @ -b11011111110111110100101010000100 F -b1011101010001110000100000000000 ) -#301248000 -0& -#301264000 -b1001001100011 , -#301280000 -1& -#301296000 -b1001001100011010001000000000000 " -b1001001100011010001000000000000 4 -b1001001100011010001000000000000 1 -b1001001100011010001000000000000 C -b11111011110100111000100110010000 0 -b11111011110100111000100110010000 H -b11001010011010000101111011101 2 -b11001010011010000101111011101 = -b11001001100011111101001101000100 < -b11001010011010000101111011101 : -b1001111101111010011100010011001 $ -b1001111101111010011100010011001 - -b1001111101111010011100010011001 5 -b1001111101111010011100010011001 ? -b1001111101111010011100010011001 D -b11001001100011111101001101000100 % -b11001001100011111101001101000100 . -b11001001100011111101001101000100 6 -b11001001100011111101001101000100 @ -b11001001100011111101001101000100 F -b1001001100011010001000000000000 ) -#301312000 -0& -#301328000 -b1001001100100 , -#301344000 -1& -#301360000 -b1001110110101110010100000100000 " -b1001110110101110010100000100000 4 -b1001110110101110010100000100000 1 -b1001110110101110010100000100000 C -b11111110111100000100110000000000 0 -b11111110111100000100110000000000 H -19 -08 -b11001110110101110010001111001111 2 -b11001110110101110010001111001111 = -b1101110110101111010101110101001 < -b11001110110101110010001111001111 : -b1011111111111110111100000100110 $ -b1011111111111110111100000100110 - -b1011111111111110111100000100110 5 -b1011111111111110111100000100110 ? -b1011111111111110111100000100110 D -b1101110110101111010101110101001 % -b1101110110101111010101110101001 . -b1101110110101111010101110101001 6 -b1101110110101111010101110101001 @ -b1101110110101111010101110101001 F -b1001110110101110010100000100000 ) -#301376000 -0& -#301392000 -b1001001100101 , -#301408000 -1& -#301424000 -b10110111001000000000010001000 " -b10110111001000000000010001000 4 -b10110111001000000000010001000 1 -b10110111001000000000010001000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -b10010110111000111111000001000011 2 -b10010110111000111111000001000011 = -b1011110111011100100011110011010 < -b10010110111000111111000001000011 : -b110111111101011010100010101001 $ -b110111111101011010100010101001 - -b110111111101011010100010101001 5 -b110111111101011010100010101001 ? -b110111111101011010100010101001 D -b1011110111011100100011110011010 % -b1011110111011100100011110011010 . -b1011110111011100100011110011010 6 -b1011110111011100100011110011010 @ -b1011110111011100100011110011010 F -b10110111001000000000010001000 ) -#301440000 -0& -#301456000 -b1001001100110 , -#301472000 -1& -#301488000 -b110010010100100000100000100000 " -b110010010100100000100000100000 4 -b110010010100100000100000100000 1 -b110010010100100000100000100000 C -b11011011010110110010010100000000 0 -b11011011010110110010010100000000 H -09 -08 -b110010001011010110010000001101 2 -b110010001011010110010000001101 = -b110010010100100000100011101000 < -b110010001011010110010000001101 : -b11111111110110110101101100100101 $ -b11111111110110110101101100100101 - -b11111111110110110101101100100101 5 -b11111111110110110101101100100101 ? -b11111111110110110101101100100101 D -b110010010100100000100011101000 % -b110010010100100000100011101000 . -b110010010100100000100011101000 6 -b110010010100100000100011101000 @ -b110010010100100000100011101000 F -b110010010100100000100000100000 ) -#301504000 -0& -#301520000 -b1001001100111 , -#301536000 -1& -#301552000 -b101100111011100010101011000010 " -b101100111011100010101011000010 4 -b101100111011100010101011000010 1 -b101100111011100010101011000010 C -b11110111101111011010111101011100 0 -b11110111101111011010111101011100 H -b101010111011100001101010111001 2 -b101010111011100001101010111001 = -b101100111111101010111011100010 < -b101010111011100001101010111001 : -b11111101111011110110101111010111 $ -b11111101111011110110101111010111 - -b11111101111011110110101111010111 5 -b11111101111011110110101111010111 ? -b11111101111011110110101111010111 D -b101100111111101010111011100010 % -b101100111111101010111011100010 . -b101100111111101010111011100010 6 -b101100111111101010111011100010 @ -b101100111111101010111011100010 F -b101100111011100010101011000010 ) -#301568000 -0& -#301584000 -b1001001101000 , -#301600000 -1& -#301616000 -b1111010001001110010000000001101 " -b1111010001001110010000000001101 4 -b1111010001001110010000000001101 1 -b1111010001001110010000000001101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -19 -08 -b11111010000111100100111111101010 2 -b11111010000111100100111111101010 = -b1111011111001110010011011011101 < -b11111010000111100100111111101010 : -b1111110001101110010100100001101 $ -b1111110001101110010100100001101 - -b1111110001101110010100100001101 5 -b1111110001101110010100100001101 ? -b1111110001101110010100100001101 D -b1111011111001110010011011011101 % -b1111011111001110010011011011101 . -b1111011111001110010011011011101 6 -b1111011111001110010011011011101 @ -b1111011111001110010011011011101 F -b1111010001001110010000000001101 ) -#301632000 -0& -#301648000 -b1001001101001 , -#301664000 -1& -#301680000 -b100011011111010000100011010000 " -b100011011111010000100011010000 4 -b100011011111010000100011010000 1 -b100011011111010000100011010000 C -b1100110111000000000000000000 0 -b1100110111000000000000000000 H -09 -08 -b100011011111001000010110101100 2 -b100011011111001000010110101100 = -b1111011111111110111100011010000 < -b100011011111001000010110101100 : -b10100111011111010000110011011100 $ -b10100111011111010000110011011100 - -b10100111011111010000110011011100 5 -b10100111011111010000110011011100 ? -b10100111011111010000110011011100 D -b1111011111111110111100011010000 % -b1111011111111110111100011010000 . -b1111011111111110111100011010000 6 -b1111011111111110111100011010000 @ -b1111011111111110111100011010000 F -b100011011111010000100011010000 ) -#301696000 -0& -#301712000 -b1001001101010 , -#301728000 -1& -#301744000 -b1101000001011010000000011111 " -b1101000001011010000000011111 4 -b1101000001011010000000011111 1 -b1101000001011010000000011111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1010110001011001111011011110 2 -b1010110001011001111011011110 = -b1101000111011110111000011111 < -b1010110001011001111011011110 : -b11111101101001111011000010111111 $ -b11111101101001111011000010111111 - -b11111101101001111011000010111111 5 -b11111101101001111011000010111111 ? -b11111101101001111011000010111111 D -b1101000111011110111000011111 % -b1101000111011110111000011111 . -b1101000111011110111000011111 6 -b1101000111011110111000011111 @ -b1101000111011110111000011111 F -b1101000001011010000000011111 ) -#301760000 -0& -#301776000 -b1001001101011 , -#301792000 -1& -#301808000 -b111001101110000010000000000100 " -b111001101110000010000000000100 4 -b111001101110000010000000000100 1 -b111001101110000010000000000100 C -b10011111110010101010011111010000 0 -b10011111110010101010011111010000 H -19 -08 -b10111001101101111100111100000001 2 -b10111001101101111100111100000001 = -b111111101110110010010010000100 < -b10111001101101111100111100000001 : -b1111001111111001010101001111101 $ -b1111001111111001010101001111101 - -b1111001111111001010101001111101 5 -b1111001111111001010101001111101 ? -b1111001111111001010101001111101 D -b111111101110110010010010000100 % -b111111101110110010010010000100 . -b111111101110110010010010000100 6 -b111111101110110010010010000100 @ -b111111101110110010010010000100 F -b111001101110000010000000000100 ) -#301824000 -0& -#301840000 -b1001001101100 , -#301856000 -1& -#301872000 -b1101011011101100000011000010000 " -b1101011011101100000011000010000 4 -b1101011011101100000011000010000 1 -b1101011011101100000011000010000 C -b10100000000000000000000000000 0 -b10100000000000000000000000000 H -09 -08 -b1101011011101011010010111101100 2 -b1101011011101011010010111101100 = -b11111011011111100000111011011000 < -b1101011011101011010010111101100 : -b1101111111101111001011100010100 $ -b1101111111101111001011100010100 - -b1101111111101111001011100010100 5 -b1101111111101111001011100010100 ? -b1101111111101111001011100010100 D -b11111011011111100000111011011000 % -b11111011011111100000111011011000 . -b11111011011111100000111011011000 6 -b11111011011111100000111011011000 @ -b11111011011111100000111011011000 F -b1101011011101100000011000010000 ) -#301888000 -0& -#301904000 -b1001001101101 , -#301920000 -1& -#301936000 -b110001111100101000000000111011 " -b110001111100101000000000111011 4 -b110001111100101000000000111011 1 -b110001111100101000000000111011 C -b11011000000000000000000000000000 0 -b11011000000000000000000000000000 H -b101101111100100110100010110110 2 -b101101111100100110100010110110 = -b1110011111111111000100001111011 < -b101101111100100110100010110110 : -b10111001111100101110000000111011 $ -b10111001111100101110000000111011 - -b10111001111100101110000000111011 5 -b10111001111100101110000000111011 ? -b10111001111100101110000000111011 D -b1110011111111111000100001111011 % -b1110011111111111000100001111011 . -b1110011111111111000100001111011 6 -b1110011111111111000100001111011 @ -b1110011111111111000100001111011 F -b110001111100101000000000111011 ) -#301952000 -0& -#301968000 -b1001001101110 , -#301984000 -1& -#302000000 -b1111010111111100100100100010010 " -b1111010111111100100100100010010 4 -b1111010111111100100100100010010 1 -b1111010111111100100100100010010 C -b100101010010000000000000000000 0 -b100101010010000000000000000000 H -b1111010111111100010011100000100 2 -b1111010111111100010011100000100 = -b11111011111111101101110110110010 < -b1111010111111100010011100000100 : -b1111110111111110100100101010010 $ -b1111110111111110100100101010010 - -b1111110111111110100100101010010 5 -b1111110111111110100100101010010 ? -b1111110111111110100100101010010 D -b11111011111111101101110110110010 % -b11111011111111101101110110110010 . -b11111011111111101101110110110010 6 -b11111011111111101101110110110010 @ -b11111011111111101101110110110010 F -b1111010111111100100100100010010 ) -#302016000 -0& -#302032000 -b1001001101111 , -#302048000 -1& -#302064000 -b10110011101001001011000001000 " -b10110011101001001011000001000 4 -b10110011101001001011000001000 1 -b10110011101001001011000001000 C -b11111100100111101011110000000000 0 -b11111100100111101011110000000000 H -19 -08 -b10010110011101000101010011000100 2 -b10010110011101000101010011000100 = -b1111111011101111011011000001000 < -b10010110011101000101010011000100 : -b10110111111001001111010111100 $ -b10110111111001001111010111100 - -b10110111111001001111010111100 5 -b10110111111001001111010111100 ? -b10110111111001001111010111100 D -b1111111011101111011011000001000 % -b1111111011101111011011000001000 . -b1111111011101111011011000001000 6 -b1111111011101111011011000001000 @ -b1111111011101111011011000001000 F -b10110011101001001011000001000 ) -#302080000 -0& -#302096000 -b1001001110000 , -#302112000 -1& -#302128000 -b1000001000100000000010010110011 " -b1000001000100000000010010110011 4 -b1000001000100000000010010110011 1 -b1000001000100000000010010110011 C -b10111000000000000000000000000000 0 -b10111000000000000000000000000000 H -b10100001000011100111110010110010 2 -b10100001000011100111110010110010 = -b1001111101111000101010110111011 < -b10100001000011100111110010110010 : -b1010001010100100010011011110111 $ -b1010001010100100010011011110111 - -b1010001010100100010011011110111 5 -b1010001010100100010011011110111 ? -b1010001010100100010011011110111 D -b1001111101111000101010110111011 % -b1001111101111000101010110111011 . -b1001111101111000101010110111011 6 -b1001111101111000101010110111011 @ -b1001111101111000101010110111011 F -b1000001000100000000010010110011 ) -#302144000 -0& -#302160000 -b1001001110001 , -#302176000 -1& -#302192000 -b1111111010001111010001010001000 " -b1111111010001111010001010001000 4 -b1111111010001111010001010001000 1 -b1111111010001111010001010001000 C -b11111100101011100100000000000000 0 -b11111100101011100100000000000000 H -b11111111010001111001100110000111 2 -b11111111010001111001100110000111 = -b1111111110101111010011011001110 < -b11111111010001111001100110000111 : -b1111111011011111111001010111001 $ -b1111111011011111111001010111001 - -b1111111011011111111001010111001 5 -b1111111011011111111001010111001 ? -b1111111011011111111001010111001 D -b1111111110101111010011011001110 % -b1111111110101111010011011001110 . -b1111111110101111010011011001110 6 -b1111111110101111010011011001110 @ -b1111111110101111010011011001110 F -b1111111010001111010001010001000 ) -#302208000 -0& -#302224000 -b1001001110010 , -#302240000 -1& -#302256000 -b101001011100110011011101110000 " -b101001011100110011011101110000 4 -b101001011100110011011101110000 1 -b101001011100110011011101110000 C -b111111011110100000000000000000 0 -b111111011110100000000000000000 H -b10101000111011101011011101101010 2 -b10101000111011101011011101101010 = -b1111101011110110111011111110000 < -b10101000111011101011011101101010 : -b101011011100110011111101111010 $ -b101011011100110011111101111010 - -b101011011100110011111101111010 5 -b101011011100110011111101111010 ? -b101011011100110011111101111010 D -b1111101011110110111011111110000 % -b1111101011110110111011111110000 . -b1111101011110110111011111110000 6 -b1111101011110110111011111110000 @ -b1111101011110110111011111110000 F -b101001011100110011011101110000 ) -#302272000 -0& -#302288000 -b1001001110011 , -#302304000 -1& -#302320000 -b1101010110111010000010100100101 " -b1101010110111010000010100100101 4 -b1101010110111010000010100100101 1 -b1101010110111010000010100100101 C -b11111110111010101111101010000000 0 -b11111110111010101111101010000000 H -09 -08 -b1101010110110101111101100011100 2 -b1101010110110101111101100011100 = -b11111110110111010010010100100111 < -b1101010110110101111101100011100 : -b1101011111111011101010111110101 $ -b1101011111111011101010111110101 - -b1101011111111011101010111110101 5 -b1101011111111011101010111110101 ? -b1101011111111011101010111110101 D -b11111110110111010010010100100111 % -b11111110110111010010010100100111 . -b11111110110111010010010100100111 6 -b11111110110111010010010100100111 @ -b11111110110111010010010100100111 F -b1101010110111010000010100100101 ) -#302336000 -0& -#302352000 -b1001001110100 , -#302368000 -1& -#302384000 -b1011111110111110000101001000000 " -b1011111110111110000101001000000 4 -b1011111110111110000101001000000 1 -b1011111110111110000101001000000 C -b11011111010010101100011000000000 0 -b11011111010010101100011000000000 H -19 -08 -b11011111110111100101100100101110 2 -b11011111110111100101100100101110 = -b1011111111111110000111001101000 < -b11011111110111100101100100101110 : -b1111111110111110100101011000110 $ -b1111111110111110100101011000110 - -b1111111110111110100101011000110 5 -b1111111110111110100101011000110 ? -b1111111110111110100101011000110 D -b1011111111111110000111001101000 % -b1011111111111110000111001101000 . -b1011111111111110000111001101000 6 -b1011111111111110000111001101000 @ -b1011111111111110000111001101000 F -b1011111110111110000101001000000 ) -#302400000 -0& -#302416000 -b1001001110101 , -#302432000 -1& -#302448000 -b1010100110101110001011001010000 " -b1010100110101110001011001010000 4 -b1010100110101110001011001010000 1 -b1010100110101110001011001010000 C -b1010110110101110000000000000000 0 -b1010110110101110000000000000000 H -09 -08 -b1010100110101100110110101000111 2 -b1010100110101100110110101000111 = -b1010101111111110001011001110000 < -b1010100110101100110110101000111 : -b11111110110101110101011011010111 $ -b11111110110101110101011011010111 - -b11111110110101110101011011010111 5 -b11111110110101110101011011010111 ? -b11111110110101110101011011010111 D -b1010101111111110001011001110000 % -b1010101111111110001011001110000 . -b1010101111111110001011001110000 6 -b1010101111111110001011001110000 @ -b1010101111111110001011001110000 F -b1010100110101110001011001010000 ) -#302464000 -0& -#302480000 -b1001001110110 , -#302496000 -1& -#302512000 -b1111100100010000110000011111 " -b1111100100010000110000011111 4 -b1111100100010000110000011111 1 -b1111100100010000110000011111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b10001111100100010000101101111110 2 -b10001111100100010000101101111110 = -b1101111101100111001110001011111 < -b10001111100100010000101101111110 : -b11111110111010110111100011111 $ -b11111110111010110111100011111 - -b11111110111010110111100011111 5 -b11111110111010110111100011111 ? -b11111110111010110111100011111 D -b1101111101100111001110001011111 % -b1101111101100111001110001011111 . -b1101111101100111001110001011111 6 -b1101111101100111001110001011111 @ -b1101111101100111001110001011111 F -b1111100100010000110000011111 ) -#302528000 -0& -#302544000 -b1001001110111 , -#302560000 -1& -#302576000 -b110100011011010100001000000000 " -b110100011011010100001000000000 4 -b110100011011010100001000000000 1 -b110100011011010100001000000000 C -b10001111101010001110100101000000 0 -b10001111101010001110100101000000 H -b10110010111010110000100111001111 2 -b10110010111010110000100111001111 = -b1110110011011011100001010000101 < -b10110010111010110000100111001111 : -b111100011111010100011101001010 $ -b111100011111010100011101001010 - -b111100011111010100011101001010 5 -b111100011111010100011101001010 ? -b111100011111010100011101001010 D -b1110110011011011100001010000101 % -b1110110011011011100001010000101 . -b1110110011011011100001010000101 6 -b1110110011011011100001010000101 @ -b1110110011011011100001010000101 F -b110100011011010100001000000000 ) -#302592000 -0& -#302608000 -b1001001111000 , -#302624000 -1& -#302640000 -b11100101011111110010010011001000 " -b11100101011111110010010011001000 4 -b11100101011111110010010011001000 1 -b11100101011111110010010011001000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -18 -09 -b11100101011111110000110010100110 2 -b11100101011111110000110010100110 = -b11110101111111111110011111011010 < -b11100101011111110000110010100110 : -b11101111011111110010010011001100 $ -b11101111011111110010010011001100 - -b11101111011111110010010011001100 5 -b11101111011111110010010011001100 ? -b11101111011111110010010011001100 D -b11110101111111111110011111011010 % -b11110101111111111110011111011010 . -b11110101111111111110011111011010 6 -b11110101111111111110011111011010 @ -b11110101111111111110011111011010 F -b11100101011111110010010011001000 ) -#302656000 -0& -#302672000 -b1001001111001 , -#302688000 -1& -#302704000 -b11000101000101010000000011000100 " -b11000101000101010000000011000100 4 -b11000101000101010000000011000100 1 -b11000101000101010000000011000100 C -b1010100000111000101000000000000 0 -b1010100000111000101000000000000 H -b11000010010101001101101010010001 2 -b11000010010101001101101010010001 = -b11101101000111111001100011001100 < -b11000010010101001101101010010001 : -b11010101001101010100000111000101 $ -b11010101001101010100000111000101 - -b11010101001101010100000111000101 5 -b11010101001101010100000111000101 ? -b11010101001101010100000111000101 D -b11101101000111111001100011001100 % -b11101101000111111001100011001100 . -b11101101000111111001100011001100 6 -b11101101000111111001100011001100 @ -b11101101000111111001100011001100 F -b11000101000101010000000011000100 ) -#302720000 -0& -#302736000 -b1001001111010 , -#302752000 -1& -#302768000 -b1001100010101100011000101000000 " -b1001100010101100011000101000000 4 -b1001100010101100011000101000000 1 -b1001100010101100011000101000000 C -b1110111101100010100000100000000 0 -b1110111101100010100000100000000 H -08 -b1001100010101100010101100001001 2 -b1001100010101100010101100001001 = -b1011110110111100111100111001000 < -b1001100010101100010101100001001 : -b11101101011101111011000101000001 $ -b11101101011101111011000101000001 - -b11101101011101111011000101000001 5 -b11101101011101111011000101000001 ? -b11101101011101111011000101000001 D -b1011110110111100111100111001000 % -b1011110110111100111100111001000 . -b1011110110111100111100111001000 6 -b1011110110111100111100111001000 @ -b1011110110111100111100111001000 F -b1001100010101100011000101000000 ) -#302784000 -0& -#302800000 -b1001001111011 , -#302816000 -1& -#302832000 -b1011111010011000000100000001100 " -b1011111010011000000100000001100 4 -b1011111010011000000100000001100 1 -b1011111010011000000100000001100 C -b11001000110000111100000000000000 0 -b11001000110000111100000000000000 H -b111111001010111100011001001000 2 -b111111001010111100011001001000 = -b11011111010011110011101000001100 < -b111111001010111100011001001000 : -b1011111110111001000110000111100 $ -b1011111110111001000110000111100 - -b1011111110111001000110000111100 5 -b1011111110111001000110000111100 ? -b1011111110111001000110000111100 D -b11011111010011110011101000001100 % -b11011111010011110011101000001100 . -b11011111010011110011101000001100 6 -b11011111010011110011101000001100 @ -b11011111010011110011101000001100 F -b1011111010011000000100000001100 ) -#302848000 -0& -#302864000 -b1001001111100 , -#302880000 -1& -#302896000 -b1100011010001110000000000010000 " -b1100011010001110000000000010000 4 -b1100011010001110000000000010000 1 -b1100011010001110000000000010000 C -b10010000000000000000000000000 0 -b10010000000000000000000000000 H -b1100011001111101101100000001010 2 -b1100011001111101101100000001010 = -b1101111110001111001011011111000 < -b1100011001111101101100000001010 : -b11110011011101110100000100010010 $ -b11110011011101110100000100010010 - -b11110011011101110100000100010010 5 -b11110011011101110100000100010010 ? -b11110011011101110100000100010010 D -b1101111110001111001011011111000 % -b1101111110001111001011011111000 . -b1101111110001111001011011111000 6 -b1101111110001111001011011111000 @ -b1101111110001111001011011111000 F -b1100011010001110000000000010000 ) -#302912000 -0& -#302928000 -b1001001111101 , -#302944000 -1& -#302960000 -b10101010101101001000100000000000 " -b10101010101101001000100000000000 4 -b10101010101101001000100000000000 1 -b10101010101101001000100000000000 C -b1111111011010111001000110100000 0 -b1111111011010111001000110100000 H -18 -b10101010101101000110010111110001 2 -b10101010101101000110010111110001 = -b11101010111111101001110100100001 < -b10101010101101000110010111110001 : -b10111111101101011100100011010000 $ -b10111111101101011100100011010000 - -b10111111101101011100100011010000 5 -b10111111101101011100100011010000 ? -b10111111101101011100100011010000 D -b11101010111111101001110100100001 % -b11101010111111101001110100100001 . -b11101010111111101001110100100001 6 -b11101010111111101001110100100001 @ -b11101010111111101001110100100001 F -b10101010101101001000100000000000 ) -#302976000 -0& -#302992000 -b1001001111110 , -#303008000 -1& -#303024000 -b111111110010000001010001000000 " -b111111110010000001010001000000 4 -b111111110010000001010001000000 1 -b111111110010000001010001000000 C -b101110100101000000000000000000 0 -b101110100101000000000000000000 H -08 -19 -b10111111110001110011001110111011 2 -b10111111110001110011001110111011 = -b111111111110000001110001110001 < -b10111111110001110011001110111011 : -b1111111110011110001011101001010 $ -b1111111110011110001011101001010 - -b1111111110011110001011101001010 5 -b1111111110011110001011101001010 ? -b1111111110011110001011101001010 D -b111111111110000001110001110001 % -b111111111110000001110001110001 . -b111111111110000001110001110001 6 -b111111111110000001110001110001 @ -b111111111110000001110001110001 F -b111111110010000001010001000000 ) -#303040000 -0& -#303056000 -b1001001111111 , -#303072000 -1& -#303088000 -b1011010011101100100001001110100 " -b1011010011101100100001001110100 4 -b1011010011101100100001001110100 1 -b1011010011101100100001001110100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b1011010011101100011110011110001 2 -b1011010011101100011110011110001 = -b11111110011111101101101001111101 < -b1011010011101100011110011110001 : -b1011011111101110110001001110100 $ -b1011011111101110110001001110100 - -b1011011111101110110001001110100 5 -b1011011111101110110001001110100 ? -b1011011111101110110001001110100 D -b11111110011111101101101001111101 % -b11111110011111101101101001111101 . -b11111110011111101101101001111101 6 -b11111110011111101101101001111101 @ -b11111110011111101101101001111101 F -b1011010011101100100001001110100 ) -#303104000 -0& -#303120000 -b1001010000000 , -#303136000 -1& -#303152000 -b1111110110110010111000000100010 " -b1111110110110010111000000100010 4 -b1111110110110010111000000100010 1 -b1111110110110010111000000100010 C -b11111110111111111001000111010000 0 -b11111110111111111001000111010000 H -b1111110101110010110001010011101 2 -b1111110101110010110001010011101 = -b11111110110110010111000001100011 < -b1111110101110010110001010011101 : -b1111111110111111111001000111010 $ -b1111111110111111111001000111010 - -b1111111110111111111001000111010 5 -b1111111110111111111001000111010 ? -b1111111110111111111001000111010 D -b11111110110110010111000001100011 % -b11111110110110010111000001100011 . -b11111110110110010111000001100011 6 -b11111110110110010111000001100011 @ -b11111110110110010111000001100011 F -b1111110110110010111000000100010 ) -#303168000 -0& -#303184000 -b1001010000001 , -#303200000 -1& -#303216000 -b11111011110111010010100011110000 " -b11111011110111010010100011110000 4 -b11111011110111010010100011110000 1 -b11111011110111010010100011110000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11111011110110101010100011101011 2 -b11111011110110101010100011101011 = -b11111111111111010010100111111011 < -b11111011110110101010100011101011 : -b11111011110111010111111011110000 $ -b11111011110111010111111011110000 - -b11111011110111010111111011110000 5 -b11111011110111010111111011110000 ? -b11111011110111010111111011110000 D -b11111111111111010010100111111011 % -b11111111111111010010100111111011 . -b11111111111111010010100111111011 6 -b11111111111111010010100111111011 @ -b11111111111111010010100111111011 F -b11111011110111010010100011110000 ) -#303232000 -0& -#303248000 -b1001010000010 , -#303264000 -1& -#303280000 -b111101001111111000100000000000 " -b111101001111111000100000000000 4 -b111101001111111000100000000000 1 -b111101001111111000100000000000 C -b11001111111000100010110000000000 0 -b11001111111000100010110000000000 H -08 -b111101001111110011011010110110 2 -b111101001111110011011010110110 = -b11111101111111111010111000000110 < -b111101001111110011011010110110 : -b111111001111111000100010110000 $ -b111111001111111000100010110000 - -b111111001111111000100010110000 5 -b111111001111111000100010110000 ? -b111111001111111000100010110000 D -b11111101111111111010111000000110 % -b11111101111111111010111000000110 . -b11111101111111111010111000000110 6 -b11111101111111111010111000000110 @ -b11111101111111111010111000000110 F -b111101001111111000100000000000 ) -#303296000 -0& -#303312000 -b1001010000011 , -#303328000 -1& -#303344000 -b110101010001100001000000000111 " -b110101010001100001000000000111 4 -b110101010001100001000000000111 1 -b110101010001100001000000000111 C -b1010001110111000000000000000 0 -b1010001110111000000000000000 H -b110101010001010110100000000110 2 -b110101010001010110100000000110 = -b10110101110011110101001110001111 < -b110101010001010110100000000110 : -b1111111011101100001010001110111 $ -b1111111011101100001010001110111 - -b1111111011101100001010001110111 5 -b1111111011101100001010001110111 ? -b1111111011101100001010001110111 D -b10110101110011110101001110001111 % -b10110101110011110101001110001111 . -b10110101110011110101001110001111 6 -b10110101110011110101001110001111 @ -b10110101110011110101001110001111 F -b110101010001100001000000000111 ) -#303360000 -0& -#303376000 -b1001010000100 , -#303392000 -1& -#303408000 -b11111000101010011000100100000000 " -b11111000101010011000100100000000 4 -b11111000101010011000100100000000 1 -b11111000101010011000100100000000 C -b11001110101110011001101110110000 0 -b11001110101110011001101110110000 H -18 -b11111000100110010010010010111111 2 -b11111000100110010010010010111111 = -b11111011101011011000101100000100 < -b11111000100110010010010010111111 : -b11111100111010111001100110111011 $ -b11111100111010111001100110111011 - -b11111100111010111001100110111011 5 -b11111100111010111001100110111011 ? -b11111100111010111001100110111011 D -b11111011101011011000101100000100 % -b11111011101011011000101100000100 . -b11111011101011011000101100000100 6 -b11111011101011011000101100000100 @ -b11111011101011011000101100000100 F -b11111000101010011000100100000000 ) -#303424000 -0& -#303440000 -b1001010000101 , -#303456000 -1& -#303472000 -b11110010111000110101010000010010 " -b11110010111000110101010000010010 4 -b11110010111000110101010000010010 1 -b11110010111000110101010000010010 C -b1011000010010000000000000000000 0 -b1011000010010000000000000000000 H -b11110010111000101101010000000100 2 -b11110010111000101101010000000100 = -b11111110111001110111110111110010 < -b11110010111000101101010000000100 : -b11110011111110110101011000010010 $ -b11110011111110110101011000010010 - -b11110011111110110101011000010010 5 -b11110011111110110101011000010010 ? -b11110011111110110101011000010010 D -b11111110111001110111110111110010 % -b11111110111001110111110111110010 . -b11111110111001110111110111110010 6 -b11111110111001110111110111110010 @ -b11111110111001110111110111110010 F -b11110010111000110101010000010010 ) -#303488000 -0& -#303504000 -b1001010000110 , -#303520000 -1& -#303536000 -b11100010100110000100000000100000 " -b11100010100110000100000000100000 4 -b11100010100110000100000000100000 1 -b11100010100110000100000000100000 C -b11110111001111111100100001100100 0 -b11110111001111111100100001100100 H -b11100010010110000010011110010011 2 -b11100010010110000010011110010011 = -b11100110101110000100001101100001 < -b11100010010110000010011110010011 : -b11111011100111111110010000110010 $ -b11111011100111111110010000110010 - -b11111011100111111110010000110010 5 -b11111011100111111110010000110010 ? -b11111011100111111110010000110010 D -b11100110101110000100001101100001 % -b11100110101110000100001101100001 . -b11100110101110000100001101100001 6 -b11100110101110000100001101100001 @ -b11100110101110000100001101100001 F -b11100010100110000100000000100000 ) -#303552000 -0& -#303568000 -b1001010000111 , -#303584000 -1& -#303600000 -b1001101100110000000001101000001 " -b1001101100110000000001101000001 4 -b1001101100110000000001101000001 1 -b1001101100110000000001101000001 C -b11011111111101110001111011110110 0 -b11011111111101110001111011110110 H -08 -b1001101100101111111001100111100 2 -b1001101100101111111001100111100 = -b1011101100111000110001111000001 < -b1001101100101111111001100111100 : -b11101111111110111000111101111011 $ -b11101111111110111000111101111011 - -b11101111111110111000111101111011 5 -b11101111111110111000111101111011 ? -b11101111111110111000111101111011 D -b1011101100111000110001111000001 % -b1011101100111000110001111000001 . -b1011101100111000110001111000001 6 -b1011101100111000110001111000001 @ -b1011101100111000110001111000001 F -b1001101100110000000001101000001 ) -#303616000 -0& -#303632000 -b1001010001000 , -#303648000 -1& -#303664000 -b1100011101011010101000100001001 " -b1100011101011010101000100001001 4 -b1100011101011010101000100001001 1 -b1100011101011010101000100001001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1100011101011010010111001001000 2 -b1100011101011010010111001001000 = -b11111111101011111101100100111111 < -b1100011101011010010111001001000 : -b1100011111111010101010100001001 $ -b1100011111111010101010100001001 - -b1100011111111010101010100001001 5 -b1100011111111010101010100001001 ? -b1100011111111010101010100001001 D -b11111111101011111101100100111111 % -b11111111101011111101100100111111 . -b11111111101011111101100100111111 6 -b11111111101011111101100100111111 @ -b11111111101011111101100100111111 F -b1100011101011010101000100001001 ) -#303680000 -0& -#303696000 -b1001010001001 , -#303712000 -1& -#303728000 -b1101101100000101000000100110000 " -b1101101100000101000000100110000 4 -b1101101100000101000000100110000 1 -b1101101100000101000000100110000 C -b110111000000000000000000000000 0 -b110111000000000000000000000000 H -b1011101011100100100000100101101 2 -b1011101011100100100000100101101 = -b1101101111010111001111101110101 < -b1011101011100100100000100101101 : -b11101111100001101010000110111000 $ -b11101111100001101010000110111000 - -b11101111100001101010000110111000 5 -b11101111100001101010000110111000 ? -b11101111100001101010000110111000 D -b1101101111010111001111101110101 % -b1101101111010111001111101110101 . -b1101101111010111001111101110101 6 -b1101101111010111001111101110101 @ -b1101101111010111001111101110101 F -b1101101100000101000000100110000 ) -#303744000 -0& -#303760000 -b1001010001010 , -#303776000 -1& -#303792000 -b10101111100001011000000000000011 " -b10101111100001011000000000000011 4 -b10101111100001011000000000000011 1 -b10101111100001011000000000000011 C -b11111111001011010000000101111000 0 -b11111111001011010000000101111000 H -18 -b10101111100001010110011001110010 2 -b10101111100001010110011001110010 = -b10101111100111111100011001000011 < -b10101111100001010110011001110010 : -b11111111111001011010000000101111 $ -b11111111111001011010000000101111 - -b11111111111001011010000000101111 5 -b11111111111001011010000000101111 ? -b11111111111001011010000000101111 D -b10101111100111111100011001000011 % -b10101111100111111100011001000011 . -b10101111100111111100011001000011 6 -b10101111100111111100011001000011 @ -b10101111100111111100011001000011 F -b10101111100001011000000000000011 ) -#303808000 -0& -#303824000 -b1001010001011 , -#303840000 -1& -#303856000 -b1001010110101101000010000011000 " -b1001010110101101000010000011000 4 -b1001010110101101000010000011000 1 -b1001010110101101000010000011000 C -b10111000000000000000000000000000 0 -b10111000000000000000000000000000 H -08 -b1000101110101010111110000010101 2 -b1000101110101010111110000010101 = -b11001010110111101111010000111001 < -b1000101110101010111110000010101 : -b1111010111101101000011111011100 $ -b1111010111101101000011111011100 - -b1111010111101101000011111011100 5 -b1111010111101101000011111011100 ? -b1111010111101101000011111011100 D -b11001010110111101111010000111001 % -b11001010110111101111010000111001 . -b11001010110111101111010000111001 6 -b11001010110111101111010000111001 @ -b11001010110111101111010000111001 F -b1001010110101101000010000011000 ) -#303872000 -0& -#303888000 -b1001010001100 , -#303904000 -1& -#303920000 -b1111101011100001010000101001 " -b1111101011100001010000101001 4 -b1111101011100001010000101001 1 -b1111101011100001010000101001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b1111100111010110100110100110 2 -b1111100111010110100110100110 = -b1111111011100101010100111101 < -b1111100111010110100110100110 : -b11111111101011110001010001101001 $ -b11111111101011110001010001101001 - -b11111111101011110001010001101001 5 -b11111111101011110001010001101001 ? -b11111111101011110001010001101001 D -b1111111011100101010100111101 % -b1111111011100101010100111101 . -b1111111011100101010100111101 6 -b1111111011100101010100111101 @ -b1111111011100101010100111101 F -b1111101011100001010000101001 ) -#303936000 -0& -#303952000 -b1001010001101 , -#303968000 -1& -#303984000 -b11010010111010100000000010000 " -b11010010111010100000000010000 4 -b11010010111010100000000010000 1 -b11010010111010100000000010000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -b11010001111001011111100001101 2 -b11010001111001011111100001101 = -b11111011010111010101110010111100 < -b11010001111001011111100001101 : -b11110110111110110001001010001 $ -b11110110111110110001001010001 - -b11110110111110110001001010001 5 -b11110110111110110001001010001 ? -b11110110111110110001001010001 D -b11111011010111010101110010111100 % -b11111011010111010101110010111100 . -b11111011010111010101110010111100 6 -b11111011010111010101110010111100 @ -b11111011010111010101110010111100 F -b11010010111010100000000010000 ) -#304000000 -0& -#304016000 -b1001010001110 , -#304032000 -1& -#304048000 -b1110010111110011100000010001000 " -b1110010111110011100000010001000 4 -b1110010111110011100000010001000 1 -b1110010111110011100000010001000 C -b11100111001000111011010000000000 0 -b11100111001000111011010000000000 H -19 -08 -b11110010111110011011000001110111 2 -b11110010111110011011000001110111 = -b1110110111111111110011110001010 < -b11110010111110011011000001110111 : -b1111011111110011100100011101101 $ -b1111011111110011100100011101101 - -b1111011111110011100100011101101 5 -b1111011111110011100100011101101 ? -b1111011111110011100100011101101 D -b1110110111111111110011110001010 % -b1110110111111111110011110001010 . -b1110110111111111110011110001010 6 -b1110110111111111110011110001010 @ -b1110110111111111110011110001010 F -b1110010111110011100000010001000 ) -#304064000 -0& -#304080000 -b1001010001111 , -#304096000 -1& -#304112000 -b101110101101100010010000000011 " -b101110101101100010010000000011 4 -b101110101101100010010000000011 1 -b101110101101100010010000000011 C -b100000010110000000000000000000 0 -b100000010110000000000000000000 H -18 -09 -b11101110011101100000101111111110 2 -b11101110011101100000101111111110 = -b10101110101101110010011111110011 < -b11101110011101100000101111111110 : -b111111101111101110010000001011 $ -b111111101111101110010000001011 - -b111111101111101110010000001011 5 -b111111101111101110010000001011 ? -b111111101111101110010000001011 D -b10101110101101110010011111110011 % -b10101110101101110010011111110011 . -b10101110101101110010011111110011 6 -b10101110101101110010011111110011 @ -b10101110101101110010011111110011 F -b101110101101100010010000000011 ) -#304128000 -0& -#304144000 -b1001010010000 , -#304160000 -1& -#304176000 -b1011101101100100000010000010110 " -b1011101101100100000010000010110 4 -b1011101101100100000010000010110 1 -b1011101101100100000010000010110 C -b1000111100000000000000000000000 0 -b1000111100000000000000000000000 H -08 -b1011101101100001011101111010100 2 -b1011101101100001011101111010100 = -b1111101111111101000011010110110 < -b1011101101100001011101111010100 : -b11011111101100100011010100011110 $ -b11011111101100100011010100011110 - -b11011111101100100011010100011110 5 -b11011111101100100011010100011110 ? -b11011111101100100011010100011110 D -b1111101111111101000011010110110 % -b1111101111111101000011010110110 . -b1111101111111101000011010110110 6 -b1111101111111101000011010110110 @ -b1111101111111101000011010110110 F -b1011101101100100000010000010110 ) -#304192000 -0& -#304208000 -b1001010010001 , -#304224000 -1& -#304240000 -b1001111100110100000110000000 " -b1001111100110100000110000000 4 -b1001111100110100000110000000 1 -b1001111100110100000110000000 C -b1000011101000110000000000000000 0 -b1000011101000110000000000000000 H -b1001111011110001000101110011 2 -b1001111011110001000101110011 = -b10011111111110111100110111010000 < -b1001111011110001000101110011 : -b1101001111100110100001110100011 $ -b1101001111100110100001110100011 - -b1101001111100110100001110100011 5 -b1101001111100110100001110100011 ? -b1101001111100110100001110100011 D -b10011111111110111100110111010000 % -b10011111111110111100110111010000 . -b10011111111110111100110111010000 6 -b10011111111110111100110111010000 @ -b10011111111110111100110111010000 F -b1001111100110100000110000000 ) -#304256000 -0& -#304272000 -b1001010010010 , -#304288000 -1& -#304304000 -b100010100111010001001011010000 " -b100010100111010001001011010000 4 -b100010100111010001001011010000 1 -b100010100111010001001011010000 C -b11100101101100000000000000000000 0 -b11100101101100000000000000000000 H -19 -08 -b10100010100111010000101010101001 2 -b10100010100111010000101010101001 = -b1101110100111010001011111010001 < -b10100010100111010000101010101001 : -b110011111111111111001011011000 $ -b110011111111111111001011011000 - -b110011111111111111001011011000 5 -b110011111111111111001011011000 ? -b110011111111111111001011011000 D -b1101110100111010001011111010001 % -b1101110100111010001011111010001 . -b1101110100111010001011111010001 6 -b1101110100111010001011111010001 @ -b1101110100111010001011111010001 F -b100010100111010001001011010000 ) -#304320000 -0& -#304336000 -b1001010010011 , -#304352000 -1& -#304368000 -b11010010111111110001000100100 " -b11010010111111110001000100100 4 -b11010010111111110001000100100 1 -b11010010111111110001000100100 C -b0 0 -b0 H -09 -08 -b11010010111111110001000100010 2 -b11010010111111110001000100010 = -b1011011110111111111101111111110 < -b11010010111111110001000100010 : -b10111110011111111110011000100100 $ -b10111110011111111110011000100100 - -b10111110011111111110011000100100 5 -b10111110011111111110011000100100 ? -b10111110011111111110011000100100 D -b1011011110111111111101111111110 % -b1011011110111111111101111111110 . -b1011011110111111111101111111110 6 -b1011011110111111111101111111110 @ -b1011011110111111111101111111110 F -b11010010111111110001000100100 ) -#304384000 -0& -#304400000 -b1001010010100 , -#304416000 -1& -#304432000 -b1111001011100100001000101000000 " -b1111001011100100001000101000000 4 -b1111001011100100001000101000000 1 -b1111001011100100001000101000000 C -b11111111101001000101010000000000 0 -b11111111101001000101010000000000 H -b1111001011100011100100100110110 2 -b1111001011100011100100100110110 = -b1111001011100110011011111100110 < -b1111001011100011100100100110110 : -b11111111111111101001000101010000 $ -b11111111111111101001000101010000 - -b11111111111111101001000101010000 5 -b11111111111111101001000101010000 ? -b11111111111111101001000101010000 D -b1111001011100110011011111100110 % -b1111001011100110011011111100110 . -b1111001011100110011011111100110 6 -b1111001011100110011011111100110 @ -b1111001011100110011011111100110 F -b1111001011100100001000101000000 ) -#304448000 -0& -#304464000 -b1001010010101 , -#304480000 -1& -#304496000 -b1011100110110110000011010110000 " -b1011100110110110000011010110000 4 -b1011100110110110000011010110000 1 -b1011100110110110000011010110000 C -b11011110111000000000000000000000 0 -b11011110111000000000000000000000 H -b1011100101110101000011010101010 2 -b1011100101110101000011010101010 = -b1011101110110110000111011110010 < -b1011100101110101000011010101010 : -b11111110110111110111011110111000 $ -b11111110110111110111011110111000 - -b11111110110111110111011110111000 5 -b11111110110111110111011110111000 ? -b11111110110111110111011110111000 D -b1011101110110110000111011110010 % -b1011101110110110000111011110010 . -b1011101110110110000111011110010 6 -b1011101110110110000111011110010 @ -b1011101110110110000111011110010 F -b1011100110110110000011010110000 ) -#304512000 -0& -#304528000 -b1001010010110 , -#304544000 -1& -#304560000 -b1101110010011110001100100111000 " -b1101110010011110001100100111000 4 -b1101110010011110001100100111000 1 -b1101110010011110001100100111000 C -b0 0 -b0 H -19 -08 -b11101101001011101001010100110110 2 -b11101101001011101001010100110110 = -b1111110110111110101100110111110 < -b11101101001011101001010100110110 : -b1101110010011110011101101111000 $ -b1101110010011110011101101111000 - -b1101110010011110011101101111000 5 -b1101110010011110011101101111000 ? -b1101110010011110011101101111000 D -b1111110110111110101100110111110 % -b1111110110111110101100110111110 . -b1111110110111110101100110111110 6 -b1111110110111110101100110111110 @ -b1111110110111110101100110111110 F -b1101110010011110001100100111000 ) -#304576000 -0& -#304592000 -b1001010010111 , -#304608000 -1& -#304624000 -b100001000101010100001010010001 " -b100001000101010100001010010001 4 -b100001000101010100001010010001 1 -b100001000101010100001010010001 C -b11101110000000000000000000000000 0 -b11101110000000000000000000000000 H -09 -08 -b100001000101010100001010010000 2 -b100001000101010100001010010000 = -b110111001101011100011110011001 < -b100001000101010100001010010000 : -b11101001110111110111101011110111 $ -b11101001110111110111101011110111 - -b11101001110111110111101011110111 5 -b11101001110111110111101011110111 ? -b11101001110111110111101011110111 D -b110111001101011100011110011001 % -b110111001101011100011110011001 . -b110111001101011100011110011001 6 -b110111001101011100011110011001 @ -b110111001101011100011110011001 F -b100001000101010100001010010001 ) -#304640000 -0& -#304656000 -b1001010011000 , -#304672000 -1& -#304688000 -b1010100100110000000100001010 " -b1010100100110000000100001010 4 -b1010100100110000000100001010 1 -b1010100100110000000100001010 C -b1001101000011001110100000000000 0 -b1001101000011001110100000000000 H -18 -b11001010011100100111100011000100 2 -b11001010011100100111100011000100 = -b10011110110111110011010110001010 < -b11001010011100100111100011000100 : -b101011100100110100001100111010 $ -b101011100100110100001100111010 - -b101011100100110100001100111010 5 -b101011100100110100001100111010 ? -b101011100100110100001100111010 D -b10011110110111110011010110001010 % -b10011110110111110011010110001010 . -b10011110110111110011010110001010 6 -b10011110110111110011010110001010 @ -b10011110110111110011010110001010 F -b1010100100110000000100001010 ) -#304704000 -0& -#304720000 -b1001010011001 , -#304736000 -1& -#304752000 -b1110100001000001001100000010000 " -b1110100001000001001100000010000 4 -b1110100001000001001100000010000 1 -b1110100001000001001100000010000 C -b10010101000000000000000000000000 0 -b10010101000000000000000000000000 H -08 -19 -b11110011000101001001100000000100 2 -b11110011000101001001100000000100 = -b1110100001100101011111010110100 < -b11110011000101001001100000000100 : -b1111110111000011101100101010000 $ -b1111110111000011101100101010000 - -b1111110111000011101100101010000 5 -b1111110111000011101100101010000 ? -b1111110111000011101100101010000 D -b1110100001100101011111010110100 % -b1110100001100101011111010110100 . -b1110100001100101011111010110100 6 -b1110100001100101011111010110100 @ -b1110100001100101011111010110100 F -b1110100001000001001100000010000 ) -#304768000 -0& -#304784000 -b1001010011010 , -#304800000 -1& -#304816000 -b1011100011100010100010000000000 " -b1011100011100010100010000000000 4 -b1011100011100010100010000000000 1 -b1011100011100010100010000000000 C -b11100111101110110010100010100000 0 -b11100111101110110010100010100000 H -b11011100011010010100001100010111 2 -b11011100011010010100001100010111 = -b1111111011100011101111000000011 < -b11011100011010010100001100010111 : -b1011100111101110110010100010100 $ -b1011100111101110110010100010100 - -b1011100111101110110010100010100 5 -b1011100111101110110010100010100 ? -b1011100111101110110010100010100 D -b1111111011100011101111000000011 % -b1111111011100011101111000000011 . -b1111111011100011101111000000011 6 -b1111111011100011101111000000011 @ -b1111111011100011101111000000011 F -b1011100011100010100010000000000 ) -#304832000 -0& -#304848000 -b1001010011011 , -#304864000 -1& -#304880000 -b1100101010000100000000100000000 " -b1100101010000100000000100000000 4 -b1100101010000100000000100000000 1 -b1100101010000100000000100000000 C -b10101000010010000000000000000 0 -b10101000010010000000000000000 H -09 -08 -b1011101001111000111111001111001 2 -b1011101001111000111111001111001 = -b1110111011100100110100101110000 < -b1011101001111000111111001111001 : -b11100101110010100001010100001001 $ -b11100101110010100001010100001001 - -b11100101110010100001010100001001 5 -b11100101110010100001010100001001 ? -b11100101110010100001010100001001 D -b1110111011100100110100101110000 % -b1110111011100100110100101110000 . -b1110111011100100110100101110000 6 -b1110111011100100110100101110000 @ -b1110111011100100110100101110000 F -b1100101010000100000000100000000 ) -#304896000 -0& -#304912000 -b1001010011100 , -#304928000 -1& -#304944000 -b1110110110011100000000000001000 " -b1110110110011100000000000001000 4 -b1110110110011100000000000001000 1 -b1110110110011100000000000001000 C -b11011111101111110001111100000000 0 -b11011111101111110001111100000000 H -b1110110110011011011111111000111 2 -b1110110110011011011111111000111 = -b11111110111011100000000010101000 < -b1110110110011011011111111000111 : -b1110111110111111011111100011111 $ -b1110111110111111011111100011111 - -b1110111110111111011111100011111 5 -b1110111110111111011111100011111 ? -b1110111110111111011111100011111 D -b11111110111011100000000010101000 % -b11111110111011100000000010101000 . -b11111110111011100000000010101000 6 -b11111110111011100000000010101000 @ -b11111110111011100000000010101000 F -b1110110110011100000000000001000 ) -#304960000 -0& -#304976000 -b1001010011101 , -#304992000 -1& -#305008000 -b1100001100101010000101100011010 " -b1100001100101010000101100011010 4 -b1100001100101010000101100011010 1 -b1100001100101010000101100011010 C -b11101100000000000000000000000000 0 -b11101100000000000000000000000000 H -b1010001100101001111011010010101 2 -b1010001100101001111011010010101 = -b11101011100101010100101101011010 < -b1010001100101001111011010010101 : -b1100101111111111010101100111011 $ -b1100101111111111010101100111011 - -b1100101111111111010101100111011 5 -b1100101111111111010101100111011 ? -b1100101111111111010101100111011 D -b11101011100101010100101101011010 % -b11101011100101010100101101011010 . -b11101011100101010100101101011010 6 -b11101011100101010100101101011010 @ -b11101011100101010100101101011010 F -b1100001100101010000101100011010 ) -#305024000 -0& -#305040000 -b1001010011110 , -#305056000 -1& -#305072000 -b1111100100101011110000000000000 " -b1111100100101011110000000000000 4 -b1111100100101011110000000000000 1 -b1111100100101011110000000000000 C -b101011110111011010000000000000 0 -b101011110111011010000000000000 H -b1111100010100111100111011111001 2 -b1111100010100111100111011111001 = -b11111110101111011110000000101001 < -b1111100010100111100111011111001 : -b1111101100101011110111011010000 $ -b1111101100101011110111011010000 - -b1111101100101011110111011010000 5 -b1111101100101011110111011010000 ? -b1111101100101011110111011010000 D -b11111110101111011110000000101001 % -b11111110101111011110000000101001 . -b11111110101111011110000000101001 6 -b11111110101111011110000000101001 @ -b11111110101111011110000000101001 F -b1111100100101011110000000000000 ) -#305088000 -0& -#305104000 -b1001010011111 , -#305120000 -1& -#305136000 -b1010111011111100000000001001000 " -b1010111011111100000000001001000 4 -b1010111011111100000000001001000 1 -b1010111011111100000000001001000 C -b11101000000001001001000000000000 0 -b11101000000001001001000000000000 H -b1010111011111011011100010110101 2 -b1010111011111011011100010110101 = -b1111111111111110011100001101100 < -b1010111011111011011100010110101 : -b11010111011111101000000001001001 $ -b11010111011111101000000001001001 - -b11010111011111101000000001001001 5 -b11010111011111101000000001001001 ? -b11010111011111101000000001001001 D -b1111111111111110011100001101100 % -b1111111111111110011100001101100 . -b1111111111111110011100001101100 6 -b1111111111111110011100001101100 @ -b1111111111111110011100001101100 F -b1010111011111100000000001001000 ) -#305152000 -0& -#305168000 -b1001010100000 , -#305184000 -1& -#305200000 -b1000101111100100010010011001000 " -b1000101111100100010010011001000 4 -b1000101111100100010010011001000 1 -b1000101111100100010010011001000 C -b11111011101101101101111100000000 0 -b11111011101101101101111100000000 H -19 -08 -b11000101111100100001101111000111 2 -b11000101111100100001101111000111 = -b1000101111101100110010011101000 < -b11000101111100100001101111000111 : -b1111111111110111011011011011111 $ -b1111111111110111011011011011111 - -b1111111111110111011011011011111 5 -b1111111111110111011011011011111 ? -b1111111111110111011011011011111 D -b1000101111101100110010011101000 % -b1000101111101100110010011101000 . -b1000101111101100110010011101000 6 -b1000101111101100110010011101000 @ -b1000101111101100110010011101000 F -b1000101111100100010010011001000 ) -#305216000 -0& -#305232000 -b1001010100001 , -#305248000 -1& -#305264000 -b10110100111001000000000000000100 " -b10110100111001000000000000000100 4 -b10110100111001000000000000000100 1 -b10110100111001000000000000000100 C -b11101010010000000000000000000000 0 -b11101010010000000000000000000000 H -18 -09 -b10110010111000110111111111111000 2 -b10110010111000110111111111111000 = -b10110101111001110100000101010100 < -b10110010111000110111111111111000 : -b11111100111111000011111010100100 $ -b11111100111111000011111010100100 - -b11111100111111000011111010100100 5 -b11111100111111000011111010100100 ? -b11111100111111000011111010100100 D -b10110101111001110100000101010100 % -b10110101111001110100000101010100 . -b10110101111001110100000101010100 6 -b10110101111001110100000101010100 @ -b10110101111001110100000101010100 F -b10110100111001000000000000000100 ) -#305280000 -0& -#305296000 -b1001010100010 , -#305312000 -1& -#305328000 -b1111101010010010010101000000100 " -b1111101010010010010101000000100 4 -b1111101010010010010101000000100 1 -b1111101010010010010101000000100 C -b11110000110100000000000000000000 0 -b11110000110100000000000000000000 H -08 -19 -b11111101010010001110100111100001 2 -b11111101010010001110100111100001 = -b1111111010011110010101011010100 < -b11111101010010001110100111100001 : -b1111101111110011011111100001101 $ -b1111101111110011011111100001101 - -b1111101111110011011111100001101 5 -b1111101111110011011111100001101 ? -b1111101111110011011111100001101 D -b1111111010011110010101011010100 % -b1111111010011110010101011010100 . -b1111111010011110010101011010100 6 -b1111111010011110010101011010100 @ -b1111111010011110010101011010100 F -b1111101010010010010101000000100 ) -#305344000 -0& -#305360000 -b1001010100011 , -#305376000 -1& -#305392000 -b1110101000100000010010000100011 " -b1110101000100000010010000100011 4 -b1110101000100000010010000100011 1 -b1110101000100000010010000100011 C -b10111000101001010010101110011000 0 -b10111000101001010010101110011000 H -b11110100111010000010001110010110 2 -b11110100111010000010001110010110 = -b1111101110100110111111000100011 < -b11110100111010000010001110010110 : -b1110111000101001010010101110011 $ -b1110111000101001010010101110011 - -b1110111000101001010010101110011 5 -b1110111000101001010010101110011 ? -b1110111000101001010010101110011 D -b1111101110100110111111000100011 % -b1111101110100110111111000100011 . -b1111101110100110111111000100011 6 -b1111101110100110111111000100011 @ -b1111101110100110111111000100011 F -b1110101000100000010010000100011 ) -#305408000 -0& -#305424000 -b1001010100100 , -#305440000 -1& -#305456000 -b1101100111111110000001010011000 " -b1101100111111110000001010011000 4 -b1101100111111110000001010011000 1 -b1101100111111110000001010011000 C -b0 0 -b0 H -09 -08 -b1101010111111100011100100110101 2 -b1101010111111100011100100110101 = -b11111100111111110010011010011101 < -b1101010111111100011100100110101 : -b1101101111111110001001010011000 $ -b1101101111111110001001010011000 - -b1101101111111110001001010011000 5 -b1101101111111110001001010011000 ? -b1101101111111110001001010011000 D -b11111100111111110010011010011101 % -b11111100111111110010011010011101 . -b11111100111111110010011010011101 6 -b11111100111111110010011010011101 @ -b11111100111111110010011010011101 F -b1101100111111110000001010011000 ) -#305472000 -0& -#305488000 -b1001010100101 , -#305504000 -1& -#305520000 -b1101110011110100000000000000000 " -b1101110011110100000000000000000 4 -b1101110011110100000000000000000 1 -b1101110011110100000000000000000 C -b1010100001100000000000000000000 0 -b1010100001100000000000000000000 H -b1011110011110011111011111110111 2 -b1011110011110011111011111110111 = -b11101110111111100101001010110100 < -b1011110011110011111011111110111 : -b1101111011110111010010101000011 $ -b1101111011110111010010101000011 - -b1101111011110111010010101000011 5 -b1101111011110111010010101000011 ? -b1101111011110111010010101000011 D -b11101110111111100101001010110100 % -b11101110111111100101001010110100 . -b11101110111111100101001010110100 6 -b11101110111111100101001010110100 @ -b11101110111111100101001010110100 F -b1101110011110100000000000000000 ) -#305536000 -0& -#305552000 -b1001010100110 , -#305568000 -1& -#305584000 -b110011010111110001000000000100 " -b110011010111110001000000000100 4 -b110011010111110001000000000100 1 -b110011010111110001000000000100 C -b11000100000100010100000000000000 0 -b11000100000100010100000000000000 H -18 -b11110011010111100110111011010011 2 -b11110011010111100110111011010011 = -b110111110111110101111010001110 < -b11110011010111100110111011010011 : -b10111011011111110001000001000101 $ -b10111011011111110001000001000101 - -b10111011011111110001000001000101 5 -b10111011011111110001000001000101 ? -b10111011011111110001000001000101 D -b110111110111110101111010001110 % -b110111110111110101111010001110 . -b110111110111110101111010001110 6 -b110111110111110101111010001110 @ -b110111110111110101111010001110 F -b110011010111110001000000000100 ) -#305600000 -0& -#305616000 -b1001010100111 , -#305632000 -1& -#305648000 -b11100111000110011001100000100100 " -b11100111000110011001100000100100 4 -b11100111000110011001100000100100 1 -b11100111000110011001100000100100 C -b10111001100000100101000000000000 0 -b10111001100000100101000000000000 H -b11100111000101010111010010010001 2 -b11100111000101010111010010010001 = -b11111111001110011101110001101100 < -b11100111000101010111010010010001 : -b11100111110110111001100000100101 $ -b11100111110110111001100000100101 - -b11100111110110111001100000100101 5 -b11100111110110111001100000100101 ? -b11100111110110111001100000100101 D -b11111111001110011101110001101100 % -b11111111001110011101110001101100 . -b11111111001110011101110001101100 6 -b11111111001110011101110001101100 @ -b11111111001110011101110001101100 F -b11100111000110011001100000100100 ) -#305664000 -0& -#305680000 -b1001010101000 , -#305696000 -1& -#305712000 -b1101111110100100100000100101100 " -b1101111110100100100000100101100 4 -b1101111110100100100000100101100 1 -b1101111110100100100000100101100 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -08 -19 -b11101111110011100010000100101001 2 -b11101111110011100010000100101001 = -b1111111111110111100001111111100 < -b11101111110011100010000100101001 : -b1101111110100100101110100101101 $ -b1101111110100100101110100101101 - -b1101111110100100101110100101101 5 -b1101111110100100101110100101101 ? -b1101111110100100101110100101101 D -b1111111111110111100001111111100 % -b1111111111110111100001111111100 . -b1111111111110111100001111111100 6 -b1111111111110111100001111111100 @ -b1111111111110111100001111111100 F -b1101111110100100100000100101100 ) -#305728000 -0& -#305744000 -b1001010101001 , -#305760000 -1& -#305776000 -b101101110001110100000101100000 " -b101101110001110100000101100000 4 -b101101110001110100000101100000 1 -b101101110001110100000101100000 C -b10010110101000000000000000000000 0 -b10010110101000000000000000000000 H -b10101101101001110010111011011110 2 -b10101101101001110010111011011110 = -b1101101110101110110010101110100 < -b10101101101001110010111011011110 : -b111111110011111100100101101010 $ -b111111110011111100100101101010 - -b111111110011111100100101101010 5 -b111111110011111100100101101010 ? -b111111110011111100100101101010 D -b1101101110101110110010101110100 % -b1101101110101110110010101110100 . -b1101101110101110110010101110100 6 -b1101101110101110110010101110100 @ -b1101101110101110110010101110100 F -b101101110001110100000101100000 ) -#305792000 -0& -#305808000 -b1001010101010 , -#305824000 -1& -#305840000 -b10011001111111010100100101001000 " -b10011001111111010100100101001000 4 -b10011001111111010100100101001000 1 -b10011001111111010100100101001000 C -b0 0 -b0 H -18 -09 -b10011001111111001001011101000110 2 -b10011001111111001001011101000110 = -b11011011111111010100100111111110 < -b10011001111111001001011101000110 : -b10111101111111110100110101001000 $ -b10111101111111110100110101001000 - -b10111101111111110100110101001000 5 -b10111101111111110100110101001000 ? -b10111101111111110100110101001000 D -b11011011111111010100100111111110 % -b11011011111111010100100111111110 . -b11011011111111010100100111111110 6 -b11011011111111010100100111111110 @ -b11011011111111010100100111111110 F -b10011001111111010100100101001000 ) -#305856000 -0& -#305872000 -b1001010101011 , -#305888000 -1& -#305904000 -b11001001110011010110001000001000 " -b11001001110011010110001000001000 4 -b11001001110011010110001000001000 1 -b11001001110011010110001000001000 C -b11001111011000100001111000000000 0 -b11001111011000100001111000000000 H -b11001001101011010101010000100110 2 -b11001001101011010101010000100110 = -b11011001110111011111001000001000 < -b11001001101011010101010000100110 : -b11101111110011110110001000011110 $ -b11101111110011110110001000011110 - -b11101111110011110110001000011110 5 -b11101111110011110110001000011110 ? -b11101111110011110110001000011110 D -b11011001110111011111001000001000 % -b11011001110111011111001000001000 . -b11011001110111011111001000001000 6 -b11011001110111011111001000001000 @ -b11011001110111011111001000001000 F -b11001001110011010110001000001000 ) -#305920000 -0& -#305936000 -b1001010101100 , -#305952000 -1& -#305968000 -b11110001011100100000100000000 " -b11110001011100100000100000000 4 -b11110001011100100000100000000 1 -b11110001011100100000100000000 C -b0 0 -b0 H -08 -19 -b10011110001011011010001010111111 2 -b10011110001011011010001010111111 = -b1111111001011100110000100011111 < -b10011110001011011010001010111111 : -b11110111111110100000110100000 $ -b11110111111110100000110100000 - -b11110111111110100000110100000 5 -b11110111111110100000110100000 ? -b11110111111110100000110100000 D -b1111111001011100110000100011111 % -b1111111001011100110000100011111 . -b1111111001011100110000100011111 6 -b1111111001011100110000100011111 @ -b1111111001011100110000100011111 F -b11110001011100100000100000000 ) -#305984000 -0& -#306000000 -b1001010101101 , -#306016000 -1& -#306032000 -b1011111011010110001000000001001 " -b1011111011010110001000000001001 4 -b1011111011010110001000000001001 1 -b1011111011010110001000000001001 C -b11101100101001001000000000000000 0 -b11101100101001001000000000000000 H -b11011111011010101110100111111000 2 -b11011111011010101110100111111000 = -b1111111011011110001000010101111 < -b11011111011010101110100111111000 : -b1011111111110111101100101001001 $ -b1011111111110111101100101001001 - -b1011111111110111101100101001001 5 -b1011111111110111101100101001001 ? -b1011111111110111101100101001001 D -b1111111011011110001000010101111 % -b1111111011011110001000010101111 . -b1111111011011110001000010101111 6 -b1111111011011110001000010101111 @ -b1111111011011110001000010101111 F -b1011111011010110001000000001001 ) -#306048000 -0& -#306064000 -b1001010101110 , -#306080000 -1& -#306096000 -b100110110101100000010100000100 " -b100110110101100000010100000100 4 -b100110110101100000010100000100 1 -b100110110101100000010100000100 C -b1111011010101101101111000000000 0 -b1111011010101101101111000000000 H -09 -08 -b1110110101011100010100000011 2 -b1110110101011100010100000011 = -b1100111110111110001011101000111 < -b1110110101011100010100000011 : -b10100110111101101010110110111100 $ -b10100110111101101010110110111100 - -b10100110111101101010110110111100 5 -b10100110111101101010110110111100 ? -b10100110111101101010110110111100 D -b1100111110111110001011101000111 % -b1100111110111110001011101000111 . -b1100111110111110001011101000111 6 -b1100111110111110001011101000111 @ -b1100111110111110001011101000111 F -b100110110101100000010100000100 ) -#306112000 -0& -#306128000 -b1001010101111 , -#306144000 -1& -#306160000 -b1111001110101010101110000100100 " -b1111001110101010101110000100100 4 -b1111001110101010101110000100100 1 -b1111001110101010101110000100100 C -b1110111010111010100000000000000 0 -b1110111010111010100000000000000 H -19 -08 -b11111001110101010011101110100011 2 -b11111001110101010011101110100011 = -b1111111110101110101111000101110 < -b11111001110101010011101110100011 : -b1111001111111011101110101110101 $ -b1111001111111011101110101110101 - -b1111001111111011101110101110101 5 -b1111001111111011101110101110101 ? -b1111001111111011101110101110101 D -b1111111110101110101111000101110 % -b1111111110101110101111000101110 . -b1111111110101110101111000101110 6 -b1111111110101110101111000101110 @ -b1111111110101110101111000101110 F -b1111001110101010101110000100100 ) -#306176000 -0& -#306192000 -b1001010110000 , -#306208000 -1& -#306224000 -b1100011101100010001010011101110 " -b1100011101100010001010011101110 4 -b1100011101100010001010011101110 1 -b1100011101100010001010011101110 C -b1100101001111111000000000000000 0 -b1100101001111111000000000000000 H -09 -08 -b1100011101011110001010011101100 2 -b1100011101011110001010011101100 = -b11100011111111010111111111101110 < -b1100011101011110001010011101100 : -b1111111101100011001010011111110 $ -b1111111101100011001010011111110 - -b1111111101100011001010011111110 5 -b1111111101100011001010011111110 ? -b1111111101100011001010011111110 D -b11100011111111010111111111101110 % -b11100011111111010111111111101110 . -b11100011111111010111111111101110 6 -b11100011111111010111111111101110 @ -b11100011111111010111111111101110 F -b1100011101100010001010011101110 ) -#306240000 -0& -#306256000 -b1001010110001 , -#306272000 -1& -#306288000 -b11101010101011000111001011001011 " -b11101010101011000111001011001011 4 -b11101010101011000111001011001011 1 -b11101010101011000111001011001011 C -b1111000000000000000000000000000 0 -b1111000000000000000000000000000 H -18 -b11101010101010011111000110101010 2 -b11101010101010011111000110101010 = -b11101011111011010111111011011011 < -b11101010101010011111000110101010 : -b11111110101111000111001011001111 $ -b11111110101111000111001011001111 - -b11111110101111000111001011001111 5 -b11111110101111000111001011001111 ? -b11111110101111000111001011001111 D -b11101011111011010111111011011011 % -b11101011111011010111111011011011 . -b11101011111011010111111011011011 6 -b11101011111011010111111011011011 @ -b11101011111011010111111011011011 F -b11101010101011000111001011001011 ) -#306304000 -0& -#306320000 -b1001010110010 , -#306336000 -1& -#306352000 -b10010111101011010000001000000 " -b10010111101011010000001000000 4 -b10010111101011010000001000000 1 -b10010111101011010000001000000 C -b11110101101100000101001100000000 0 -b11110101101100000101001100000000 H -08 -b10010111101011001111110111011 2 -b10010111101011001111110111011 = -b111110111111111110111101101000 < -b10010111101011001111110111011 : -b11010011111101011011000001010011 $ -b11010011111101011011000001010011 - -b11010011111101011011000001010011 5 -b11010011111101011011000001010011 ? -b11010011111101011011000001010011 D -b111110111111111110111101101000 % -b111110111111111110111101101000 . -b111110111111111110111101101000 6 -b111110111111111110111101101000 @ -b111110111111111110111101101000 F -b10010111101011010000001000000 ) -#306368000 -0& -#306384000 -b1001010110011 , -#306400000 -1& -#306416000 -b1001101110101010100011010000001 " -b1001101110101010100011010000001 4 -b1001101110101010100011010000001 1 -b1001101110101010100011010000001 C -b1111110111010100011010111101000 0 -b1111110111010100011010111101000 H -b111101101101001011110110000000 2 -b111101101101001011110110000000 = -b1001101110101110111011011000011 < -b111101101101001011110110000000 : -b11101111110111010100011010111101 $ -b11101111110111010100011010111101 - -b11101111110111010100011010111101 5 -b11101111110111010100011010111101 ? -b11101111110111010100011010111101 D -b1001101110101110111011011000011 % -b1001101110101110111011011000011 . -b1001101110101110111011011000011 6 -b1001101110101110111011011000011 @ -b1001101110101110111011011000011 F -b1001101110101010100011010000001 ) -#306432000 -0& -#306448000 -b1001010110100 , -#306464000 -1& -#306480000 -b1001110111001100010000010010001 " -b1001110111001100010000010010001 4 -b1001110111001100010000010010001 1 -b1001110111001100010000010010001 C -b1100010000000000000000000000000 0 -b1100010000000000000000000000000 H -19 -08 -b11001110111001010001010001001010 2 -b11001110111001010001010001001010 = -b1101110111101101011000010011001 < -b11001110111001010001010001001010 : -b1011111111011100110001110110001 $ -b1011111111011100110001110110001 - -b1011111111011100110001110110001 5 -b1011111111011100110001110110001 ? -b1011111111011100110001110110001 D -b1101110111101101011000010011001 % -b1101110111101101011000010011001 . -b1101110111101101011000010011001 6 -b1101110111101101011000010011001 @ -b1101110111101101011000010011001 F -b1001110111001100010000010010001 ) -#306496000 -0& -#306512000 -b1001010110101 , -#306528000 -1& -#306544000 -b110101100011011011001000001110 " -b110101100011011011001000001110 4 -b110101100011011011001000001110 1 -b110101100011011011001000001110 C -b11101100100101111100000000000000 0 -b11101100100101111100000000000000 H -b10110101100011011010110100001101 2 -b10110101100011011010110100001101 = -b1111101101011011111101010101110 < -b10110101100011011010110100001101 : -b110111110111111011001001011111 $ -b110111110111111011001001011111 - -b110111110111111011001001011111 5 -b110111110111111011001001011111 ? -b110111110111111011001001011111 D -b1111101101011011111101010101110 % -b1111101101011011111101010101110 . -b1111101101011011111101010101110 6 -b1111101101011011111101010101110 @ -b1111101101011011111101010101110 F -b110101100011011011001000001110 ) -#306560000 -0& -#306576000 -b1001010110110 , -#306592000 -1& -#306608000 -b10011011001100011100000100000100 " -b10011011001100011100000100000100 4 -b10011011001100011100000100000100 1 -b10011011001100011100000100000100 C -b11110111000111000001011101110000 0 -b11110111000111000001011101110000 H -18 -b1111011001011111000100011111011 2 -b1111011001011111000100011111011 = -b10011011101111011100011110000100 < -b1111011001011111000100011111011 : -b11011111011100011100000101110111 $ -b11011111011100011100000101110111 - -b11011111011100011100000101110111 5 -b11011111011100011100000101110111 ? -b11011111011100011100000101110111 D -b10011011101111011100011110000100 % -b10011011101111011100011110000100 . -b10011011101111011100011110000100 6 -b10011011101111011100011110000100 @ -b10011011101111011100011110000100 F -b10011011001100011100000100000100 ) -#306624000 -0& -#306640000 -b1001010110111 , -#306656000 -1& -#306672000 -b10111011111111111000010000100000 " -b10111011111111111000010000100000 4 -b10111011111111111000010000100000 1 -b10111011111111111000010000100000 C -b1110111111111110010100001101000 0 -b1110111111111110010100001101000 H -09 -18 -b10111011111111110100010000010101 2 -b10111011111111110100010000010101 = -b11111111111111111010111111100001 < -b10111011111111110100010000010101 : -b10111011111111111001010000110100 $ -b10111011111111111001010000110100 - -b10111011111111111001010000110100 5 -b10111011111111111001010000110100 ? -b10111011111111111001010000110100 D -b11111111111111111010111111100001 % -b11111111111111111010111111100001 . -b11111111111111111010111111100001 6 -b11111111111111111010111111100001 @ -b11111111111111111010111111100001 F -b10111011111111111000010000100000 ) -#306688000 -0& -#306704000 -b1001010111000 , -#306720000 -1& -#306736000 -b11000100011100010000001000000100 " -b11000100011100010000001000000100 4 -b11000100011100010000001000000100 1 -b11000100011100010000001000000100 C -b11100010111000010000000000000000 0 -b11100010111000010000000000000000 H -b11000100011010001111000111010010 2 -b11000100011010001111000111010010 = -b11000111011100010110011001001110 < -b11000100011010001111000111010010 : -b11111100111101111000101110000100 $ -b11111100111101111000101110000100 - -b11111100111101111000101110000100 5 -b11111100111101111000101110000100 ? -b11111100111101111000101110000100 D -b11000111011100010110011001001110 % -b11000111011100010110011001001110 . -b11000111011100010110011001001110 6 -b11000111011100010110011001001110 @ -b11000111011100010110011001001110 F -b11000100011100010000001000000100 ) -#306752000 -0& -#306768000 -b1001010111001 , -#306784000 -1& -#306800000 -b100101100110010101000000010100 " -b100101100110010101000000010100 4 -b100101100110010101000000010100 1 -b100101100110010101000000010100 C -b1001110100000000000000000000 0 -b1001110100000000000000000000 H -b11010101100101110010101111010001 2 -b11010101100101110010101111010001 = -b100111111110010101101100110100 < -b11010101100101110010101111010001 : -b10101101100111011101000010011101 $ -b10101101100111011101000010011101 - -b10101101100111011101000010011101 5 -b10101101100111011101000010011101 ? -b10101101100111011101000010011101 D -b100111111110010101101100110100 % -b100111111110010101101100110100 . -b100111111110010101101100110100 6 -b100111111110010101101100110100 @ -b100111111110010101101100110100 F -b100101100110010101000000010100 ) -#306816000 -0& -#306832000 -b1001010111010 , -#306848000 -1& -#306864000 -b1000101010001111001010010100001 " -b1000101010001111001010010100001 4 -b1000101010001111001010010100001 1 -b1000101010001111001010010100001 C -b11111010111110101011010100100000 0 -b11111010111110101011010100100000 H -08 -b10101010001111001001001001110 2 -b10101010001111001001001001110 = -b1001101011011111011110010100101 < -b10101010001111001001001001110 : -b11000111110101111101010110101001 $ -b11000111110101111101010110101001 - -b11000111110101111101010110101001 5 -b11000111110101111101010110101001 ? -b11000111110101111101010110101001 D -b1001101011011111011110010100101 % -b1001101011011111011110010100101 . -b1001101011011111011110010100101 6 -b1001101011011111011110010100101 @ -b1001101011011111011110010100101 F -b1000101010001111001010010100001 ) -#306880000 -0& -#306896000 -b1001010111011 , -#306912000 -1& -#306928000 -b11111100010011111100000000011000 " -b11111100010011111100000000011000 4 -b11111100010011111100000000011000 1 -b11111100010011111100000000011000 C -b11110100000000000000000000000000 0 -b11110100000000000000000000000000 H -18 -b11111100010011111010000000010011 2 -b11111100010011111010000000010011 = -b11111110111111111100000010011001 < -b11111100010011111010000000010011 : -b11111101010011111101111101111010 $ -b11111101010011111101111101111010 - -b11111101010011111101111101111010 5 -b11111101010011111101111101111010 ? -b11111101010011111101111101111010 D -b11111110111111111100000010011001 % -b11111110111111111100000010011001 . -b11111110111111111100000010011001 6 -b11111110111111111100000010011001 @ -b11111110111111111100000010011001 F -b11111100010011111100000000011000 ) -#306944000 -0& -#306960000 -b1001010111100 , -#306976000 -1& -#306992000 -b100101101001101000000000000010 " -b100101101001101000000000000010 4 -b100101101001101000000000000010 1 -b100101101001101000000000000010 C -b10111110100110111101100000101100 0 -b10111110100110111101100000101100 H -08 -b100101011001100111111010101101 2 -b100101011001100111111010101101 = -b1110101101111111000100010100010 < -b100101011001100111111010101101 : -b10101111101001101111011000001011 $ -b10101111101001101111011000001011 - -b10101111101001101111011000001011 5 -b10101111101001101111011000001011 ? -b10101111101001101111011000001011 D -b1110101101111111000100010100010 % -b1110101101111111000100010100010 . -b1110101101111111000100010100010 6 -b1110101101111111000100010100010 @ -b1110101101111111000100010100010 F -b100101101001101000000000000010 ) -#307008000 -0& -#307024000 -b1001010111101 , -#307040000 -1& -#307056000 -b1110111100111010000001010100010 " -b1110111100111010000001010100010 4 -b1110111100111010000001010100010 1 -b1110111100111010000001010100010 C -b10011100000000000000000000000000 0 -b10011100000000000000000000000000 H -b1110111100111001110101010100001 2 -b1110111100111001110101010100001 = -b11110111100111111010011111111010 < -b1110111100111001110101010100001 : -b1111111111111010100001010100111 $ -b1111111111111010100001010100111 - -b1111111111111010100001010100111 5 -b1111111111111010100001010100111 ? -b1111111111111010100001010100111 D -b11110111100111111010011111111010 % -b11110111100111111010011111111010 . -b11110111100111111010011111111010 6 -b11110111100111111010011111111010 @ -b11110111100111111010011111111010 F -b1110111100111010000001010100010 ) -#307072000 -0& -#307088000 -b1001010111110 , -#307104000 -1& -#307120000 -b1000111101010000000100000000001 " -b1000111101010000000100000000001 4 -b1000111101010000000100000000001 1 -b1000111101010000000100000000001 C -b1110100110001100000000000000000 0 -b1110100110001100000000000000000 H -19 -08 -b11000111101001011100011011110100 2 -b11000111101001011100011011110100 = -b1101111111111001000110010010001 < -b11000111101001011100011011110100 : -b1010111101010010011101001100011 $ -b1010111101010010011101001100011 - -b1010111101010010011101001100011 5 -b1010111101010010011101001100011 ? -b1010111101010010011101001100011 D -b1101111111111001000110010010001 % -b1101111111111001000110010010001 . -b1101111111111001000110010010001 6 -b1101111111111001000110010010001 @ -b1101111111111001000110010010001 F -b1000111101010000000100000000001 ) -#307136000 -0& -#307152000 -b1001010111111 , -#307168000 -1& -#307184000 -b10100101111111110100000110101010 " -b10100101111111110100000110101010 4 -b10100101111111110100000110101010 1 -b10100101111111110100000110101010 C -b11111111111101101010100000000000 0 -b11111111111101101010100000000000 H -18 -09 -b10010101111111110011111110010100 2 -b10010101111111110011111110010100 = -b11100111111111110100000111101010 < -b10010101111111110011111110010100 : -b10101101111111111111110110101010 $ -b10101101111111111111110110101010 - -b10101101111111111111110110101010 5 -b10101101111111111111110110101010 ? -b10101101111111111111110110101010 D -b11100111111111110100000111101010 % -b11100111111111110100000111101010 . -b11100111111111110100000111101010 6 -b11100111111111110100000111101010 @ -b11100111111111110100000111101010 F -b10100101111111110100000110101010 ) -#307200000 -0& -#307216000 -b1001011000000 , -#307232000 -1& -#307248000 -b111110101000000100000110000000 " -b111110101000000100000110000000 4 -b111110101000000100000110000000 1 -b111110101000000100000110000000 C -b10000011000000000000000000000000 0 -b10000011000000000000000000000000 H -08 -b111110100110000011110100011011 2 -b111110100110000011110100011011 = -b1111111101100011100101110011000 < -b111110100110000011110100011011 : -b10111110111001100111000110000011 $ -b10111110111001100111000110000011 - -b10111110111001100111000110000011 5 -b10111110111001100111000110000011 ? -b10111110111001100111000110000011 D -b1111111101100011100101110011000 % -b1111111101100011100101110011000 . -b1111111101100011100101110011000 6 -b1111111101100011100101110011000 @ -b1111111101100011100101110011000 F -b111110101000000100000110000000 ) -#307264000 -0& -#307280000 -b1001011000001 , -#307296000 -1& -#307312000 -b101001111000000000001010000010 " -b101001111000000000001010000010 4 -b101001111000000000001010000010 1 -b101001111000000000001010000010 C -b10001011101111000000000000000000 0 -b10001011101111000000000000000000 H -b101001110111110100001010000001 2 -b101001110111110100001010000001 = -b10101111111001100001111110010010 < -b101001110111110100001010000001 : -b1111001111110010010001011101111 $ -b1111001111110010010001011101111 - -b1111001111110010010001011101111 5 -b1111001111110010010001011101111 ? -b1111001111110010010001011101111 D -b10101111111001100001111110010010 % -b10101111111001100001111110010010 . -b10101111111001100001111110010010 6 -b10101111111001100001111110010010 @ -b10101111111001100001111110010010 F -b101001111000000000001010000010 ) -#307328000 -0& -#307344000 -b1001011000010 , -#307360000 -1& -#307376000 -b1001101011111100101100010100010 " -b1001101011111100101100010100010 4 -b1001101011111100101100010100010 1 -b1001101011111100101100010100010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11100111111100101011010100000 2 -b11100111111100101011010100000 = -b1001101011111101111100110111110 < -b11100111111100101011010100000 : -b11001111011111110101110011100010 $ -b11001111011111110101110011100010 - -b11001111011111110101110011100010 5 -b11001111011111110101110011100010 ? -b11001111011111110101110011100010 D -b1001101011111101111100110111110 % -b1001101011111101111100110111110 . -b1001101011111101111100110111110 6 -b1001101011111101111100110111110 @ -b1001101011111101111100110111110 F -b1001101011111100101100010100010 ) -#307392000 -0& -#307408000 -b1001011000011 , -#307424000 -1& -#307440000 -b1001001101010111000000100000000 " -b1001001101010111000000100000000 4 -b1001001101010111000000100000000 1 -b1001001101010111000000100000000 C -b1111001111110111010000100010100 0 -b1111001111110111010000100010100 H -19 -08 -b11001001101010110111011000110100 2 -b11001001101010110111011000110100 = -b1001111101011111101010100100000 < -b11001001101010110111011000110100 : -b1111001111110111010000100010100 $ -b1111001111110111010000100010100 - -b1111001111110111010000100010100 5 -b1111001111110111010000100010100 ? -b1111001111110111010000100010100 D -b1001111101011111101010100100000 % -b1001111101011111101010100100000 . -b1001111101011111101010100100000 6 -b1001111101011111101010100100000 @ -b1001111101011111101010100100000 F -b1001001101010111000000100000000 ) -#307456000 -0& -#307472000 -b1001011000100 , -#307488000 -1& -#307504000 -b111011011101111011000010001000 " -b111011011101111011000010001000 4 -b111011011101111011000010001000 1 -b111011011101111011000010001000 C -b1110111101100001000110100000000 0 -b1110111101100001000110100000000 H -09 -08 -b1111011011101111010111101110101 2 -b1111011011101111010111101110101 = -b111011111111111111111011101000 < -b1111011011101111010111101110101 : -b111111011101111011000010001101 $ -b111111011101111011000010001101 - -b111111011101111011000010001101 5 -b111111011101111011000010001101 ? -b111111011101111011000010001101 D -b111011111111111111111011101000 % -b111011111111111111111011101000 . -b111011111111111111111011101000 6 -b111011111111111111111011101000 @ -b111011111111111111111011101000 F -b111011011101111011000010001000 ) -#307520000 -0& -#307536000 -b1001011000101 , -#307552000 -1& -#307568000 -b1011011011111110101000100011000 " -b1011011011111110101000100011000 4 -b1011011011111110101000100011000 1 -b1011011011111110101000100011000 C -b1111000000000000000000000000000 0 -b1111000000000000000000000000000 H -19 -08 -b11011010111111101100110011010101 2 -b11011010111111101100110011010101 = -b1111011011111110101101100011001 < -b11011010111111101100110011010101 : -b1011111011111110111000110111100 $ -b1011111011111110111000110111100 - -b1011111011111110111000110111100 5 -b1011111011111110111000110111100 ? -b1011111011111110111000110111100 D -b1111011011111110101101100011001 % -b1111011011111110101101100011001 . -b1111011011111110101101100011001 6 -b1111011011111110101101100011001 @ -b1111011011111110101101100011001 F -b1011011011111110101000100011000 ) -#307584000 -0& -#307600000 -b1001011000110 , -#307616000 -1& -#307632000 -b11101100010110000000010110001110 " -b11101100010110000000010110001110 4 -b11101100010110000000010110001110 1 -b11101100010110000000010110001110 C -b0 0 -b0 H -18 -09 -b11101011110101011100010101001101 2 -b11101011110101011100010101001101 = -b11101100011111010000111110111111 < -b11101011110101011100010101001101 : -b11111111010110001011010110001110 $ -b11111111010110001011010110001110 - -b11111111010110001011010110001110 5 -b11111111010110001011010110001110 ? -b11111111010110001011010110001110 D -b11101100011111010000111110111111 % -b11101100011111010000111110111111 . -b11101100011111010000111110111111 6 -b11101100011111010000111110111111 @ -b11101100011111010000111110111111 F -b11101100010110000000010110001110 ) -#307648000 -0& -#307664000 -b1001011000111 , -#307680000 -1& -#307696000 -b1001001011111110000011010100001 " -b1001001011111110000011010100001 4 -b1001001011111110000011010100001 1 -b1001001011111110000011010100001 C -b10101111010111100000000000000000 0 -b10101111010111100000000000000000 H -08 -b1001001011111101110011010100000 2 -b1001001011111101110011010100000 = -b1001111011111110000111011110001 < -b1001001011111101110011010100000 : -b11111001111111111101011110101111 $ -b11111001111111111101011110101111 - -b11111001111111111101011110101111 5 -b11111001111111111101011110101111 ? -b11111001111111111101011110101111 D -b1001111011111110000111011110001 % -b1001111011111110000111011110001 . -b1001111011111110000111011110001 6 -b1001111011111110000111011110001 @ -b1001111011111110000111011110001 F -b1001001011111110000011010100001 ) -#307712000 -0& -#307728000 -b1001011001000 , -#307744000 -1& -#307760000 -b11010110111100000100000110010 " -b11010110111100000100000110010 4 -b11010110111100000100000110010 1 -b11010110111100000100000110010 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -18 -b11011010110111011000011111110001 2 -b11011010110111011000011111110001 = -b10111110110111100101100100111011 < -b11011010110111011000011111110001 : -b11011111111110010111010110110 $ -b11011111111110010111010110110 - -b11011111111110010111010110110 5 -b11011111111110010111010110110 ? -b11011111111110010111010110110 D -b10111110110111100101100100111011 % -b10111110110111100101100100111011 . -b10111110110111100101100100111011 6 -b10111110110111100101100100111011 @ -b10111110110111100101100100111011 F -b11010110111100000100000110010 ) -#307776000 -0& -#307792000 -b1001011001001 , -#307808000 -1& -#307824000 -b1111011101100110011011011000000 " -b1111011101100110011011011000000 4 -b1111011101100110011011011000000 1 -b1111011101100110011011011000000 C -b11111111011011000001000000000000 0 -b11111111011011000001000000000000 H -08 -19 -b11111011101100110010110110001101 2 -b11111011101100110010110110001101 = -b1111111101100110011011011001100 < -b11111011101100110010110110001101 : -b1111011111111111111011011000001 $ -b1111011111111111111011011000001 - -b1111011111111111111011011000001 5 -b1111011111111111111011011000001 ? -b1111011111111111111011011000001 D -b1111111101100110011011011001100 % -b1111111101100110011011011001100 . -b1111111101100110011011011001100 6 -b1111111101100110011011011001100 @ -b1111111101100110011011011001100 F -b1111011101100110011011011000000 ) -#307840000 -0& -#307856000 -b1001011001010 , -#307872000 -1& -#307888000 -b10001001101110000010000010000000 " -b10001001101110000010000010000000 4 -b10001001101110000010000010000000 1 -b10001001101110000010000010000000 C -b11100110111100101111101111000000 0 -b11100110111100101111101111000000 H -18 -09 -b10001001101101011110000001110010 2 -b10001001101101011110000001110010 = -b11001111111110010010000110000010 < -b10001001101101011110000001110010 : -b10111001101111001011111011110000 $ -b10111001101111001011111011110000 - -b10111001101111001011111011110000 5 -b10111001101111001011111011110000 ? -b10111001101111001011111011110000 D -b11001111111110010010000110000010 % -b11001111111110010010000110000010 . -b11001111111110010010000110000010 6 -b11001111111110010010000110000010 @ -b11001111111110010010000110000010 F -b10001001101110000010000010000000 ) -#307904000 -0& -#307920000 -b1001011001011 , -#307936000 -1& -#307952000 -b100010110100010010001000001010 " -b100010110100010010001000001010 4 -b100010110100010010001000001010 1 -b100010110100010010001000001010 C -b10111000000000000000000000000000 0 -b10111000000000000000000000000000 H -08 -b10010101100010000101000001000 2 -b10010101100010000101000001000 = -b10100010110111111110001101011010 < -b10010101100010000101000001000 : -b1101111110100010010011010101110 $ -b1101111110100010010011010101110 - -b1101111110100010010011010101110 5 -b1101111110100010010011010101110 ? -b1101111110100010010011010101110 D -b10100010110111111110001101011010 % -b10100010110111111110001101011010 . -b10100010110111111110001101011010 6 -b10100010110111111110001101011010 @ -b10100010110111111110001101011010 F -b100010110100010010001000001010 ) -#307968000 -0& -#307984000 -b1001011001100 , -#308000000 -1& -#308016000 -b1100111101111010010000001101000 " -b1100111101111010010000001101000 4 -b1100111101111010010000001101000 1 -b1100111101111010010000001101000 C -b1111011010001001101000000000000 0 -b1111011010001001101000000000000 H -b1100111011111010000101011010001 2 -b1100111011111010000101011010001 = -b11110111101111110110100001101001 < -b1100111011111010000101011010001 : -b1101111101111011010001001101000 $ -b1101111101111011010001001101000 - -b1101111101111011010001001101000 5 -b1101111101111011010001001101000 ? -b1101111101111011010001001101000 D -b11110111101111110110100001101001 % -b11110111101111110110100001101001 . -b11110111101111110110100001101001 6 -b11110111101111110110100001101001 @ -b11110111101111110110100001101001 F -b1100111101111010010000001101000 ) -#308032000 -0& -#308048000 -b1001011001101 , -#308064000 -1& -#308080000 -b1111011110100110000000000011111 " -b1111011110100110000000000011111 4 -b1111011110100110000000000011111 1 -b1111011110100110000000000011111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b11111011101010101011111101011110 2 -b11111011101010101011111101011110 = -b1111111110100110011000000111111 < -b11111011101010101011111101011110 : -b1111011110101111000111100011111 $ -b1111011110101111000111100011111 - -b1111011110101111000111100011111 5 -b1111011110101111000111100011111 ? -b1111011110101111000111100011111 D -b1111111110100110011000000111111 % -b1111111110100110011000000111111 . -b1111111110100110011000000111111 6 -b1111111110100110011000000111111 @ -b1111111110100110011000000111111 F -b1111011110100110000000000011111 ) -#308096000 -0& -#308112000 -b1001011001110 , -#308128000 -1& -#308144000 -b11010100011111100000101010001000 " -b11010100011111100000101010001000 4 -b11010100011111100000101010001000 1 -b11010100011111100000101010001000 C -b11111100101010100010010000000000 0 -b11111100101010100010010000000000 H -18 -09 -b11001100011111010011010101110011 2 -b11001100011111010011010101110011 = -b11010101111111100000101011101010 < -b11001100011111010011010101110011 : -b11110110011111110010101010001001 $ -b11110110011111110010101010001001 - -b11110110011111110010101010001001 5 -b11110110011111110010101010001001 ? -b11110110011111110010101010001001 D -b11010101111111100000101011101010 % -b11010101111111100000101011101010 . -b11010101111111100000101011101010 6 -b11010101111111100000101011101010 @ -b11010101111111100000101011101010 F -b11010100011111100000101010001000 ) -#308160000 -0& -#308176000 -b1001011001111 , -#308192000 -1& -#308208000 -b10000111111011011101101010000000 " -b10000111111011011101101010000000 4 -b10000111111011011101101010000000 1 -b10000111111011011101101010000000 C -b11101101110110101000001000000000 0 -b11101101110110101000001000000000 H -19 -18 -b1100111111011011101010100101010 2 -b1100111111011011101010100101010 = -b11001111111111111111101010101000 < -b1100111111011011101010100101010 : -b10010111111011011101101010000010 $ -b10010111111011011101101010000010 - -b10010111111011011101101010000010 5 -b10010111111011011101101010000010 ? -b10010111111011011101101010000010 D -b11001111111111111111101010101000 % -b11001111111111111111101010101000 . -b11001111111111111111101010101000 6 -b11001111111111111111101010101000 @ -b11001111111111111111101010101000 F -b10000111111011011101101010000000 ) -#308224000 -0& -#308240000 -b1001011010000 , -#308256000 -1& -#308272000 -b1110010011110000000001010110000 " -b1110010011110000000001010110000 4 -b1110010011110000000001010110000 1 -b1110010011110000000001010110000 C -b10110010000000000000000000000000 0 -b10110010000000000000000000000000 H -08 -09 -b1110010011100111010001001101010 2 -b1110010011100111010001001101010 = -b11111110111110110001011110111000 < -b1110010011100111010001001101010 : -b1110011011110001000101010110010 $ -b1110011011110001000101010110010 - -b1110011011110001000101010110010 5 -b1110011011110001000101010110010 ? -b1110011011110001000101010110010 D -b11111110111110110001011110111000 % -b11111110111110110001011110111000 . -b11111110111110110001011110111000 6 -b11111110111110110001011110111000 @ -b11111110111110110001011110111000 F -b1110010011110000000001010110000 ) -#308288000 -0& -#308304000 -b1001011010001 , -#308320000 -1& -#308336000 -b1100111110110100110011000010 " -b1100111110110100110011000010 4 -b1100111110110100110011000010 1 -b1100111110110100110011000010 C -b11011010011001100001000000000000 0 -b11011010011001100001000000000000 H -18 -b11111011111110110001101010001101 2 -b11111011111110110001101010001101 = -b10001100111111111100110111001011 < -b11111011111110110001101010001101 : -b1101110111110110100110011000010 $ -b1101110111110110100110011000010 - -b1101110111110110100110011000010 5 -b1101110111110110100110011000010 ? -b1101110111110110100110011000010 D -b10001100111111111100110111001011 % -b10001100111111111100110111001011 . -b10001100111111111100110111001011 6 -b10001100111111111100110111001011 @ -b10001100111111111100110111001011 F -b1100111110110100110011000010 ) -#308352000 -0& -#308368000 -b1001011010010 , -#308384000 -1& -#308400000 -b1000011110101110000000000001000 " -b1000011110101110000000000001000 4 -b1000011110101110000000000001000 1 -b1000011110101110000000000001000 C -b11000000000000000000000000000 0 -b11000000000000000000000000000 H -08 -b1000011110011101111111000000101 2 -b1000011110011101111111000000101 = -b1110111111101111000100011111001 < -b1000011110011101111111000000101 : -b11001011110101110111010100001100 $ -b11001011110101110111010100001100 - -b11001011110101110111010100001100 5 -b11001011110101110111010100001100 ? -b11001011110101110111010100001100 D -b1110111111101111000100011111001 % -b1110111111101111000100011111001 . -b1110111111101111000100011111001 6 -b1110111111101111000100011111001 @ -b1110111111101111000100011111001 F -b1000011110101110000000000001000 ) -#308416000 -0& -#308432000 -b1001011010011 , -#308448000 -1& -#308464000 -b11111101011100010000011000100 " -b11111101011100010000011000100 4 -b11111101011100010000011000100 1 -b11111101011100010000011000100 C -b11111010111101111010110111010000 0 -b11111010111101111010110111010000 H -b11111101011100001110011000001 2 -b11111101011100001110011000001 = -b1011111111111101010000111100100 < -b11111101011100001110011000001 : -b10111111101011110111101011011101 $ -b10111111101011110111101011011101 - -b10111111101011110111101011011101 5 -b10111111101011110111101011011101 ? -b10111111101011110111101011011101 D -b1011111111111101010000111100100 % -b1011111111111101010000111100100 . -b1011111111111101010000111100100 6 -b1011111111111101010000111100100 @ -b1011111111111101010000111100100 F -b11111101011100010000011000100 ) -#308480000 -0& -#308496000 -b1001011010100 , -#308512000 -1& -#308528000 -b10011011110110011000001000001100 " -b10011011110110011000001000001100 4 -b10011011110110011000001000001100 1 -b10011011110110011000001000001100 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -18 -b10011011110110010100000100001011 2 -b10011011110110010100000100001011 = -b11111111110110011010101000111100 < -b10011011110110010100000100001011 : -b10011011111111111001011011001111 $ -b10011011111111111001011011001111 - -b10011011111111111001011011001111 5 -b10011011111111111001011011001111 ? -b10011011111111111001011011001111 D -b11111111110110011010101000111100 % -b11111111110110011010101000111100 . -b11111111110110011010101000111100 6 -b11111111110110011010101000111100 @ -b11111111110110011010101000111100 F -b10011011110110011000001000001100 ) -#308544000 -0& -#308560000 -b1001011010101 , -#308576000 -1& -#308592000 -b101001010101100000100100010000 " -b101001010101100000100100010000 4 -b101001010101100000100100010000 1 -b101001010101100000100100010000 C -b10011111001101000000000000000000 0 -b10011111001101000000000000000000 H -08 -19 -b10101001010101011000100010101011 2 -b10101001010101011000100010101011 = -b111101111111110011100100010001 < -b10101001010101011000100010101011 : -b1101011010101100100111110011010 $ -b1101011010101100100111110011010 - -b1101011010101100100111110011010 5 -b1101011010101100100111110011010 ? -b1101011010101100100111110011010 D -b111101111111110011100100010001 % -b111101111111110011100100010001 . -b111101111111110011100100010001 6 -b111101111111110011100100010001 @ -b111101111111110011100100010001 F -b101001010101100000100100010000 ) -#308608000 -0& -#308624000 -b1001011010110 , -#308640000 -1& -#308656000 -b1111110111011111000001000000111 " -b1111110111011111000001000000111 4 -b1111110111011111000001000000111 1 -b1111110111011111000001000000111 C -b11000101000000111000000000000000 0 -b11000101000000111000000000000000 H -09 -08 -b1111110111011111000000010010110 2 -b1111110111011111000000010010110 = -b1111110111111111111011010001111 < -b1111110111011111000000010010110 : -b11111111111011111000101000000111 $ -b11111111111011111000101000000111 - -b11111111111011111000101000000111 5 -b11111111111011111000101000000111 ? -b11111111111011111000101000000111 D -b1111110111111111111011010001111 % -b1111110111111111111011010001111 . -b1111110111111111111011010001111 6 -b1111110111111111111011010001111 @ -b1111110111111111111011010001111 F -b1111110111011111000001000000111 ) -#308672000 -0& -#308688000 -b1001011010111 , -#308704000 -1& -#308720000 -b1101111111101010000000001001010 " -b1101111111101010000000001001010 4 -b1101111111101010000000001001010 1 -b1101111111101010000000001001010 C -b11101011100001100101000000000000 0 -b11101011100001100101000000000000 H -b1101111111101000111011100110101 2 -b1101111111101000111011100110101 = -b11111111111101110000011001101011 < -b1101111111101000111011100110101 : -b1101111111111010111000011001010 $ -b1101111111111010111000011001010 - -b1101111111111010111000011001010 5 -b1101111111111010111000011001010 ? -b1101111111111010111000011001010 D -b11111111111101110000011001101011 % -b11111111111101110000011001101011 . -b11111111111101110000011001101011 6 -b11111111111101110000011001101011 @ -b11111111111101110000011001101011 F -b1101111111101010000000001001010 ) -#308736000 -0& -#308752000 -b1001011011000 , -#308768000 -1& -#308784000 -b1010001001000101000001000100000 " -b1010001001000101000001000100000 4 -b1010001001000101000001000100000 1 -b1010001001000101000001000100000 C -b11011101011101101110001101110001 0 -b11011101011101101110001101110001 H -b1010000101000100110101000010001 2 -b1010000101000100110101000010001 = -b1110011001010111000011010100000 < -b1010000101000100110101000010001 : -b11011101011101101110001101110001 $ -b11011101011101101110001101110001 - -b11011101011101101110001101110001 5 -b11011101011101101110001101110001 ? -b11011101011101101110001101110001 D -b1110011001010111000011010100000 % -b1110011001010111000011010100000 . -b1110011001010111000011010100000 6 -b1110011001010111000011010100000 @ -b1110011001010111000011010100000 F -b1010001001000101000001000100000 ) -#308800000 -0& -#308816000 -b1001011011001 , -#308832000 -1& -#308848000 -b11011011101010000100101010101000 " -b11011011101010000100101010101000 4 -b11011011101010000100101010101000 1 -b11011011101010000100101010101000 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -18 -b11010111101001010001101001100111 2 -b11010111101001010001101001100111 = -b11011011111010001100111110111011 < -b11010111101001010001101001100111 : -b11111011101111000100101010101100 $ -b11111011101111000100101010101100 - -b11111011101111000100101010101100 5 -b11111011101111000100101010101100 ? -b11111011101111000100101010101100 D -b11011011111010001100111110111011 % -b11011011111010001100111110111011 . -b11011011111010001100111110111011 6 -b11011011111010001100111110111011 @ -b11011011111010001100111110111011 F -b11011011101010000100101010101000 ) -#308864000 -0& -#308880000 -b1001011011010 , -#308896000 -1& -#308912000 -b1110110011010110100010000100010 " -b1110110011010110100010000100010 4 -b1110110011010110100010000100010 1 -b1110110011010110100010000100010 C -b11111011110110111000101010000000 0 -b11111011110110111000101010000000 H -08 -b1110110011010101100001100010000 2 -b1110110011010101100001100010000 = -b11111110011110110101010011100110 < -b1110110011010101100001100010000 : -b1110111111011110110111000101010 $ -b1110111111011110110111000101010 - -b1110111111011110110111000101010 5 -b1110111111011110110111000101010 ? -b1110111111011110110111000101010 D -b11111110011110110101010011100110 % -b11111110011110110101010011100110 . -b11111110011110110101010011100110 6 -b11111110011110110101010011100110 @ -b11111110011110110101010011100110 F -b1110110011010110100010000100010 ) -#308928000 -0& -#308944000 -b1001011011011 , -#308960000 -1& -#308976000 -b11110111101011110000011000011101 " -b11110111101011110000011000011101 4 -b11110111101011110000011000011101 1 -b11110111101011110000011000011101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -18 -b11110111101011100101010010011010 2 -b11110111101011100101010010011010 = -b11110111101011110100011001011101 < -b11110111101011100101010010011010 : -b11111111111111110000111000111101 $ -b11111111111111110000111000111101 - -b11111111111111110000111000111101 5 -b11111111111111110000111000111101 ? -b11111111111111110000111000111101 D -b11110111101011110100011001011101 % -b11110111101011110100011001011101 . -b11110111101011110100011001011101 6 -b11110111101011110100011001011101 @ -b11110111101011110100011001011101 F -b11110111101011110000011000011101 ) -#308992000 -0& -#309008000 -b1001011011100 , -#309024000 -1& -#309040000 -b10010100100100110000011001100000 " -b10010100100100110000011001100000 4 -b10010100100100110000011001100000 1 -b10010100100100110000011001100000 C -b1101101111101100110111010110000 0 -b1101101111101100110111010110000 H -b10010100100100100110111001001111 2 -b10010100100100100110111001001111 = -b11011101101100110000011101100100 < -b10010100100100100110111001001111 : -b10110110110111110110011011101011 $ -b10110110110111110110011011101011 - -b10110110110111110110011011101011 5 -b10110110110111110110011011101011 ? -b10110110110111110110011011101011 D -b11011101101100110000011101100100 % -b11011101101100110000011101100100 . -b11011101101100110000011101100100 6 -b11011101101100110000011101100100 @ -b11011101101100110000011101100100 F -b10010100100100110000011001100000 ) -#309056000 -0& -#309072000 -b1001011011101 , -#309088000 -1& -#309104000 -b1000001111001001100000001100000 " -b1000001111001001100000001100000 4 -b1000001111001001100000001100000 1 -b1000001111001001100000001100000 C -b11000010000000000000000000000 0 -b11000010000000000000000000000 H -08 -19 -b10111001111001001001001101010111 2 -b10111001111001001001001101010111 = -b1010011111001001101001011110110 < -b10111001111001001001001101010111 : -b1100101111111111100000001100001 $ -b1100101111111111100000001100001 - -b1100101111111111100000001100001 5 -b1100101111111111100000001100001 ? -b1100101111111111100000001100001 D -b1010011111001001101001011110110 % -b1010011111001001101001011110110 . -b1010011111001001101001011110110 6 -b1010011111001001101001011110110 @ -b1010011111001001101001011110110 F -b1000001111001001100000001100000 ) -#309120000 -0& -#309136000 -b1001011011110 , -#309152000 -1& -#309168000 -b1000110101011001010000000000111 " -b1000110101011001010000000000111 4 -b1000110101011001010000000000111 1 -b1000110101011001010000000000111 C -b1110011100000000000000000000000 0 -b1110011100000000000000000000000 H -09 -08 -b1000110101011000101000111111110 2 -b1000110101011000101000111111110 = -b11000110111111111011000100010111 < -b1000110101011000101000111111110 : -b1111111101011001010000011100111 $ -b1111111101011001010000011100111 - -b1111111101011001010000011100111 5 -b1111111101011001010000011100111 ? -b1111111101011001010000011100111 D -b11000110111111111011000100010111 % -b11000110111111111011000100010111 . -b11000110111111111011000100010111 6 -b11000110111111111011000100010111 @ -b11000110111111111011000100010111 F -b1000110101011001010000000000111 ) -#309184000 -0& -#309200000 -b1001011011111 , -#309216000 -1& -#309232000 -b111111011110010100000000001101 " -b111111011110010100000000001101 4 -b111111011110010100000000001101 1 -b111111011110010100000000001101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b10111111011101010010101110001100 2 -b10111111011101010010101110001100 = -b111111111110110110100101011111 < -b10111111011101010010101110001100 : -b1111111011110011100001000101101 $ -b1111111011110011100001000101101 - -b1111111011110011100001000101101 5 -b1111111011110011100001000101101 ? -b1111111011110011100001000101101 D -b111111111110110110100101011111 % -b111111111110110110100101011111 . -b111111111110110110100101011111 6 -b111111111110110110100101011111 @ -b111111111110110110100101011111 F -b111111011110010100000000001101 ) -#309248000 -0& -#309264000 -b1001011100000 , -#309280000 -1& -#309296000 -b111000001111000000000100000000 " -b111000001111000000000100000000 4 -b111000001111000000000100000000 1 -b111000001111000000000100000000 C -b1111100101100110001000100000000 0 -b1111100101100110001000100000000 H -09 -08 -b111000001110111011110011111001 2 -b111000001110111011110011111001 = -b111000101111110000100111101000 < -b111000001110111011110011111001 : -b11111111011111001011001100010001 $ -b11111111011111001011001100010001 - -b11111111011111001011001100010001 5 -b11111111011111001011001100010001 ? -b11111111011111001011001100010001 D -b111000101111110000100111101000 % -b111000101111110000100111101000 . -b111000101111110000100111101000 6 -b111000101111110000100111101000 @ -b111000101111110000100111101000 F -b111000001111000000000100000000 ) -#309312000 -0& -#309328000 -b1001011100001 , -#309344000 -1& -#309360000 -b111010111110110100100000101000 " -b111010111110110100100000101000 4 -b111010111110110100100000101000 1 -b111010111110110100100000101000 C -b11111011110011010010100000000000 0 -b11111011110011010010100000000000 H -19 -08 -b10111010111110110001010110010000 2 -b10111010111110110001010110010000 = -b111110111111110100100001101000 < -b10111010111110110001010110010000 : -b1111011111110111100110100101000 $ -b1111011111110111100110100101000 - -b1111011111110111100110100101000 5 -b1111011111110111100110100101000 ? -b1111011111110111100110100101000 D -b111110111111110100100001101000 % -b111110111111110100100001101000 . -b111110111111110100100001101000 6 -b111110111111110100100001101000 @ -b111110111111110100100001101000 F -b111010111110110100100000101000 ) -#309376000 -0& -#309392000 -b1001011100010 , -#309408000 -1& -#309424000 -b10111000111000010001100100100010 " -b10111000111000010001100100100010 4 -b10111000111000010001100100100010 1 -b10111000111000010001100100100010 C -b11111111101101100110011010011100 0 -b11111111101101100110011010011100 H -18 -09 -b10111000110111101011100100001001 2 -b10111000110111101011100100001001 = -b11111000111100010001111101100010 < -b10111000110111101011100100001001 : -b10111111111011011001100110100111 $ -b10111111111011011001100110100111 - -b10111111111011011001100110100111 5 -b10111111111011011001100110100111 ? -b10111111111011011001100110100111 D -b11111000111100010001111101100010 % -b11111000111100010001111101100010 . -b11111000111100010001111101100010 6 -b11111000111100010001111101100010 @ -b11111000111100010001111101100010 F -b10111000111000010001100100100010 ) -#309440000 -0& -#309456000 -b1001011100011 , -#309472000 -1& -#309488000 -b10001111011110110101000000100000 " -b10001111011110110101000000100000 4 -b10001111011110110101000000100000 1 -b10001111011110110101000000100000 C -b1010100001001100000000000000000 0 -b1010100001001100000000000000000 H -19 -18 -b1111111011110101100011101010110 2 -b1111111011110101100011101010110 = -b11101111111111110111001100110000 < -b1111111011110101100011101010110 : -b10001111011110110101010000100110 $ -b10001111011110110101010000100110 - -b10001111011110110101010000100110 5 -b10001111011110110101010000100110 ? -b10001111011110110101010000100110 D -b11101111111111110111001100110000 % -b11101111111111110111001100110000 . -b11101111111111110111001100110000 6 -b11101111111111110111001100110000 @ -b11101111111111110111001100110000 F -b10001111011110110101000000100000 ) -#309504000 -0& -#309520000 -b1001011100100 , -#309536000 -1& -#309552000 -b10101110101101010100001000000000 " -b10101110101101010100001000000000 4 -b10101110101101010100001000000000 1 -b10101110101101010100001000000000 C -b10101111111101010111011101010011 0 -b10101111111101010111011101010011 H -09 -18 -b10101110101101001100000101110011 2 -b10101110101101001100000101110011 = -b11111110101111110100101000100000 < -b10101110101101001100000101110011 : -b10101111111101010111011101010011 $ -b10101111111101010111011101010011 - -b10101111111101010111011101010011 5 -b10101111111101010111011101010011 ? -b10101111111101010111011101010011 D -b11111110101111110100101000100000 % -b11111110101111110100101000100000 . -b11111110101111110100101000100000 6 -b11111110101111110100101000100000 @ -b11111110101111110100101000100000 F -b10101110101101010100001000000000 ) -#309568000 -0& -#309584000 -b1001011100101 , -#309600000 -1& -#309616000 -b1011011111100110100100100010000 " -b1011011111100110100100100010000 4 -b1011011111100110100100100010000 1 -b1011011111100110100100100010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b1011011111100110001011010101111 2 -b1011011111100110001011010101111 = -b1011011111100111100110100011101 < -b1011011111100110001011010101111 : -b11111111111111110100100110010010 $ -b11111111111111110100100110010010 - -b11111111111111110100100110010010 5 -b11111111111111110100100110010010 ? -b11111111111111110100100110010010 D -b1011011111100111100110100011101 % -b1011011111100111100110100011101 . -b1011011111100111100110100011101 6 -b1011011111100111100110100011101 @ -b1011011111100111100110100011101 F -b1011011111100110100100100010000 ) -#309632000 -0& -#309648000 -b1001011100110 , -#309664000 -1& -#309680000 -b111011101100101000000000000101 " -b111011101100101000000000000101 4 -b111011101100101000000000000101 1 -b111011101100101000000000000101 C -b101001101000000000000000000000 0 -b101001101000000000000000000000 H -b111011101100100010101111100010 2 -b111011101100100010101111100010 = -b11111111111100101010101010010101 < -b111011101100100010101111100010 : -b111011101111111000000101001101 $ -b111011101111111000000101001101 - -b111011101111111000000101001101 5 -b111011101111111000000101001101 ? -b111011101111111000000101001101 D -b11111111111100101010101010010101 % -b11111111111100101010101010010101 . -b11111111111100101010101010010101 6 -b11111111111100101010101010010101 @ -b11111111111100101010101010010101 F -b111011101100101000000000000101 ) -#309696000 -0& -#309712000 -b1001011100111 , -#309728000 -1& -#309744000 -b1001010010111011100000101001100 " -b1001010010111011100000101001100 4 -b1001010010111011100000101001100 1 -b1001010010111011100000101001100 C -b11011100000101001101000000000000 0 -b11011100000101001101000000000000 H -b1000110010111011010100010111001 2 -b1000110010111011010100010111001 = -b11011010010111111110011101101100 < -b1000110010111011010100010111001 : -b1101011111111011100000101001101 $ -b1101011111111011100000101001101 - -b1101011111111011100000101001101 5 -b1101011111111011100000101001101 ? -b1101011111111011100000101001101 D -b11011010010111111110011101101100 % -b11011010010111111110011101101100 . -b11011010010111111110011101101100 6 -b11011010010111111110011101101100 @ -b11011010010111111110011101101100 F -b1001010010111011100000101001100 ) -#309760000 -0& -#309776000 -b1001011101000 , -#309792000 -1& -#309808000 -b111001101110010100110110100001 " -b111001101110010100110110100001 4 -b111001101110010100110110100001 1 -b111001101110010100110110100001 C -b11111111111100111001101101101110 0 -b11111111111100111001101101101110 H -b111001101110010100110101011000 2 -b111001101110010100110101011000 = -b10111001101111110111111110100001 < -b111001101110010100110101011000 : -b1111111111110011100110110110111 $ -b1111111111110011100110110110111 - -b1111111111110011100110110110111 5 -b1111111111110011100110110110111 ? -b1111111111110011100110110110111 D -b10111001101111110111111110100001 % -b10111001101111110111111110100001 . -b10111001101111110111111110100001 6 -b10111001101111110111111110100001 @ -b10111001101111110111111110100001 F -b111001101110010100110110100001 ) -#309824000 -0& -#309840000 -b1001011101001 , -#309856000 -1& -#309872000 -b10011111100000000001000100 " -b10011111100000000001000100 4 -b10011111100000000001000100 1 -b10011111100000000001000100 C -b1001110000000000000000000000 0 -b1001110000000000000000000000 H -18 -b11111010011111011011010110100011 2 -b11111010011111011011010110100011 = -b10110111111111111010010101010101 < -b11111010011111011011010110100011 : -b1000010011111100001000001001110 $ -b1000010011111100001000001001110 - -b1000010011111100001000001001110 5 -b1000010011111100001000001001110 ? -b1000010011111100001000001001110 D -b10110111111111111010010101010101 % -b10110111111111111010010101010101 . -b10110111111111111010010101010101 6 -b10110111111111111010010101010101 @ -b10110111111111111010010101010101 F -b10011111100000000001000100 ) -#309888000 -0& -#309904000 -b1001011101010 , -#309920000 -1& -#309936000 -b11101111110011101001001010101100 " -b11101111110011101001001010101100 4 -b11101111110011101001001010101100 1 -b11101111110011101001001010101100 C -b11111001001111111101000000000000 0 -b11111001001111111101000000000000 H -b11101111101111100010111010101001 2 -b11101111101111100010111010101001 = -b11111111111011101001101010101100 < -b11101111101111100010111010101001 : -b11101111110011111001001111111101 $ -b11101111110011111001001111111101 - -b11101111110011111001001111111101 5 -b11101111110011111001001111111101 ? -b11101111110011111001001111111101 D -b11111111111011101001101010101100 % -b11111111111011101001101010101100 . -b11111111111011101001101010101100 6 -b11111111111011101001101010101100 @ -b11111111111011101001101010101100 F -b11101111110011101001001010101100 ) -#309952000 -0& -#309968000 -b1001011101011 , -#309984000 -1& -#310000000 -b11011010101100010011000000001100 " -b11011010101100010011000000001100 4 -b11011010101100010011000000001100 1 -b11011010101100010011000000001100 C -b11111100101000110000000000000000 0 -b11111100101000110000000000000000 H -b11010110101011010010101110011010 2 -b11010110101011010010101110011010 = -b11111011111110010011100100001110 < -b11010110101011010010101110011010 : -b11011010101100111111001010001100 $ -b11011010101100111111001010001100 - -b11011010101100111111001010001100 5 -b11011010101100111111001010001100 ? -b11011010101100111111001010001100 D -b11111011111110010011100100001110 % -b11111011111110010011100100001110 . -b11111011111110010011100100001110 6 -b11111011111110010011100100001110 @ -b11111011111110010011100100001110 F -b11011010101100010011000000001100 ) -#310016000 -0& -#310032000 -b1001011101100 , -#310048000 -1& -#310064000 -b11011011111011000010001000 " -b11011011111011000010001000 4 -b11011011111011000010001000 1 -b11011011111011000010001000 C -b10001001000000000000000000000000 0 -b10001001000000000000000000000000 H -08 -b11011011110111000001100001 2 -b11011011110111000001100001 = -b11011011011111111011010111011000 < -b11011011110111000001100001 : -b100111111011111011101010001001 $ -b100111111011111011101010001001 - -b100111111011111011101010001001 5 -b100111111011111011101010001001 ? -b100111111011111011101010001001 D -b11011011011111111011010111011000 % -b11011011011111111011010111011000 . -b11011011011111111011010111011000 6 -b11011011011111111011010111011000 @ -b11011011011111111011010111011000 F -b11011011111011000010001000 ) -#310080000 -0& -#310096000 -b1001011101101 , -#310112000 -1& -#310128000 -b10100100110100110001100100001001 " -b10100100110100110001100100001001 4 -b10100100110100110001100100001001 1 -b10100100110100110001100100001001 C -b1100011001000110010000000000000 0 -b1100011001000110010000000000000 H -18 -b10100100110001101101001100000110 2 -b10100100110001101101001100000110 = -b11111110110100111011100111101101 < -b10100100110001101101001100000110 : -b10100101111100110001100100011001 $ -b10100101111100110001100100011001 - -b10100101111100110001100100011001 5 -b10100101111100110001100100011001 ? -b10100101111100110001100100011001 D -b11111110110100111011100111101101 % -b11111110110100111011100111101101 . -b11111110110100111011100111101101 6 -b11111110110100111011100111101101 @ -b11111110110100111011100111101101 F -b10100100110100110001100100001001 ) -#310144000 -0& -#310160000 -b1001011101110 , -#310176000 -1& -#310192000 -b10100101101111111000010110100111 " -b10100101101111111000010110100111 4 -b10100101101111111000010110100111 1 -b10100101101111111000010110100111 C -b11010011100000000000000000000000 0 -b11010011100000000000000000000000 H -b10100101101111110100001110011110 2 -b10100101101111110100001110011110 = -b10101101101111111001110111110111 < -b10100101101111110100001110011110 : -b11110111111111111010010110100111 $ -b11110111111111111010010110100111 - -b11110111111111111010010110100111 5 -b11110111111111111010010110100111 ? -b11110111111111111010010110100111 D -b10101101101111111001110111110111 % -b10101101101111111001110111110111 . -b10101101101111111001110111110111 6 -b10101101101111111001110111110111 @ -b10101101101111111001110111110111 F -b10100101101111111000010110100111 ) -#310208000 -0& -#310224000 -b1001011101111 , -#310240000 -1& -#310256000 -b1111111111010010110010110000100 " -b1111111111010010110010110000100 4 -b1111111111010010110010110000100 1 -b1111111111010010110010110000100 C -b11011000110100000000000000000000 0 -b11011000110100000000000000000000 H -08 -b1111111111010001101001101000001 2 -b1111111111010001101001101000001 = -b1111111111110110110010110110100 < -b1111111111010001101001101000001 : -b11111111111011010110110110001101 $ -b11111111111011010110110110001101 - -b11111111111011010110110110001101 5 -b11111111111011010110110110001101 ? -b11111111111011010110110110001101 D -b1111111111110110110010110110100 % -b1111111111110110110010110110100 . -b1111111111110110110010110110100 6 -b1111111111110110110010110110100 @ -b1111111111110110110010110110100 F -b1111111111010010110010110000100 ) -#310272000 -0& -#310288000 -b1001011110000 , -#310304000 -1& -#310320000 -b110001101110011000001100000011 " -b110001101110011000001100000011 4 -b110001101110011000001100000011 1 -b110001101110011000001100000011 C -b11001101110111000001110010011000 0 -b11001101110111000001110010011000 H -19 -08 -b10101111101101010100011010010110 2 -b10101111101101010100011010010110 = -b1110101111110011100001100000011 < -b10101111101101010100011010010110 : -b111001101110111000001110010011 $ -b111001101110111000001110010011 - -b111001101110111000001110010011 5 -b111001101110111000001110010011 ? -b111001101110111000001110010011 D -b1110101111110011100001100000011 % -b1110101111110011100001100000011 . -b1110101111110011100001100000011 6 -b1110101111110011100001100000011 @ -b1110101111110011100001100000011 F -b110001101110011000001100000011 ) -#310336000 -0& -#310352000 -b1001011110001 , -#310368000 -1& -#310384000 -b10000101011001000001001000000 " -b10000101011001000001001000000 4 -b10000101011001000001001000000 1 -b10000101011001000001001000000 C -b10110111111100000100100101000000 0 -b10110111111100000100100101000000 H -09 -08 -b1000101011000010010110001111 2 -b1000101011000010010110001111 = -b11110010111011001010001101000101 < -b1000101011000010010110001111 : -b10101101111111000001001001010 $ -b10101101111111000001001001010 - -b10101101111111000001001001010 5 -b10101101111111000001001001010 ? -b10101101111111000001001001010 D -b11110010111011001010001101000101 % -b11110010111011001010001101000101 . -b11110010111011001010001101000101 6 -b11110010111011001010001101000101 @ -b11110010111011001010001101000101 F -b10000101011001000001001000000 ) -#310400000 -0& -#310416000 -b1001011110010 , -#310432000 -1& -#310448000 -b1000111101110010110010000100000 " -b1000111101110010110010000100000 4 -b1000111101110010110010000100000 1 -b1000111101110010110010000100000 C -b11100111101100011001010000000000 0 -b11100111101100011001010000000000 H -b1000111101101110101001010001111 2 -b1000111101101110101001010001111 = -b11111111101111010110011000101010 < -b1000111101101110101001010001111 : -b1000111111110011110110001100101 $ -b1000111111110011110110001100101 - -b1000111111110011110110001100101 5 -b1000111111110011110110001100101 ? -b1000111111110011110110001100101 D -b11111111101111010110011000101010 % -b11111111101111010110011000101010 . -b11111111101111010110011000101010 6 -b11111111101111010110011000101010 @ -b11111111101111010110011000101010 F -b1000111101110010110010000100000 ) -#310464000 -0& -#310480000 -b1001011110011 , -#310496000 -1& -#310512000 -b1011101001111100011000100000000 " -b1011101001111100011000100000000 4 -b1011101001111100011000100000000 1 -b1011101001111100011000100000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -19 -08 -b11011101001111011110010011011111 2 -b11011101001111011110010011011111 = -b1111101001111101011000101011011 < -b11011101001111011110010011011111 : -b1011111111111110011001110000100 $ -b1011111111111110011001110000100 - -b1011111111111110011001110000100 5 -b1011111111111110011001110000100 ? -b1011111111111110011001110000100 D -b1111101001111101011000101011011 % -b1111101001111101011000101011011 . -b1111101001111101011000101011011 6 -b1111101001111101011000101011011 @ -b1111101001111101011000101011011 F -b1011101001111100011000100000000 ) -#310528000 -0& -#310544000 -b1001011110100 , -#310560000 -1& -#310576000 -b1101100100101011000001001000000 " -b1101100100101011000001001000000 4 -b1101100100101011000001001000000 1 -b1101100100101011000001001000000 C -b10110010101110001100110000000000 0 -b10110010101110001100110000000000 H -09 -08 -b1101100010101010101100110100101 2 -b1101100010101010101100110100101 = -b11111110101111111001001101000101 < -b1101100010101010101100110100101 : -b1101101100101011100011001100000 $ -b1101101100101011100011001100000 - -b1101101100101011100011001100000 5 -b1101101100101011100011001100000 ? -b1101101100101011100011001100000 D -b11111110101111111001001101000101 % -b11111110101111111001001101000101 . -b11111110101111111001001101000101 6 -b11111110101111111001001101000101 @ -b11111110101111111001001101000101 F -b1101100100101011000001001000000 ) -#310592000 -0& -#310608000 -b1001011110101 , -#310624000 -1& -#310640000 -b11000101000100110011011011000000 " -b11000101000100110011011011000000 4 -b11000101000100110011011011000000 1 -b11000101000100110011011011000000 C -b10110110110000100000000000000000 0 -b10110110110000100000000000000000 H -18 -b11000101000010101111010110010010 2 -b11000101000010101111010110010010 = -b11111111100100110011111011010000 < -b11000101000010101111010110010010 : -b11000101011101111011011011000010 $ -b11000101011101111011011011000010 - -b11000101011101111011011011000010 5 -b11000101011101111011011011000010 ? -b11000101011101111011011011000010 D -b11111111100100110011111011010000 % -b11111111100100110011111011010000 . -b11111111100100110011111011010000 6 -b11111111100100110011111011010000 @ -b11111111100100110011111011010000 F -b11000101000100110011011011000000 ) -#310656000 -0& -#310672000 -b1001011110110 , -#310688000 -1& -#310704000 -b1011010111101110000001010001001 " -b1011010111101110000001010001001 4 -b1011010111101110000001010001001 1 -b1011010111101110000001010001001 C -b1001000000000000000000000000000 0 -b1001000000000000000000000000000 H -08 -19 -b11011010111101100111101000100100 2 -b11011010111101100111101000100100 = -b1111011111101110101001110011011 < -b11011010111101100111101000100100 : -b1011110111111110010011010001001 $ -b1011110111111110010011010001001 - -b1011110111111110010011010001001 5 -b1011110111111110010011010001001 ? -b1011110111111110010011010001001 D -b1111011111101110101001110011011 % -b1111011111101110101001110011011 . -b1111011111101110101001110011011 6 -b1111011111101110101001110011011 @ -b1111011111101110101001110011011 F -b1011010111101110000001010001001 ) -#310720000 -0& -#310736000 -b1001011110111 , -#310752000 -1& -#310768000 -b111010011010010000001001100000 " -b111010011010010000001001100000 4 -b111010011010010000001001100000 1 -b111010011010010000001001100000 C -b10000010111010000000000000000000 0 -b10000010111010000000000000000000 H -b10110110011010001010110101011000 2 -b10110110011010001010110101011000 = -b1111010111011110010101001110000 < -b10110110011010001010110101011000 : -b111011011110011000001011101000 $ -b111011011110011000001011101000 - -b111011011110011000001011101000 5 -b111011011110011000001011101000 ? -b111011011110011000001011101000 D -b1111010111011110010101001110000 % -b1111010111011110010101001110000 . -b1111010111011110010101001110000 6 -b1111010111011110010101001110000 @ -b1111010111011110010101001110000 F -b111010011010010000001001100000 ) -#310784000 -0& -#310800000 -b1001011111000 , -#310816000 -1& -#310832000 -b11100111100000000010001000110 " -b11100111100000000010001000110 4 -b11100111100000000010001000110 1 -b11100111100000000010001000110 C -b1111010001100110010011100000000 0 -b1111010001100110010011100000000 H -09 -08 -b11100111100000000001100010101 2 -b11100111100000000001100010101 = -b11011111111110111001110011000111 < -b11100111100000000001100010101 : -b111100111101000110011001001110 $ -b111100111101000110011001001110 - -b111100111101000110011001001110 5 -b111100111101000110011001001110 ? -b111100111101000110011001001110 D -b11011111111110111001110011000111 % -b11011111111110111001110011000111 . -b11011111111110111001110011000111 6 -b11011111111110111001110011000111 @ -b11011111111110111001110011000111 F -b11100111100000000010001000110 ) -#310848000 -0& -#310864000 -b1001011111001 , -#310880000 -1& -#310896000 -b111001101010100101010011000111 " -b111001101010100101010011000111 4 -b111001101010100101010011000111 1 -b111001101010100101010011000111 C -b11010111001011111110011110000000 0 -b11010111001011111110011110000000 H -b111001011010010101010010010110 2 -b111001011010010101010010010110 = -b111101101110101111010011000111 < -b111001011010010101010010010110 : -b11111011101011100101111111001111 $ -b11111011101011100101111111001111 - -b11111011101011100101111111001111 5 -b11111011101011100101111111001111 ? -b11111011101011100101111111001111 D -b111101101110101111010011000111 % -b111101101110101111010011000111 . -b111101101110101111010011000111 6 -b111101101110101111010011000111 @ -b111101101110101111010011000111 F -b111001101010100101010011000111 ) -#310912000 -0& -#310928000 -b1001011111010 , -#310944000 -1& -#310960000 -b1000010101000010000000000000000 " -b1000010101000010000000000000000 4 -b1000010101000010000000000000000 1 -b1000010101000010000000000000000 C -b1101010111100000000000000000000 0 -b1101010111100000000000000000000 H -b1000010101000001111101111001110 2 -b1000010101000001111101111001110 = -b11110011101011110101000000001110 < -b1000010101000001111101111001110 : -b1001110111100011010101111000000 $ -b1001110111100011010101111000000 - -b1001110111100011010101111000000 5 -b1001110111100011010101111000000 ? -b1001110111100011010101111000000 D -b11110011101011110101000000001110 % -b11110011101011110101000000001110 . -b11110011101011110101000000001110 6 -b11110011101011110101000000001110 @ -b11110011101011110101000000001110 F -b1000010101000010000000000000000 ) -#310976000 -0& -#310992000 -b1001011111011 , -#311008000 -1& -#311024000 -b1110101110100011000000000001100 " -b1110101110100011000000000001100 4 -b1110101110100011000000000001100 1 -b1110101110100011000000000001100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b1110101101011010110111101101011 2 -b1110101101011010110111101101011 = -b1111111110100011100010000011110 < -b1110101101011010110111101101011 : -b11110101110110111010101101001101 $ -b11110101110110111010101101001101 - -b11110101110110111010101101001101 5 -b11110101110110111010101101001101 ? -b11110101110110111010101101001101 D -b1111111110100011100010000011110 % -b1111111110100011100010000011110 . -b1111111110100011100010000011110 6 -b1111111110100011100010000011110 @ -b1111111110100011100010000011110 F -b1110101110100011000000000001100 ) -#311040000 -0& -#311056000 -b1001011111100 , -#311072000 -1& -#311088000 -b11001001011000110001000100100001 " -b11001001011000110001000100100001 4 -b11001001011000110001000100100001 1 -b11001001011000110001000100100001 C -b10010100100000000000000000000000 0 -b10010100100000000000000000000000 H -18 -b11001001011000101010011011100000 2 -b11001001011000101010011011100000 = -b11011101111010111001010110110111 < -b11001001011000101010011011100000 : -b11101011011101110001000100101001 $ -b11101011011101110001000100101001 - -b11101011011101110001000100101001 5 -b11101011011101110001000100101001 ? -b11101011011101110001000100101001 D -b11011101111010111001010110110111 % -b11011101111010111001010110110111 . -b11011101111010111001010110110111 6 -b11011101111010111001010110110111 @ -b11011101111010111001010110110111 F -b11001001011000110001000100100001 ) -#311104000 -0& -#311120000 -b1001011111101 , -#311136000 -1& -#311152000 -b101110111111010010000100000100 " -b101110111111010010000100000100 4 -b101110111111010010000100000100 1 -b101110111111010010000100000100 C -b11111010001110010100000000000000 0 -b11111010001110010100000000000000 H -08 -19 -b10101110111111010001100011000000 2 -b10101110111111010001100011000000 = -b1111110111111010111010100101100 < -b10101110111111010001100011000000 : -b101111111111111010001110010100 $ -b101111111111111010001110010100 - -b101111111111111010001110010100 5 -b101111111111111010001110010100 ? -b101111111111111010001110010100 D -b1111110111111010111010100101100 % -b1111110111111010111010100101100 . -b1111110111111010111010100101100 6 -b1111110111111010111010100101100 @ -b1111110111111010111010100101100 F -b101110111111010010000100000100 ) -#311168000 -0& -#311184000 -b1001011111110 , -#311200000 -1& -#311216000 -b1001010011111010111110010011001 " -b1001010011111010111110010011001 4 -b1001010011111010111110010011001 1 -b1001010011111010111110010011001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -09 -08 -b1000110011111010111110010011000 2 -b1000110011111010111110010011000 = -b1101011011111110111110010011011 < -b1000110011111010111110010011000 : -b11011010111111011111111111111101 $ -b11011010111111011111111111111101 - -b11011010111111011111111111111101 5 -b11011010111111011111111111111101 ? -b11011010111111011111111111111101 D -b1101011011111110111110010011011 % -b1101011011111110111110010011011 . -b1101011011111110111110010011011 6 -b1101011011111110111110010011011 @ -b1101011011111110111110010011011 F -b1001010011111010111110010011001 ) -#311232000 -0& -#311248000 -b1001011111111 , -#311264000 -1& -#311280000 -b11101001110000100000000100001001 " -b11101001110000100000000100001001 4 -b11101001110000100000000100001001 1 -b11101001110000100000000100001001 C -b10110101101001101111101000000000 0 -b10110101101001101111101000000000 H -18 -b11101001110000011111010010000110 2 -b11101001110000011111010010000110 = -b11101111111001110010000100001001 < -b11101001110000011111010010000110 : -b11111001110110101101001101111101 $ -b11111001110110101101001101111101 - -b11111001110110101101001101111101 5 -b11111001110110101101001101111101 ? -b11111001110110101101001101111101 D -b11101111111001110010000100001001 % -b11101111111001110010000100001001 . -b11101111111001110010000100001001 6 -b11101111111001110010000100001001 @ -b11101111111001110010000100001001 F -b11101001110000100000000100001001 ) -#311296000 -0& -#311312000 -b1001100000000 , -#311328000 -1& -#311344000 -b1011111001000100000000001001000 " -b1011111001000100000000001001000 4 -b1011111001000100000000001001000 1 -b1011111001000100000000001001000 C -b1011111010010101011000000000000 0 -b1011111010010101011000000000000 H -08 -b1011111001000011011011000100001 2 -b1011111001000011011011000100001 = -b1111111011100100001000011001001 < -b1011111001000011011011000100001 : -b11011111101011111010010101011000 $ -b11011111101011111010010101011000 - -b11011111101011111010010101011000 5 -b11011111101011111010010101011000 ? -b11011111101011111010010101011000 D -b1111111011100100001000011001001 % -b1111111011100100001000011001001 . -b1111111011100100001000011001001 6 -b1111111011100100001000011001001 @ -b1111111011100100001000011001001 F -b1011111001000100000000001001000 ) -#311360000 -0& -#311376000 -b1001100000001 , -#311392000 -1& -#311408000 -b100100011001101100001001000110 " -b100100011001101100001001000110 4 -b100100011001101100001001000110 1 -b100100011001101100001001000110 C -b0 0 -b0 H -19 -08 -b10010100011001011011100100100101 2 -b10010100011001011011100100100101 = -b1100111111111101101011011011111 < -b10010100011001011011100100100101 : -b101100011001101110001001000110 $ -b101100011001101110001001000110 - -b101100011001101110001001000110 5 -b101100011001101110001001000110 ? -b101100011001101110001001000110 D -b1100111111111101101011011011111 % -b1100111111111101101011011011111 . -b1100111111111101101011011011111 6 -b1100111111111101101011011011111 @ -b1100111111111101101011011011111 F -b100100011001101100001001000110 ) -#311424000 -0& -#311440000 -b1001100000010 , -#311456000 -1& -#311472000 -b1001100101111100001000000000100 " -b1001100101111100001000000000100 4 -b1001100101111100001000000000100 1 -b1001100101111100001000000000100 C -b11110101010100010110000000000000 0 -b11110101010100010110000000000000 H -09 -08 -b1001100011111100000010110000010 2 -b1001100011111100000010110000010 = -b1111110101111101011000001101100 < -b1001100011111100000010110000010 : -b11001101101111110101010100010110 $ -b11001101101111110101010100010110 - -b11001101101111110101010100010110 5 -b11001101101111110101010100010110 ? -b11001101101111110101010100010110 D -b1111110101111101011000001101100 % -b1111110101111101011000001101100 . -b1111110101111101011000001101100 6 -b1111110101111101011000001101100 @ -b1111110101111101011000001101100 F -b1001100101111100001000000000100 ) -#311488000 -0& -#311504000 -b1001100000011 , -#311520000 -1& -#311536000 -b1111011101001011001000001000010 " -b1111011101001011001000001000010 4 -b1111011101001011001000001000010 1 -b1111011101001011001000001000010 C -b1110001001111000000000000000000 0 -b1110001001111000000000000000000 H -b1111011101001010010111100100001 2 -b1111011101001010010111100100001 = -b1111011111111011001001011010010 < -b1111011101001010010111100100001 : -b11111111101001111001110001001111 $ -b11111111101001111001110001001111 - -b11111111101001111001110001001111 5 -b11111111101001111001110001001111 ? -b11111111101001111001110001001111 D -b1111011111111011001001011010010 % -b1111011111111011001001011010010 . -b1111011111111011001001011010010 6 -b1111011111111011001001011010010 @ -b1111011111111011001001011010010 F -b1111011101001011001000001000010 ) -#311552000 -0& -#311568000 -b1001100000100 , -#311584000 -1& -#311600000 -b1001110110100011000000110000001 " -b1001110110100011000000110000001 4 -b1001110110100011000000110000001 1 -b1001110110100011000000110000001 C -b11101111101000110110111000000000 0 -b11101111101000110110111000000000 H -19 -08 -b11001110110100010101100101000000 2 -b11001110110100010101100101000000 = -b1111111110110011000011110001001 < -b11001110110100010101100101000000 : -b1001110111101111101000110110111 $ -b1001110111101111101000110110111 - -b1001110111101111101000110110111 5 -b1001110111101111101000110110111 ? -b1001110111101111101000110110111 D -b1111111110110011000011110001001 % -b1111111110110011000011110001001 . -b1111111110110011000011110001001 6 -b1111111110110011000011110001001 @ -b1111111110110011000011110001001 F -b1001110110100011000000110000001 ) -#311616000 -0& -#311632000 -b1001100000101 , -#311648000 -1& -#311664000 -b1100000010111110010010101010010 " -b1100000010111110010010101010010 4 -b1100000010111110010010101010010 1 -b1100000010111110010010101010010 C -b0 0 -b0 H -09 -08 -b1100000010111110001101011010001 2 -b1100000010111110001101011010001 = -b11100101010111110111010101111111 < -b1100000010111110001101011010001 : -b1111010111111111010010101010010 $ -b1111010111111111010010101010010 - -b1111010111111111010010101010010 5 -b1111010111111111010010101010010 ? -b1111010111111111010010101010010 D -b11100101010111110111010101111111 % -b11100101010111110111010101111111 . -b11100101010111110111010101111111 6 -b11100101010111110111010101111111 @ -b11100101010111110111010101111111 F -b1100000010111110010010101010010 ) -#311680000 -0& -#311696000 -b1001100000110 , -#311712000 -1& -#311728000 -b10111111011011110100000010000010 " -b10111111011011110100000010000010 4 -b10111111011011110100000010000010 1 -b10111111011011110100000010000010 C -b11111111110110010110001011000000 0 -b11111111110110010110001011000000 H -18 -b10111111011011101011100001010001 2 -b10111111011011101011100001010001 = -b10111111011011110101001011000110 < -b10111111011011101011100001010001 : -b11111111111111110110010110001011 $ -b11111111111111110110010110001011 - -b11111111111111110110010110001011 5 -b11111111111111110110010110001011 ? -b11111111111111110110010110001011 D -b10111111011011110101001011000110 % -b10111111011011110101001011000110 . -b10111111011011110101001011000110 6 -b10111111011011110101001011000110 @ -b10111111011011110101001011000110 F -b10111111011011110100000010000010 ) -#311744000 -0& -#311760000 -b1001100000111 , -#311776000 -1& -#311792000 -b1110010011110010000110001000000 " -b1110010011110010000110001000000 4 -b1110010011110010000110001000000 1 -b1110010011110010000110001000000 C -b1111011010110000000000000000 0 -b1111011010110000000000000000 H -08 -19 -b11110010011101000011110000111011 2 -b11110010011101000011110000111011 = -b1111110111110010010110011010000 < -b11110010011101000011110000111011 : -b1110011011110110000111101101011 $ -b1110011011110110000111101101011 - -b1110011011110110000111101101011 5 -b1110011011110110000111101101011 ? -b1110011011110110000111101101011 D -b1111110111110010010110011010000 % -b1111110111110010010110011010000 . -b1111110111110010010110011010000 6 -b1111110111110010010110011010000 @ -b1111110111110010010110011010000 F -b1110010011110010000110001000000 ) -#311808000 -0& -#311824000 -b1001100001000 , -#311840000 -1& -#311856000 -b111010101010111000100110110100 " -b111010101010111000100110110100 4 -b111010101010111000100110110100 1 -b111010101010111000100110110100 C -b1101111000000000000000000000000 0 -b1101111000000000000000000000000 H -09 -08 -b111010101001111000100110110010 2 -b111010101001111000100110110010 = -b10111011101110111101111111110110 < -b111010101001111000100110110010 : -b1111110111010111010100110111100 $ -b1111110111010111010100110111100 - -b1111110111010111010100110111100 5 -b1111110111010111010100110111100 ? -b1111110111010111010100110111100 D -b10111011101110111101111111110110 % -b10111011101110111101111111110110 . -b10111011101110111101111111110110 6 -b10111011101110111101111111110110 @ -b10111011101110111101111111110110 F -b111010101010111000100110110100 ) -#311872000 -0& -#311888000 -b1001100001001 , -#311904000 -1& -#311920000 -b11011011000110011000011001000000 " -b11011011000110011000011001000000 4 -b11011011000110011000011001000000 1 -b11011011000110011000011001000000 C -b10100110010011000000000000000000 0 -b10100110010011000000000000000000 H -18 -b11011010100101110100010010011100 2 -b11011010100101110100010010011100 = -b11111011010110011001111001010000 < -b11011010100101110100010010011100 : -b11011111001111011010011001001100 $ -b11011111001111011010011001001100 - -b11011111001111011010011001001100 5 -b11011111001111011010011001001100 ? -b11011111001111011010011001001100 D -b11111011010110011001111001010000 % -b11111011010110011001111001010000 . -b11111011010110011001111001010000 6 -b11111011010110011001111001010000 @ -b11111011010110011001111001010000 F -b11011011000110011000011001000000 ) -#311936000 -0& -#311952000 -b1001100001010 , -#311968000 -1& -#311984000 -b110100101010110010010101001000 " -b110100101010110010010101001000 4 -b110100101010110010010101001000 1 -b110100101010110010010101001000 C -b11111100100101011110010000000000 0 -b11111100100101011110010000000000 H -b11101100101010101001110011000011 2 -b11101100101010101001110011000011 = -b110111101010110111011101001010 < -b11101100101010101001110011000011 : -b10110100111111110010010101111001 $ -b10110100111111110010010101111001 - -b10110100111111110010010101111001 5 -b10110100111111110010010101111001 ? -b10110100111111110010010101111001 D -b110111101010110111011101001010 % -b110111101010110111011101001010 . -b110111101010110111011101001010 6 -b110111101010110111011101001010 @ -b110111101010110111011101001010 F -b110100101010110010010101001000 ) -#312000000 -0& -#312016000 -b1001100001011 , -#312032000 -1& -#312048000 -b10101100111111000000100001000010 " -b10101100111111000000100001000010 4 -b10101100111111000000100001000010 1 -b10101100111111000000100001000010 C -b111111000101101101001011000000 0 -b111111000101101101001011000000 H -19 -18 -b1101100111110001000011110110001 2 -b1101100111110001000011110110001 = -b10101111111111000010110001100110 < -b1101100111110001000011110110001 : -b10111100111111000101101101001011 $ -b10111100111111000101101101001011 - -b10111100111111000101101101001011 5 -b10111100111111000101101101001011 ? -b10111100111111000101101101001011 D -b10101111111111000010110001100110 % -b10101111111111000010110001100110 . -b10101111111111000010110001100110 6 -b10101111111111000010110001100110 @ -b10101111111111000010110001100110 F -b10101100111111000000100001000010 ) -#312064000 -0& -#312080000 -b1001100001100 , -#312096000 -1& -#312112000 -b1011011110110010101100011000101 " -b1011011110110010101100011000101 4 -b1011011110110010101100011000101 1 -b1011011110110010101100011000101 C -b11111011101011110101100010100000 0 -b11111011101011110101100010100000 H -08 -09 -b1011011110101101101100010101010 2 -b1011011110101101101100010101010 = -b1011011111110010101110111100101 < -b1011011110101101101100010101010 : -b11111111110111010111101011000101 $ -b11111111110111010111101011000101 - -b11111111110111010111101011000101 5 -b11111111110111010111101011000101 ? -b11111111110111010111101011000101 D -b1011011111110010101110111100101 % -b1011011111110010101110111100101 . -b1011011111110010101110111100101 6 -b1011011111110010101110111100101 @ -b1011011111110010101110111100101 F -b1011011110110010101100011000101 ) -#312128000 -0& -#312144000 -b1001100001101 , -#312160000 -1& -#312176000 -b10001111111111010011100000000000 " -b10001111111111010011100000000000 4 -b10001111111111010011100000000000 1 -b10001111111111010011100000000000 C -b10011111111110100111001110111000 0 -b10011111111110100111001110111000 H -18 -b10001111111110101111001111011101 2 -b10001111111110101111001111011101 = -b10111111111111011011101000000001 < -b10001111111110101111001111011101 : -b11001111111111010011100111011100 $ -b11001111111111010011100111011100 - -b11001111111111010011100111011100 5 -b11001111111111010011100111011100 ? -b11001111111111010011100111011100 D -b10111111111111011011101000000001 % -b10111111111111011011101000000001 . -b10111111111111011011101000000001 6 -b10111111111111011011101000000001 @ -b10111111111111011011101000000001 F -b10001111111111010011100000000000 ) -#312192000 -0& -#312208000 -b1001100001110 , -#312224000 -1& -#312240000 -b11101000010110100100101000010 " -b11101000010110100100101000010 4 -b11101000010110100100101000010 1 -b11101000010110100100101000010 C -b10100100111010010000000000000000 0 -b10100100111010010000000000000000 H -09 -08 -b11010110110110100011100100001 2 -b11010110110110100011100100001 = -b1111101100011111111110101001111 < -b11010110110110100011100100001 : -b10011101010010110100100111010010 $ -b10011101010010110100100111010010 - -b10011101010010110100100111010010 5 -b10011101010010110100100111010010 ? -b10011101010010110100100111010010 D -b1111101100011111111110101001111 % -b1111101100011111111110101001111 . -b1111101100011111111110101001111 6 -b1111101100011111111110101001111 @ -b1111101100011111111110101001111 F -b11101000010110100100101000010 ) -#312256000 -0& -#312272000 -b1001100001111 , -#312288000 -1& -#312304000 -b1001001010111111001111100001011 " -b1001001010111111001111100001011 4 -b1001001010111111001111100001011 1 -b1001001010111111001111100001011 C -b11111110111110110101100000000000 0 -b11111110111110110101100000000000 H -19 -08 -b11001001010111111001111011110110 2 -b11001001010111111001111011110110 = -b1011011111111111011111110001011 < -b11001001010111111001111011110110 : -b1101101010111111101111101101011 $ -b1101101010111111101111101101011 - -b1101101010111111101111101101011 5 -b1101101010111111101111101101011 ? -b1101101010111111101111101101011 D -b1011011111111111011111110001011 % -b1011011111111111011111110001011 . -b1011011111111111011111110001011 6 -b1011011111111111011111110001011 @ -b1011011111111111011111110001011 F -b1001001010111111001111100001011 ) -#312320000 -0& -#312336000 -b1001100010000 , -#312352000 -1& -#312368000 -b1001000111001100000001011000000 " -b1001000111001100000001011000000 4 -b1001000111001100000001011000000 1 -b1001000111001100000001011000000 C -b1101110001100000000000000000000 0 -b1101110001100000000000000000000 H -09 -08 -b110110110101010110000110110111 2 -b110110110101010110000110110111 = -b11001000111011100100101011010100 < -b110110110101010110000110110111 : -b1101101111001110001011011100011 $ -b1101101111001110001011011100011 - -b1101101111001110001011011100011 5 -b1101101111001110001011011100011 ? -b1101101111001110001011011100011 D -b11001000111011100100101011010100 % -b11001000111011100100101011010100 . -b11001000111011100100101011010100 6 -b11001000111011100100101011010100 @ -b11001000111011100100101011010100 F -b1001000111001100000001011000000 ) -#312384000 -0& -#312400000 -b1001100010001 , -#312416000 -1& -#312432000 -b11101101010110110000101000110000 " -b11101101010110110000101000110000 4 -b11101101010110110000101000110000 1 -b11101101010110110000101000110000 C -b11011000000000000000000000000000 0 -b11011000000000000000000000000000 H -18 -b11011100110110101111101000100111 2 -b11011100110110101111101000100111 = -b11101111010111111000101001110111 < -b11011100110110101111101000100111 : -b11101101011110110110111110110000 $ -b11101101011110110110111110110000 - -b11101101011110110110111110110000 5 -b11101101011110110110111110110000 ? -b11101101011110110110111110110000 D -b11101111010111111000101001110111 % -b11101111010111111000101001110111 . -b11101111010111111000101001110111 6 -b11101111010111111000101001110111 @ -b11101111010111111000101001110111 F -b11101101010110110000101000110000 ) -#312448000 -0& -#312464000 -b1001100010010 , -#312480000 -1& -#312496000 -b11100011100111100010110000000000 " -b11100011100111100010110000000000 4 -b11100011100111100010110000000000 1 -b11100011100111100010110000000000 C -b10111110111011001000000000000000 0 -b10111110111011001000000000000000 H -b11011111010111010001100111001000 2 -b11011111010111010001100111001000 = -b11101011100111100010110101001000 < -b11011111010111010001100111001000 : -b11110011101111101110110010000000 $ -b11110011101111101110110010000000 - -b11110011101111101110110010000000 5 -b11110011101111101110110010000000 ? -b11110011101111101110110010000000 D -b11101011100111100010110101001000 % -b11101011100111100010110101001000 . -b11101011100111100010110101001000 6 -b11101011100111100010110101001000 @ -b11101011100111100010110101001000 F -b11100011100111100010110000000000 ) -#312512000 -0& -#312528000 -b1001100010011 , -#312544000 -1& -#312560000 -b10111011101111000000110000000000 " -b10111011101111000000110000000000 4 -b10111011101111000000110000000000 1 -b10111011101111000000110000000000 C -b101100001000100000000000000000 0 -b101100001000100000000000000000 H -b10110111101110111111101101110010 2 -b10110111101110111111101101110010 = -b10111011101111011100111101010000 < -b10110111101110111111101101110010 : -b11111011111111100010110000100010 $ -b11111011111111100010110000100010 - -b11111011111111100010110000100010 5 -b11111011111111100010110000100010 ? -b11111011111111100010110000100010 D -b10111011101111011100111101010000 % -b10111011101111011100111101010000 . -b10111011101111011100111101010000 6 -b10111011101111011100111101010000 @ -b10111011101111011100111101010000 F -b10111011101111000000110000000000 ) -#312576000 -0& -#312592000 -b1001100010100 , -#312608000 -1& -#312624000 -b10111111101100101100101000000010 " -b10111111101100101100101000000010 4 -b10111111101100101100101000000010 1 -b10111111101100101100101000000010 C -b11111110110110110111111101101100 0 -b11111110110110110111111101101100 H -b10111111101100101010100111111101 2 -b10111111101100101010100111111101 = -b11111111111110111100101000100010 < -b10111111101100101010100111111101 : -b10111111101101101101111111011011 $ -b10111111101101101101111111011011 - -b10111111101101101101111111011011 5 -b10111111101101101101111111011011 ? -b10111111101101101101111111011011 D -b11111111111110111100101000100010 % -b11111111111110111100101000100010 . -b11111111111110111100101000100010 6 -b11111111111110111100101000100010 @ -b11111111111110111100101000100010 F -b10111111101100101100101000000010 ) -#312640000 -0& -#312656000 -b1001100010101 , -#312672000 -1& -#312688000 -b10111010111110110000011001100000 " -b10111010111110110000011001100000 4 -b10111010111110110000011001100000 1 -b10111010111110110000011001100000 C -b11110110000100000000000000000000 0 -b11110110000100000000000000000000 H -b10111010111110101000011001010101 2 -b10111010111110101000011001010101 = -b10111110111110110101011011110100 < -b10111010111110101000011001010101 : -b11111011111111110010111101100001 $ -b11111011111111110010111101100001 - -b11111011111111110010111101100001 5 -b11111011111111110010111101100001 ? -b11111011111111110010111101100001 D -b10111110111110110101011011110100 % -b10111110111110110101011011110100 . -b10111110111110110101011011110100 6 -b10111110111110110101011011110100 @ -b10111110111110110101011011110100 F -b10111010111110110000011001100000 ) -#312704000 -0& -#312720000 -b1001100010110 , -#312736000 -1& -#312752000 -b1100100101111110001001000000100 " -b1100100101111110001001000000100 4 -b1100100101111110001001000000100 1 -b1100100101111110001001000000100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -08 -b1001100101111101000011000000011 2 -b1001100101111101000011000000011 = -b1100101111111110011001000111100 < -b1001100101111101000011000000011 : -b11100110101111110101001111000111 $ -b11100110101111110101001111000111 - -b11100110101111110101001111000111 5 -b11100110101111110101001111000111 ? -b11100110101111110101001111000111 D -b1100101111111110011001000111100 % -b1100101111111110011001000111100 . -b1100101111111110011001000111100 6 -b1100101111111110011001000111100 @ -b1100101111111110011001000111100 F -b1100100101111110001001000000100 ) -#312768000 -0& -#312784000 -b1001100010111 , -#312800000 -1& -#312816000 -b10101000111100000010000101110 " -b10101000111100000010000101110 4 -b10101000111100000010000101110 1 -b10101000111100000010000101110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b1010100111111011111010000101101 2 -b1010100111111011111010000101101 = -b11101000111111010010010111110 < -b1010100111111011111010000101101 : -b110111110111100100111101101111 $ -b110111110111100100111101101111 - -b110111110111100100111101101111 5 -b110111110111100100111101101111 ? -b110111110111100100111101101111 D -b11101000111111010010010111110 % -b11101000111111010010010111110 . -b11101000111111010010010111110 6 -b11101000111111010010010111110 @ -b11101000111111010010010111110 F -b10101000111100000010000101110 ) -#312832000 -0& -#312848000 -b1001100011000 , -#312864000 -1& -#312880000 -b1011000110111110001000001000100 " -b1011000110111110001000001000100 4 -b1011000110111110001000001000100 1 -b1011000110111110001000001000100 C -08 -09 -b1011000110111110001000001000011 2 -b1011000110111110001000001000011 = -b1011000110111111001001101011101 < -b1011000110111110001000001000011 : -b11111111111111110111110011100110 $ -b11111111111111110111110011100110 - -b11111111111111110111110011100110 5 -b11111111111111110111110011100110 ? -b11111111111111110111110011100110 D -b1011000110111111001001101011101 % -b1011000110111111001001101011101 . -b1011000110111111001001101011101 6 -b1011000110111111001001101011101 @ -b1011000110111111001001101011101 F -b1011000110111110001000001000100 ) -#312896000 -0& -#312912000 -b1001100011001 , -#312928000 -1& -#312944000 -b11000111110000111010010100010010 " -b11000111110000111010010100010010 4 -b11000111110000111010010100010010 1 -b11000111110000111010010100010010 C -b10011100011110000000000000000000 0 -b10011100011110000000000000000000 H -18 -b10100111101100111001010010110000 2 -b10100111101100111001010010110000 = -b11000111110010111010110110010010 < -b10100111101100111001010010110000 : -b11011111111001111110011100011110 $ -b11011111111001111110011100011110 - -b11011111111001111110011100011110 5 -b11011111111001111110011100011110 ? -b11011111111001111110011100011110 D -b11000111110010111010110110010010 % -b11000111110010111010110110010010 . -b11000111110010111010110110010010 6 -b11000111110010111010110110010010 @ -b11000111110010111010110110010010 F -b11000111110000111010010100010010 ) -#312960000 -0& -#312976000 -b1001100011010 , -#312992000 -1& -#313008000 -b1101111001000000000000011010000 " -b1101111001000000000000011010000 4 -b1101111001000000000000011010000 1 -b1101111001000000000000011010000 C -b10110111010000000000000000 0 -b10110111010000000000000000 H -08 -b1101110100101110010001110101101 2 -b1101110100101110010001110101101 = -b11111111011000000010000011010000 < -b1101110100101110010001110101101 : -b1101111001101110000001011011101 $ -b1101111001101110000001011011101 - -b1101111001101110000001011011101 5 -b1101111001101110000001011011101 ? -b1101111001101110000001011011101 D -b11111111011000000010000011010000 % -b11111111011000000010000011010000 . -b11111111011000000010000011010000 6 -b11111111011000000010000011010000 @ -b11111111011000000010000011010000 F -b1101111001000000000000011010000 ) -#313024000 -0& -#313040000 -b1001100011011 , -#313056000 -1& -#313072000 -b1000000110110100111010101001011 " -b1000000110110100111010101001011 4 -b1000000110110100111010101001011 1 -b1000000110110100111010101001011 C -b11011011101010100101100000000000 0 -b11011011101010100101100000000000 H -b111111101110011110110100010110 2 -b111111101110011110110100010110 = -b11001100110111100111011111001011 < -b111111101110011110110100010110 : -b1110010110110110111010101001011 $ -b1110010110110110111010101001011 - -b1110010110110110111010101001011 5 -b1110010110110110111010101001011 ? -b1110010110110110111010101001011 D -b11001100110111100111011111001011 % -b11001100110111100111011111001011 . -b11001100110111100111011111001011 6 -b11001100110111100111011111001011 @ -b11001100110111100111011111001011 F -b1000000110110100111010101001011 ) -#313088000 -0& -#313104000 -b1001100011100 , -#313120000 -1& -#313136000 -b11000100011101010000100100000100 " -b11000100011101010000100100000100 4 -b11000100011101010000100100000100 1 -b11000100011101010000100100000100 C -b10011010110100000000000000000000 0 -b10011010110100000000000000000000 H -18 -b11000100011101010000011100000001 2 -b11000100011101010000011100000001 = -b11101100011111110001110101010100 < -b11000100011101010000011100000001 : -b11010111111101011110100110101101 $ -b11010111111101011110100110101101 - -b11010111111101011110100110101101 5 -b11010111111101011110100110101101 ? -b11010111111101011110100110101101 D -b11101100011111110001110101010100 % -b11101100011111110001110101010100 . -b11101100011111110001110101010100 6 -b11101100011111110001110101010100 @ -b11101100011111110001110101010100 F -b11000100011101010000100100000100 ) -#313152000 -0& -#313168000 -b1001100011101 , -#313184000 -1& -#313200000 -b10101001101001010000100000000100 " -b10101001101001010000100000000100 4 -b10101001101001010000100000000100 1 -b10101001101001010000100000000100 C -b11111011010100001100000101000000 0 -b11111011010100001100000101000000 H -b10101001101001000100010100011000 2 -b10101001101001000100010100011000 = -b11111001111011110011100100000100 < -b10101001101001000100010100011000 : -b10101111101101010000110000010100 $ -b10101111101101010000110000010100 - -b10101111101101010000110000010100 5 -b10101111101101010000110000010100 ? -b10101111101101010000110000010100 D -b11111001111011110011100100000100 % -b11111001111011110011100100000100 . -b11111001111011110011100100000100 6 -b11111001111011110011100100000100 @ -b11111001111011110011100100000100 F -b10101001101001010000100000000100 ) -#313216000 -0& -#313232000 -b1001100011110 , -#313248000 -1& -#313264000 -b1010110110100110010101000110100 " -b1010110110100110010101000110100 4 -b1010110110100110010101000110100 1 -b1010110110100110010101000110100 C -b10001111000000000000000000000000 0 -b10001111000000000000000000000000 H -08 -b110110110100101010100001110010 2 -b110110110100101010100001110010 = -b11011110110110110111101000110110 < -b110110110100101010100001110010 : -b1010111111101110010111000111100 $ -b1010111111101110010111000111100 - -b1010111111101110010111000111100 5 -b1010111111101110010111000111100 ? -b1010111111101110010111000111100 D -b11011110110110110111101000110110 % -b11011110110110110111101000110110 . -b11011110110110110111101000110110 6 -b11011110110110110111101000110110 @ -b11011110110110110111101000110110 F -b1010110110100110010101000110100 ) -#313280000 -0& -#313296000 -b1001100011111 , -#313312000 -1& -#313328000 -b1001011111110010010000000110100 " -b1001011111110010010000000110100 4 -b1001011111110010010000000110100 1 -b1001011111110010010000000110100 C -b10011010000000000000000000000000 0 -b10011010000000000000000000000000 H -b1001011111101001001111110101011 2 -b1001011111101001001111110101011 = -b1101011111110110011101001110111 < -b1001011111101001001111110101011 : -b11011111111110010110010100110100 $ -b11011111111110010110010100110100 - -b11011111111110010110010100110100 5 -b11011111111110010110010100110100 ? -b11011111111110010110010100110100 D -b1101011111110110011101001110111 % -b1101011111110110011101001110111 . -b1101011111110110011101001110111 6 -b1101011111110110011101001110111 @ -b1101011111110110011101001110111 F -b1001011111110010010000000110100 ) -#313344000 -0& -#313360000 -b1001100100000 , -#313376000 -1& -#313392000 -b1111001110101001110000011010000 " -b1111001110101001110000011010000 4 -b1111001110101001110000011010000 1 -b1111001110101001110000011010000 C -b11010001101010000000000000000000 0 -b11010001101010000000000000000000 H -b1111001110101001100100110100101 2 -b1111001110101001100100110100101 = -b11111111110111001110000011010001 < -b1111001110101001100100110100101 : -b1111001111101111110100011010100 $ -b1111001111101111110100011010100 - -b1111001111101111110100011010100 5 -b1111001111101111110100011010100 ? -b1111001111101111110100011010100 D -b11111111110111001110000011010001 % -b11111111110111001110000011010001 . -b11111111110111001110000011010001 6 -b11111111110111001110000011010001 @ -b11111111110111001110000011010001 F -b1111001110101001110000011010000 ) -#313408000 -0& -#313424000 -b1001100100001 , -#313440000 -1& -#313456000 -b1001101111101001101000010011010 " -b1001101111101001101000010011010 4 -b1001101111101001101000010011010 1 -b1001101111101001101000010011010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1001101111101001010010001111000 2 -b1001101111101001010010001111000 = -b1111101111111011101001010011110 < -b1001101111101001010010001111000 : -b11001111111101101101000111011010 $ -b11001111111101101101000111011010 - -b11001111111101101101000111011010 5 -b11001111111101101101000111011010 ? -b11001111111101101101000111011010 D -b1111101111111011101001010011110 % -b1111101111111011101001010011110 . -b1111101111111011101001010011110 6 -b1111101111111011101001010011110 @ -b1111101111111011101001010011110 F -b1001101111101001101000010011010 ) -#313472000 -0& -#313488000 -b1001100100010 , -#313504000 -1& -#313520000 -b1100010110100110010100000000000 " -b1100010110100110010100000000000 4 -b1100010110100110010100000000000 1 -b1100010110100110010100000000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b1100010110010101010000100111110 2 -b1100010110010101010000100111110 = -b1101111110100110011100000111100 < -b1100010110010101010000100111110 : -b11110010111101110110100100000010 $ -b11110010111101110110100100000010 - -b11110010111101110110100100000010 5 -b11110010111101110110100100000010 ? -b11110010111101110110100100000010 D -b1101111110100110011100000111100 % -b1101111110100110011100000111100 . -b1101111110100110011100000111100 6 -b1101111110100110011100000111100 @ -b1101111110100110011100000111100 F -b1100010110100110010100000000000 ) -#313536000 -0& -#313552000 -b1001100100011 , -#313568000 -1& -#313584000 -b10010000100001000001001100 " -b10010000100001000001001100 4 -b10010000100001000001001100 1 -b10010000100001000001001100 C -b10000111011100110100000000000000 0 -b10000111011100110100000000000000 H -18 -b11100010010000010111000000011011 2 -b11100010010000010111000000011011 = -b11000111010110110101001001001110 < -b11100010010000010111000000011011 : -b11010111001100001110111001101 $ -b11010111001100001110111001101 - -b11010111001100001110111001101 5 -b11010111001100001110111001101 ? -b11010111001100001110111001101 D -b11000111010110110101001001001110 % -b11000111010110110101001001001110 . -b11000111010110110101001001001110 6 -b11000111010110110101001001001110 @ -b11000111010110110101001001001110 F -b10010000100001000001001100 ) -#313600000 -0& -#313616000 -b1001100100100 , -#313632000 -1& -#313648000 -b110001110101001010001001010001 " -b110001110101001010001001010001 4 -b110001110101001010001001010001 1 -b110001110101001010001001010001 C -b1001100101010100000000000000000 0 -b1001100101010100000000000000000 H -b11101001110100100100101000100110 2 -b11101001110100100100101000100110 = -b110101110111001010001111010001 < -b11101001110100100100101000100110 : -b10110011111101011010011001010101 $ -b10110011111101011010011001010101 - -b10110011111101011010011001010101 5 -b10110011111101011010011001010101 ? -b10110011111101011010011001010101 D -b110101110111001010001111010001 % -b110101110111001010001111010001 . -b110101110111001010001111010001 6 -b110101110111001010001111010001 @ -b110101110111001010001111010001 F -b110001110101001010001001010001 ) -#313664000 -0& -#313680000 -b1001100100101 , -#313696000 -1& -#313712000 -b1001111110100100100101000100 " -b1001111110100100100101000100 4 -b1001111110100100100101000100 1 -b1001111110100100100101000100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -19 -b10000011111101100100011101000000 2 -b10000011111101100100011101000000 = -b1001111110111101110101111100 < -b10000011111101100100011101000000 : -b1111001111110100110100111000100 $ -b1111001111110100110100111000100 - -b1111001111110100110100111000100 5 -b1111001111110100110100111000100 ? -b1111001111110100110100111000100 D -b1001111110111101110101111100 % -b1001111110111101110101111100 . -b1001111110111101110101111100 6 -b1001111110111101110101111100 @ -b1001111110111101110101111100 F -b1001111110100100100101000100 ) -#313728000 -0& -#313744000 -b1001100100110 , -#313760000 -1& -#313776000 -b1101110001110000000001101010001 " -b1101110001110000000001101010001 4 -b1101110001110000000001101010001 1 -b1101110001110000000001101010001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b1101101001101111100001011010000 2 -b1101101001101111100001011010000 = -b1101110011110110000001101111111 < -b1101101001101111100001011010000 : -b11111110101111001011111101010001 $ -b11111110101111001011111101010001 - -b11111110101111001011111101010001 5 -b11111110101111001011111101010001 ? -b11111110101111001011111101010001 D -b1101110011110110000001101111111 % -b1101110011110110000001101111111 . -b1101110011110110000001101111111 6 -b1101110011110110000001101111111 @ -b1101110011110110000001101111111 F -b1101110001110000000001101010001 ) -#313792000 -0& -#313808000 -b1001100100111 , -#313824000 -1& -#313840000 -b1001101001101110001000010100000 " -b1001101001101110001000010100000 4 -b1001101001101110001000010100000 1 -b1001101001101110001000010100000 C -b1110011100110100000000000000000 0 -b1110011100110100000000000000000 H -b101100101101101100111010001100 2 -b101100101101101100111010001100 = -b1011111001111111001010011101100 < -b101100101101101100111010001100 : -b11001101011101110011100110100000 $ -b11001101011101110011100110100000 - -b11001101011101110011100110100000 5 -b11001101011101110011100110100000 ? -b11001101011101110011100110100000 D -b1011111001111111001010011101100 % -b1011111001111111001010011101100 . -b1011111001111111001010011101100 6 -b1011111001111111001010011101100 @ -b1011111001111111001010011101100 F -b1001101001101110001000010100000 ) -#313856000 -0& -#313872000 -b1001100101000 , -#313888000 -1& -#313904000 -b1111000010111100000010000000001 " -b1111000010111100000010000000001 4 -b1111000010111100000010000000001 1 -b1111000010111100000010000000001 C -b101111011110100001110010000000 0 -b101111011110100001110010000000 H -b1110111101111100000001000000000 2 -b1110111101111100000001000000000 = -b11111011010111110000110111000111 < -b1110111101111100000001000000000 : -b1111100010111101111010000111001 $ -b1111100010111101111010000111001 - -b1111100010111101111010000111001 5 -b1111100010111101111010000111001 ? -b1111100010111101111010000111001 D -b11111011010111110000110111000111 % -b11111011010111110000110111000111 . -b11111011010111110000110111000111 6 -b11111011010111110000110111000111 @ -b11111011010111110000110111000111 F -b1111000010111100000010000000001 ) -#313920000 -0& -#313936000 -b1001100101001 , -#313952000 -1& -#313968000 -b10101011011100010010100001111010 " -b10101011011100010010100001111010 4 -b10101011011100010010100001111010 1 -b10101011011100010010100001111010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -19 -b1100111011001101001100001111000 2 -b1100111011001101001100001111000 = -b10101011111100010010100001111110 < -b1100111011001101001100001111000 : -b10111011011101010110111111111010 $ -b10111011011101010110111111111010 - -b10111011011101010110111111111010 5 -b10111011011101010110111111111010 ? -b10111011011101010110111111111010 D -b10101011111100010010100001111110 % -b10101011111100010010100001111110 . -b10101011111100010010100001111110 6 -b10101011111100010010100001111110 @ -b10101011111100010010100001111110 F -b10101011011100010010100001111010 ) -#313984000 -0& -#314000000 -b1001100101010 , -#314016000 -1& -#314032000 -b1111101111111000010000011001001 " -b1111101111111000010000011001001 4 -b1111101111111000010000011001001 1 -b1111101111111000010000011001001 C -b11010100010110110010000000000000 0 -b11010100010110110010000000000000 H -08 -09 -b1111101111110111101000010100110 2 -b1111101111110111101000010100110 = -b11111111111111010010110111001101 < -b1111101111110111101000010100110 : -b1111101111111101010001011011001 $ -b1111101111111101010001011011001 - -b1111101111111101010001011011001 5 -b1111101111111101010001011011001 ? -b1111101111111101010001011011001 D -b11111111111111010010110111001101 % -b11111111111111010010110111001101 . -b11111111111111010010110111001101 6 -b11111111111111010010110111001101 @ -b11111111111111010010110111001101 F -b1111101111111000010000011001001 ) -#314048000 -0& -#314064000 -b1001100101011 , -#314080000 -1& -#314096000 -b110010001110101101001000101001 " -b110010001110101101001000101001 4 -b110010001110101101001000101001 1 -b110010001110101101001000101001 C -b11011010000000000000000000000000 0 -b11011010000000000000000000000000 H -b110010001101101100111000100110 2 -b110010001101101100111000100110 = -b10110010111110101101101010111001 < -b110010001101101100111000100110 : -b1111111001110111111001101101101 $ -b1111111001110111111001101101101 - -b1111111001110111111001101101101 5 -b1111111001110111111001101101101 ? -b1111111001110111111001101101101 D -b10110010111110101101101010111001 % -b10110010111110101101101010111001 . -b10110010111110101101101010111001 6 -b10110010111110101101101010111001 @ -b10110010111110101101101010111001 F -b110010001110101101001000101001 ) -#314112000 -0& -#314128000 -b1001100101100 , -#314144000 -1& -#314160000 -b100101111100011100000001000000 " -b100101111100011100000001000000 4 -b100101111100011100000001000000 1 -b100101111100011100000001000000 C -b11110001110100101111001100000000 0 -b11110001110100101111001100000000 H -b10101111010011011100000111011 2 -b10101111010011011100000111011 = -b1100101111101111110010101001000 < -b10101111010011011100000111011 : -b10101111111100011101001011110011 $ -b10101111111100011101001011110011 - -b10101111111100011101001011110011 5 -b10101111111100011101001011110011 ? -b10101111111100011101001011110011 D -b1100101111101111110010101001000 % -b1100101111101111110010101001000 . -b1100101111101111110010101001000 6 -b1100101111101111110010101001000 @ -b1100101111101111110010101001000 F -b100101111100011100000001000000 ) -#314176000 -0& -#314192000 -b1001100101101 , -#314208000 -1& -#314224000 -b11111001101000010000000000100001 " -b11111001101000010000000000100001 4 -b11111001101000010000000000100001 1 -b11111001101000010000000000100001 C -b11111101001000011111010101100000 0 -b11111101001000011111010101100000 H -18 -b11111001100100001011000000010000 2 -b11111001100100001011000000010000 = -b11111001101001111010000001100101 < -b11111001100100001011000000010000 : -b11111111111010010000111110101011 $ -b11111111111010010000111110101011 - -b11111111111010010000111110101011 5 -b11111111111010010000111110101011 ? -b11111111111010010000111110101011 D -b11111001101001111010000001100101 % -b11111001101001111010000001100101 . -b11111001101001111010000001100101 6 -b11111001101001111010000001100101 @ -b11111001101001111010000001100101 F -b11111001101000010000000000100001 ) -#314240000 -0& -#314256000 -b1001100101110 , -#314272000 -1& -#314288000 -b1011111001001110001000000100000 " -b1011111001001110001000000100000 4 -b1011111001001110001000000100000 1 -b1011111001001110001000000100000 C -b11001011110001001110101000000000 0 -b11001011110001001110101000000000 H -08 -b1011111000101100110011111001110 2 -b1011111000101100110011111001110 = -b11111111111001110101010000100110 < -b1011111000101100110011111001110 : -b1011111001011110001001110101000 $ -b1011111001011110001001110101000 - -b1011111001011110001001110101000 5 -b1011111001011110001001110101000 ? -b1011111001011110001001110101000 D -b11111111111001110101010000100110 % -b11111111111001110101010000100110 . -b11111111111001110101010000100110 6 -b11111111111001110101010000100110 @ -b11111111111001110101010000100110 F -b1011111001001110001000000100000 ) -#314304000 -0& -#314320000 -b1001100101111 , -#314336000 -1& -#314352000 -b1000100010010101100010100001000 " -b1000100010010101100010100001000 4 -b1000100010010101100010100001000 1 -b1000100010010101100010100001000 C -b1111110110001010011101000000000 0 -b1111110110001010011101000000000 H -b1000100010010101000101010000010 2 -b1000100010010101000101010000010 = -b1101101110010111100010101001000 < -b1000100010010101000101010000010 : -b11010110011111101100010100111010 $ -b11010110011111101100010100111010 - -b11010110011111101100010100111010 5 -b11010110011111101100010100111010 ? -b11010110011111101100010100111010 D -b1101101110010111100010101001000 % -b1101101110010111100010101001000 . -b1101101110010111100010101001000 6 -b1101101110010111100010101001000 @ -b1101101110010111100010101001000 F -b1000100010010101100010100001000 ) -#314368000 -0& -#314384000 -b1001100110000 , -#314400000 -1& -#314416000 -b1101110001101100000000000000000 " -b1101110001101100000000000000000 4 -b1101110001101100000000000000000 1 -b1101110001101100000000000000000 C -b11111000110110010000100001100100 0 -b11111000110110010000100001100100 H -b1101110001011010110001101011011 2 -b1101110001011010110001101011011 = -b11101111111101110010000101000010 < -b1101110001011010110001101011011 : -b1111110001101100100001000011001 $ -b1111110001101100100001000011001 - -b1111110001101100100001000011001 5 -b1111110001101100100001000011001 ? -b1111110001101100100001000011001 D -b11101111111101110010000101000010 % -b11101111111101110010000101000010 . -b11101111111101110010000101000010 6 -b11101111111101110010000101000010 @ -b11101111111101110010000101000010 F -b1101110001101100000000000000000 ) -#314432000 -0& -#314448000 -b1001100110001 , -#314464000 -1& -#314480000 -b111011001100100011000001000000 " -b111011001100100011000001000000 4 -b111011001100100011000001000000 1 -b111011001100100011000001000000 C -b1110110111110101000000000000000 0 -b1110110111110101000000000000000 H -18 -b11111011001100100011000000101001 2 -b11111011001100100011000000101001 = -b111111111101101011001011101001 < -b11111011001100100011000000101001 : -b10111011001110110111110101000000 $ -b10111011001110110111110101000000 - -b10111011001110110111110101000000 5 -b10111011001110110111110101000000 ? -b10111011001110110111110101000000 D -b111111111101101011001011101001 % -b111111111101101011001011101001 . -b111111111101101011001011101001 6 -b111111111101101011001011101001 @ -b111111111101101011001011101001 F -b111011001100100011000001000000 ) -#314496000 -0& -#314512000 -b1001100110010 , -#314528000 -1& -#314544000 -b11110111010001110001000000100000 " -b11110111010001110001000000100000 4 -b11110111010001110001000000100000 1 -b11110111010001110001000000100000 C -b111111110010000000000000000000 0 -b111111110010000000000000000000 H -b11110111010001110001000000010101 2 -b11110111010001110001000000010101 = -b11111111111001111111000000110001 < -b11110111010001110001000000010101 : -b11110111010111110001111111100100 $ -b11110111010111110001111111100100 - -b11110111010111110001111111100100 5 -b11110111010111110001111111100100 ? -b11110111010111110001111111100100 D -b11111111111001111111000000110001 % -b11111111111001111111000000110001 . -b11111111111001111111000000110001 6 -b11111111111001111111000000110001 @ -b11111111111001111111000000110001 F -b11110111010001110001000000100000 ) -#314560000 -0& -#314576000 -b1001100110011 , -#314592000 -1& -#314608000 -b1111000110011000000000000100100 " -b1111000110011000000000000100100 4 -b1111000110011000000000000100100 1 -b1111000110011000000000000100100 C -b0 0 -b0 H -08 -b1111000101010011110101100100010 2 -b1111000101010011110101100100010 = -b11111001110011010010101000111110 < -b1111000101010011110101100100010 : -b1111110110111001100000011100100 $ -b1111110110111001100000011100100 - -b1111110110111001100000011100100 5 -b1111110110111001100000011100100 ? -b1111110110111001100000011100100 D -b11111001110011010010101000111110 % -b11111001110011010010101000111110 . -b11111001110011010010101000111110 6 -b11111001110011010010101000111110 @ -b11111001110011010010101000111110 F -b1111000110011000000000000100100 ) -#314624000 -0& -#314640000 -b1001100110100 , -#314656000 -1& -#314672000 -b1101100111101111100110100000000 " -b1101100111101111100110100000000 4 -b1101100111101111100110100000000 1 -b1101100111101111100110100000000 C -b11011001111111111001101000001100 0 -b11011001111111111001101000001100 H -19 -08 -b11101010111101111011110010000111 2 -b11101010111101111011110010000111 = -b1111101111101111110111110000001 < -b11101010111101111011110010000111 : -b1101100111111111100110100000110 $ -b1101100111111111100110100000110 - -b1101100111111111100110100000110 5 -b1101100111111111100110100000110 ? -b1101100111111111100110100000110 D -b1111101111101111110111110000001 % -b1111101111101111110111110000001 . -b1111101111101111110111110000001 6 -b1111101111101111110111110000001 @ -b1111101111101111110111110000001 F -b1101100111101111100110100000000 ) -#314688000 -0& -#314704000 -b1001100110101 , -#314720000 -1& -#314736000 -b1011001111110001100000000010 " -b1011001111110001100000000010 4 -b1011001111110001100000000010 1 -b1011001111110001100000000010 C -b11011111111111011100010101010000 0 -b11011111111111011100010101010000 H -18 -09 -b11001011001111110001011110101101 2 -b11001011001111110001011110101101 = -b10101111001111110101111100000011 < -b11001011001111110001011110101101 : -b11011111111111011100010101010 $ -b11011111111111011100010101010 - -b11011111111111011100010101010 5 -b11011111111111011100010101010 ? -b11011111111111011100010101010 D -b10101111001111110101111100000011 % -b10101111001111110101111100000011 . -b10101111001111110101111100000011 6 -b10101111001111110101111100000011 @ -b10101111001111110101111100000011 F -b1011001111110001100000000010 ) -#314752000 -0& -#314768000 -b1001100110110 , -#314784000 -1& -#314800000 -b11011110011100110001000001010000 " -b11011110011100110001000001010000 4 -b11011110011100110001000001010000 1 -b11011110011100110001000001010000 C -b0 0 -b0 H -b10111110011100101001000001001111 2 -b10111110011100101001000001001111 = -b11011111011110110001111101111111 < -b10111110011100101001000001001111 : -b11011110111101110111000011010000 $ -b11011110111101110111000011010000 - -b11011110111101110111000011010000 5 -b11011110111101110111000011010000 ? -b11011110111101110111000011010000 D -b11011111011110110001111101111111 % -b11011111011110110001111101111111 . -b11011111011110110001111101111111 6 -b11011111011110110001111101111111 @ -b11011111011110110001111101111111 F -b11011110011100110001000001010000 ) -#314816000 -0& -#314832000 -b1001100110111 , -#314848000 -1& -#314864000 -b11010010010000000010010000001000 " -b11010010010000000010010000001000 4 -b11010010010000000010010000001000 1 -b11010010010000000010010000001000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b11001101110000000010001011000110 2 -b11001101110000000010001011000110 = -b11011011010011101011011000111100 < -b11001101110000000010001011000110 : -b11110010011100010110110010001010 $ -b11110010011100010110110010001010 - -b11110010011100010110110010001010 5 -b11110010011100010110110010001010 ? -b11110010011100010110110010001010 D -b11011011010011101011011000111100 % -b11011011010011101011011000111100 . -b11011011010011101011011000111100 6 -b11011011010011101011011000111100 @ -b11011011010011101011011000111100 F -b11010010010000000010010000001000 ) -#314880000 -0& -#314896000 -b1001100111000 , -#314912000 -1& -#314928000 -b1111010111001110000000000000000 " -b1111010111001110000000000000000 4 -b1111010111001110000000000000000 1 -b1111010111001110000000000000000 C -b1110010000001110001000000000000 0 -b1110010000001110001000000000000 H -08 -b1110110111001100110000101111101 2 -b1110110111001100110000101111101 = -b11111010111011110100000100001100 < -b1110110111001100110000101111101 : -b1111011111101110010000001110001 $ -b1111011111101110010000001110001 - -b1111011111101110010000001110001 5 -b1111011111101110010000001110001 ? -b1111011111101110010000001110001 D -b11111010111011110100000100001100 % -b11111010111011110100000100001100 . -b11111010111011110100000100001100 6 -b11111010111011110100000100001100 @ -b11111010111011110100000100001100 F -b1111010111001110000000000000000 ) -#314944000 -0& -#314960000 -b1001100111001 , -#314976000 -1& -#314992000 -b10010110110001010000000011010000 " -b10010110110001010000000011010000 4 -b10010110110001010000000011010000 1 -b10010110110001010000000011010000 C -b1111011110101000000000000000000 0 -b1111011110101000000000000000000 H -18 -b10010110110001001000000011000111 2 -b10010110110001001000000011000111 = -b10110111110001010110000111010010 < -b10010110110001001000000011000111 : -b11011110111111110001111011110101 $ -b11011110111111110001111011110101 - -b11011110111111110001111011110101 5 -b11011110111111110001111011110101 ? -b11011110111111110001111011110101 D -b10110111110001010110000111010010 % -b10110111110001010110000111010010 . -b10110111110001010110000111010010 6 -b10110111110001010110000111010010 @ -b10110111110001010110000111010010 F -b10010110110001010000000011010000 ) -#315008000 -0& -#315024000 -b1001100111010 , -#315040000 -1& -#315056000 -b100110010101010011110000010000 " -b100110010101010011110000010000 4 -b100110010101010011110000010000 1 -b100110010101010011110000010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b100110010101001011101111101111 2 -b100110010101001011101111101111 = -b11100110010111010111111100011110 < -b100110010101001011101111101111 : -b111111111101110011110011010001 $ -b111111111101110011110011010001 - -b111111111101110011110011010001 5 -b111111111101110011110011010001 ? -b111111111101110011110011010001 D -b11100110010111010111111100011110 % -b11100110010111010111111100011110 . -b11100110010111010111111100011110 6 -b11100110010111010111111100011110 @ -b11100110010111010111111100011110 F -b100110010101010011110000010000 ) -#315072000 -0& -#315088000 -b1001100111011 , -#315104000 -1& -#315120000 -b11101101101000000100110000100 " -b11101101101000000100110000100 4 -b11101101101000000100110000100 1 -b11101101101000000100110000100 C -b111001100000000000000000000000 0 -b111001100000000000000000000000 H -b11101101100010001100110000001 2 -b11101101100010001100110000001 = -b1111111111101010000111110110101 < -b11101101100010001100110000001 : -b10011101101111000000100111001100 $ -b10011101101111000000100111001100 - -b10011101101111000000100111001100 5 -b10011101101111000000100111001100 ? -b10011101101111000000100111001100 D -b1111111111101010000111110110101 % -b1111111111101010000111110110101 . -b1111111111101010000111110110101 6 -b1111111111101010000111110110101 @ -b1111111111101010000111110110101 F -b11101101101000000100110000100 ) -#315136000 -0& -#315152000 -b1001100111100 , -#315168000 -1& -#315184000 -b1111101111001101100000101000010 " -b1111101111001101100000101000010 4 -b1111101111001101100000101000010 1 -b1111101111001101100000101000010 C -b1010010110000000000000000000000 0 -b1010010110000000000000000000000 H -b1111101110101101010111010100001 2 -b1111101110101101010111010100001 = -b11111111111001111110100101010110 < -b1111101110101101010111010100001 : -b1111101111011101100010101001011 $ -b1111101111011101100010101001011 - -b1111101111011101100010101001011 5 -b1111101111011101100010101001011 ? -b1111101111011101100010101001011 D -b11111111111001111110100101010110 % -b11111111111001111110100101010110 . -b11111111111001111110100101010110 6 -b11111111111001111110100101010110 @ -b11111111111001111110100101010110 F -b1111101111001101100000101000010 ) -#315200000 -0& -#315216000 -b1001100111101 , -#315232000 -1& -#315248000 -b1111101011110110110000110000101 " -b1111101011110110110000110000101 4 -b1111101011110110110000110000101 1 -b1111101011110110110000110000101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -19 -08 -b11111101011101110110000101100010 2 -b11111101011101110110000101100010 = -b1111101111110110110100111011101 < -b11111101011101110110000101100010 : -b1111111011110111111011110000101 $ -b1111111011110111111011110000101 - -b1111111011110111111011110000101 5 -b1111111011110111111011110000101 ? -b1111111011110111111011110000101 D -b1111101111110110110100111011101 % -b1111101111110110110100111011101 . -b1111101111110110110100111011101 6 -b1111101111110110110100111011101 @ -b1111101111110110110100111011101 F -b1111101011110110110000110000101 ) -#315264000 -0& -#315280000 -b1001100111110 , -#315296000 -1& -#315312000 -b1111110011110010000010001000000 " -b1111110011110010000010001000000 4 -b1111110011110010000010001000000 1 -b1111110011110010000010001000000 C -b1111110011110110101011101011110 0 -b1111110011110110101011101011110 H -09 -08 -b1111110011110000111101110111110 2 -b1111110011110000111101110111110 = -b11111111111111010010010001100000 < -b1111110011110000111101110111110 : -b1111110011110110101011101011110 $ -b1111110011110110101011101011110 - -b1111110011110110101011101011110 5 -b1111110011110110101011101011110 ? -b1111110011110110101011101011110 D -b11111111111111010010010001100000 % -b11111111111111010010010001100000 . -b11111111111111010010010001100000 6 -b11111111111111010010010001100000 @ -b11111111111111010010010001100000 F -b1111110011110010000010001000000 ) -#315328000 -0& -#315344000 -b1001100111111 , -#315360000 -1& -#315376000 -b1001110011111010000000010110 " -b1001110011111010000000010110 4 -b1001110011111010000000010110 1 -b1001110011111010000000010110 C -b10001111000000000000000000000000 0 -b10001111000000000000000000000000 H -b1001110011111001101000010101 2 -b1001110011111001101000010101 = -b11101011110011111111100011110111 < -b1001110011111001101000010101 : -b11101111111111010000100011110 $ -b11101111111111010000100011110 - -b11101111111111010000100011110 5 -b11101111111111010000100011110 ? -b11101111111111010000100011110 D -b11101011110011111111100011110111 % -b11101011110011111111100011110111 . -b11101011110011111111100011110111 6 -b11101011110011111111100011110111 @ -b11101011110011111111100011110111 F -b1001110011111010000000010110 ) -#315392000 -0& -#315408000 -b1001101000000 , -#315424000 -1& -#315440000 -b111001111101111010000000000000 " -b111001111101111010000000000000 4 -b111001111101111010000000000000 1 -b111001111101111010000000000000 C -b11101111010000000100100000000000 0 -b11101111010000000100100000000000 H -18 -b11111001111101110100110011101101 2 -b11111001111101110100110011101101 = -b10111001111111111010110011001001 < -b11111001111101110100110011101101 : -b111111111101111010000000100100 $ -b111111111101111010000000100100 - -b111111111101111010000000100100 5 -b111111111101111010000000100100 ? -b111111111101111010000000100100 D -b10111001111111111010110011001001 % -b10111001111111111010110011001001 . -b10111001111111111010110011001001 6 -b10111001111111111010110011001001 @ -b10111001111111111010110011001001 F -b111001111101111010000000000000 ) -#315456000 -0& -#315472000 -b1001101000001 , -#315488000 -1& -#315504000 -b1101000011010110010100000000000 " -b1101000011010110010100000000000 4 -b1101000011010110010100000000000 1 -b1101000011010110010100000000000 C -b10111001010010010000000000000000 0 -b10111001010010010000000000000000 H -08 -b1100111011010110010011111011001 2 -b1100111011010110010011111011001 = -b1111110111111110110111010010000 < -b1100111011010110010011111011001 : -b11101000011010111011100101001001 $ -b11101000011010111011100101001001 - -b11101000011010111011100101001001 5 -b11101000011010111011100101001001 ? -b11101000011010111011100101001001 D -b1111110111111110110111010010000 % -b1111110111111110110111010010000 . -b1111110111111110110111010010000 6 -b1111110111111110110111010010000 @ -b1111110111111110110111010010000 F -b1101000011010110010100000000000 ) -#315520000 -0& -#315536000 -b1001101000010 , -#315552000 -1& -#315568000 -b110111010000111000001010000011 " -b110111010000111000001010000011 4 -b110111010000111000001010000011 1 -b110111010000111000001010000011 C -b11100100101000001100000000000 0 -b11100100101000001100000000000 H -b110111010000110101101001101110 2 -b110111010000110101101001101110 = -b110111011111111100011111101011 < -b110111010000110101101001101110 : -b11111111110000111001001010000011 $ -b11111111110000111001001010000011 - -b11111111110000111001001010000011 5 -b11111111110000111001001010000011 ? -b11111111110000111001001010000011 D -b110111011111111100011111101011 % -b110111011111111100011111101011 . -b110111011111111100011111101011 6 -b110111011111111100011111101011 @ -b110111011111111100011111101011 F -b110111010000111000001010000011 ) -#315584000 -0& -#315600000 -b1001101000011 , -#315616000 -1& -#315632000 -b100010100001010010000110000000 " -b100010100001010010000110000000 4 -b100010100001010010000110000000 1 -b100010100001010010000110000000 C -b11010101101110110111110011000000 0 -b11010101101110110111110011000000 H -18 -b11100001011111010010000101011011 2 -b11100001011111010010000101011011 = -b10100110110001011011000111000011 < -b11100001011111010010000101011011 : -b111010101101110110111110011000 $ -b111010101101110110111110011000 - -b111010101101110110111110011000 5 -b111010101101110110111110011000 ? -b111010101101110110111110011000 D -b10100110110001011011000111000011 % -b10100110110001011011000111000011 . -b10100110110001011011000111000011 6 -b10100110110001011011000111000011 @ -b10100110110001011011000111000011 F -b100010100001010010000110000000 ) -#315648000 -0& -#315664000 -b1001101000100 , -#315680000 -1& -#315696000 -b10001100100111011000000000011000 " -b10001100100111011000000000011000 4 -b10001100100111011000000000011000 1 -b10001100100111011000000000011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b10001100100111010111111010010111 2 -b10001100100111010111111010010111 = -b11011110110111111110010000011110 < -b10001100100111010111111010010111 : -b10101101101111011001101001111001 $ -b10101101101111011001101001111001 - -b10101101101111011001101001111001 5 -b10101101101111011001101001111001 ? -b10101101101111011001101001111001 D -b11011110110111111110010000011110 % -b11011110110111111110010000011110 . -b11011110110111111110010000011110 6 -b11011110110111111110010000011110 @ -b11011110110111111110010000011110 F -b10001100100111011000000000011000 ) -#315712000 -0& -#315728000 -b1001101000101 , -#315744000 -1& -#315760000 -b10101011101011000010000000000101 " -b10101011101011000010000000000101 4 -b10101011101011000010000000000101 1 -b10101011101011000010000000000101 C -b1000110100000000000000000000000 0 -b1000110100000000000000000000000 H -b10101011100111000001100000000100 2 -b10101011100111000001100000000100 = -b11101011101011101011011101110111 < -b10101011100111000001100000000100 : -b10111111111011010110000010001101 $ -b10111111111011010110000010001101 - -b10111111111011010110000010001101 5 -b10111111111011010110000010001101 ? -b10111111111011010110000010001101 D -b11101011101011101011011101110111 % -b11101011101011101011011101110111 . -b11101011101011101011011101110111 6 -b11101011101011101011011101110111 @ -b11101011101011101011011101110111 F -b10101011101011000010000000000101 ) -#315776000 -0& -#315792000 -b1001101000110 , -#315808000 -1& -#315824000 -b1011001010111110000000001000000 " -b1011001010111110000000001000000 4 -b1011001010111110000000001000000 1 -b1011001010111110000000001000000 C -b1000000011000110000000000000000 0 -b1000000011000110000000000000000 H -08 -19 -b11010011010111100111011110110011 2 -b11010011010111100111011110110011 = -b1111001110111110011011101010000 < -b11010011010111100111011110110011 : -b1011001011111110100000001100011 $ -b1011001011111110100000001100011 - -b1011001011111110100000001100011 5 -b1011001011111110100000001100011 ? -b1011001011111110100000001100011 D -b1111001110111110011011101010000 % -b1111001110111110011011101010000 . -b1111001110111110011011101010000 6 -b1111001110111110011011101010000 @ -b1111001110111110011011101010000 F -b1011001010111110000000001000000 ) -#315840000 -0& -#315856000 -b1001101000111 , -#315872000 -1& -#315888000 -b1110111101110111000000000010100 " -b1110111101110111000000000010100 4 -b1110111101110111000000000010100 1 -b1110111101110111000000000010100 C -b101011010100000000000000000000 0 -b101011010100000000000000000000 H -09 -08 -b1101111101110110111101011001001 2 -b1101111101110110111101011001001 = -b1110111111111111011100000010100 < -b1101111101110110111101011001001 : -b11110111101110111100001010110101 $ -b11110111101110111100001010110101 - -b11110111101110111100001010110101 5 -b11110111101110111100001010110101 ? -b11110111101110111100001010110101 D -b1110111111111111011100000010100 % -b1110111111111111011100000010100 . -b1110111111111111011100000010100 6 -b1110111111111111011100000010100 @ -b1110111111111111011100000010100 F -b1110111101110111000000000010100 ) -#315904000 -0& -#315920000 -b1001101001000 , -#315936000 -1& -#315952000 -b111110110011100001000100101100 " -b111110110011100001000100101100 4 -b111110110011100001000100101100 1 -b111110110011100001000100101100 C -b11110110001111111000000000000000 0 -b11110110001111111000000000000000 H -19 -08 -b10111110110011011101000100101001 2 -b10111110110011011101000100101001 = -b111110110011100001111100101101 < -b10111110110011011101000100101001 : -b1111111111111111011000111111100 $ -b1111111111111111011000111111100 - -b1111111111111111011000111111100 5 -b1111111111111111011000111111100 ? -b1111111111111111011000111111100 D -b111110110011100001111100101101 % -b111110110011100001111100101101 . -b111110110011100001111100101101 6 -b111110110011100001111100101101 @ -b111110110011100001111100101101 F -b111110110011100001000100101100 ) -#315968000 -0& -#315984000 -b1001101001001 , -#316000000 -1& -#316016000 -b1000110100101111000000101100010 " -b1000110100101111000000101100010 4 -b1000110100101111000000101100010 1 -b1000110100101111000000101100010 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -09 -08 -b111110100101110101110101011101 2 -b111110100101110101110101011101 = -b1100110101101111101101101111011 < -b111110100101110101110101011101 : -b11010111110111111000000111100010 $ -b11010111110111111000000111100010 - -b11010111110111111000000111100010 5 -b11010111110111111000000111100010 ? -b11010111110111111000000111100010 D -b1100110101101111101101101111011 % -b1100110101101111101101101111011 . -b1100110101101111101101101111011 6 -b1100110101101111101101101111011 @ -b1100110101101111101101101111011 F -b1000110100101111000000101100010 ) -#316032000 -0& -#316048000 -b1001101001010 , -#316064000 -1& -#316080000 -b1011101100100000100001001010 " -b1011101100100000100001001010 4 -b1011101100100000100001001010 1 -b1011101100100000100001001010 C -b1101100001011010000000000000000 0 -b1101100001011010000000000000000 H -18 -b11101011101100010000010001001001 2 -b11101011101100010000010001001001 = -b1001111101100100010101111101111 < -b11101011101100010000010001001001 : -b10011011111111101101100001011010 $ -b10011011111111101101100001011010 - -b10011011111111101101100001011010 5 -b10011011111111101101100001011010 ? -b10011011111111101101100001011010 D -b1001111101100100010101111101111 % -b1001111101100100010101111101111 . -b1001111101100100010101111101111 6 -b1001111101100100010101111101111 @ -b1001111101100100010101111101111 F -b1011101100100000100001001010 ) -#316096000 -0& -#316112000 -b1001101001011 , -#316128000 -1& -#316144000 -b1010111101111010100000000000101 " -b1010111101111010100000000000101 4 -b1010111101111010100000000000101 1 -b1010111101111010100000000000101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -08 -19 -b11010111101111010011110000000010 2 -b11010111101111010011110000000010 = -b1010111111111111100100011111101 < -b11010111101111010011110000000010 : -b1111111101111010111001100000101 $ -b1111111101111010111001100000101 - -b1111111101111010111001100000101 5 -b1111111101111010111001100000101 ? -b1111111101111010111001100000101 D -b1010111111111111100100011111101 % -b1010111111111111100100011111101 . -b1010111111111111100100011111101 6 -b1010111111111111100100011111101 @ -b1010111111111111100100011111101 F -b1010111101111010100000000000101 ) -#316160000 -0& -#316176000 -b1001101001100 , -#316192000 -1& -#316208000 -b1100101011011010000001000000100 " -b1100101011011010000001000000100 4 -b1100101011011010000001000000100 1 -b1100101011011010000001000000100 C -b1110110111100001010100001000000 0 -b1110110111100001010100001000000 H -09 -08 -b1011101011011001000110011001000 2 -b1011101011011001000110011001000 = -b11110101111111011000001001000100 < -b1011101011011001000110011001000 : -b1100111011011110000101010000100 $ -b1100111011011110000101010000100 - -b1100111011011110000101010000100 5 -b1100111011011110000101010000100 ? -b1100111011011110000101010000100 D -b11110101111111011000001001000100 % -b11110101111111011000001001000100 . -b11110101111111011000001001000100 6 -b11110101111111011000001001000100 @ -b11110101111111011000001001000100 F -b1100101011011010000001000000100 ) -#316224000 -0& -#316240000 -b1001101001101 , -#316256000 -1& -#316272000 -b1011000110010110000000010000011 " -b1011000110010110000000010000011 4 -b1011000110010110000000010000011 1 -b1011000110010110000000010000011 C -b11000110011110101001110001111000 0 -b11000110011110101001110001111000 H -19 -08 -b11010111101010101101110001010010 2 -b11010111101010101101110001010010 = -b1011110110110111000100011000011 < -b11010111101010101101110001010010 : -b1111000110011110101001110001111 $ -b1111000110011110101001110001111 - -b1111000110011110101001110001111 5 -b1111000110011110101001110001111 ? -b1111000110011110101001110001111 D -b1011110110110111000100011000011 % -b1011110110110111000100011000011 . -b1011110110110111000100011000011 6 -b1011110110110111000100011000011 @ -b1011110110110111000100011000011 F -b1011000110010110000000010000011 ) -#316288000 -0& -#316304000 -b1001101001110 , -#316320000 -1& -#316336000 -b1011110011111110100001101010000 " -b1011110011111110100001101010000 4 -b1011110011111110100001101010000 1 -b1011110011111110100001101010000 C -b111101101100000000000000000000 0 -b111101101100000000000000000000 H -09 -08 -b1011110011111110001011100101111 2 -b1011110011111110001011100101111 = -b1011111011111111101001101010100 < -b1011110011111110001011100101111 : -b11111110111111110100001111011011 $ -b11111110111111110100001111011011 - -b11111110111111110100001111011011 5 -b11111110111111110100001111011011 ? -b11111110111111110100001111011011 D -b1011111011111111101001101010100 % -b1011111011111111101001101010100 . -b1011111011111111101001101010100 6 -b1011111011111111101001101010100 @ -b1011111011111111101001101010100 F -b1011110011111110100001101010000 ) -#316352000 -0& -#316368000 -b1001101001111 , -#316384000 -1& -#316400000 -b1010000100101111001010000000000 " -b1010000100101111001010000000000 4 -b1010000100101111001010000000000 1 -b1010000100101111001010000000000 C -b1001010101100000000000000000000 0 -b1001010101100000000000000000000 H -19 -08 -b11001100100101110111001011111111 2 -b11001100100101110111001011111111 = -b1110000110111111101111001010100 < -b11001100100101110111001011111111 : -b1011011101101111001010010101011 $ -b1011011101101111001010010101011 - -b1011011101101111001010010101011 5 -b1011011101101111001010010101011 ? -b1011011101101111001010010101011 D -b1110000110111111101111001010100 % -b1110000110111111101111001010100 . -b1110000110111111101111001010100 6 -b1110000110111111101111001010100 @ -b1110000110111111101111001010100 F -b1010000100101111001010000000000 ) -#316416000 -0& -#316432000 -b1001101010000 , -#316448000 -1& -#316464000 -b1011001101110110010101000001000 " -b1011001101110110010101000001000 4 -b1011001101110110010101000001000 1 -b1011001101110110010101000001000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -b11011001101110110010011000000011 2 -b11011001101110110010011000000011 = -b1111011101111110110101111011010 < -b11011001101110110010011000000011 : -b1011101111110111011101000101001 $ -b1011101111110111011101000101001 - -b1011101111110111011101000101001 5 -b1011101111110111011101000101001 ? -b1011101111110111011101000101001 D -b1111011101111110110101111011010 % -b1111011101111110110101111011010 . -b1111011101111110110101111011010 6 -b1111011101111110110101111011010 @ -b1111011101111110110101111011010 F -b1011001101110110010101000001000 ) -#316480000 -0& -#316496000 -b1001101010001 , -#316512000 -1& -#316528000 -b1010110101111010001010011010001 " -b1010110101111010001010011010001 4 -b1010110101111010001010011010001 1 -b1010110101111010001010011010001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -09 -08 -b1001101011111001001010011001110 2 -b1001101011111001001010011001110 = -b1010110101111110001011011111101 < -b1001101011111001001010011001110 : -b11110110101111010111110111010001 $ -b11110110101111010111110111010001 - -b11110110101111010111110111010001 5 -b11110110101111010111110111010001 ? -b11110110101111010111110111010001 D -b1010110101111110001011011111101 % -b1010110101111110001011011111101 . -b1010110101111110001011011111101 6 -b1010110101111110001011011111101 @ -b1010110101111110001011011111101 F -b1010110101111010001010011010001 ) -#316544000 -0& -#316560000 -b1001101010010 , -#316576000 -1& -#316592000 -b111011011111001010000000000000 " -b111011011111001010000000000000 4 -b111011011111001010000000000000 1 -b111011011111001010000000000000 C -b11001111010010010010000000000000 0 -b11001111010010010010000000000000 H -18 -b11111011011111001001011010011110 2 -b11111011011111001001011010011110 = -b10111011111111111010001000001100 < -b11111011011111001001011010011110 : -b111111011111001111010010010010 $ -b111111011111001111010010010010 - -b111111011111001111010010010010 5 -b111111011111001111010010010010 ? -b111111011111001111010010010010 D -b10111011111111111010001000001100 % -b10111011111111111010001000001100 . -b10111011111111111010001000001100 6 -b10111011111111111010001000001100 @ -b10111011111111111010001000001100 F -b111011011111001010000000000000 ) -#316608000 -0& -#316624000 -b1001101010011 , -#316640000 -1& -#316656000 -b10001111001111110000100010000001 " -b10001111001111110000100010000001 4 -b10001111001111110000100010000001 1 -b10001111001111110000100010000001 C -b11111001111111001100010110001000 0 -b11111001111111001100010110001000 H -b10001110101111101010011001110100 2 -b10001110101111101010011001110100 = -b10101111011111110000110111000011 < -b10001110101111101010011001110100 : -b11011111001111111001100010110001 $ -b11011111001111111001100010110001 - -b11011111001111111001100010110001 5 -b11011111001111111001100010110001 ? -b11011111001111111001100010110001 D -b10101111011111110000110111000011 % -b10101111011111110000110111000011 . -b10101111011111110000110111000011 6 -b10101111011111110000110111000011 @ -b10101111011111110000110111000011 F -b10001111001111110000100010000001 ) -#316672000 -0& -#316688000 -b1001101010100 , -#316704000 -1& -#316720000 -b10000110111100010100000001101011 " -b10000110111100010100000001101011 4 -b10000110111100010100000001101011 1 -b10000110111100010100000001101011 C -b11011110000001111111100000000000 0 -b11011110000001111111100000000000 H -b10000110111011010001011001101010 2 -b10000110111011010001011001101010 = -b11000111111100010101010101101011 < -b10000110111011010001011001101010 : -b10111110111110111100000011111111 $ -b10111110111110111100000011111111 - -b10111110111110111100000011111111 5 -b10111110111110111100000011111111 ? -b10111110111110111100000011111111 D -b11000111111100010101010101101011 % -b11000111111100010101010101101011 . -b11000111111100010101010101101011 6 -b11000111111100010101010101101011 @ -b11000111111100010101010101101011 F -b10000110111100010100000001101011 ) -#316736000 -0& -#316752000 -b1001101010101 , -#316768000 -1& -#316784000 -b1011000111010110000000000111000 " -b1011000111010110000000000111000 4 -b1011000111010110000000000111000 1 -b1011000111010110000000000111000 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -08 -19 -b11011000111010101110110111110110 2 -b11011000111010101110110111110110 = -b1111110111111111100110110111010 < -b11011000111010101110110111110110 : -b1011001111010110010000000111100 $ -b1011001111010110010000000111100 - -b1011001111010110010000000111100 5 -b1011001111010110010000000111100 ? -b1011001111010110010000000111100 D -b1111110111111111100110110111010 % -b1111110111111111100110110111010 . -b1111110111111111100110110111010 6 -b1111110111111111100110110111010 @ -b1111110111111111100110110111010 F -b1011000111010110000000000111000 ) -#316800000 -0& -#316816000 -b1001101010110 , -#316832000 -1& -#316848000 -b1011011000001111000010100000001 " -b1011011000001111000010100000001 4 -b1011011000001111000010100000001 1 -b1011011000001111000010100000001 C -b11111111101101100110000000000000 0 -b11111111101101100110000000000000 H -b11011010011001111000010100000000 2 -b11011010011001111000010100000000 = -b1011011010111111000011101001101 < -b11011010011001111000010100000000 : -b1111111000001111111110110110011 $ -b1111111000001111111110110110011 - -b1111111000001111111110110110011 5 -b1111111000001111111110110110011 ? -b1111111000001111111110110110011 D -b1011011010111111000011101001101 % -b1011011010111111000011101001101 . -b1011011010111111000011101001101 6 -b1011011010111111000011101001101 @ -b1011011010111111000011101001101 F -b1011011000001111000010100000001 ) -#316864000 -0& -#316880000 -b1001101010111 , -#316896000 -1& -#316912000 -b1111001101001100110010010111000 " -b1111001101001100110010010111000 4 -b1111001101001100110010010111000 1 -b1111001101001100110010010111000 C -b0 0 -b0 H -09 -08 -b1111001100111100101101001110110 2 -b1111001100111100101101001110110 = -b1111011101001100110010010111110 < -b1111001100111100101101001110110 : -b11111101111101111111010110111000 $ -b11111101111101111111010110111000 - -b11111101111101111111010110111000 5 -b11111101111101111111010110111000 ? -b11111101111101111111010110111000 D -b1111011101001100110010010111110 % -b1111011101001100110010010111110 . -b1111011101001100110010010111110 6 -b1111011101001100110010010111110 @ -b1111011101001100110010010111110 F -b1111001101001100110010010111000 ) -#316928000 -0& -#316944000 -b1001101011000 , -#316960000 -1& -#316976000 -b1111011110000110001110000010000 " -b1111011110000110001110000010000 4 -b1111011110000110001110000010000 1 -b1111011110000110001110000010000 C -b11101101110110000000000000000 0 -b11101101110110000000000000000 H -19 -08 -b11111011101111101111110000001011 2 -b11111011101111101111110000001011 = -b1111111110110111101111001010000 < -b11111011101111101111110000001011 : -b1111011111000110001110110111011 $ -b1111011111000110001110110111011 - -b1111011111000110001110110111011 5 -b1111011111000110001110110111011 ? -b1111011111000110001110110111011 D -b1111111110110111101111001010000 % -b1111111110110111101111001010000 . -b1111111110110111101111001010000 6 -b1111111110110111101111001010000 @ -b1111111110110111101111001010000 F -b1111011110000110001110000010000 ) -#316992000 -0& -#317008000 -b1001101011001 , -#317024000 -1& -#317040000 -b10010000110100000000011000000001 " -b10010000110100000000011000000001 4 -b10010000110100000000011000000001 1 -b10010000110100000000011000000001 C -b101000000000000000000000000000 0 -b101000000000000000000000000000 H -18 -b110000110100000000010111100000 2 -b110000110100000000010111100000 = -b10010101111101111000011010011011 < -b110000110100000000010111100000 : -b10011010110110000111111101000101 $ -b10011010110110000111111101000101 - -b10011010110110000111111101000101 5 -b10011010110110000111111101000101 ? -b10011010110110000111111101000101 D -b10010101111101111000011010011011 % -b10010101111101111000011010011011 . -b10010101111101111000011010011011 6 -b10010101111101111000011010011011 @ -b10010101111101111000011010011011 F -b10010000110100000000011000000001 ) -#317056000 -0& -#317072000 -b1001101011010 , -#317088000 -1& -#317104000 -b100010101110010000100111000000 " -b100010101110010000100111000000 4 -b100010101110010000100111000000 1 -b100010101110010000100111000000 C -b10110111000100000000000000000000 0 -b10110111000100000000000000000000 H -08 -09 -b100010101101100011011110010110 2 -b100010101101100011011110010110 = -b11101010111110010000100111010010 < -b100010101101100011011110010110 : -b110111101111010010110111000100 $ -b110111101111010010110111000100 - -b110111101111010010110111000100 5 -b110111101111010010110111000100 ? -b110111101111010010110111000100 D -b11101010111110010000100111010010 % -b11101010111110010000100111010010 . -b11101010111110010000100111010010 6 -b11101010111110010000100111010010 @ -b11101010111110010000100111010010 F -b100010101110010000100111000000 ) -#317120000 -0& -#317136000 -b1001101011011 , -#317152000 -1& -#317168000 -b11000101000100100000000010010100 " -b11000101000100100000000010010100 4 -b11000101000100100000000010010100 1 -b11000101000100100000000010010100 C -b1010011110000000000000000000000 0 -b1010011110000000000000000000000 H -18 -b11000100110100011001010000110011 2 -b11000100110100011001010000110011 = -b11100101100110100000000110010101 < -b11000100110100011001010000110011 : -b11011111001101111001001010011110 $ -b11011111001101111001001010011110 - -b11011111001101111001001010011110 5 -b11011111001101111001001010011110 ? -b11011111001101111001001010011110 D -b11100101100110100000000110010101 % -b11100101100110100000000110010101 . -b11100101100110100000000110010101 6 -b11100101100110100000000110010101 @ -b11100101100110100000000110010101 F -b11000101000100100000000010010100 ) -#317184000 -0& -#317200000 -b1001101011100 , -#317216000 -1& -#317232000 -b10111001111000100100010000000 " -b10111001111000100100010000000 4 -b10111001111000100100010000000 1 -b10111001111000100100010000000 C -b11100111110110011001000000000000 0 -b11100111110110011001000000000000 H -08 -b10111001111000011010101100101 2 -b10111001111000011010101100101 = -b11110111111111010110100011100101 < -b10111001111000011010101100101 : -b11111001111101100110010000000 $ -b11111001111101100110010000000 - -b11111001111101100110010000000 5 -b11111001111101100110010000000 ? -b11111001111101100110010000000 D -b11110111111111010110100011100101 % -b11110111111111010110100011100101 . -b11110111111111010110100011100101 6 -b11110111111111010110100011100101 @ -b11110111111111010110100011100101 F -b10111001111000100100010000000 ) -#317248000 -0& -#317264000 -b1001101011101 , -#317280000 -1& -#317296000 -b111011010000010100100000011001 " -b111011010000010100100000011001 4 -b111011010000010100100000011001 1 -b111011010000010100100000011001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -b111010101111101100011100011000 2 -b111010101111101100011100011000 = -b111011011100010111111010111011 < -b111010101111101100011100011000 : -b11111111010011010100100001011101 $ -b11111111010011010100100001011101 - -b11111111010011010100100001011101 5 -b11111111010011010100100001011101 ? -b11111111010011010100100001011101 D -b111011011100010111111010111011 % -b111011011100010111111010111011 . -b111011011100010111111010111011 6 -b111011011100010111111010111011 @ -b111011011100010111111010111011 F -b111011010000010100100000011001 ) -#317312000 -0& -#317328000 -b1001101011110 , -#317344000 -1& -#317360000 -b1111110110101110000000010100000 " -b1111110110101110000000010100000 4 -b1111110110101110000000010100000 1 -b1111110110101110000000010100000 C -b10100011000000000000000000000000 0 -b10100011000000000000000000000000 H -b1111110110101110000000001011011 2 -b1111110110101110000000001011011 = -b11111110111111110110111010111000 < -b1111110110101110000000001011011 : -b1111111110101111001000110100011 $ -b1111111110101111001000110100011 - -b1111111110101111001000110100011 5 -b1111111110101111001000110100011 ? -b1111111110101111001000110100011 D -b11111110111111110110111010111000 % -b11111110111111110110111010111000 . -b11111110111111110110111010111000 6 -b11111110111111110110111010111000 @ -b11111110111111110110111010111000 F -b1111110110101110000000010100000 ) -#317376000 -0& -#317392000 -b1001101011111 , -#317408000 -1& -#317424000 -b111100011101110100011000100000 " -b111100011101110100011000100000 4 -b111100011101110100011000100000 1 -b111100011101110100011000100000 C -b111111011111110110011101100100 0 -b111111011111110110011101100100 H -b111100011101101011010110000100 2 -b111100011101101011010110000100 = -b11111100111101110100111000100000 < -b111100011101101011010110000100 : -b111111011111110110011101100100 $ -b111111011111110110011101100100 - -b111111011111110110011101100100 5 -b111111011111110110011101100100 ? -b111111011111110110011101100100 D -b11111100111101110100111000100000 % -b11111100111101110100111000100000 . -b11111100111101110100111000100000 6 -b11111100111101110100111000100000 @ -b11111100111101110100111000100000 F -b111100011101110100011000100000 ) -#317440000 -0& -#317456000 -b1001101100000 , -#317472000 -1& -#317488000 -b1010100100101110001000011010000 " -b1010100100101110001000011010000 4 -b1010100100101110001000011010000 1 -b1010100100101110001000011010000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -19 -08 -b11010100011011101101000011001101 2 -b11010100011011101101000011001101 = -b1110101110101111001011111011100 < -b11010100011011101101000011001101 : -b1011110100101110011100011110001 $ -b1011110100101110011100011110001 - -b1011110100101110011100011110001 5 -b1011110100101110011100011110001 ? -b1011110100101110011100011110001 D -b1110101110101111001011111011100 % -b1110101110101111001011111011100 . -b1110101110101111001011111011100 6 -b1110101110101111001011111011100 @ -b1110101110101111001011111011100 F -b1010100100101110001000011010000 ) -#317504000 -0& -#317520000 -b1001101100001 , -#317536000 -1& -#317552000 -b1010011111100000100000000101010 " -b1010011111100000100000000101010 4 -b1010011111100000100000000101010 1 -b1010011111100000100000000101010 C -b10111100000000000000000000000000 0 -b10111100000000000000000000000000 H -b11010011111011111011101000101001 2 -b11010011111011111011101000101001 = -b1111011111101110110000110111010 < -b11010011111011111011101000101001 : -b1010111111110000101100001101111 $ -b1010111111110000101100001101111 - -b1010111111110000101100001101111 5 -b1010111111110000101100001101111 ? -b1010111111110000101100001101111 D -b1111011111101110110000110111010 % -b1111011111101110110000110111010 . -b1111011111101110110000110111010 6 -b1111011111101110110000110111010 @ -b1111011111101110110000110111010 F -b1010011111100000100000000101010 ) -#317568000 -0& -#317584000 -b1001101100010 , -#317600000 -1& -#317616000 -b1010101000000110000001000010001 " -b1010101000000110000001000010001 4 -b1010101000000110000001000010001 1 -b1010101000000110000001000010001 C -b10111100010000000000000000000 0 -b10111100010000000000000000000 H -09 -08 -b1001100111100101100111000000100 2 -b1001100111100101100111000000100 = -b11010111110001110100101100010011 < -b1001100111100101100111000000100 : -b1110101001010111000001011110001 $ -b1110101001010111000001011110001 - -b1110101001010111000001011110001 5 -b1110101001010111000001011110001 ? -b1110101001010111000001011110001 D -b11010111110001110100101100010011 % -b11010111110001110100101100010011 . -b11010111110001110100101100010011 6 -b11010111110001110100101100010011 @ -b11010111110001110100101100010011 F -b1010101000000110000001000010001 ) -#317632000 -0& -#317648000 -b1001101100011 , -#317664000 -1& -#317680000 -b1101111111010101100001011100001 " -b1101111111010101100001011100001 4 -b1101111111010101100001011100001 1 -b1101111111010101100001011100001 C -b1100001111100001000000000000000 0 -b1100001111100001000000000000000 H -b1101111111001101001111011010000 2 -b1101111111001101001111011010000 = -b1101111111110111101101011101111 < -b1101111111001101001111011010000 : -b11111111111010101100001111100001 $ -b11111111111010101100001111100001 - -b11111111111010101100001111100001 5 -b11111111111010101100001111100001 ? -b11111111111010101100001111100001 D -b1101111111110111101101011101111 % -b1101111111110111101101011101111 . -b1101111111110111101101011101111 6 -b1101111111110111101101011101111 @ -b1101111111110111101101011101111 F -b1101111111010101100001011100001 ) -#317696000 -0& -#317712000 -b1001101100100 , -#317728000 -1& -#317744000 -b11111001010001100000010101000000 " -b11111001010001100000010101000000 4 -b11111001010001100000010101000000 1 -b11111001010001100000010101000000 C -b1011111000001110101001100000000 0 -b1011111000001110101001100000000 H -18 -b11111001010001010011010100011011 2 -b11111001010001010011010100011011 = -b11111101111001100010110111001000 < -b11111001010001010011010100011011 : -b11111011010111110000011101010011 $ -b11111011010111110000011101010011 - -b11111011010111110000011101010011 5 -b11111011010111110000011101010011 ? -b11111011010111110000011101010011 D -b11111101111001100010110111001000 % -b11111101111001100010110111001000 . -b11111101111001100010110111001000 6 -b11111101111001100010110111001000 @ -b11111101111001100010110111001000 F -b11111001010001100000010101000000 ) -#317760000 -0& -#317776000 -b1001101100101 , -#317792000 -1& -#317808000 -b11111000101011110000101011000100 " -b11111000101011110000101011000100 4 -b11111000101011110000101011000100 1 -b11111000101011110000101011000100 C -b10011011111101101111110001000000 0 -b10011011111101101111110001000000 H -b11110100101011101111101010001000 2 -b11110100101011101111101010001000 = -b11111010111011111000101011000100 < -b11110100101011101111101010001000 : -b11111001101111110110111111000100 $ -b11111001101111110110111111000100 - -b11111001101111110110111111000100 5 -b11111001101111110110111111000100 ? -b11111001101111110110111111000100 D -b11111010111011111000101011000100 % -b11111010111011111000101011000100 . -b11111010111011111000101011000100 6 -b11111010111011111000101011000100 @ -b11111010111011111000101011000100 F -b11111000101011110000101011000100 ) -#317824000 -0& -#317840000 -b1001101100110 , -#317856000 -1& -#317872000 -b1111100100111000000101000001000 " -b1111100100111000000101000001000 4 -b1111100100111000000101000001000 1 -b1111100100111000000101000001000 C -b11111001001010100110010000000000 0 -b11111001001010100110010000000000 H -08 -b1111100100110110000100010100011 2 -b1111100100110110000100010100011 = -b11111111110111001011111000001010 < -b1111100100110110000100010100011 : -b1111100101111100100101010011001 $ -b1111100101111100100101010011001 - -b1111100101111100100101010011001 5 -b1111100101111100100101010011001 ? -b1111100101111100100101010011001 D -b11111111110111001011111000001010 % -b11111111110111001011111000001010 . -b11111111110111001011111000001010 6 -b11111111110111001011111000001010 @ -b11111111110111001011111000001010 F -b1111100100111000000101000001000 ) -#317888000 -0& -#317904000 -b1001101100111 , -#317920000 -1& -#317936000 -b10010001010111111100100000000001 " -b10010001010111111100100000000001 4 -b10010001010111111100100000000001 1 -b10010001010111111100100000000001 C -b1100110111111111001110110110110 0 -b1100110111111111001110110110110 H -18 -19 -b1010001010111111011011011011100 2 -b1010001010111111011011011011100 = -b10011101110111111110100000000001 < -b1010001010111111011011011011100 : -b10110011011111111100111011011011 $ -b10110011011111111100111011011011 - -b10110011011111111100111011011011 5 -b10110011011111111100111011011011 ? -b10110011011111111100111011011011 D -b10011101110111111110100000000001 % -b10011101110111111110100000000001 . -b10011101110111111110100000000001 6 -b10011101110111111110100000000001 @ -b10011101110111111110100000000001 F -b10010001010111111100100000000001 ) -#317952000 -0& -#317968000 -b1001101101000 , -#317984000 -1& -#318000000 -b100101010111010010010110001000 " -b100101010111010010010110001000 4 -b100101010111010010010110001000 1 -b100101010111010010010110001000 C -b11111110011110101010000000000000 0 -b11111110011110101010000000000000 H -08 -09 -b100101010111010000110100110110 2 -b100101010111010000110100110110 = -b1111111110111010010010110001100 < -b100101010111010000110100110110 : -b10100101011111111110011110101010 $ -b10100101011111111110011110101010 - -b10100101011111111110011110101010 5 -b10100101011111111110011110101010 ? -b10100101011111111110011110101010 D -b1111111110111010010010110001100 % -b1111111110111010010010110001100 . -b1111111110111010010010110001100 6 -b1111111110111010010010110001100 @ -b1111111110111010010010110001100 F -b100101010111010010010110001000 ) -#318016000 -0& -#318032000 -b1001101101001 , -#318048000 -1& -#318064000 -b100100111011100000100000001000 " -b100100111011100000100000001000 4 -b100100111011100000100000001000 1 -b100100111011100000100000001000 C -b0 0 -b0 H -b10100111011100000011111100101 2 -b10100111011100000011111100101 = -b11101101111111101011101111011101 < -b10100111011100000011111100101 : -b100110111011110100110000001000 $ -b100110111011110100110000001000 - -b100110111011110100110000001000 5 -b100110111011110100110000001000 ? -b100110111011110100110000001000 D -b11101101111111101011101111011101 % -b11101101111111101011101111011101 . -b11101101111111101011101111011101 6 -b11101101111111101011101111011101 @ -b11101101111111101011101111011101 F -b100100111011100000100000001000 ) -#318080000 -0& -#318096000 -b1001101101010 , -#318112000 -1& -#318128000 -b1000101010011100010000010000000 " -b1000101010011100010000010000000 4 -b1000101010011100010000010000000 1 -b1000101010011100010000010000000 C -b1011111111000100100110100010000 0 -b1011111111000100100110100010000 H -b110101010011001000100001110101 2 -b110101010011001000100001110101 = -b1001111010011100110001110100100 < -b110101010011001000100001110101 : -b11100101111111100010010011010001 $ -b11100101111111100010010011010001 - -b11100101111111100010010011010001 5 -b11100101111111100010010011010001 ? -b11100101111111100010010011010001 D -b1001111010011100110001110100100 % -b1001111010011100110001110100100 . -b1001111010011100110001110100100 6 -b1001111010011100110001110100100 @ -b1001111010011100110001110100100 F -b1000101010011100010000010000000 ) -#318144000 -0& -#318160000 -b1001101101011 , -#318176000 -1& -#318192000 -b1001100111010010011100001100000 " -b1001100111010010011100001100000 4 -b1001100111010010011100001100000 1 -b1001100111010010011100001100000 C -b1111101011011000000000000000000 0 -b1111101011011000000000000000000 H -b1001100111010010011011111011100 2 -b1001100111010010011011111011100 = -b1111111111110111011101001110000 < -b1001100111010010011011111011100 : -b11001100111011010111110101101100 $ -b11001100111011010111110101101100 - -b11001100111011010111110101101100 5 -b11001100111011010111110101101100 ? -b11001100111011010111110101101100 D -b1111111111110111011101001110000 % -b1111111111110111011101001110000 . -b1111111111110111011101001110000 6 -b1111111111110111011101001110000 @ -b1111111111110111011101001110000 F -b1001100111010010011100001100000 ) -#318208000 -0& -#318224000 -b1001101101100 , -#318240000 -1& -#318256000 -b1001000110011000010010000000000 " -b1001000110011000010010000000000 4 -b1001000110011000010010000000000 1 -b1001000110011000010010000000000 C -b1001100000000000000000000000000 0 -b1001100000000000000000000000000 H -19 -08 -b10111000110010110001100100111111 2 -b10111000110010110001100100111111 = -b1101000110111100011010000011001 < -b10111000110010110001100100111111 : -b1001111111011001110010100100110 $ -b1001111111011001110010100100110 - -b1001111111011001110010100100110 5 -b1001111111011001110010100100110 ? -b1001111111011001110010100100110 D -b1101000110111100011010000011001 % -b1101000110111100011010000011001 . -b1101000110111100011010000011001 6 -b1101000110111100011010000011001 @ -b1101000110111100011010000011001 F -b1001000110011000010010000000000 ) -#318272000 -0& -#318288000 -b1001101101101 , -#318304000 -1& -#318320000 -b11101011100010110000100000000000 " -b11101011100010110000100000000000 4 -b11101011100010110000100000000000 1 -b11101011100010110000100000000000 C -b11111110110000100000010000000000 0 -b11111110110000100000010000000000 H -18 -09 -b11011011100001101111001000110110 2 -b11011011100001101111001000110110 = -b11101011100010111110101000100110 < -b11011011100001101111001000110110 : -b11101111111110110000100000010000 $ -b11101111111110110000100000010000 - -b11101111111110110000100000010000 5 -b11101111111110110000100000010000 ? -b11101111111110110000100000010000 D -b11101011100010111110101000100110 % -b11101011100010111110101000100110 . -b11101011100010111110101000100110 6 -b11101011100010111110101000100110 @ -b11101011100010111110101000100110 F -b11101011100010110000100000000000 ) -#318336000 -0& -#318352000 -b1001101101110 , -#318368000 -1& -#318384000 -b10110110111111110000100001000101 " -b10110110111111110000100001000101 4 -b10110110111111110000100001000101 1 -b10110110111111110000100001000101 C -b10010100001000101000000000000000 0 -b10010100001000101000000000000000 H -b10110110111111100011010010110100 2 -b10110110111111100011010010110100 = -b11110111111111110000110001101111 < -b10110110111111100011010010110100 : -b10111110111111110010100001000101 $ -b10111110111111110010100001000101 - -b10111110111111110010100001000101 5 -b10111110111111110010100001000101 ? -b10111110111111110010100001000101 D -b11110111111111110000110001101111 % -b11110111111111110000110001101111 . -b11110111111111110000110001101111 6 -b11110111111111110000110001101111 @ -b11110111111111110000110001101111 F -b10110110111111110000100001000101 ) -#318400000 -0& -#318416000 -b1001101101111 , -#318432000 -1& -#318448000 -b1000110010101111000010000001000 " -b1000110010101111000010000001000 4 -b1000110010101111000010000001000 1 -b1000110010101111000010000001000 C -b11000110010001000000000000000000 0 -b11000110010001000000000000000000 H -08 -19 -b11000110010101110001000011110111 2 -b11000110010101110001000011110111 = -b1011110011101111000010001101111 < -b11000110010101110001000011110111 : -b1100111110111111000110010001000 $ -b1100111110111111000110010001000 - -b1100111110111111000110010001000 5 -b1100111110111111000110010001000 ? -b1100111110111111000110010001000 D -b1011110011101111000010001101111 % -b1011110011101111000010001101111 . -b1011110011101111000010001101111 6 -b1011110011101111000010001101111 @ -b1011110011101111000010001101111 F -b1000110010101111000010000001000 ) -#318464000 -0& -#318480000 -b1001101110000 , -#318496000 -1& -#318512000 -b111101100010100000001000100001 " -b111101100010100000001000100001 4 -b111101100010100000001000100001 1 -b111101100010100000001000100001 C -b11010010010100110000100000000000 0 -b11010010010100110000100000000000 H -09 -08 -b111101100010010101111000001100 2 -b111101100010010101111000001100 = -b1111101111011110001001110101011 < -b111101100010010101111000001100 : -b10111111100110100100101001100001 $ -b10111111100110100100101001100001 - -b10111111100110100100101001100001 5 -b10111111100110100100101001100001 ? -b10111111100110100100101001100001 D -b1111101111011110001001110101011 % -b1111101111011110001001110101011 . -b1111101111011110001001110101011 6 -b1111101111011110001001110101011 @ -b1111101111011110001001110101011 F -b111101100010100000001000100001 ) -#318528000 -0& -#318544000 -b1001101110001 , -#318560000 -1& -#318576000 -b1101110100010101001110100010110 " -b1101110100010101001110100010110 4 -b1101110100010101001110100010110 1 -b1101110100010101001110100010110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -19 -08 -b11101101100010101001101011010101 2 -b11101101100010101001101011010101 = -b1101110111110101111110100011110 < -b11101101100010101001101011010101 : -b1111110100011111001110110110111 $ -b1111110100011111001110110110111 - -b1111110100011111001110110110111 5 -b1111110100011111001110110110111 ? -b1111110100011111001110110110111 D -b1101110111110101111110100011110 % -b1101110111110101111110100011110 . -b1101110111110101111110100011110 6 -b1101110111110101111110100011110 @ -b1101110111110101111110100011110 F -b1101110100010101001110100010110 ) -#318592000 -0& -#318608000 -b1001101110010 , -#318624000 -1& -#318640000 -b1100101110110100000010000100100 " -b1100101110110100000010000100100 4 -b1100101110110100000010000100100 1 -b1100101110110100000010000100100 C -b0 0 -b0 H -09 -08 -b1100101101110001011101000100010 2 -b1100101101110001011101000100010 = -b1101101110110101001010001111110 < -b1100101101110001011101000100010 : -b11110111110111100010010110100100 $ -b11110111110111100010010110100100 - -b11110111110111100010010110100100 5 -b11110111110111100010010110100100 ? -b11110111110111100010010110100100 D -b1101101110110101001010001111110 % -b1101101110110101001010001111110 . -b1101101110110101001010001111110 6 -b1101101110110101001010001111110 @ -b1101101110110101001010001111110 F -b1100101110110100000010000100100 ) -#318656000 -0& -#318672000 -b1001101110011 , -#318688000 -1& -#318704000 -b1010101010101100000000000000001 " -b1010101010101100000000000000001 4 -b1010101010101100000000000000001 1 -b1010101010101100000000000000001 C -b10101111001100010010010010000000 0 -b10101111001100010010010010000000 H -b1010100110101000110111101010000 2 -b1010100110101000110111101010000 = -b11110101011101100000110100000111 < -b1010100110101000110111101010000 : -b1011111010111100110001001001001 $ -b1011111010111100110001001001001 - -b1011111010111100110001001001001 5 -b1011111010111100110001001001001 ? -b1011111010111100110001001001001 D -b11110101011101100000110100000111 % -b11110101011101100000110100000111 . -b11110101011101100000110100000111 6 -b11110101011101100000110100000111 @ -b11110101011101100000110100000111 F -b1010101010101100000000000000001 ) -#318720000 -0& -#318736000 -b1001101110100 , -#318752000 -1& -#318768000 -b1000101111011100000100100101000 " -b1000101111011100000100100101000 4 -b1000101111011100000100100101000 1 -b1000101111011100000100100101000 C -b11111101001101111111110000000000 0 -b11111101001101111111110000000000 H -19 -08 -b11000101111011001110010100100111 2 -b11000101111011001110010100100111 = -b1101101111011100100100100101001 < -b11000101111011001110010100100111 : -b1010111111111101001101111111110 $ -b1010111111111101001101111111110 - -b1010111111111101001101111111110 5 -b1010111111111101001101111111110 ? -b1010111111111101001101111111110 D -b1101101111011100100100100101001 % -b1101101111011100100100100101001 . -b1101101111011100100100100101001 6 -b1101101111011100100100100101001 @ -b1101101111011100100100100101001 F -b1000101111011100000100100101000 ) -#318784000 -0& -#318800000 -b1001101110101 , -#318816000 -1& -#318832000 -b1010100111010000100000000000010 " -b1010100111010000100000000000010 4 -b1010100111010000100000000000010 1 -b1010100111010000100000000000010 C -b1010011101111110000010000101100 0 -b1010011101111110000010000101100 H -09 -08 -b1010010111010000001110110001101 2 -b1010010111010000001110110001101 = -b11111101111110000101110010000010 < -b1010010111010000001110110001101 : -b1010100111011111100000100001011 $ -b1010100111011111100000100001011 - -b1010100111011111100000100001011 5 -b1010100111011111100000100001011 ? -b1010100111011111100000100001011 D -b11111101111110000101110010000010 % -b11111101111110000101110010000010 . -b11111101111110000101110010000010 6 -b11111101111110000101110010000010 @ -b11111101111110000101110010000010 F -b1010100111010000100000000000010 ) -#318848000 -0& -#318864000 -b1001101110110 , -#318880000 -1& -#318896000 -b10110101010101010000000000001000 " -b10110101010101010000000000001000 4 -b10110101010101010000000000001000 1 -b10110101010101010000000000001000 C -b11011010011110011000000000000000 0 -b11011010011110011000000000000000 H -18 -b10110100110101001111011111000100 2 -b10110100110101001111011111000100 = -b11111111010101110101000000101100 < -b10110100110101001111011111000100 : -b10110101011111011010011110011000 $ -b10110101011111011010011110011000 - -b10110101011111011010011110011000 5 -b10110101011111011010011110011000 ? -b10110101011111011010011110011000 D -b11111111010101110101000000101100 % -b11111111010101110101000000101100 . -b11111111010101110101000000101100 6 -b11111111010101110101000000101100 @ -b11111111010101110101000000101100 F -b10110101010101010000000000001000 ) -#318912000 -0& -#318928000 -b1001101110111 , -#318944000 -1& -#318960000 -b10110101011111001000001000000100 " -b10110101011111001000001000000100 4 -b10110101011111001000001000000100 1 -b10110101011111001000001000000100 C -b11011111001010001010101100000000 0 -b11011111001010001010101100000000 H -b10110101011111000011000011110010 2 -b10110101011111000011000011110010 = -b11111101111111111000111001000110 < -b10110101011111000011000011110010 : -b10110111011111001010001010101100 $ -b10110111011111001010001010101100 - -b10110111011111001010001010101100 5 -b10110111011111001010001010101100 ? -b10110111011111001010001010101100 D -b11111101111111111000111001000110 % -b11111101111111111000111001000110 . -b11111101111111111000111001000110 6 -b11111101111111111000111001000110 @ -b11111101111111111000111001000110 F -b10110101011111001000001000000100 ) -#318976000 -0& -#318992000 -b1001101111000 , -#319008000 -1& -#319024000 -b1010100010011011001000000100010 " -b1010100010011011001000000100010 4 -b1010100010011011001000000100010 1 -b1010100010011011001000000100010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -b1010010010011010110111000100001 2 -b1010010010011010110111000100001 = -b1111100110111011101100000111110 < -b1010010010011010110111000100001 : -b11010101011011111001010111100011 $ -b11010101011011111001010111100011 - -b11010101011011111001010111100011 5 -b11010101011011111001010111100011 ? -b11010101011011111001010111100011 D -b1111100110111011101100000111110 % -b1111100110111011101100000111110 . -b1111100110111011101100000111110 6 -b1111100110111011101100000111110 @ -b1111100110111011101100000111110 F -b1010100010011011001000000100010 ) -#319040000 -0& -#319056000 -b1001101111001 , -#319072000 -1& -#319088000 -b11011001010011010011100010001001 " -b11011001010011010011100010001001 4 -b11011001010011010011100010001001 1 -b11011001010011010011100010001001 C -b10110000000000000000000000000 0 -b10110000000000000000000000000 H -18 -b10110100110011010011000101000100 2 -b10110100110011010011000101000100 = -b11011001011011110011100010111001 < -b10110100110011010011000101000100 : -b11011011010111011111100010001011 $ -b11011011010111011111100010001011 - -b11011011010111011111100010001011 5 -b11011011010111011111100010001011 ? -b11011011010111011111100010001011 D -b11011001011011110011100010111001 % -b11011001011011110011100010111001 . -b11011001011011110011100010111001 6 -b11011001011011110011100010111001 @ -b11011001011011110011100010111001 F -b11011001010011010011100010001001 ) -#319104000 -0& -#319120000 -b1001101111010 , -#319136000 -1& -#319152000 -b1100111111000101010010010000000 " -b1100111111000101010010010000000 4 -b1100111111000101010010010000000 1 -b1100111111000101010010010000000 C -b11111101011111101001001001000000 0 -b11111101011111101001001001000000 H -08 -b1100111110111101010010000110111 2 -b1100111110111101010010000110111 = -b11111111111100101010111110100101 < -b1100111110111101010010000110111 : -b1100111111010111111010010010010 $ -b1100111111010111111010010010010 - -b1100111111010111111010010010010 5 -b1100111111010111111010010010010 ? -b1100111111010111111010010010010 D -b11111111111100101010111110100101 % -b11111111111100101010111110100101 . -b11111111111100101010111110100101 6 -b11111111111100101010111110100101 @ -b11111111111100101010111110100101 F -b1100111111000101010010010000000 ) -#319168000 -0& -#319184000 -b1001101111011 , -#319200000 -1& -#319216000 -b11111111101100000000001100000 " -b11111111101100000000001100000 4 -b11111111101100000000001100000 1 -b11111111101100000000001100000 C -b1010110000000000000000000000000 0 -b1010110000000000000000000000000 H -b11111111101010111011001010100 2 -b11111111101010111011001010100 = -b1011111111101110110000011110100 < -b11111111101010111011001010100 : -b10111111111111100001010101100000 $ -b10111111111111100001010101100000 - -b10111111111111100001010101100000 5 -b10111111111111100001010101100000 ? -b10111111111111100001010101100000 D -b1011111111101110110000011110100 % -b1011111111101110110000011110100 . -b1011111111101110110000011110100 6 -b1011111111101110110000011110100 @ -b1011111111101110110000011110100 F -b11111111101100000000001100000 ) -#319232000 -0& -#319248000 -b1001101111100 , -#319264000 -1& -#319280000 -b1000111100110100000010010000001 " -b1000111100110100000010010000001 4 -b1000111100110100000010010000001 1 -b1000111100110100000010010000001 C -b100110000010000000000000000000 0 -b100110000010000000000000000000 H -b1000111100110010110101101010100 2 -b1000111100110010110101101010100 = -b11011111110110100000011010010011 < -b1000111100110010110101101010100 : -b1100111101111110110010011000001 $ -b1100111101111110110010011000001 - -b1100111101111110110010011000001 5 -b1100111101111110110010011000001 ? -b1100111101111110110010011000001 D -b11011111110110100000011010010011 % -b11011111110110100000011010010011 . -b11011111110110100000011010010011 6 -b11011111110110100000011010010011 @ -b11011111110110100000011010010011 F -b1000111100110100000010010000001 ) -#319296000 -0& -#319312000 -b1001101111101 , -#319328000 -1& -#319344000 -b101110110011010000010110111001 " -b101110110011010000010110111001 4 -b101110110011010000010110111001 1 -b101110110011010000010110111001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -18 -b11101101110011001001010101111000 2 -b11101101110011001001010101111000 = -b111110110011010000111110111011 < -b11101101110011001001010101111000 : -b10101110111111111000010110111101 $ -b10101110111111111000010110111101 - -b10101110111111111000010110111101 5 -b10101110111111111000010110111101 ? -b10101110111111111000010110111101 D -b111110110011010000111110111011 % -b111110110011010000111110111011 . -b111110110011010000111110111011 6 -b111110110011010000111110111011 @ -b111110110011010000111110111011 F -b101110110011010000010110111001 ) -#319360000 -0& -#319376000 -b1001101111110 , -#319392000 -1& -#319408000 -b11111001011101110011000000000100 " -b11111001011101110011000000000100 4 -b11111001011101110011000000000100 1 -b11111001011101110011000000000100 C -b10111011101110111101101000000000 0 -b10111011101110111101101000000000 H -b11111001011101101010011111111011 2 -b11111001011101101010011111111011 = -b11111001111111110011000001000111 < -b11111001011101101010011111111011 : -b11111111011101110111011110110100 $ -b11111111011101110111011110110100 - -b11111111011101110111011110110100 5 -b11111111011101110111011110110100 ? -b11111111011101110111011110110100 D -b11111001111111110011000001000111 % -b11111001111111110011000001000111 . -b11111001111111110011000001000111 6 -b11111001111111110011000001000111 @ -b11111001111111110011000001000111 F -b11111001011101110011000000000100 ) -#319424000 -0& -#319440000 -b1001101111111 , -#319456000 -1& -#319472000 -b10001011110111101010000001100 " -b10001011110111101010000001100 4 -b10001011110111101010000001100 1 -b10001011110111101010000001100 C -b10111111010000101110000000000000 0 -b10111111010000101110000000000000 H -08 -b1101011110111100101001111010 2 -b1101011110111100101001111010 = -b1011001111111111101011001001100 < -b1101011110111100101001111010 : -b10110011011110111111010000101110 $ -b10110011011110111111010000101110 - -b10110011011110111111010000101110 5 -b10110011011110111111010000101110 ? -b10110011011110111111010000101110 D -b1011001111111111101011001001100 % -b1011001111111111101011001001100 . -b1011001111111111101011001001100 6 -b1011001111111111101011001001100 @ -b1011001111111111101011001001100 F -b10001011110111101010000001100 ) -#319488000 -0& -#319504000 -b1001110000000 , -#319520000 -1& -#319536000 -b1101011011101010000000011010001 " -b1101011011101010000000011010001 4 -b1101011011101010000000011010001 1 -b1101011011101010000000011010001 C -b10000111101010000000000000000000 0 -b10000111101010000000000000000000 H -19 -08 -b11101011011011000101011111001000 2 -b11101011011011000101011111001000 = -b1111011111101010000011011010011 < -b11101011011011000101011111001000 : -b1101111011101110101000011110101 $ -b1101111011101110101000011110101 - -b1101111011101110101000011110101 5 -b1101111011101110101000011110101 ? -b1101111011101110101000011110101 D -b1111011111101010000011011010011 % -b1111011111101010000011011010011 . -b1111011111101010000011011010011 6 -b1111011111101010000011011010011 @ -b1111011111101010000011011010011 F -b1101011011101010000000011010001 ) -#319552000 -0& -#319568000 -b1001110000001 , -#319584000 -1& -#319600000 -b1000011101100000100010010000 " -b1000011101100000100010010000 4 -b1000011101100000100010010000 1 -b1000011101100000100010010000 C -b11110110111001000000000000000000 0 -b11110110111001000000000000000000 H -b10001000011101011000100001001011 2 -b10001000011101011000100001001011 = -b1001010111101100100101010010010 < -b10001000011101011000100001001011 : -b111101011111110011110110111001 $ -b111101011111110011110110111001 - -b111101011111110011110110111001 5 -b111101011111110011110110111001 ? -b111101011111110011110110111001 D -b1001010111101100100101010010010 % -b1001010111101100100101010010010 . -b1001010111101100100101010010010 6 -b1001010111101100100101010010010 @ -b1001010111101100100101010010010 F -b1000011101100000100010010000 ) -#319616000 -0& -#319632000 -b1001110000010 , -#319648000 -1& -#319664000 -b1001001001001010000000101100000 " -b1001001001001010000000101100000 4 -b1001001001001010000000101100000 1 -b1001001001001010000000101100000 C -b11011101101001010101000101111111 0 -b11011101101001010101000101111111 H -09 -08 -b1001000111000100101100101011111 2 -b1001000111000100101100101011111 = -b1101011001111010000011111100000 < -b1001000111000100101100101011111 : -b11011101101001010101000101111111 $ -b11011101101001010101000101111111 - -b11011101101001010101000101111111 5 -b11011101101001010101000101111111 ? -b11011101101001010101000101111111 D -b1101011001111010000011111100000 % -b1101011001111010000011111100000 . -b1101011001111010000011111100000 6 -b1101011001111010000011111100000 @ -b1101011001111010000011111100000 F -b1001001001001010000000101100000 ) -#319680000 -0& -#319696000 -b1001110000011 , -#319712000 -1& -#319728000 -b111110101101010000000000000101 " -b111110101101010000000000000101 4 -b111110101101010000000000000101 1 -b111110101101010000000000000101 C -b10111100001010101000000000000000 0 -b10111100001010101000000000000000 H -b1111110011100100111101010000100 2 -b1111110011100100111101010000100 = -b111110101101010000001000101111 < -b1111110011100100111101010000100 : -b111111101111010111100001010101 $ -b111111101111010111100001010101 - -b111111101111010111100001010101 5 -b111111101111010111100001010101 ? -b111111101111010111100001010101 D -b111110101101010000001000101111 % -b111110101101010000001000101111 . -b111110101101010000001000101111 6 -b111110101101010000001000101111 @ -b111110101101010000001000101111 F -b111110101101010000000000000101 ) -#319744000 -0& -#319760000 -b1001110000100 , -#319776000 -1& -#319792000 -b1111101010110000001000001000001 " -b1111101010110000001000001000001 4 -b1111101010110000001000001000001 1 -b1111101010110000001000001000001 C -b11011110101000101000100000000000 0 -b11011110101000101000100000000000 H -19 -08 -b11111101010100111111000000011100 2 -b11111101010100111111000000011100 = -b1111101011110000001101111001011 < -b11111101010100111111000000011100 : -b1111111110110111101010001010001 $ -b1111111110110111101010001010001 - -b1111111110110111101010001010001 5 -b1111111110110111101010001010001 ? -b1111111110110111101010001010001 D -b1111101011110000001101111001011 % -b1111101011110000001101111001011 . -b1111101011110000001101111001011 6 -b1111101011110000001101111001011 @ -b1111101011110000001101111001011 F -b1111101010110000001000001000001 ) -#319808000 -0& -#319824000 -b1001110000101 , -#319840000 -1& -#319856000 -b1100111001000110001001000000010 " -b1100111001000110001001000000010 4 -b1100111001000110001001000000010 1 -b1100111001000110001001000000010 C -b111000100101001011000000000000 0 -b111000100101001011000000000000 H -09 -08 -b1010111000010100100010110100001 2 -b1010111000010100100010110100001 = -b1100111111000110011001100001011 < -b1010111000010100100010110100001 : -b11101111001001110001001010010110 $ -b11101111001001110001001010010110 - -b11101111001001110001001010010110 5 -b11101111001001110001001010010110 ? -b11101111001001110001001010010110 D -b1100111111000110011001100001011 % -b1100111111000110011001100001011 . -b1100111111000110011001100001011 6 -b1100111111000110011001100001011 @ -b1100111111000110011001100001011 F -b1100111001000110001001000000010 ) -#319872000 -0& -#319888000 -b1001110000110 , -#319904000 -1& -#319920000 -b1001011101101000000100001011 " -b1001011101101000000100001011 4 -b1001011101101000000100001011 1 -b1001011101101000000100001011 C -b11111100000011001111100000000000 0 -b11111100000011001111100000000000 H -b1100101011101100011000011001010 2 -b1100101011101100011000011001010 = -b11011111101101010111100101011 < -b1100101011101100011000011001010 : -b1001001011111111000000110011111 $ -b1001001011111111000000110011111 - -b1001001011111111000000110011111 5 -b1001001011111111000000110011111 ? -b1001001011111111000000110011111 D -b11011111101101010111100101011 % -b11011111101101010111100101011 . -b11011111101101010111100101011 6 -b11011111101101010111100101011 @ -b11011111101101010111100101011 F -b1001011101101000000100001011 ) -#319936000 -0& -#319952000 -b1001110000111 , -#319968000 -1& -#319984000 -b1110000011101100100110110100010 " -b1110000011101100100110110100010 4 -b1110000011101100100110110100010 1 -b1110000011101100100110110100010 C -b11110011101101101110111110110000 0 -b11110011101101101110111110110000 H -19 -08 -b11110000011101010010101110011001 2 -b11110000011101010010101110011001 = -b1110001111111100100110110100011 < -b11110000011101010010101110011001 : -b1111110011101101101110111110110 $ -b1111110011101101101110111110110 - -b1111110011101101101110111110110 5 -b1111110011101101101110111110110 ? -b1111110011101101101110111110110 D -b1110001111111100100110110100011 % -b1110001111111100100110110100011 . -b1110001111111100100110110100011 6 -b1110001111111100100110110100011 @ -b1110001111111100100110110100011 F -b1110000011101100100110110100010 ) -#320000000 -0& -#320016000 -b1001110001000 , -#320032000 -1& -#320048000 -b1111001101001000001001011 " -b1111001101001000001001011 4 -b1111001101001000001001011 1 -b1111001101001000001001011 C -b1111000000000000000000000000000 0 -b1111000000000000000000000000000 H -18 -09 -b11111001111001100111000000101010 2 -b11111001111001100111000000101010 = -b10100101111001111101100001011011 < -b11111001111001100111000000101010 : -b1010011111111101001011111001111 $ -b1010011111111101001011111001111 - -b1010011111111101001011111001111 5 -b1010011111111101001011111001111 ? -b1010011111111101001011111001111 D -b10100101111001111101100001011011 % -b10100101111001111101100001011011 . -b10100101111001111101100001011011 6 -b10100101111001111101100001011011 @ -b10100101111001111101100001011011 F -b1111001101001000001001011 ) -#320064000 -0& -#320080000 -b1001110001001 , -#320096000 -1& -#320112000 -b1001011010111010001000000000000 " -b1001011010111010001000000000000 4 -b1001011010111010001000000000000 1 -b1001011010111010001000000000000 C -b10110111101110100110001000101100 0 -b10110111101110100110001000101100 H -08 -b101011010110101100100111010111 2 -b101011010110101100100111010111 = -b11001111011111011001100011000001 < -b101011010110101100100111010111 : -b1011011110111010011000100010110 $ -b1011011110111010011000100010110 - -b1011011110111010011000100010110 5 -b1011011110111010011000100010110 ? -b1011011110111010011000100010110 D -b11001111011111011001100011000001 % -b11001111011111011001100011000001 . -b11001111011111011001100011000001 6 -b11001111011111011001100011000001 @ -b11001111011111011001100011000001 F -b1001011010111010001000000000000 ) -#320128000 -0& -#320144000 -b1001110001010 , -#320160000 -1& -#320176000 -b1111100110010010001100011101 " -b1111100110010010001100011101 4 -b1111100110010010001100011101 1 -b1111100110010010001100011101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b1111100110010010001100011010 2 -b1111100110010010001100011010 = -b1011111110110111011111100111101 < -b1111100110010010001100011010 : -b10101111101111010110001111011101 $ -b10101111101111010110001111011101 - -b10101111101111010110001111011101 5 -b10101111101111010110001111011101 ? -b10101111101111010110001111011101 D -b1011111110110111011111100111101 % -b1011111110110111011111100111101 . -b1011111110110111011111100111101 6 -b1011111110110111011111100111101 @ -b1011111110110111011111100111101 F -b1111100110010010001100011101 ) -#320192000 -0& -#320208000 -b1001110001011 , -#320224000 -1& -#320240000 -b1110111001111110100110000010010 " -b1110111001111110100110000010010 4 -b1110111001111110100110000010010 1 -b1110111001111110100110000010010 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -19 -08 -b11110110111111110100110000010001 2 -b11110110111111110100110000010001 = -b1110111001111110110110001011011 < -b11110110111111110100110000010001 : -b1111111101111111101111110110110 $ -b1111111101111111101111110110110 - -b1111111101111111101111110110110 5 -b1111111101111111101111110110110 ? -b1111111101111111101111110110110 D -b1110111001111110110110001011011 % -b1110111001111110110110001011011 . -b1110111001111110110110001011011 6 -b1110111001111110110110001011011 @ -b1110111001111110110110001011011 F -b1110111001111110100110000010010 ) -#320256000 -0& -#320272000 -b1001110001100 , -#320288000 -1& -#320304000 -b110011111111000001000001000010 " -b110011111111000001000001000010 4 -b110011111111000001000001000010 1 -b110011111111000001000001000010 C -b11001111111110001100010110111000 0 -b11001111111110001100010110111000 H -09 -08 -b110011111110111100001000110000 2 -b110011111110111100001000110000 = -b111111111111011001000011000010 < -b110011111110111100001000110000 : -b11110011111111100011000101101110 $ -b11110011111111100011000101101110 - -b11110011111111100011000101101110 5 -b11110011111111100011000101101110 ? -b11110011111111100011000101101110 D -b111111111111011001000011000010 % -b111111111111011001000011000010 . -b111111111111011001000011000010 6 -b111111111111011001000011000010 @ -b111111111111011001000011000010 F -b110011111111000001000001000010 ) -#320320000 -0& -#320336000 -b1001110001101 , -#320352000 -1& -#320368000 -b11011101111101110000010011011010 " -b11011101111101110000010011011010 4 -b11011101111101110000010011011010 1 -b11011101111101110000010011011010 C -b1111100000000000000000000000000 0 -b1111100000000000000000000000000 H -18 -b11011101111101100111000110111001 2 -b11011101111101100111000110111001 = -b11011101111101110100110011011010 < -b11011101111101100111000110111001 : -b11111111111111110010010011011111 $ -b11111111111111110010010011011111 - -b11111111111111110010010011011111 5 -b11111111111111110010010011011111 ? -b11111111111111110010010011011111 D -b11011101111101110100110011011010 % -b11011101111101110100110011011010 . -b11011101111101110100110011011010 6 -b11011101111101110100110011011010 @ -b11011101111101110100110011011010 F -b11011101111101110000010011011010 ) -#320384000 -0& -#320400000 -b1001110001110 , -#320416000 -1& -#320432000 -b1111101111101110011100111000000 " -b1111101111101110011100111000000 4 -b1111101111101110011100111000000 1 -b1111101111101110011100111000000 C -b10111001111011101000000000000000 0 -b10111001111011101000000000000000 H -08 -b1111101111011101111011110011011 2 -b1111101111011101111011110011011 = -b1111101111101111011100111001011 < -b1111101111011101111011110011011 : -b11111111111101110011110111010000 $ -b11111111111101110011110111010000 - -b11111111111101110011110111010000 5 -b11111111111101110011110111010000 ? -b11111111111101110011110111010000 D -b1111101111101111011100111001011 % -b1111101111101111011100111001011 . -b1111101111101111011100111001011 6 -b1111101111101111011100111001011 @ -b1111101111101111011100111001011 F -b1111101111101110011100111000000 ) -#320448000 -0& -#320464000 -b1001110001111 , -#320480000 -1& -#320496000 -b1011101100111110001000010110100 " -b1011101100111110001000010110100 4 -b1011101100111110001000010110100 1 -b1011101100111110001000010110100 C -b1101111010000000000000000000000 0 -b1101111010000000000000000000000 H -b111011100111110000011001110011 2 -b111011100111110000011001110011 = -b1011101100111111001010010110110 < -b111011100111110000011001110011 : -b11011101111111110111000110111101 $ -b11011101111111110111000110111101 - -b11011101111111110111000110111101 5 -b11011101111111110111000110111101 ? -b11011101111111110111000110111101 D -b1011101100111111001010010110110 % -b1011101100111111001010010110110 . -b1011101100111111001010010110110 6 -b1011101100111111001010010110110 @ -b1011101100111111001010010110110 F -b1011101100111110001000010110100 ) -#320512000 -0& -#320528000 -b1001110010000 , -#320544000 -1& -#320560000 -b1010010111111000000001000001000 " -b1010010111111000000001000001000 4 -b1010010111111000000001000001000 1 -b1010010111111000000001000001000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -b110001111110111011111000000110 2 -b110001111110111011111000000110 = -b11010010111111111001001111011010 < -b110001111110111011111000000110 : -b1011110111111000010101000101100 $ -b1011110111111000010101000101100 - -b1011110111111000010101000101100 5 -b1011110111111000010101000101100 ? -b1011110111111000010101000101100 D -b11010010111111111001001111011010 % -b11010010111111111001001111011010 . -b11010010111111111001001111011010 6 -b11010010111111111001001111011010 @ -b11010010111111111001001111011010 F -b1010010111111000000001000001000 ) -#320576000 -0& -#320592000 -b1001110010001 , -#320608000 -1& -#320624000 -b1011111011101100100100100000000 " -b1011111011101100100100100000000 4 -b1011111011101100100100100000000 1 -b1011111011101100100100100000000 C -b101100100000000000000000000000 0 -b101100100000000000000000000000 H -b1011110111101011010100001110010 2 -b1011110111101011010100001110010 = -b1011111011111110101110101010010 < -b1011110111101011010100001110010 : -b11111111011101100100101100100000 $ -b11111111011101100100101100100000 - -b11111111011101100100101100100000 5 -b11111111011101100100101100100000 ? -b11111111011101100100101100100000 D -b1011111011111110101110101010010 % -b1011111011111110101110101010010 . -b1011111011111110101110101010010 6 -b1011111011111110101110101010010 @ -b1011111011111110101110101010010 F -b1011111011101100100100100000000 ) -#320640000 -0& -#320656000 -b1001110010010 , -#320672000 -1& -#320688000 -b11111101101011100110000000100110 " -b11111101101011100110000000100110 4 -b11111101101011100110000000100110 1 -b11111101101011100110000000100110 C -b11110111101100010001001100000000 0 -b11110111101100010001001100000000 H -18 -b11111011101011100101010000001101 2 -b11111011101011100101010000001101 = -b11111101101111101111000111100111 < -b11111011101011100101010000001101 : -b11111101111011110110001000100110 $ -b11111101111011110110001000100110 - -b11111101111011110110001000100110 5 -b11111101111011110110001000100110 ? -b11111101111011110110001000100110 D -b11111101101111101111000111100111 % -b11111101101111101111000111100111 . -b11111101101111101111000111100111 6 -b11111101101111101111000111100111 @ -b11111101101111101111000111100111 F -b11111101101011100110000000100110 ) -#320704000 -0& -#320720000 -b1001110010011 , -#320736000 -1& -#320752000 -1! -b0 " -b0 4 -b0 1 -b0 C -b0 0 -b0 H -08 -b0 2 -b0 = -b0 < -1# -b0 : -b0 $ -b0 - -b0 5 -b0 ? -b0 D -b0 % -b0 . -b0 6 -b0 @ -b0 F -b0 ) -b1 ( -#320768000 -0& -#320784000 -b1001110010100 , -#320800000 -1& -#320816000 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -18 -b11111111111111111111111111111111 2 -b11111111111111111111111111111111 = -b11111111111111111111111111111111 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -#320832000 -0& -#320848000 -b1001110010101 , -#320864000 -1& -#320880000 -b0 0 -b0 H -b11111111111111111111111111111111 < -b11111111111111111111111111111111 : -b0 $ -b0 - -b0 5 -b0 ? -b0 D -b11111111111111111111111111111111 % -b11111111111111111111111111111111 . -b11111111111111111111111111111111 6 -b11111111111111111111111111111111 @ -b11111111111111111111111111111111 F -#320896000 -0& -#320912000 -b1001110010110 , -#320928000 -1& -#320944000 -0! -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11111111111111111111111111111110 2 -b11111111111111111111111111111110 = -0# -b11111111111111111111111111111110 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b11111111111111111111111111111111 ) -b0 ( -#320960000 -0& -#320976000 -b1001110010111 , -#320992000 -1& -#321008000 -b11000001000111000001010110010100 " -b11000001000111000001010110010100 4 -b11000001000111000001010110010100 1 -b11000001000111000001010110010100 C -b11111110111111011000000000000000 0 -b11111110111111011000000000000000 H -08 -b10 7 -b10 A -b10 E -b0 2 -b0 = -b1101111111100111110100001101111 < -b110 * -b110 / -b11110111000111110011001101010 : -b10101110111011111111110111111011 $ -b10101110111011111111110111111011 - -b10101110111011111111110111111011 5 -b10101110111011111111110111111011 ? -b10101110111011111111110111111011 D -b1101111111100111110100001101111 % -b1101111111100111110100001101111 . -b1101111111100111110100001101111 6 -b1101111111100111110100001101111 @ -b1101111111100111110100001101111 F -b11000001000111000001010110010100 ) -#321024000 -0& -#321040000 -b1001110011000 , -#321056000 -1& -#321072000 -b10000010011110000101001101111101 " -b10000010011110000101001101111101 4 -b10000010011110000101001101111101 1 -b10000010011110000101001101111101 C -b11111111100011110101111101010011 < -b1111101100001100110101110000001 : -b1111101111101110000110000101110 $ -b1111101111101110000110000101110 - -b1111101111101110000110000101110 5 -b1111101111101110000110000101110 ? -b1111101111101110000110000101110 D -b11111111100011110101111101010011 % -b11111111100011110101111101010011 . -b11111111100011110101111101010011 6 -b11111111100011110101111101010011 @ -b11111111100011110101111101010011 F -b10000010011110000101001101111101 ) -#321088000 -0& -#321104000 -b1001110011001 , -#321120000 -1& -#321136000 -b1001010100111010010000111000 " -b1001010100111010010000111000 4 -b1001010100111010010000111000 1 -b1001010100111010010000111000 C -b1 2 -b1 = -18 -19 -b10101111101111000110001001101111 < -b1010110101011000010100011000110 : -b10100110111011111100011001010111 $ -b10100110111011111100011001010111 - -b10100110111011111100011001010111 5 -b10100110111011111100011001010111 ? -b10100110111011111100011001010111 D -b10101111101111000110001001101111 % -b10101111101111000110001001101111 . -b10101111101111000110001001101111 6 -b10101111101111000110001001101111 @ -b10101111101111000110001001101111 F -b1001010100111010010000111000 ) -#321152000 -0& -#321168000 -b1001110011010 , -#321184000 -1& -#321200000 -b11100001010001001010110010000110 " -b11100001010001001010110010000110 4 -b11100001010001001010110010000110 1 -b11100001010001001010110010000110 C -b0 2 -b0 = -08 -09 -b10011111101111111001101010101010 < -b11110101110101101000011010110 : -b1111110111110110011011000101100 $ -b1111110111110110011011000101100 - -b1111110111110110011011000101100 5 -b1111110111110110011011000101100 ? -b1111110111110110011011000101100 D -b10011111101111111001101010101010 % -b10011111101111111001101010101010 . -b10011111101111111001101010101010 6 -b10011111101111111001101010101010 @ -b10011111101111111001101010101010 F -b11100001010001001010110010000110 ) -#321216000 -0& -#321232000 -b1001110011011 , -#321248000 -1& -#321264000 -b10001000101101101110101111110000 " -b10001000101101101110101111110000 4 -b10001000101101101110101111110000 1 -b10001000101101101110101111110000 C -b11110111100110110110100110001100 < -b1110110110010001110110000001000 : -b1111111001011011000001001111100 $ -b1111111001011011000001001111100 - -b1111111001011011000001001111100 5 -b1111111001011011000001001111100 ? -b1111111001011011000001001111100 D -b11110111100110110110100110001100 % -b11110111100110110110100110001100 . -b11110111100110110110100110001100 6 -b11110111100110110110100110001100 @ -b11110111100110110110100110001100 F -b10001000101101101110101111110000 ) -#321280000 -0& -#321296000 -b1001110011100 , -#321312000 -1& -#321328000 -b1001010100011000010111010000101 " -b1001010100011000010111010000101 4 -b1001010100011000010111010000101 1 -b1001010100011000010111010000101 C -b1 2 -b1 = -18 -b11111111011100111101000110001011 < -b10110101011100111101000010011001 : -b10110101111111111111111100001110 $ -b10110101111111111111111100001110 - -b10110101111111111111111100001110 5 -b10110101111111111111111100001110 ? -b10110101111111111111111100001110 D -b11111111011100111101000110001011 % -b11111111011100111101000110001011 . -b11111111011100111101000110001011 6 -b11111111011100111101000110001011 @ -b11111111011100111101000110001011 F -b1001010100011000010111010000101 ) -#321344000 -0& -#321360000 -b1001110011101 , -#321376000 -1& -#321392000 -b1000000010110000011000110111010 " -b1000000010110000011000110111010 4 -b1000000010110000011000110111010 1 -b1000000010110000011000110111010 C -b0 2 -b0 = -08 -19 -b1101111101111111110110011100011 < -b10011111101001111100101000111100 : -b101111111001111101110101011001 $ -b101111111001111101110101011001 - -b101111111001111101110101011001 5 -b101111111001111101110101011001 ? -b101111111001111101110101011001 D -b1101111101111111110110011100011 % -b1101111101111111110110011100011 . -b1101111101111111110110011100011 6 -b1101111101111111110110011100011 @ -b1101111101111111110110011100011 F -b1000000010110000011000110111010 ) -#321408000 -0& -#321424000 -b1001110011110 , -#321440000 -1& -#321456000 -b10000000010101001011110011001010 " -b10000000010101001011110011001010 4 -b10000000010101001011110011001010 1 -b10000000010101001011110011001010 C -b0 2 -b0 = -09 -08 -b11110011001111110011001011110100 < -b1100110101010101100000100110010 : -b1110011011010111000111000111110 $ -b1110011011010111000111000111110 - -b1110011011010111000111000111110 5 -b1110011011010111000111000111110 ? -b1110011011010111000111000111110 D -b11110011001111110011001011110100 % -b11110011001111110011001011110100 . -b11110011001111110011001011110100 6 -b11110011001111110011001011110100 @ -b11110011001111110011001011110100 F -b10000000010101001011110011001010 ) -#321472000 -0& -#321488000 -b1001110011111 , -#321504000 -1& -#321520000 -b10000001010100110001010101111010 " -b10000001010100110001010101111010 4 -b10000001010100110001010101111010 1 -b10000001010100110001010101111010 C -b11111110111111000000101111100111 < -b1111110101010110010101010000100 : -b1111111101011110001111010011101 $ -b1111111101011110001111010011101 - -b1111111101011110001111010011101 5 -b1111111101011110001111010011101 ? -b1111111101011110001111010011101 D -b11111110111111000000101111100111 % -b11111110111111000000101111100111 . -b11111110111111000000101111100111 6 -b11111110111111000000101111100111 @ -b11111110111111000000101111100111 F -b10000001010100110001010101111010 ) -#321536000 -0& -#321552000 -b1001110100000 , -#321568000 -1& -#321584000 -b101110100000111010010000100100 " -b101110100000111010010000100100 4 -b101110100000111010010000100100 1 -b101110100000111010010000100100 C -b1 2 -b1 = -18 -19 -b10110111011110011100011100101100 < -b1010001011101000010101000110100 : -b10011001111110100110001100001000 $ -b10011001111110100110001100001000 - -b10011001111110100110001100001000 5 -b10011001111110100110001100001000 ? -b10011001111110100110001100001000 D -b10110111011110011100011100101100 % -b10110111011110011100011100101100 . -b10110111011110011100011100101100 6 -b10110111011110011100011100101100 @ -b10110111011110011100011100101100 F -b101110100000111010010000100100 ) -#321600000 -0& -#321616000 -b1001110100001 , -#321632000 -1& -#321648000 -b11101001000100010110001000001110 " -b11101001000100010110001000001110 4 -b11101001000100010110001000001110 1 -b11101001000100010110001000001110 C -b0 2 -b0 = -08 -09 -b10011111010010101011101010010000 < -b10101101001101001001100101110 : -b1110110010110111101100010011110 $ -b1110110010110111101100010011110 - -b1110110010110111101100010011110 5 -b1110110010110111101100010011110 ? -b1110110010110111101100010011110 D -b10011111010010101011101010010000 % -b10011111010010101011101010010000 . -b10011111010010101011101010010000 6 -b10011111010010101011101010010000 @ -b10011111010010101011101010010000 F -b11101001000100010110001000001110 ) -#321664000 -0& -#321680000 -b1001110100010 , -#321696000 -1& -#321712000 -b1111001101010110000011111110 " -b1111001101010110000011111110 4 -b1111001101010110000011111110 1 -b1111001101010110000011111110 C -b0 2 -b0 = -19 -08 -b1110110101010110001011101011100 < -b11110000010010011000111011111110 : -b1111001100111100111011110100010 $ -b1111001100111100111011110100010 - -b1111001100111100111011110100010 5 -b1111001100111100111011110100010 ? -b1111001100111100111011110100010 D -b1110110101010110001011101011100 % -b1110110101010110001011101011100 . -b1110110101010110001011101011100 6 -b1110110101010110001011101011100 @ -b1110110101010110001011101011100 F -b1111001101010110000011111110 ) -#321728000 -0& -#321744000 -b1001110100011 , -#321760000 -1& -#321776000 -b11000001001001011111011110100010 " -b11000001001001011111011110100010 4 -b11000001001001011111011110100010 1 -b11000001001001011111011110100010 C -b1 2 -b1 = -18 -09 -b11110101010100011001010001011 < -b11111110001110011111011110110100 : -b11011111100011111100010100101001 $ -b11011111100011111100010100101001 - -b11011111100011111100010100101001 5 -b11011111100011111100010100101001 ? -b11011111100011111100010100101001 D -b11110101010100011001010001011 % -b11110101010100011001010001011 . -b11110101010100011001010001011 6 -b11110101010100011001010001011 @ -b11110101010100011001010001011 F -b11000001001001011111011110100010 ) -#321792000 -0& -#321808000 -b1001110100100 , -#321824000 -1& -#321840000 -b1100000110011001111100010000111 " -b1100000110011001111100010000111 4 -b1100000110011001111100010000111 1 -b1100000110011001111100010000111 C -b0 2 -b0 = -08 -19 -b111111001100001111011010111001 < -b10011111001011010000010011110111 : -b1011111111111000000111000111110 $ -b1011111111111000000111000111110 - -b1011111111111000000111000111110 5 -b1011111111111000000111000111110 ? -b1011111111111000000111000111110 D -b111111001100001111011010111001 % -b111111001100001111011010111001 . -b111111001100001111011010111001 6 -b111111001100001111011010111001 @ -b111111001100001111011010111001 F -b1100000110011001111100010000111 ) -#321856000 -0& -#321872000 -b1001110100101 , -#321888000 -1& -#321904000 -b10001001011001100110110010101110 " -b10001001011001100110110010101110 4 -b10001001011001100110110010101110 1 -b10001001011001100110110010101110 C -09 -b0 2 -b0 = -08 -b1111111100110111011101101111000 < -b1110110100110011001001101001110 : -b11110110111111011101011111010110 $ -b11110110111111011101011111010110 - -b11110110111111011101011111010110 5 -b11110110111111011101011111010110 ? -b11110110111111011101011111010110 D -b1111111100110111011101101111000 % -b1111111100110111011101101111000 . -b1111111100110111011101101111000 6 -b1111111100110111011101101111000 @ -b1111111100110111011101101111000 F -b10001001011001100110110010101110 ) -#321920000 -0& -#321936000 -b1001110100110 , -#321952000 -1& -#321968000 -b100011000110001110010010010 " -b100011000110001110010010010 4 -b100011000110001110010010010 1 -b100011000110001110010010010 C -19 -b0 2 -b0 = -08 -b1110010100011101101110111000100 < -b11101001011111001001111100011010 : -b1110110111011011100000101010110 $ -b1110110111011011100000101010110 - -b1110110111011011100000101010110 5 -b1110110111011011100000101010110 ? -b1110110111011011100000101010110 D -b1110010100011101101110111000100 % -b1110010100011101101110111000100 . -b1110010100011101101110111000100 6 -b1110010100011101101110111000100 @ -b1110010100011101101110111000100 F -b100011000110001110010010010 ) -#321984000 -0& -#322000000 -b1001110100111 , -#322016000 -1& -#322032000 -b11010110011000000100100010001110 " -b11010110011000000100100010001110 4 -b11010110011000000100100010001110 1 -b11010110011000000100100010001110 C -09 -b0 2 -b0 = -08 -b1101111111111111010110110110100 < -b101001100111111001001011101110 : -b10111001100111111110010100111010 $ -b10111001100111111110010100111010 - -b10111001100111111110010100111010 5 -b10111001100111111110010100111010 ? -b10111001100111111110010100111010 D -b1101111111111111010110110110100 % -b1101111111111111010110110110100 . -b1101111111111111010110110110100 6 -b1101111111111111010110110110100 @ -b1101111111111111010110110110100 F -b11010110011000000100100010001110 ) -#322048000 -0& -#322064000 -b1001110101000 , -#322080000 -1& -#322096000 -b1000111000101010000101000110011 " -b1000111000101010000101000110011 4 -b1000111000101010000101000110011 1 -b1000111000101010000101000110011 C -19 -b0 2 -b0 = -08 -b111010110011111001101010110010 < -b10111000101010100010101100110011 : -b1111101110110101001000010000001 $ -b1111101110110101001000010000001 - -b1111101110110101001000010000001 5 -b1111101110110101001000010000001 ? -b1111101110110101001000010000001 D -b111010110011111001101010110010 % -b111010110011111001101010110010 . -b111010110011111001101010110010 6 -b111010110011111001101010110010 @ -b111010110011111001101010110010 F -b1000111000101010000101000110011 ) -#322112000 -0& -#322128000 -b1001110101001 , -#322144000 -1& -#322160000 -b101000100000010111101111010101 " -b101000100000010111101111010101 4 -b101000100000010111101111010101 1 -b101000100000010111101111010101 C -b0 2 -b0 = -09 -08 -b10111011111100110100000000000 < -b1010111011111010111101111010101 : -b111111111111110001001111010101 $ -b111111111111110001001111010101 - -b111111111111110001001111010101 5 -b111111111111110001001111010101 ? -b111111111111110001001111010101 D -b10111011111100110100000000000 % -b10111011111100110100000000000 . -b10111011111100110100000000000 6 -b10111011111100110100000000000 @ -b10111011111100110100000000000 F -b101000100000010111101111010101 ) -#322176000 -0& -#322192000 -b1001110101010 , -#322208000 -1& -#322224000 -b1000011010101110011110000000111 " -b1000011010101110011110000000111 4 -b1000011010101110011110000000111 1 -b1000011010101110011110000000111 C -b1 2 -b1 = -18 -b10101111101111011011110001110010 < -b10011100101010000011110011100111 : -b11101100111010101000000001110101 $ -b11101100111010101000000001110101 - -b11101100111010101000000001110101 5 -b11101100111010101000000001110101 ? -b11101100111010101000000001110101 D -b10101111101111011011110001110010 % -b10101111101111011011110001110010 . -b10101111101111011011110001110010 6 -b10101111101111011011110001110010 @ -b10101111101111011011110001110010 F -b1000011010101110011110000000111 ) -#322240000 -0& -#322256000 -b1001110101011 , -#322272000 -1& -#322288000 -b1001100000011100011100000101101 " -b1001100000011100011100000101101 4 -b1001100000011100011100000101101 1 -b1001100000011100011100000101101 C -b10110001110110110111000010110011 < -b10101111101100001011100101010001 : -b11111101110101010100100010011110 $ -b11111101110101010100100010011110 - -b11111101110101010100100010011110 5 -b11111101110101010100100010011110 ? -b11111101110101010100100010011110 D -b10110001110110110111000010110011 % -b10110001110110110111000010110011 . -b10110001110110110111000010110011 6 -b10110001110110110111000010110011 @ -b10110001110110110111000010110011 F -b1001100000011100011100000101101 ) -#322304000 -0& -#322320000 -b1001110101100 , -#322336000 -1& -#322352000 -b11010111000110000100010100001010 " -b11010111000110000100010100001010 4 -b11010111000110000100010100001010 1 -b11010111000110000100010100001010 C -b0 2 -b0 = -08 -b11101000111101110110111101000101 < -b101000111001101001100110010100 : -b111111111011110010101001001111 $ -b111111111011110010101001001111 - -b111111111011110010101001001111 5 -b111111111011110010101001001111 ? -b111111111011110010101001001111 D -b11101000111101110110111101000101 % -b11101000111101110110111101000101 . -b11101000111101110110111101000101 6 -b11101000111101110110111101000101 @ -b11101000111101110110111101000101 F -b11010111000110000100010100001010 ) -#322368000 -0& -#322384000 -b1001110101101 , -#322400000 -1& -#322416000 -b1111010000010010001010001000010 " -b1111010000010010001010001000010 4 -b1111010000010010001010001000010 1 -b1111010000010010001010001000010 C -b101011111111101000111010011110 < -b1111101111101100010100101111010 : -b1010001111101111001101011011100 $ -b1010001111101111001101011011100 - -b1010001111101111001101011011100 5 -b1010001111101111001101011011100 ? -b1010001111101111001101011011100 D -b101011111111101000111010011110 % -b101011111111101000111010011110 . -b101011111111101000111010011110 6 -b101011111111101000111010011110 @ -b101011111111101000111010011110 F -b1111010000010010001010001000010 ) -#322432000 -0& -#322448000 -b1001110101110 , -#322464000 -1& -#322480000 -b1000100000011001111001101010010 " -b1000100000011001111001101010010 4 -b1000100000011001111001101010010 1 -b1000100000011001111001101010010 C -b0 2 -b0 = -19 -08 -b1111111111110111101111110111110 < -b10111011111100110000110010101010 : -b111011111101110010110011101100 $ -b111011111101110010110011101100 - -b111011111101110010110011101100 5 -b111011111101110010110011101100 ? -b111011111101110010110011101100 D -b1111111111110111101111110111110 % -b1111111111110111101111110111110 . -b1111111111110111101111110111110 6 -b1111111111110111101111110111110 @ -b1111111111110111101111110111110 F -b1000100000011001111001101010010 ) -#322496000 -0& -#322512000 -b1001110101111 , -#322528000 -1& -#322544000 -b111011000001111110010101010001 " -b111011000001111110010101010001 4 -b111011000001111110010101010001 1 -b111011000001111110010101010001 C -b1000101101111000101010100100011 < -b11000100011110000000010110010101 : -b1111110101110111011000001110010 $ -b1111110101110111011000001110010 - -b1111110101110111011000001110010 5 -b1111110101110111011000001110010 ? -b1111110101110111011000001110010 D -b1000101101111000101010100100011 % -b1000101101111000101010100100011 . -b1000101101111000101010100100011 6 -b1000101101111000101010100100011 @ -b1000101101111000101010100100011 F -b111011000001111110010101010001 ) -#322560000 -0& -#322576000 -b1001110110000 , -#322592000 -1& -#322608000 -b11100000100010011101011111000111 " -b11100000100010011101011111000111 4 -b11100000100010011101011111000111 1 -b11100000100010011101011111000111 C -09 -b0 2 -b0 = -08 -b1111111011101010100000110010000 < -b11111011100011101011111100111 : -b10011111111111001001011001010111 $ -b10011111111111001001011001010111 - -b10011111111111001001011001010111 5 -b10011111111111001001011001010111 ? -b10011111111111001001011001010111 D -b1111111011101010100000110010000 % -b1111111011101010100000110010000 . -b1111111011101010100000110010000 6 -b1111111011101010100000110010000 @ -b1111111011101010100000110010000 F -b11100000100010011101011111000111 ) -#322624000 -0& -#322640000 -b1001110110001 , -#322656000 -1& -#322672000 -b1001000010010000010011000010110 " -b1001000010010000010011000010110 4 -b1001000010010000010011000010110 1 -b1001000010010000010011000010110 C -b1 2 -b1 = -18 -19 -b10011111111101110110111010101111 < -b1110111101101101011011101101000 : -b11010111101111110100100010111001 $ -b11010111101111110100100010111001 - -b11010111101111110100100010111001 5 -b11010111101111110100100010111001 ? -b11010111101111110100100010111001 D -b10011111111101110110111010101111 % -b10011111111101110110111010101111 . -b10011111111101110110111010101111 6 -b10011111111101110110111010101111 @ -b10011111111101110110111010101111 F -b1001000010010000010011000010110 ) -#322688000 -0& -#322704000 -b1001110110010 , -#322720000 -1& -#322736000 -b1001100000001001100010111011111 " -b1001100000001001100010111011111 4 -b1001100000001001100010111011111 1 -b1001100000001001100010111011111 C -b1 2 -b1 = -09 -18 -b11111111111110110011101000101111 < -b10110011111110110011101000011111 : -b10110011111111111111111111110000 $ -b10110011111111111111111111110000 - -b10110011111111111111111111110000 5 -b10110011111111111111111111110000 ? -b10110011111111111111111111110000 D -b11111111111110110011101000101111 % -b11111111111110110011101000101111 . -b11111111111110110011101000101111 6 -b11111111111110110011101000101111 @ -b11111111111110110011101000101111 F -b1001100000001001100010111011111 ) -#322752000 -0& -#322768000 -b1001110110011 , -#322784000 -1& -#322800000 -b11001110100111110100000011100000 " -b11001110100111110100000011100000 4 -b11001110100111110100000011100000 1 -b11001110100111110100000011100000 C -b0 2 -b0 = -08 -b11110001101011101101110101110101 < -b110000111000000111101100001010 : -b111111001100011001110110010101 $ -b111111001100011001110110010101 - -b111111001100011001110110010101 5 -b111111001100011001110110010101 ? -b111111001100011001110110010101 D -b11110001101011101101110101110101 % -b11110001101011101101110101110101 . -b11110001101011101101110101110101 6 -b11110001101011101101110101110101 @ -b11110001101011101101110101110101 F -b11001110100111110100000011100000 ) -#322816000 -0& -#322832000 -b1001110110100 , -#322848000 -1& -#322864000 -b110000101000011111101000000 " -b110000101000011111101000000 4 -b110000101000011111101000000 1 -b110000101000011111101000000 C -b0 2 -b0 = -19 -08 -b1110011110010110011100110000000 < -b11101001101010100100000001000000 : -b1110101110111110000011011000000 $ -b1110101110111110000011011000000 - -b1110101110111110000011011000000 5 -b1110101110111110000011011000000 ? -b1110101110111110000011011000000 D -b1110011110010110011100110000000 % -b1110011110010110011100110000000 . -b1110011110010110011100110000000 6 -b1110011110010110011100110000000 @ -b1110011110010110011100110000000 F -b110000101000011111101000000 ) -#322880000 -0& -#322896000 -b1001110110101 , -#322912000 -1& -#322928000 -b11100100001001010110000100100 " -b11100100001001010110000100100 4 -b11100100001001010110000100100 1 -b11100100001001010110000100100 C -b1110001101110111110101100000010 < -b11011110111110110011001000101000 : -b1101101001111110100011100100110 $ -b1101101001111110100011100100110 - -b1101101001111110100011100100110 5 -b1101101001111110100011100100110 ? -b1101101001111110100011100100110 D -b1110001101110111110101100000010 % -b1110001101110111110101100000010 . -b1110001101110111110101100000010 6 -b1110001101110111110101100000010 @ -b1110001101110111110101100000010 F -b11100100001001010110000100100 ) -#322944000 -0& -#322960000 -b1001110110110 , -#322976000 -1& -#322992000 -b11100000010110010100111000101111 " -b11100000010110010100111000101111 4 -b11100000010110010100111000101111 1 -b11100000010110010100111000101111 C -09 -b0 2 -b0 = -08 -b11001111011111100010010101011 < -b10011101001100100111100101111 : -b11111001101101101000101010000100 $ -b11111001101101101000101010000100 - -b11111001101101101000101010000100 5 -b11111001101101101000101010000100 ? -b11111001101101101000101010000100 D -b11001111011111100010010101011 % -b11001111011111100010010101011 . -b11001111011111100010010101011 6 -b11001111011111100010010101011 @ -b11001111011111100010010101011 F -b11100000010110010100111000101111 ) -#323008000 -0& -#323024000 -b1001110110111 , -#323040000 -1& -#323056000 -b11000011101000001001101111100000 " -b11000011101000001001101111100000 4 -b11000011101000001001101111100000 1 -b11000011101000001001101111100000 C -b1111100010101111001011010100010 < -b111100010011101010001111100100 : -b10111111111101110000110101000010 $ -b10111111111101110000110101000010 - -b10111111111101110000110101000010 5 -b10111111111101110000110101000010 ? -b10111111111101110000110101000010 D -b1111100010101111001011010100010 % -b1111100010101111001011010100010 . -b1111100010101111001011010100010 6 -b1111100010101111001011010100010 @ -b1111100010101111001011010100010 F -b11000011101000001001101111100000 ) -#323072000 -0& -#323088000 -b1001110111000 , -#323104000 -1& -#323120000 -b10001001001000111011101101001111 " -b10001001001000111011101101001111 4 -b10001001001000111011101101001111 1 -b10001001001000111011101101001111 C -b1000111111111111101110000100110 < -b10110110111000100001110001111 : -b11001110110111000110011101101001 $ -b11001110110111000110011101101001 - -b11001110110111000110011101101001 5 -b11001110110111000110011101101001 ? -b11001110110111000110011101101001 D -b1000111111111111101110000100110 % -b1000111111111111101110000100110 . -b1000111111111111101110000100110 6 -b1000111111111111101110000100110 @ -b1000111111111111101110000100110 F -b10001001001000111011101101001111 ) -#323136000 -0& -#323152000 -b1001110111001 , -#323168000 -1& -#323184000 -b1000000010101001011011110110111 " -b1000000010101001011011110110111 4 -b1000000010101001011011110110111 1 -b1000000010101001011011110110111 C -19 -b0 2 -b0 = -08 -b101111111110111100010010000000 < -b10011111101010110011011110110111 : -b1101111101011110111001100110111 $ -b1101111101011110111001100110111 - -b1101111101011110111001100110111 5 -b1101111101011110111001100110111 ? -b1101111101011110111001100110111 D -b101111111110111100010010000000 % -b101111111110111100010010000000 . -b101111111110111100010010000000 6 -b101111111110111100010010000000 @ -b101111111110111100010010000000 F -b1000000010101001011011110110111 ) -#323200000 -0& -#323216000 -b1001110111010 , -#323232000 -1& -#323248000 -b1010101000000111101111101010100 " -b1010101000000111101111101010100 4 -b1010101000000111101111101010100 1 -b1010101000000111101111101010100 C -b1 2 -b1 = -18 -09 -b10111010111110001101011101110000 < -b10101010111100111101111110010100 : -b11101111111110110000100000100100 $ -b11101111111110110000100000100100 - -b11101111111110110000100000100100 5 -b11101111111110110000100000100100 ? -b11101111111110110000100000100100 D -b10111010111110001101011101110000 % -b10111010111110001101011101110000 . -b10111010111110001101011101110000 6 -b10111010111110001101011101110000 @ -b10111010111110001101011101110000 F -b1010101000000111101111101010100 ) -#323264000 -0& -#323280000 -b1001110111011 , -#323296000 -1& -#323312000 -b11000001010001000010101010111101 " -b11000001010001000010101010111101 4 -b11000001010001000010101010111101 1 -b11000001010001000010101010111101 C -b1 2 -b1 = -18 -09 -b11010111111110111110000110110001 < -b11101110101110111010110010111101 : -b10110101111111100101100001100 $ -b10110101111111100101100001100 - -b10110101111111100101100001100 5 -b10110101111111100101100001100 ? -b10110101111111100101100001100 D -b11010111111110111110000110110001 % -b11010111111110111110000110110001 . -b11010111111110111110000110110001 6 -b11010111111110111110000110110001 @ -b11010111111110111110000110110001 F -b11000001010001000010101010111101 ) -#323328000 -0& -#323344000 -b1001110111100 , -#323360000 -1& -#323376000 -b1100000111100001100000000010010 " -b1100000111100001100000000010010 4 -b1100000111100001100000000010010 1 -b1100000111100001100000000010010 C -b0 2 -b0 = -08 -19 -b110111001111110111001000010010 < -b10001111000011110010010000010010 : -b1010111110011111011001000000000 $ -b1010111110011111011001000000000 - -b1010111110011111011001000000000 5 -b1010111110011111011001000000000 ? -b1010111110011111011001000000000 D -b110111001111110111001000010010 % -b110111001111110111001000010010 . -b110111001111110111001000010010 6 -b110111001111110111001000010010 @ -b110111001111110111001000010010 F -b1100000111100001100000000010010 ) -#323392000 -0& -#323408000 -b1001110111101 , -#323424000 -1& -#323440000 -b1100100000001100000000001101110 " -b1100100000001100000000001101110 4 -b1100100000001100000000001101110 1 -b1100100000001100000000001101110 C -b1111111111111010100011000000010 < -b10011011111110001000110001101110 : -b11011111110110100011001101100 $ -b11011111110110100011001101100 - -b11011111110110100011001101100 5 -b11011111110110100011001101100 ? -b11011111110110100011001101100 D -b1111111111111010100011000000010 % -b1111111111111010100011000000010 . -b1111111111111010100011000000010 6 -b1111111111111010100011000000010 @ -b1111111111111010100011000000010 F -b1100100000001100000000001101110 ) -#323456000 -0& -#323472000 -b1001110111110 , -#323488000 -1& -#323504000 -b11000000111100100100001001010011 " -b11000000111100100100001001010011 4 -b11000000111100100100001001010011 1 -b11000000111100100100001001010011 C -b0 2 -b0 = -09 -08 -b10111111010111111110110101100011 < -b111111000011011001110010010011 : -b1111111101011011010111100110000 $ -b1111111101011011010111100110000 - -b1111111101011011010111100110000 5 -b1111111101011011010111100110000 ? -b1111111101011011010111100110000 D -b10111111010111111110110101100011 % -b10111111010111111110110101100011 . -b10111111010111111110110101100011 6 -b10111111010111111110110101100011 @ -b10111111010111111110110101100011 F -b11000000111100100100001001010011 ) -#323520000 -0& -#323536000 -b1001110111111 , -#323552000 -1& -#323568000 -b1110100000101010010001010011000 " -b1110100000101010010001010011000 4 -b1110100000101010010001010011000 1 -b1110100000101010010001010011000 C -19 -b0 2 -b0 = -08 -b1101010111011111010010101010011 < -b10001001111010100010110100011110 : -b11110111110101000011111001011 $ -b11110111110101000011111001011 - -b11110111110101000011111001011 5 -b11110111110101000011111001011 ? -b11110111110101000011111001011 D -b1101010111011111010010101010011 % -b1101010111011111010010101010011 . -b1101010111011111010010101010011 6 -b1101010111011111010010101010011 @ -b1101010111011111010010101010011 F -b1110100000101010010001010011000 ) -#323584000 -0& -#323600000 -b1001111000000 , -#323616000 -1& -#323632000 -b100001011010010110100001001100 " -b100001011010010110100001001100 4 -b100001011010010110100001001100 1 -b100001011010010110100001001100 C -b1111100101101100101011001011111 < -b11011010100101011001010001110010 : -b1011101110111110011111000010011 $ -b1011101110111110011111000010011 - -b1011101110111110011111000010011 5 -b1011101110111110011111000010011 ? -b1011101110111110011111000010011 D -b1111100101101100101011001011111 % -b1111100101101100101011001011111 . -b1111100101101100101011001011111 6 -b1111100101101100101011001011111 @ -b1111100101101100101011001011111 F -b100001011010010110100001001100 ) -#323648000 -0& -#323664000 -b1001111000001 , -#323680000 -1& -#323696000 -b110001011000011010101010011011 " -b110001011000011010101010011011 4 -b110001011000011010101010011011 1 -b110001011000011010101010011011 C -b1 2 -b1 = -18 -09 -b11010111101101110110000101011111 < -b10111110100011100010110100100011 : -b11100110110101101100101111000100 $ -b11100110110101101100101111000100 - -b11100110110101101100101111000100 5 -b11100110110101101100101111000100 ? -b11100110110101101100101111000100 D -b11010111101101110110000101011111 % -b11010111101101110110000101011111 . -b11010111101101110110000101011111 6 -b11010111101101110110000101011111 @ -b11010111101101110110000101011111 F -b110001011000011010101010011011 ) -#323712000 -0& -#323728000 -b1001111000010 , -#323744000 -1& -#323760000 -b11000010000000010100101010011101 " -b11000010000000010100101010011101 4 -b11000010000000010100101010011101 1 -b11000010000000010100101010011101 C -b0 2 -b0 = -08 -b1110101110111100111000010111101 < -b101101101111011010101011011101 : -b10110111110111110011101000100000 $ -b10110111110111110011101000100000 - -b10110111110111110011101000100000 5 -b10110111110111110011101000100000 ? -b10110111110111110011101000100000 D -b1110101110111100111000010111101 % -b1110101110111100111000010111101 . -b1110101110111100111000010111101 6 -b1110101110111100111000010111101 @ -b1110101110111100111000010111101 F -b11000010000000010100101010011101 ) -#323776000 -0& -#323792000 -b1001111000011 , -#323808000 -1& -#323824000 -b1101001000010110011010111110111 " -b1101001000010110011010111110111 4 -b1101001000010110011010111110111 1 -b1101001000010110011010111110111 C -b1 2 -b1 = -18 -b11011011111101101111001001101011 < -b10001110111101001011101000000111 : -b10110010111111011100011110011100 $ -b10110010111111011100011110011100 - -b10110010111111011100011110011100 5 -b10110010111111011100011110011100 ? -b10110010111111011100011110011100 D -b11011011111101101111001001101011 % -b11011011111101101111001001101011 . -b11011011111101101111001001101011 6 -b11011011111101101111001001101011 @ -b11011011111101101111001001101011 F -b1101001000010110011010111110111 ) -#323840000 -0& -#323856000 -b1001111000100 , -#323872000 -1& -#323888000 -b10101100101010100110001000110010 " -b10101100101010100110001000110010 4 -b10101100101010100110001000110010 1 -b10101100101010100110001000110010 C -b0 2 -b0 = -08 -b11111011110011110111001010011111 < -b1010011001101001000001101001100 : -b1010111011001010001000010101101 $ -b1010111011001010001000010101101 - -b1010111011001010001000010101101 5 -b1010111011001010001000010101101 ? -b1010111011001010001000010101101 D -b11111011110011110111001010011111 % -b11111011110011110111001010011111 . -b11111011110011110111001010011111 6 -b11111011110011110111001010011111 @ -b11111011110011110111001010011111 F -b10101100101010100110001000110010 ) -#323904000 -0& -#323920000 -b1001111000101 , -#323936000 -1& -#323952000 -b10101001100000110111001110011111 " -b10101001100000110111001110011111 4 -b10101001100000110111001110011111 1 -b10101001100000110111001110011111 C -b11010011011111011110001011110110 < -b1001110011111000111010001011111 : -b1111010111111101001000101101001 $ -b1111010111111101001000101101001 - -b1111010111111101001000101101001 5 -b1111010111111101001000101101001 ? -b1111010111111101001000101101001 D -b11010011011111011110001011110110 % -b11010011011111011110001011110110 . -b11010011011111011110001011110110 6 -b11010011011111011110001011110110 @ -b11010011011111011110001011110110 F -b10101001100000110111001110011111 ) -#323968000 -0& -#323984000 -b1001111000110 , -#324000000 -1& -#324016000 -b1000110110011110101100110111011 " -b1000110110011110101100110111011 4 -b1000110110011110101100110111011 1 -b1000110110011110101100110111011 C -b1 2 -b1 = -18 -b11111001101111001001000000001001 < -b10111001001100000101100110111011 : -b10111111011100111100100110110010 $ -b10111111011100111100100110110010 - -b10111111011100111100100110110010 5 -b10111111011100111100100110110010 ? -b10111111011100111100100110110010 D -b11111001101111001001000000001001 % -b11111001101111001001000000001001 . -b11111001101111001001000000001001 6 -b11111001101111001001000000001001 @ -b11111001101111001001000000001001 F -b1000110110011110101100110111011 ) -#324032000 -0& -#324048000 -b1001111000111 , -#324064000 -1& -#324080000 -b10000110111001000001101010100011 " -b10000110111001000001101010100011 4 -b10000110111001000001101010100011 1 -b10000110111001000001101010100011 C -b0 2 -b0 = -08 -b11110011011111111100101110111011 < -b1101001000110111001110011010011 : -b1110101100110111101000100011000 $ -b1110101100110111101000100011000 - -b1110101100110111101000100011000 5 -b1110101100110111101000100011000 ? -b1110101100110111101000100011000 D -b11110011011111111100101110111011 % -b11110011011111111100101110111011 . -b11110011011111111100101110111011 6 -b11110011011111111100101110111011 @ -b11110011011111111100101110111011 F -b10000110111001000001101010100011 ) -#324096000 -0& -#324112000 -b1001111001000 , -#324128000 -1& -#324144000 -b1110100110011011011100011000100 " -b1110100110011011011100011000100 4 -b1110100110011011011100011000100 1 -b1110100110011011011100011000100 C -b1 2 -b1 = -18 -b10011111011100110101001000100001 < -b10001011001100100011110100000110 : -b11101011101111101110101011100101 $ -b11101011101111101110101011100101 - -b11101011101111101110101011100101 5 -b11101011101111101110101011100101 ? -b11101011101111101110101011100101 D -b10011111011100110101001000100001 % -b10011111011100110101001000100001 . -b10011111011100110101001000100001 6 -b10011111011100110101001000100001 @ -b10011111011100110101001000100001 F -b1110100110011011011100011000100 ) -#324160000 -0& -#324176000 -b1001111001001 , -#324192000 -1& -#324208000 -b10111110010100011000101111000100 " -b10111110010100011000101111000100 4 -b10111110010100011000101111000100 1 -b10111110010100011000101111000100 C -b0 2 -b0 = -08 -b11101111110011110100011000011110 < -b1000001011011100001001111111000 : -b1010001100111101100110111011010 $ -b1010001100111101100110111011010 - -b1010001100111101100110111011010 5 -b1010001100111101100110111011010 ? -b1010001100111101100110111011010 D -b11101111110011110100011000011110 % -b11101111110011110100011000011110 . -b11101111110011110100011000011110 6 -b11101111110011110100011000011110 @ -b11101111110011110100011000011110 F -b10111110010100011000101111000100 ) -#324224000 -0& -#324240000 -b1001111001010 , -#324256000 -1& -#324272000 -b1011111111100111000110011110 " -b1011111111100111000110011110 4 -b1011111111100111000110011110 1 -b1011111111100111000110011110 C -b0 2 -b0 = -19 -08 -b1111101100110111010101100111001 < -b11110100000000011000010111100000 : -b1110110011001011101101010100111 $ -b1110110011001011101101010100111 - -b1110110011001011101101010100111 5 -b1110110011001011101101010100111 ? -b1110110011001011101101010100111 D -b1111101100110111010101100111001 % -b1111101100110111010101100111001 . -b1111101100110111010101100111001 6 -b1111101100110111010101100111001 @ -b1111101100110111010101100111001 F -b1011111111100111000110011110 ) -#324288000 -0& -#324304000 -b1001111001011 , -#324320000 -1& -#324336000 -b10011100010110010010000111100000 " -b10011100010110010010000111100000 4 -b10011100010110010010000111100000 1 -b10011100010110010010000111100000 C -b0 2 -b0 = -09 -08 -b11111111101111110010101100000100 < -b1100011101001010011010111101000 : -b1100011111001100000101011100100 $ -b1100011111001100000101011100100 - -b1100011111001100000101011100100 5 -b1100011111001100000101011100100 ? -b1100011111001100000101011100100 D -b11111111101111110010101100000100 % -b11111111101111110010101100000100 . -b11111111101111110010101100000100 6 -b11111111101111110010101100000100 @ -b11111111101111110010101100000100 F -b10011100010110010010000111100000 ) -#324352000 -0& -#324368000 -b1001111001100 , -#324384000 -1& -#324400000 -b100111001101101111001101101011 " -b100111001101101111001101101011 4 -b100111001101101111001101101011 1 -b100111001101101111001101101011 C -b0 2 -b0 = -19 -08 -b1011010111010110000100011010011 < -b11011000110010010000010010001011 : -b1111101110111011111101110111000 $ -b1111101110111011111101110111000 - -b1111101110111011111101110111000 5 -b1111101110111011111101110111000 ? -b1111101110111011111101110111000 D -b1011010111010110000100011010011 % -b1011010111010110000100011010011 . -b1011010111010110000100011010011 6 -b1011010111010110000100011010011 @ -b1011010111010110000100011010011 F -b100111001101101111001101101011 ) -#324416000 -0& -#324432000 -b1001111001101 , -#324448000 -1& -#324464000 -b10000101101101000010011001010011 " -b10000101101101000010011001010011 4 -b10000101101101000010011001010011 1 -b10000101101101000010011001010011 C -b0 2 -b0 = -09 -08 -b11111110010010111101101101111001 < -b1111010010010111101100010100011 : -b1111011111111111111110100101010 $ -b1111011111111111111110100101010 - -b1111011111111111111110100101010 5 -b1111011111111111111110100101010 ? -b1111011111111111111110100101010 D -b11111110010010111101101101111001 % -b11111110010010111101101101111001 . -b11111110010010111101101101111001 6 -b11111110010010111101101101111001 @ -b11111110010010111101101101111001 F -b10000101101101000010011001010011 ) -#324480000 -0& -#324496000 -b1001111001110 , -#324512000 -1& -#324528000 -b1101011000010010001011111011011 " -b1101011000010010001011111011011 4 -b1101011000010010001011111011011 1 -b1101011000010010001011111011011 C -b0 2 -b0 = -19 -08 -b111110111111101001110101000011 < -b10010100111101100010011111011011 : -b1010101111101111000101010011000 $ -b1010101111101111000101010011000 - -b1010101111101111000101010011000 5 -b1010101111101111000101010011000 ? -b1010101111101111000101010011000 D -b111110111111101001110101000011 % -b111110111111101001110101000011 . -b111110111111101001110101000011 6 -b111110111111101001110101000011 @ -b111110111111101001110101000011 F -b1101011000010010001011111011011 ) -#324544000 -0& -#324560000 -b1001111001111 , -#324576000 -1& -#324592000 -b10001010100011101011100110111110 " -b10001010100011101011100110111110 4 -b10001010100011101011100110111110 1 -b10001010100011101011100110111110 C -b1 2 -b1 = -18 -09 -b110011011111010110001001111110 < -b11101101011100010011111000111110 : -b10111001111100111101101111000000 $ -b10111001111100111101101111000000 - -b10111001111100111101101111000000 5 -b10111001111100111101101111000000 ? -b10111001111100111101101111000000 D -b110011011111010110001001111110 % -b110011011111010110001001111110 . -b110011011111010110001001111110 6 -b110011011111010110001001111110 @ -b110011011111010110001001111110 F -b10001010100011101011100110111110 ) -#324608000 -0& -#324624000 -b1001111010000 , -#324640000 -1& -#324656000 -b1010000111001101111011011111010 " -b1010000111001101111011011111010 4 -b1010000111001101111011011111010 1 -b1010000111001101111011011111010 C -b0 2 -b0 = -08 -b1111111110110000000111001100 < -b1101111000110001111100100000010 : -b1011111000111011111011100110110 $ -b1011111000111011111011100110110 - -b1011111000111011111011100110110 5 -b1011111000111011111011100110110 ? -b1011111000111011111011100110110 D -b1111111110110000000111001100 % -b1111111110110000000111001100 . -b1111111110110000000111001100 6 -b1111111110110000000111001100 @ -b1111111110110000000111001100 F -b1010000111001101111011011111010 ) -#324672000 -0& -#324688000 -b1001111010001 , -#324704000 -1& -#324720000 -b10000000110100111011100101101 " -b10000000110100111011100101101 4 -b10000000110100111011100101101 1 -b10000000110100111011100101101 C -b1 2 -b1 = -18 -b11101111111001010111101110000010 < -b11101111111001001000100000110001 : -b11111111111111110000110010101111 $ -b11111111111111110000110010101111 - -b11111111111111110000110010101111 5 -b11111111111111110000110010101111 ? -b11111111111111110000110010101111 D -b11101111111001010111101110000010 % -b11101111111001010111101110000010 . -b11101111111001010111101110000010 6 -b11101111111001010111101110000010 @ -b11101111111001010111101110000010 F -b10000000110100111011100101101 ) -#324736000 -0& -#324752000 -b1001111010010 , -#324768000 -1& -#324784000 -b10100000010001100011000110001111 " -b10100000010001100011000110001111 4 -b10100000010001100011000110001111 1 -b10100000010001100011000110001111 C -b0 2 -b0 = -08 -b1111111101110110110110111111001 < -b1011111101110001100101001101111 : -b11011111111111010101110001110110 $ -b11011111111111010101110001110110 - -b11011111111111010101110001110110 5 -b11011111111111010101110001110110 ? -b11011111111111010101110001110110 D -b1111111101110110110110111111001 % -b1111111101110110110110111111001 . -b1111111101110110110110111111001 6 -b1111111101110110110110111111001 @ -b1111111101110110110110111111001 F -b10100000010001100011000110001111 ) -#324800000 -0& -#324816000 -b1001111010011 , -#324832000 -1& -#324848000 -b100001110000001110011000101011 " -b100001110000001110011000101011 4 -b100001110000001110011000101011 1 -b100001110000001110011000101011 C -b1 2 -b1 = -18 -b11011110001111110011101010100110 < -b11011110001111110001011100110011 : -b11111111111111111101110010001101 $ -b11111111111111111101110010001101 - -b11111111111111111101110010001101 5 -b11111111111111111101110010001101 ? -b11111111111111111101110010001101 D -b11011110001111110011101010100110 % -b11011110001111110011101010100110 . -b11011110001111110011101010100110 6 -b11011110001111110011101010100110 @ -b11011110001111110011101010100110 F -b100001110000001110011000101011 ) -#324864000 -0& -#324880000 -b1001111010100 , -#324896000 -1& -#324912000 -b10001000111110111111111010100110 " -b10001000111110111111111010100110 4 -b10001000111110111111111010100110 1 -b10001000111110111111111010100110 C -b0 2 -b0 = -08 -b11111111000101111110101101000011 < -b1110111000001000000000100101000 : -b1110111111011000001010111100101 $ -b1110111111011000001010111100101 - -b1110111111011000001010111100101 5 -b1110111111011000001010111100101 ? -b1110111111011000001010111100101 D -b11111111000101111110101101000011 % -b11111111000101111110101101000011 . -b11111111000101111110101101000011 6 -b11111111000101111110101101000011 @ -b11111111000101111110101101000011 F -b10001000111110111111111010100110 ) -#324928000 -0& -#324944000 -b1001111010101 , -#324960000 -1& -#324976000 -b100100010000111011100010000 " -b100100010000111011100010000 4 -b100100010000111011100010000 1 -b100100010000111011100010000 C -b1 2 -b1 = -18 -b11111110111101110100011000000010 < -b11111001011101100111011100010100 : -b11111010011111110011000100010010 $ -b11111010011111110011000100010010 - -b11111010011111110011000100010010 5 -b11111010011111110011000100010010 ? -b11111010011111110011000100010010 D -b11111110111101110100011000000010 % -b11111110111101110100011000000010 . -b11111110111101110100011000000010 6 -b11111110111101110100011000000010 @ -b11111110111101110100011000000010 F -b100100010000111011100010000 ) -#324992000 -0& -#325008000 -b1001111010110 , -#325024000 -1& -#325040000 -b1000110010001111010101100000110 " -b1000110010001111010101100000110 4 -b1000110010001111010101100000110 1 -b1000110010001111010101100000110 C -b0 2 -b0 = -08 -19 -b101001101101100100110011101101 < -b10011001101010000011010011011000 : -b1101111111100011110011111101011 $ -b1101111111100011110011111101011 - -b1101111111100011110011111101011 5 -b1101111111100011110011111101011 ? -b1101111111100011110011111101011 D -b101001101101100100110011101101 % -b101001101101100100110011101101 . -b101001101101100100110011101101 6 -b101001101101100100110011101101 @ -b101001101101100100110011101101 F -b1000110010001111010101100000110 ) -#325056000 -0& -#325072000 -b1001111010111 , -#325088000 -1& -#325104000 -b101101100100000001110000100000 " -b101101100100000001110000100000 4 -b101101100100000001110000100000 1 -b101101100100000001110000100000 C -b1010111111011110111010111011100 < -b11010010011011101101111111011000 : -b1111010011111110110100111111100 $ -b1111010011111110110100111111100 - -b1111010011111110110100111111100 5 -b1111010011111110110100111111100 ? -b1111010011111110110100111111100 D -b1010111111011110111010111011100 % -b1010111111011110111010111011100 . -b1010111111011110111010111011100 6 -b1010111111011110111010111011100 @ -b1010111111011110111010111011100 F -b101101100100000001110000100000 ) -#325120000 -0& -#325136000 -b1001111011000 , -#325152000 -1& -#325168000 -b10110010001101001010011110010010 " -b10110010001101001010011110010010 4 -b10110010001101001010011110010010 1 -b10110010001101001010011110010010 C -09 -b0 2 -b0 = -08 -b1111011111011110000010101100010 < -b1000101110010101010100001010010 : -b11001001110110111010001011110000 $ -b11001001110110111010001011110000 - -b11001001110110111010001011110000 5 -b11001001110110111010001011110000 ? -b11001001110110111010001011110000 D -b1111011111011110000010101100010 % -b1111011111011110000010101100010 . -b1111011111011110000010101100010 6 -b1111011111011110000010101100010 @ -b1111011111011110000010101100010 F -b10110010001101001010011110010010 ) -#325184000 -0& -#325200000 -b1001111011001 , -#325216000 -1& -#325232000 -b10001000110000100011111000011110 " -b10001000110000100011111000011110 4 -b10001000110000100011111000011110 1 -b10001000110000100011111000011110 C -b11111011111111010000000000010111 < -b1101111001111000011111000100000 : -b1110011001111110011111000001001 $ -b1110011001111110011111000001001 - -b1110011001111110011111000001001 5 -b1110011001111110011111000001001 ? -b1110011001111110011111000001001 D -b11111011111111010000000000010111 % -b11111011111111010000000000010111 . -b11111011111111010000000000010111 6 -b11111011111111010000000000010111 @ -b11111011111111010000000000010111 F -b10001000110000100011111000011110 ) -#325248000 -0& -#325264000 -b1001111011010 , -#325280000 -1& -#325296000 -b11001010000001001010111111011110 " -b11001010000001001010111111011110 4 -b11001010000001001010111111011110 1 -b11001010000001001010111111011110 C -b111111111111111111011110110101 < -b110101111110110101000000100000 : -b11110101111110110101100001101011 $ -b11110101111110110101100001101011 - -b11110101111110110101100001101011 5 -b11110101111110110101100001101011 ? -b11110101111110110101100001101011 D -b111111111111111111011110110101 % -b111111111111111111011110110101 . -b111111111111111111011110110101 6 -b111111111111111111011110110101 @ -b111111111111111111011110110101 F -b11001010000001001010111111011110 ) -#325312000 -0& -#325328000 -b1001111011011 , -#325344000 -1& -#325360000 -b1000101001001111111100001101100 " -b1000101001001111111100001101100 4 -b1000101001001111111100001101100 1 -b1000101001001111111100001101100 C -b1 2 -b1 = -18 -b11101111111111111000010000101110 < -b10011010110110000000000001110000 : -b10101010110110000111110001000010 $ -b10101010110110000111110001000010 - -b10101010110110000111110001000010 5 -b10101010110110000111110001000010 ? -b10101010110110000111110001000010 D -b11101111111111111000010000101110 % -b11101111111111111000010000101110 . -b11101111111111111000010000101110 6 -b11101111111111111000010000101110 @ -b11101111111111111000010000101110 F -b1000101001001111111100001101100 ) -#325376000 -0& -#325392000 -b1001111011100 , -#325408000 -1& -#325424000 -b10010110111000110111111001101 " -b10010110111000110111111001101 4 -b10010110111000110111111001101 1 -b10010110111000110111111001101 C -b11111101011111101100101111011010 < -b11101101001000010110111111110001 : -b11101111101000101010010000010111 $ -b11101111101000101010010000010111 - -b11101111101000101010010000010111 5 -b11101111101000101010010000010111 ? -b11101111101000101010010000010111 D -b11111101011111101100101111011010 % -b11111101011111101100101111011010 . -b11111101011111101100101111011010 6 -b11111101011111101100101111011010 @ -b11111101011111101100101111011010 F -b10010110111000110111111001101 ) -#325440000 -0& -#325456000 -b1001111011101 , -#325472000 -1& -#325488000 -b10100100010100110001111001110100 " -b10100100010100110001111001110100 4 -b10100100010100110001111001110100 1 -b10100100010100110001111001110100 C -b0 2 -b0 = -08 -b11111111101011000101111000011001 < -b1011011101010111001111010000110 : -b1011011111111110100000001101101 $ -b1011011111111110100000001101101 - -b1011011111111110100000001101101 5 -b1011011111111110100000001101101 ? -b1011011111111110100000001101101 D -b11111111101011000101111000011001 % -b11111111101011000101111000011001 . -b11111111101011000101111000011001 6 -b11111111101011000101111000011001 @ -b11111111101011000101111000011001 F -b10100100010100110001111001110100 ) -#325504000 -0& -#325520000 -b1001111011110 , -#325536000 -1& -#325552000 -b10001010110010001011111010100101 " -b10001010110010001011111010100101 4 -b10001010110010001011111010100101 1 -b10001010110010001011111010100101 C -b1110101101001110011110000011101 < -b1110101000101101011111011010101 : -b11111111011011111000001010111000 $ -b11111111011011111000001010111000 - -b11111111011011111000001010111000 5 -b11111111011011111000001010111000 ? -b11111111011011111000001010111000 D -b1110101101001110011110000011101 % -b1110101101001110011110000011101 . -b1110101101001110011110000011101 6 -b1110101101001110011110000011101 @ -b1110101101001110011110000011101 F -b10001010110010001011111010100101 ) -#325568000 -0& -#325584000 -b1001111011111 , -#325600000 -1& -#325616000 -b1001001000000000010000101100100 " -b1001001000000000010000101100100 4 -b1001001000000000010000101100100 1 -b1001001000000000010000101100100 C -b1 2 -b1 = -18 -b11110110111101011000010100011000 < -b10110110111010110010100110010100 : -b10111111111101011010010001111100 $ -b10111111111101011010010001111100 - -b10111111111101011010010001111100 5 -b10111111111101011010010001111100 ? -b10111111111101011010010001111100 D -b11110110111101011000010100011000 % -b11110110111101011000010100011000 . -b11110110111101011000010100011000 6 -b11110110111101011000010100011000 @ -b11110110111101011000010100011000 F -b1001001000000000010000101100100 ) -#325632000 -0& -#325648000 -b1001111100000 , -#325664000 -1& -#325680000 -b11001100000000110101000001111001 " -b11001100000000110101000001111001 4 -b11001100000000110101000001111001 1 -b11001100000000110101000001111001 C -b0 2 -b0 = -08 -b10111011110011001010100011001011 < -b110011100111001010000101111101 : -b1110111110011111111100010110010 $ -b1110111110011111111100010110010 - -b1110111110011111111100010110010 5 -b1110111110011111111100010110010 ? -b1110111110011111111100010110010 D -b10111011110011001010100011001011 % -b10111011110011001010100011001011 . -b10111011110011001010100011001011 6 -b10111011110011001010100011001011 @ -b10111011110011001010100011001011 F -b11001100000000110101000001111001 ) -#325696000 -0& -#325712000 -b1001111100001 , -#325728000 -1& -#325744000 -b11110000001110011101010001001111 " -b11110000001110011101010001001111 4 -b11110000001110011101010001001111 1 -b11110000001110011101010001001111 C -b111110110101111001011101110111 < -b1101110001011101101010101111 : -b11001110111011100100001100111000 $ -b11001110111011100100001100111000 - -b11001110111011100100001100111000 5 -b11001110111011100100001100111000 ? -b11001110111011100100001100111000 D -b111110110101111001011101110111 % -b111110110101111001011101110111 . -b111110110101111001011101110111 6 -b111110110101111001011101110111 @ -b111110110101111001011101110111 F -b11110000001110011101010001001111 ) -#325760000 -0& -#325776000 -b1001111100010 , -#325792000 -1& -#325808000 -b110010010000100100101101010001 " -b110010010000100100101101010001 4 -b110010010000100100101101010001 1 -b110010010000100100101101010001 C -19 -b0 2 -b0 = -08 -b1011111111111110001110001101101 < -b11001101101111000111001110101001 : -b1101101101111010101011100111100 $ -b1101101101111010101011100111100 - -b1101101101111010101011100111100 5 -b1101101101111010101011100111100 ? -b1101101101111010101011100111100 D -b1011111111111110001110001101101 % -b1011111111111110001110001101101 . -b1011111111111110001110001101101 6 -b1011111111111110001110001101101 @ -b1011111111111110001110001101101 F -b110010010000100100101101010001 ) -#325824000 -0& -#325840000 -b1001111100011 , -#325856000 -1& -#325872000 -b10001000000010100100000000100000 " -b10001000000010100100000000100000 4 -b10001000000010100100000000100000 1 -b10001000000010100100000000100000 C -b0 2 -b0 = -09 -08 -b11001111111111011101111110110011 < -b10111111101010111111101000110 : -b1000111111101111001111110010011 $ -b1000111111101111001111110010011 - -b1000111111101111001111110010011 5 -b1000111111101111001111110010011 ? -b1000111111101111001111110010011 D -b11001111111111011101111110110011 % -b11001111111111011101111110110011 . -b11001111111111011101111110110011 6 -b11001111111111011101111110110011 @ -b11001111111111011101111110110011 F -b10001000000010100100000000100000 ) -#325888000 -0& -#325904000 -b1001111100100 , -#325920000 -1& -#325936000 -b100100100001111000100011 " -b100100100001111000100011 4 -b100100100001111000100011 1 -b100100100001111000100011 C -b1 2 -b1 = -18 -19 -b10111101011011111100000001110110 < -b1111011011011011001111011001011 : -b10111101111111011101111001010101 $ -b10111101111111011101111001010101 - -b10111101111111011101111001010101 5 -b10111101111111011101111001010101 ? -b10111101111111011101111001010101 D -b10111101011011111100000001110110 % -b10111101011011111100000001110110 . -b10111101011011111100000001110110 6 -b10111101011011111100000001110110 @ -b10111101011011111100000001110110 F -b100100100001111000100011 ) -#325952000 -0& -#325968000 -b1001111100101 , -#325984000 -1& -#326000000 -b111001101000001101011111001010 " -b111001101000001101011111001010 4 -b111001101000001101011111001010 1 -b111001101000001101011111001010 C -b1 2 -b1 = -09 -18 -b11110110011111010011011111111010 < -b11000110010110110001100000101010 : -b11001111110111011110000000110000 $ -b11001111110111011110000000110000 - -b11001111110111011110000000110000 5 -b11001111110111011110000000110000 ? -b11001111110111011110000000110000 D -b11110110011111010011011111111010 % -b11110110011111010011011111111010 . -b11110110011111010011011111111010 6 -b11110110011111010011011111111010 @ -b11110110011111010011011111111010 F -b111001101000001101011111001010 ) -#326016000 -0& -#326032000 -b1001111100110 , -#326048000 -1& -#326064000 -b100010110010001111011010100 " -b100010110010001111011010100 4 -b100010110010001111011010100 1 -b100010110010001111011010100 C -b0 2 -b0 = -08 -19 -b1110111111111101001101100110000 < -b11101011101001100010000100010100 : -b1110011101001111000010111100100 $ -b1110011101001111000010111100100 - -b1110011101001111000010111100100 5 -b1110011101001111000010111100100 ? -b1110011101001111000010111100100 D -b1110111111111101001101100110000 % -b1110111111111101001101100110000 . -b1110111111111101001101100110000 6 -b1110111111111101001101100110000 @ -b1110111111111101001101100110000 F -b100010110010001111011010100 ) -#326080000 -0& -#326096000 -b1001111100111 , -#326112000 -1& -#326128000 -b1100001101000101100100110100 " -b1100001101000101100100110100 4 -b1100001101000101100100110100 1 -b1100001101000101100100110100 C -b1111011111110111101100110101110 < -b11110011110010110101101001001000 : -b1110111110011111000000010011010 $ -b1110111110011111000000010011010 - -b1110111110011111000000010011010 5 -b1110111110011111000000010011010 ? -b1110111110011111000000010011010 D -b1111011111110111101100110101110 % -b1111011111110111101100110101110 . -b1111011111110111101100110101110 6 -b1111011111110111101100110101110 @ -b1111011111110111101100110101110 F -b1100001101000101100100110100 ) -#326144000 -0& -#326160000 -b1001111101000 , -#326176000 -1& -#326192000 -b11001000011010010100010001100010 " -b11001000011010010100010001100010 4 -b11001000011010010100010001100010 1 -b11001000011010010100010001100010 C -b0 2 -b0 = -09 -08 -b10110111100101100011100001111100 < -b110111100101011011010010011010 : -b1111111111111110111110000011110 $ -b1111111111111110111110000011110 - -b1111111111111110111110000011110 5 -b1111111111111110111110000011110 ? -b1111111111111110111110000011110 D -b10110111100101100011100001111100 % -b10110111100101100011100001111100 . -b10110111100101100011100001111100 6 -b10110111100101100011100001111100 @ -b10110111100101100011100001111100 F -b11001000011010010100010001100010 ) -#326208000 -0& -#326224000 -b1001111101001 , -#326240000 -1& -#326256000 -b10110001110001000100010001011011 " -b10110001110001000100010001011011 4 -b10110001110001000100010001011011 1 -b10110001110001000100010001011011 C -b11011011001111010001100001110001 < -b1000110001101100111010010011011 : -b1101010111110010101110000101010 $ -b1101010111110010101110000101010 - -b1101010111110010101110000101010 5 -b1101010111110010101110000101010 ? -b1101010111110010101110000101010 D -b11011011001111010001100001110001 % -b11011011001111010001100001110001 . -b11011011001111010001100001110001 6 -b11011011001111010001100001110001 @ -b11011011001111010001100001110001 F -b10110001110001000100010001011011 ) -#326272000 -0& -#326288000 -b1001111101010 , -#326304000 -1& -#326320000 -b10000000111111010100010100010001 " -b10000000111111010100010100010001 4 -b10000000111111010100010100010001 1 -b10000000111111010100010100010001 C -b1111101100100100110100100101000 < -b1111011000000011001010101100001 : -b11111101011011110010110000111001 $ -b11111101011011110010110000111001 - -b11111101011011110010110000111001 5 -b11111101011011110010110000111001 ? -b11111101011011110010110000111001 D -b1111101100100100110100100101000 % -b1111101100100100110100100101000 . -b1111101100100100110100100101000 6 -b1111101100100100110100100101000 @ -b1111101100100100110100100101000 F -b10000000111111010100010100010001 ) -#326336000 -0& -#326352000 -b1001111101011 , -#326368000 -1& -#326384000 -b10100001010010000101000110100000 " -b10100001010010000101000110100000 4 -b10100001010010000101000110100000 1 -b10100001010010000101000110100000 C -b1111110111101111110011010110111 < -b1011110101101111001110111001110 : -b11011111101111111011011100010111 $ -b11011111101111111011011100010111 - -b11011111101111111011011100010111 5 -b11011111101111111011011100010111 ? -b11011111101111111011011100010111 D -b1111110111101111110011010110111 % -b1111110111101111110011010110111 . -b1111110111101111110011010110111 6 -b1111110111101111110011010110111 @ -b1111110111101111110011010110111 F -b10100001010010000101000110100000 ) -#326400000 -0& -#326416000 -b1001111101100 , -#326432000 -1& -#326448000 -b1000101000011100111001101000000 " -b1000101000011100111001101000000 4 -b1000101000011100111001101000000 1 -b1000101000011100111001101000000 C -19 -b0 2 -b0 = -08 -b1101110111101010010000011000101 < -b10011010111100000111010001001010 : -b101011111110110101001110000101 $ -b101011111110110101001110000101 - -b101011111110110101001110000101 5 -b101011111110110101001110000101 ? -b101011111110110101001110000101 D -b1101110111101010010000011000101 % -b1101110111101010010000011000101 . -b1101110111101010010000011000101 6 -b1101110111101010010000011000101 @ -b1101110111101010010000011000101 F -b1000101000011100111001101000000 ) -#326464000 -0& -#326480000 -b1001111101101 , -#326496000 -1& -#326512000 -b10110000100100111000110100101000 " -b10110000100100111000110100101000 4 -b10110000100100111000110100101000 1 -b10110000100100111000110100101000 C -09 -b0 2 -b0 = -08 -b1101111110011011010100101111001 < -b1001111001010111100110111001010 : -b11011111010111100010010001010001 $ -b11011111010111100010010001010001 - -b11011111010111100010010001010001 5 -b11011111010111100010010001010001 ? -b11011111010111100010010001010001 D -b1101111110011011010100101111001 % -b1101111110011011010100101111001 . -b1101111110011011010100101111001 6 -b1101111110011011010100101111001 @ -b1101111110011011010100101111001 F -b10110000100100111000110100101000 ) -#326528000 -0& -#326544000 -b1001111101110 , -#326560000 -1& -#326576000 -b11001000010000100010010101110100 " -b11001000010000100010010101110100 4 -b11001000010000100010010101110100 1 -b11001000010000100010010101110100 C -b10110111111111011011001001111100 < -b110111101111010100100110000100 : -b1111111101111111001011100001000 $ -b1111111101111111001011100001000 - -b1111111101111111001011100001000 5 -b1111111101111111001011100001000 ? -b1111111101111111001011100001000 D -b10110111111111011011001001111100 % -b10110111111111011011001001111100 . -b10110111111111011011001001111100 6 -b10110111111111011011001001111100 @ -b10110111111111011011001001111100 F -b11001000010000100010010101110100 ) -#326592000 -0& -#326608000 -b1001111101111 , -#326624000 -1& -#326640000 -b11111000110010111001011000110001 " -b11111000110010111001011000110001 4 -b11111000110010111001011000110001 1 -b11111000110010111001011000110001 C -b11111111101101100000111100011010 < -b111001100111010100001000101 : -b111011111011001100100101011 $ -b111011111011001100100101011 - -b111011111011001100100101011 5 -b111011111011001100100101011 ? -b111011111011001100100101011 D -b11111111101101100000111100011010 % -b11111111101101100000111100011010 . -b11111111101101100000111100011010 6 -b11111111101101100000111100011010 @ -b11111111101101100000111100011010 F -b11111000110010111001011000110001 ) -#326656000 -0& -#326672000 -b1001111110000 , -#326688000 -1& -#326704000 -b111110100000110100111001011000 " -b111110100000110100111001011000 4 -b111110100000110100111001011000 1 -b111110100000110100111001011000 C -b1 2 -b1 = -18 -b11001000011011111110110110000110 < -b10111111010111001001000101100100 : -b11110110111011001010001111011110 $ -b11110110111011001010001111011110 - -b11110110111011001010001111011110 5 -b11110110111011001010001111011110 ? -b11110110111011001010001111011110 D -b11001000011011111110110110000110 % -b11001000011011111110110110000110 . -b11001000011011111110110110000110 6 -b11001000011011111110110110000110 @ -b11001000011011111110110110000110 F -b111110100000110100111001011000 ) -#326720000 -0& -#326736000 -b1001111110001 , -#326752000 -1& -#326768000 -b11010010000001001000001001011010 " -b11010010000001001000001001011010 4 -b11010010000001001000001001011010 1 -b11010010000001001000001001011010 C -b1 2 -b1 = -18 -09 -b10001111011111110010100101011101 < -b11101100111110101101010001100100 : -b1011101011110111010101100000111 $ -b1011101011110111010101100000111 - -b1011101011110111010101100000111 5 -b1011101011110111010101100000111 ? -b1011101011110111010101100000111 D -b10001111011111110010100101011101 % -b10001111011111110010100101011101 . -b10001111011111110010100101011101 6 -b10001111011111110010100101011101 @ -b10001111011111110010100101011101 F -b11010010000001001000001001011010 ) -#326784000 -0& -#326800000 -b1001111110010 , -#326816000 -1& -#326832000 -b10010100011000000111100000111101 " -b10010100011000000111100000111101 4 -b10010100011000000111100000111101 1 -b10010100011000000111100000111101 C -b0 2 -b0 = -08 -b1111111101111011010111101001001 < -b1101011100110111000011010111101 : -b11101011110111011101011101110100 $ -b11101011110111011101011101110100 - -b11101011110111011101011101110100 5 -b11101011110111011101011101110100 ? -b11101011110111011101011101110100 D -b1111111101111011010111101001001 % -b1111111101111011010111101001001 . -b1111111101111011010111101001001 6 -b1111111101111011010111101001001 @ -b1111111101111011010111101001001 F -b10010100011000000111100000111101 ) -#326848000 -0& -#326864000 -b1001111110011 , -#326880000 -1& -#326896000 -b110000110000110101000111100001 " -b110000110000110101000111100001 4 -b110000110000110101000111100001 1 -b110000110000110101000111100001 C -b111111111111110111100111000110 < -b1001111001110111010000111101101 : -b1111001111000010100000100111 $ -b1111001111000010100000100111 - -b1111001111000010100000100111 5 -b1111001111000010100000100111 ? -b1111001111000010100000100111 D -b111111111111110111100111000110 % -b111111111111110111100111000110 . -b111111111111110111100111000110 6 -b111111111111110111100111000110 @ -b111111111111110111100111000110 F -b110000110000110101000111100001 ) -#326912000 -0& -#326928000 -b1001111110100 , -#326944000 -1& -#326960000 -b10010000100101011101101101101101 " -b10010000100101011101101101101101 4 -b10010000100101011101101101101101 1 -b10010000100101011101101101101101 C -b0 2 -b0 = -08 -09 -b1111111011000110010001110110010 < -b1101111010110100001110010010001 : -b11101111111101101111100011011111 $ -b11101111111101101111100011011111 - -b11101111111101101111100011011111 5 -b11101111111101101111100011011111 ? -b11101111111101101111100011011111 D -b1111111011000110010001110110010 % -b1111111011000110010001110110010 . -b1111111011000110010001110110010 6 -b1111111011000110010001110110010 @ -b1111111011000110010001110110010 F -b10010000100101011101101101101101 ) -#326976000 -0& -#326992000 -b1001111110101 , -#327008000 -1& -#327024000 -b11100000001101011110000110000101 " -b11100000001101011110000110000101 4 -b11100000001101011110000110000101 1 -b11100000001101011110000110000101 C -b11111110111111101101111001011 < -b11111110010100001011000011001 : -b11111111111010100011101001001110 $ -b11111111111010100011101001001110 - -b11111111111010100011101001001110 5 -b11111111111010100011101001001110 ? -b11111111111010100011101001001110 D -b11111110111111101101111001011 % -b11111110111111101101111001011 . -b11111110111111101101111001011 6 -b11111110111111101101111001011 @ -b11111110111111101101111001011 F -b11100000001101011110000110000101 ) -#327040000 -0& -#327056000 -b1001111110110 , -#327072000 -1& -#327088000 -b10000000000000001010001001101011 " -b10000000000000001010001001101011 4 -b10000000000000001010001001101011 1 -b10000000000000001010001001101011 C -b1111011101111110100010000001100 < -b1110111011111110010101001110011 : -b11111011101111111110011001100111 $ -b11111011101111111110011001100111 - -b11111011101111111110011001100111 5 -b11111011101111111110011001100111 ? -b11111011101111111110011001100111 D -b1111011101111110100010000001100 % -b1111011101111110100010000001100 . -b1111011101111110100010000001100 6 -b1111011101111110100010000001100 @ -b1111011101111110100010000001100 F -b10000000000000001010001001101011 ) -#327104000 -0& -#327120000 -b1001111110111 , -#327136000 -1& -#327152000 -b11011000010000011001100100001110 " -b11011000010000011001100100001110 4 -b11011000010000011001100100001110 1 -b11011000010000011001100100001110 C -b1 2 -b1 = -18 -b1010111000111100111111011110010 < -b11100110011111100110011011101110 : -b10001111010111111110011111111100 $ -b10001111010111111110011111111100 - -b10001111010111111110011111111100 5 -b10001111010111111110011111111100 ? -b10001111010111111110011111111100 D -b1010111000111100111111011110010 % -b1010111000111100111111011110010 . -b1010111000111100111111011110010 6 -b1010111000111100111111011110010 @ -b1010111000111100111111011110010 F -b11011000010000011001100100001110 ) -#327168000 -0& -#327184000 -b1001111111000 , -#327200000 -1& -#327216000 -b10110110001011011101110111011111 " -b10110110001011011101110111011111 4 -b10110110001011011101110111011111 1 -b10110110001011011101110111011111 C -b0 2 -b0 = -08 -b11111101110111111101000010000000 < -b1001001110100011101110111011111 : -b1001011111100100000110101011111 $ -b1001011111100100000110101011111 - -b1001011111100100000110101011111 5 -b1001011111100100000110101011111 ? -b1001011111100100000110101011111 D -b11111101110111111101000010000000 % -b11111101110111111101000010000000 . -b11111101110111111101000010000000 6 -b11111101110111111101000010000000 @ -b11111101110111111101000010000000 F -b10110110001011011101110111011111 ) -#327232000 -0& -#327248000 -b1001111111001 , -#327264000 -1& -#327280000 -b10111101100010100101100101000001 " -b10111101100010100101100101000001 4 -b10111101100010100101100101000001 1 -b10111101100010100101100101000001 C -b1110011011111011011111001001111 < -b1000010011101011010010101011101 : -b11001110111101111110011100001110 $ -b11001110111101111110011100001110 - -b11001110111101111110011100001110 5 -b11001110111101111110011100001110 ? -b11001110111101111110011100001110 D -b1110011011111011011111001001111 % -b1110011011111011011111001001111 . -b1110011011111011011111001001111 6 -b1110011011111011011111001001111 @ -b1110011011111011011111001001111 F -b10111101100010100101100101000001 ) -#327296000 -0& -#327312000 -b1001111111010 , -#327328000 -1& -#327344000 -b100000110101001001101000110001 " -b100000110101001001101000110001 4 -b100000110101001001101000110001 1 -b100000110101001001101000110001 C -19 -b0 2 -b0 = -08 -b1110111011110100110110100011101 < -b11001111001010010110010001001001 : -b1010111101011101111011100101100 $ -b1010111101011101111011100101100 - -b1010111101011101111011100101100 5 -b1010111101011101111011100101100 ? -b1010111101011101111011100101100 D -b1110111011110100110110100011101 % -b1110111011110100110110100011101 . -b1110111011110100110110100011101 6 -b1110111011110100110110100011101 @ -b1110111011110100110110100011101 F -b100000110101001001101000110001 ) -#327360000 -0& -#327376000 -b1001111111011 , -#327392000 -1& -#327408000 -b11100101000110101000011110001001 " -b11100101000110101000011110001001 4 -b11100101000110101000011110001001 1 -b11100101000110101000011110001001 C -09 -b0 2 -b0 = -08 -b1010011111101001101110110111000 < -b1010111000110011011111101001 : -b10110110111011100101101000110001 $ -b10110110111011100101101000110001 - -b10110110111011100101101000110001 5 -b10110110111011100101101000110001 ? -b10110110111011100101101000110001 D -b1010011111101001101110110111000 % -b1010011111101001101110110111000 . -b1010011111101001101110110111000 6 -b1010011111101001101110110111000 @ -b1010011111101001101110110111000 F -b11100101000110101000011110001001 ) -#327424000 -0& -#327440000 -b1001111111100 , -#327456000 -1& -#327472000 -b100011100010001010110000101011 " -b100011100010001010110000101011 4 -b100011100010001010110000101011 1 -b100011100010001010110000101011 C -19 -b0 2 -b0 = -08 -b1011101010111010101110111010111 < -b11011100001100110100111111010011 : -b1111110110101011111000111111100 $ -b1111110110101011111000111111100 - -b1111110110101011111000111111100 5 -b1111110110101011111000111111100 ? -b1111110110101011111000111111100 D -b1011101010111010101110111010111 % -b1011101010111010101110111010111 . -b1011101010111010101110111010111 6 -b1011101010111010101110111010111 @ -b1011101010111010101110111010111 F -b100011100010001010110000101011 ) -#327488000 -0& -#327504000 -b1001111111101 , -#327520000 -1& -#327536000 -b1101100111111100001110101100110 " -b1101100111111100001110101100110 4 -b1101100111111100001110101100110 1 -b1101100111111100001110101100110 C -b1 2 -b1 = -18 -09 -b10010111111000111000010111101111 < -b10010011000000010001111001111000 : -b11111011000111011001100010001001 $ -b11111011000111011001100010001001 - -b11111011000111011001100010001001 5 -b11111011000111011001100010001001 ? -b11111011000111011001100010001001 D -b10010111111000111000010111101111 % -b10010111111000111000010111101111 . -b10010111111000111000010111101111 6 -b10010111111000111000010111101111 @ -b10010111111000111000010111101111 F -b1101100111111100001110101100110 ) -#327552000 -0& -#327568000 -b1001111111110 , -#327584000 -1& -#327600000 -b10011010000010100100000111010101 " -b10011010000010100100000111010101 4 -b10011010000010100100000111010101 1 -b10011010000010100100000111010101 C -b0 2 -b0 = -08 -b11100100101101110010010100010010 < -b1100011011101001000100111011001 : -b1111110101111010110010011000111 $ -b1111110101111010110010011000111 - -b1111110101111010110010011000111 5 -b1111110101111010110010011000111 ? -b1111110101111010110010011000111 D -b11100100101101110010010100010010 % -b11100100101101110010010100010010 . -b11100100101101110010010100010010 6 -b11100100101101110010010100010010 @ -b11100100101101110010010100010010 F -b10011010000010100100000111010101 ) -#327616000 -0& -#327632000 -b1001111111111 , -#327648000 -1& -#327664000 -b10011100011010100100111000011101 " -b10011100011010100100111000011101 4 -b10011100011010100100111000011101 1 -b10011100011010100100111000011101 C -b1101111011111011000101101110101 < -b1100010100101010101000011011101 : -b11110011000101111100010101101000 $ -b11110011000101111100010101101000 - -b11110011000101111100010101101000 5 -b11110011000101111100010101101000 ? -b11110011000101111100010101101000 D -b1101111011111011000101101110101 % -b1101111011111011000101101110101 . -b1101111011111011000101101110101 6 -b1101111011111011000101101110101 @ -b1101111011111011000101101110101 F -b10011100011010100100111000011101 ) -#327680000 -0& -#327696000 -b1010000000000 , -#327712000 -1& -#327728000 -b1000000001111011101000001101 " -b1000000001111011101000001101 4 -b1000000001111011101000001101 1 -b1000000001111011101000001101 C -b1 2 -b1 = -18 -b11111011011110001111001100100101 < -b11101110111110000011110001001101 : -b11110011011111110100100100101000 $ -b11110011011111110100100100101000 - -b11110011011111110100100100101000 5 -b11110011011111110100100100101000 ? -b11110011011111110100100100101000 D -b11111011011110001111001100100101 % -b11111011011110001111001100100101 . -b11111011011110001111001100100101 6 -b11111011011110001111001100100101 @ -b11111011011110001111001100100101 F -b1000000001111011101000001101 ) -#327744000 -0& -#327760000 -b1010000000001 , -#327776000 -1& -#327792000 -b1000001001101111010011000 " -b1000001001101111010011000 4 -b1000001001101111010011000 1 -b1000001001101111010011000 C -b0 2 -b0 = -08 -19 -b1101101111110111101001111100100 < -b11011010111110101110000101100000 : -b1101100111111110000110101111100 $ -b1101100111111110000110101111100 - -b1101100111111110000110101111100 5 -b1101100111111110000110101111100 ? -b1101100111111110000110101111100 D -b1101101111110111101001111100100 % -b1101101111110111101001111100100 . -b1101101111110111101001111100100 6 -b1101101111110111101001111100100 @ -b1101101111110111101001111100100 F -b1000001001101111010011000 ) -#327808000 -0& -#327824000 -b1010000000010 , -#327840000 -1& -#327856000 -b10001100100000000001100110101011 " -b10001100100000000001100110101011 4 -b10001100100000000001100110101011 1 -b10001100100000000001100110101011 C -b0 2 -b0 = -09 -08 -b11111001011111111011110110101001 < -b1101111011111110110000110101011 : -b1110101111111111010010000000010 $ -b1110101111111111010010000000010 - -b1110101111111111010010000000010 5 -b1110101111111111010010000000010 ? -b1110101111111111010010000000010 D -b11111001011111111011110110101001 % -b11111001011111111011110110101001 . -b11111001011111111011110110101001 6 -b11111001011111111011110110101001 @ -b11111001011111111011110110101001 F -b10001100100000000001100110101011 ) -#327872000 -0& -#327888000 -b1010000000011 , -#327904000 -1& -#327920000 -b10010100100100000000001011011011 " -b10010100100100000000001011011011 4 -b10010100100100000000001011011011 1 -b10010100100100000000001011011011 C -b1101101111011111010000101101000 < -b1100111011011110100010100011011 : -b11111001011111111010001110110011 $ -b11111001011111111010001110110011 - -b11111001011111111010001110110011 5 -b11111001011111111010001110110011 ? -b11111001011111111010001110110011 D -b1101101111011111010000101101000 % -b1101101111011111010000101101000 . -b1101101111011111010000101101000 6 -b1101101111011111010000101101000 @ -b1101101111011111010000101101000 F -b10010100100100000000001011011011 ) -#327936000 -0& -#327952000 -b1010000000100 , -#327968000 -1& -#327984000 -b1011010110111000001110010000 " -b1011010110111000001110010000 4 -b1011010110111000001110010000 1 -b1011010110111000001110010000 C -b1 2 -b1 = -18 -b11111100111001000100100001110110 < -b11110100101001000001010001011100 : -b11110111101111111100101111100110 $ -b11110111101111111100101111100110 - -b11110111101111111100101111100110 5 -b11110111101111111100101111100110 ? -b11110111101111111100101111100110 D -b11111100111001000100100001110110 % -b11111100111001000100100001110110 . -b11111100111001000100100001110110 6 -b11111100111001000100100001110110 @ -b11111100111001000100100001110110 F -b1011010110111000001110010000 ) -#328000000 -0& -#328016000 -b1010000000101 , -#328032000 -1& -#328048000 -b11010111100000101101111001001111 " -b11010111100000101101111001001111 4 -b11010111100000101101111001001111 1 -b11010111100000101101111001001111 C -b0 2 -b0 = -08 -b10101110011111110010010101110001 < -b101000011111010010000010101111 : -b1111001111111011111101100111110 $ -b1111001111111011111101100111110 - -b1111001111111011111101100111110 5 -b1111001111111011111101100111110 ? -b1111001111111011111101100111110 D -b10101110011111110010010101110001 % -b10101110011111110010010101110001 . -b10101110011111110010010101110001 6 -b10101110011111110010010101110001 @ -b10101110011111110010010101110001 F -b11010111100000101101111001001111 ) -#328064000 -0& -#328080000 -b1010000000110 , -#328096000 -1& -#328112000 -b11101010000100111010001000010111 " -b11101010000100111010001000010111 4 -b11101010000100111010001000010111 1 -b11101010000100111010001000010111 C -b1 2 -b1 = -18 -b11000101011111101101100010010011 < -b11110100111011000101001100010111 : -b101111011011010111101010000100 $ -b101111011011010111101010000100 - -b101111011011010111101010000100 5 -b101111011011010111101010000100 ? -b101111011011010111101010000100 D -b11000101011111101101100010010011 % -b11000101011111101101100010010011 . -b11000101011111101101100010010011 6 -b11000101011111101101100010010011 @ -b11000101011111101101100010010011 F -b11101010000100111010001000010111 ) -#328128000 -0& -#328144000 -b1010000000111 , -#328160000 -1& -#328176000 -b1000010100101110110010100010100 " -b1000010100101110110010100010100 4 -b1000010100101110110010100010100 1 -b1000010100101110110010100010100 C -b10111111111110000100011001110000 < -b10111101011001110110100111010100 : -b11111101011011110010001101100100 $ -b11111101011011110010001101100100 - -b11111101011011110010001101100100 5 -b11111101011011110010001101100100 ? -b11111101011011110010001101100100 D -b10111111111110000100011001110000 % -b10111111111110000100011001110000 . -b10111111111110000100011001110000 6 -b10111111111110000100011001110000 @ -b10111111111110000100011001110000 F -b1000010100101110110010100010100 ) -#328192000 -0& -#328208000 -b1010000001000 , -#328224000 -1& -#328240000 -b10010101000110011001101010011111 " -b10010101000110011001101010011111 4 -b10010101000110011001101010011111 1 -b10010101000110011001101010011111 C -b10111111001111111010101000010 < -b10011010111001100110010100011111 : -b10000010111111100110111111011101 $ -b10000010111111100110111111011101 - -b10000010111111100110111111011101 5 -b10000010111111100110111111011101 ? -b10000010111111100110111111011101 D -b10111111001111111010101000010 % -b10111111001111111010101000010 . -b10111111001111111010101000010 6 -b10111111001111111010101000010 @ -b10111111001111111010101000010 F -b10010101000110011001101010011111 ) -#328256000 -0& -#328272000 -b1010000001001 , -#328288000 -1& -#328304000 -b101101100101111000110100001111 " -b101101100101111000110100001111 4 -b101101100101111000110100001111 1 -b101101100101111000110100001111 C -b11100011101110011000010101111000 < -b10110001111001111000110111101111 : -b11001110001011100000100001110111 $ -b11001110001011100000100001110111 - -b11001110001011100000100001110111 5 -b11001110001011100000100001110111 ? -b11001110001011100000100001110111 D -b11100011101110011000010101111000 % -b11100011101110011000010101111000 . -b11100011101110011000010101111000 6 -b11100011101110011000010101111000 @ -b11100011101110011000010101111000 F -b101101100101111000110100001111 ) -#328320000 -0& -#328336000 -b1010000001010 , -#328352000 -1& -#328368000 -b10000000100110001110010001100000 " -b10000000100110001110010001100000 4 -b10000000100110001110010001100000 1 -b10000000100110001110010001100000 C -b0 2 -b0 = -08 -b1101110011000111100110100111011 < -b1011101010111101111011010010110 : -b11101110111110110010100101011011 $ -b11101110111110110010100101011011 - -b11101110111110110010100101011011 5 -b11101110111110110010100101011011 ? -b11101110111110110010100101011011 D -b1101110011000111100110100111011 % -b1101110011000111100110100111011 . -b1101110011000111100110100111011 6 -b1101110011000111100110100111011 @ -b1101110011000111100110100111011 F -b10000000100110001110010001100000 ) -#328384000 -0& -#328400000 -b1010000001011 , -#328416000 -1& -#328432000 -b1100001011010101001110101010001 " -b1100001011010101001110101010001 4 -b1100001011010101001110101010001 1 -b1100001011010101001110101010001 C -19 -b0 2 -b0 = -08 -b1111111100111011110100001010010 < -b10011110100101010101110101010101 : -b11110111101110111010100000011 $ -b11110111101110111010100000011 - -b11110111101110111010100000011 5 -b11110111101110111010100000011 ? -b11110111101110111010100000011 D -b1111111100111011110100001010010 % -b1111111100111011110100001010010 . -b1111111100111011110100001010010 6 -b1111111100111011110100001010010 @ -b1111111100111011110100001010010 F -b1100001011010101001110101010001 ) -#328448000 -0& -#328464000 -b1010000001100 , -#328480000 -1& -#328496000 -b1000001101010000100100110101111 " -b1000001101010000100100110101111 4 -b1000001101010000100100110101111 1 -b1000001101010000100100110101111 C -b1 2 -b1 = -18 -09 -b10111111110100110111010000010101 < -b10111110010011101011000111001111 : -b11111110011110110011110110111010 $ -b11111110011110110011110110111010 - -b11111110011110110011110110111010 5 -b11111110011110110011110110111010 ? -b11111110011110110011110110111010 D -b10111111110100110111010000010101 % -b10111111110100110111010000010101 . -b10111111110100110111010000010101 6 -b10111111110100110111010000010101 @ -b10111111110100110111010000010101 F -b1000001101010000100100110101111 ) -#328512000 -0& -#328528000 -b1010000001101 , -#328544000 -1& -#328560000 -b10010010100001010100011110101110 " -b10010010100001010100011110101110 4 -b10010010100001010100011110101110 1 -b10010010100001010100011110101110 C -b0 2 -b0 = -08 -b11111001111100011110001110000111 < -b1100101011001101000011110110000 : -b1101011011101001010010000101001 $ -b1101011011101001010010000101001 - -b1101011011101001010010000101001 5 -b1101011011101001010010000101001 ? -b1101011011101001010010000101001 D -b11111001111100011110001110000111 % -b11111001111100011110001110000111 . -b11111001111100011110001110000111 6 -b11111001111100011110001110000111 @ -b11111001111100011110001110000111 F -b10010010100001010100011110101110 ) -#328576000 -0& -#328592000 -b1010000001110 , -#328608000 -1& -#328624000 -b110100000010001110010111101111 " -b110100000010001110010111101111 4 -b110100000010001110010111101111 1 -b110100000010001110010111101111 C -b1 2 -b1 = -18 -b11011101101100111000010011101001 < -b11000111011011101110010111101111 : -b11101001101110110110000100000110 $ -b11101001101110110110000100000110 - -b11101001101110110110000100000110 5 -b11101001101110110110000100000110 ? -b11101001101110110110000100000110 D -b11011101101100111000010011101001 % -b11011101101100111000010011101001 . -b11011101101100111000010011101001 6 -b11011101101100111000010011101001 @ -b11011101101100111000010011101001 F -b110100000010001110010111101111 ) -#328640000 -0& -#328656000 -b1010000001111 , -#328672000 -1& -#328688000 -b1011000000001101101001110010 " -b1011000000001101101001110010 4 -b1011000000001101101001110010 1 -b1011000000001101101001110010 C -b0 2 -b0 = -08 -19 -b1101101111110111010010000001011 < -b11010100111101110010001010000100 : -b1100110111110110111111001111001 $ -b1100110111110110111111001111001 - -b1100110111110110111111001111001 5 -b1100110111110110111111001111001 ? -b1100110111110110111111001111001 D -b1101101111110111010010000001011 % -b1101101111110111010010000001011 . -b1101101111110111010010000001011 6 -b1101101111110111010010000001011 @ -b1101101111110111010010000001011 F -b1011000000001101101001110010 ) -#328704000 -0& -#328720000 -b1010000010000 , -#328736000 -1& -#328752000 -b10000001000110111010000011100010 " -b10000001000110111010000011100010 4 -b10000001000110111010000011100010 1 -b10000001000110111010000011100010 C -09 -b0 2 -b0 = -08 -b1111110111110011101010100100101 < -b1111110110111000100101011101100 : -b11111111111000100111010111000111 $ -b11111111111000100111010111000111 - -b11111111111000100111010111000111 5 -b11111111111000100111010111000111 ? -b11111111111000100111010111000111 D -b1111110111110011101010100100101 % -b1111110111110011101010100100101 . -b1111110111110011101010100100101 6 -b1111110111110011101010100100101 @ -b1111110111110011101010100100101 F -b10000001000110111010000011100010 ) -#328768000 -0& -#328784000 -b1010000010001 , -#328800000 -1& -#328816000 -b11000100100000110011110001011101 " -b11000100100000110011110001011101 4 -b11000100100000110011110001011101 1 -b11000100100000110011110001011101 C -b10111010011111110011011101111100 < -b111001011110110100001010011101 : -b1111110111111000000101100100001 $ -b1111110111111000000101100100001 - -b1111110111111000000101100100001 5 -b1111110111111000000101100100001 ? -b1111110111111000000101100100001 D -b10111010011111110011011101111100 % -b10111010011111110011011101111100 . -b10111010011111110011011101111100 6 -b10111010011111110011011101111100 @ -b10111010011111110011011101111100 F -b11000100100000110011110001011101 ) -#328832000 -0& -#328848000 -b1010000010010 , -#328864000 -1& -#328880000 -b111000111100111010001111 " -b111000111100111010001111 4 -b111000111100111010001111 1 -b111000111100111010001111 C -b1 2 -b1 = -18 -b11111111001101100001100100111010 < -b11111111000010111111000011101111 : -b11111111110101011101011110110101 $ -b11111111110101011101011110110101 - -b11111111110101011101011110110101 5 -b11111111110101011101011110110101 ? -b11111111110101011101011110110101 D -b11111111001101100001100100111010 % -b11111111001101100001100100111010 . -b11111111001101100001100100111010 6 -b11111111001101100001100100111010 @ -b11111111001101100001100100111010 F -b111000111100111010001111 ) -#328896000 -0& -#328912000 -b1010000010011 , -#328928000 -1& -#328944000 -b1010110100010001111001111011101 " -b1010110100010001111001111011101 4 -b1010110100010001111001111011101 1 -b1010110100010001111001111011101 C -b0 2 -b0 = -08 -19 -b111011111111110000111110010000 < -b10101001011101110000101111011101 : -b1101101011101111111110001001101 $ -b1101101011101111111110001001101 - -b1101101011101111111110001001101 5 -b1101101011101111111110001001101 ? -b1101101011101111111110001001101 D -b111011111111110000111110010000 % -b111011111111110000111110010000 . -b111011111111110000111110010000 6 -b111011111111110000111110010000 @ -b111011111111110000111110010000 F -b1010110100010001111001111011101 ) -#328960000 -0& -#328976000 -b1010000010100 , -#328992000 -1& -#329008000 -b1101100101001111111001001000001 " -b1101100101001111111001001000001 4 -b1101100101001111111001001000001 1 -b1101100101001111111001001000001 C -b1 2 -b1 = -18 -09 -b10010011010110010101110010110000 < -b10010011010110000000101110100001 : -b11111111111111101010111011110001 $ -b11111111111111101010111011110001 - -b11111111111111101010111011110001 5 -b11111111111111101010111011110001 ? -b11111111111111101010111011110001 D -b10010011010110010101110010110000 % -b10010011010110010101110010110000 . -b10010011010110010101110010110000 6 -b10010011010110010101110010110000 @ -b10010011010110010101110010110000 F -b1101100101001111111001001000001 ) -#329024000 -0& -#329040000 -b1010000010101 , -#329056000 -1& -#329072000 -b11001010001001110010111011011 " -b11001010001001110010111011011 4 -b11001010001001110010111011011 1 -b11001010001001110010111011011 C -b0 2 -b0 = -08 -b101011111111111110011011111011 < -b1011110101110101110101000011011 : -b110010101110110000001100100000 $ -b110010101110110000001100100000 - -b110010101110110000001100100000 5 -b110010101110110000001100100000 ? -b110010101110110000001100100000 D -b101011111111111110011011111011 % -b101011111111111110011011111011 . -b101011111111111110011011111011 6 -b101011111111111110011011111011 @ -b101011111111111110011011111011 F -b11001010001001110010111011011 ) -#329088000 -0& -#329104000 -b1010000010110 , -#329120000 -1& -#329136000 -b10000001001111001101011101100111 " -b10000001001111001101011101100111 4 -b10000001001111001101011101100111 1 -b10000001001111001101011101100111 C -b11111110110111110000011000110100 < -b1111110110000101101011110000111 : -b1111111111000111101000101010011 $ -b1111111111000111101000101010011 - -b1111111111000111101000101010011 5 -b1111111111000111101000101010011 ? -b1111111111000111101000101010011 D -b11111110110111110000011000110100 % -b11111110110111110000011000110100 . -b11111110110111110000011000110100 6 -b11111110110111110000011000110100 @ -b11111110110111110000011000110100 F -b10000001001111001101011101100111 ) -#329152000 -0& -#329168000 -b1010000010111 , -#329184000 -1& -#329200000 -b10000111110001011101000101001010 " -b10000111110001011101000101001010 4 -b10000111110001011101000101001010 1 -b10000111110001011101000101001010 C -b11111011001111111110100101110010 < -b1111000001110100010000110101010 : -b1111100111110100011100000111000 $ -b1111100111110100011100000111000 - -b1111100111110100011100000111000 5 -b1111100111110100011100000111000 ? -b1111100111110100011100000111000 D -b11111011001111111110100101110010 % -b11111011001111111110100101110010 . -b11111011001111111110100101110010 6 -b11111011001111111110100101110010 @ -b11111011001111111110100101110010 F -b10000111110001011101000101001010 ) -#329216000 -0& -#329232000 -b1010000011000 , -#329248000 -1& -#329264000 -b11010111011010000111111101101110 " -b11010111011010000111111101101110 4 -b11010111011010000111111101101110 1 -b11010111011010000111111101101110 C -b10111111111111111011011001011111 < -b101000100101110111111110010000 : -b1101000100101111100100100110001 $ -b1101000100101111100100100110001 - -b1101000100101111100100100110001 5 -b1101000100101111100100100110001 ? -b1101000100101111100100100110001 D -b10111111111111111011011001011111 % -b10111111111111111011011001011111 . -b10111111111111111011011001011111 6 -b10111111111111111011011001011111 @ -b10111111111111111011011001011111 F -b11010111011010000111111101101110 ) -#329280000 -0& -#329296000 -b1010000011001 , -#329312000 -1& -#329328000 -b1001110000001111001010111000010 " -b1001110000001111001010111000010 4 -b1001110000001111001010111000010 1 -b1001110000001111001010111000010 C -19 -b0 2 -b0 = -08 -b1101011110110111001010100110000 < -b10010001101101111001011000100010 : -b100101110111000000000011110010 $ -b100101110111000000000011110010 - -b100101110111000000000011110010 5 -b100101110111000000000011110010 ? -b100101110111000000000011110010 D -b1101011110110111001010100110000 % -b1101011110110111001010100110000 . -b1101011110110111001010100110000 6 -b1101011110110111001010100110000 @ -b1101011110110111001010100110000 F -b1001110000001111001010111000010 ) -#329344000 -0& -#329360000 -b1010000011010 , -#329376000 -1& -#329392000 -b11000000011111100100010010011110 " -b11000000011111100100010010011110 4 -b11000000011111100100010010011110 1 -b11000000011111100100010010011110 C -09 -b0 2 -b0 = -08 -b1111111111001001111100001001010 < -b111111011111111011010100011110 : -b10111111100110101011110011010100 $ -b10111111100110101011110011010100 - -b10111111100110101011110011010100 5 -b10111111100110101011110011010100 ? -b10111111100110101011110011010100 D -b1111111111001001111100001001010 % -b1111111111001001111100001001010 . -b1111111111001001111100001001010 6 -b1111111111001001111100001001010 @ -b1111111111001001111100001001010 F -b11000000011111100100010010011110 ) -#329408000 -0& -#329424000 -b1010000011011 , -#329440000 -1& -#329456000 -b10010000111000000001110110011111 " -b10010000111000000001110110011111 4 -b10010000111000000001110110011111 1 -b10010000111000000001110110011111 C -b1101111100111110001110011010010 < -b1101111000111100001111000011111 : -b11111111011111110000000101001101 $ -b11111111011111110000000101001101 - -b11111111011111110000000101001101 5 -b11111111011111110000000101001101 ? -b11111111011111110000000101001101 D -b1101111100111110001110011010010 % -b1101111100111110001110011010010 . -b1101111100111110001110011010010 6 -b1101111100111110001110011010010 @ -b1101111100111110001110011010010 F -b10010000111000000001110110011111 ) -#329472000 -0& -#329488000 -b1010000011100 , -#329504000 -1& -#329520000 -b1111111100000001101100110000101 " -b1111111100000001101100110000101 4 -b1111111100000001101100110000101 1 -b1111111100000001101100110000101 C -19 -b0 2 -b0 = -08 -b1010000011111111110111001010101 < -b10000000011111110010011000100101 : -b101111111111110011011111010000 $ -b101111111111110011011111010000 - -b101111111111110011011111010000 5 -b101111111111110011011111010000 ? -b101111111111110011011111010000 D -b1010000011111111110111001010101 % -b1010000011111111110111001010101 . -b1010000011111111110111001010101 6 -b1010000011111111110111001010101 @ -b1010000011111111110111001010101 F -b1111111100000001101100110000101 ) -#329536000 -0& -#329552000 -b1010000011101 , -#329568000 -1& -#329584000 -b10111001111010110000001000111101 " -b10111001111010110000001000111101 4 -b10111001111010110000001000111101 1 -b10111001111010110000001000111101 C -b0 2 -b0 = -09 -08 -b11001110010111110001111001110010 < -b1000110000100110011101011000001 : -b1110111101101000001110001001111 $ -b1110111101101000001110001001111 - -b1110111101101000001110001001111 5 -b1110111101101000001110001001111 ? -b1110111101101000001110001001111 D -b11001110010111110001111001110010 % -b11001110010111110001111001110010 . -b11001110010111110001111001110010 6 -b11001110010111110001111001110010 @ -b11001110010111110001111001110010 F -b10111001111010110000001000111101 ) -#329600000 -0& -#329616000 -b1010000011110 , -#329632000 -1& -#329648000 -b11000010000111100110100001101 " -b11000010000111100110100001101 4 -b11000010000111100110100001101 1 -b11000010000111100110100001101 C -b1 2 -b1 = -18 -b11101111111111001110101000110101 < -b11100111101111000001000101101101 : -b11110111101111110010011100111000 $ -b11110111101111110010011100111000 - -b11110111101111110010011100111000 5 -b11110111101111110010011100111000 ? -b11110111101111110010011100111000 D -b11101111111111001110101000110101 % -b11101111111111001110101000110101 . -b11101111111111001110101000110101 6 -b11101111111111001110101000110101 @ -b11101111111111001110101000110101 F -b11000010000111100110100001101 ) -#329664000 -0& -#329680000 -b1010000011111 , -#329696000 -1& -#329712000 -b11000110000101110110011110000100 " -b11000110000101110110011110000100 4 -b11000110000101110110011110000100 1 -b11000110000101110110011110000100 C -b0 2 -b0 = -08 -b10111101101011000101001001111101 < -b111001011001111000100001110110 : -b1111011101110110011010111111001 $ -b1111011101110110011010111111001 - -b1111011101110110011010111111001 5 -b1111011101110110011010111111001 ? -b1111011101110110011010111111001 D -b10111101101011000101001001111101 % -b10111101101011000101001001111101 . -b10111101101011000101001001111101 6 -b10111101101011000101001001111101 @ -b10111101101011000101001001111101 F -b11000110000101110110011110000100 ) -#329728000 -0& -#329744000 -b1010000100000 , -#329760000 -1& -#329776000 -b10101110010001001110011111000 " -b10101110010001001110011111000 4 -b10101110010001001110011111000 1 -b10101110010001001110011111000 C -b1 2 -b1 = -18 -b11111111101101110110000110111001 < -b11101010001101110101111011111010 : -b11101010011111111111110101000001 $ -b11101010011111111111110101000001 - -b11101010011111111111110101000001 5 -b11101010011111111111110101000001 ? -b11101010011111111111110101000001 D -b11111111101101110110000110111001 % -b11111111101101110110000110111001 . -b11111111101101110110000110111001 6 -b11111111101101110110000110111001 @ -b11111111101101110110000110111001 F -b10101110010001001110011111000 ) -#329792000 -0& -#329808000 -b1010000100001 , -#329824000 -1& -#329840000 -b10011001000010010010001100000000 " -b10011001000010010010001100000000 4 -b10011001000010010010001100000000 1 -b10011001000010010010001100000000 C -b0 2 -b0 = -08 -b1100110111101101100100011101101 < -b1100110111101101011010011011010 : -b11111111111111111110101111101101 $ -b11111111111111111110101111101101 - -b11111111111111111110101111101101 5 -b11111111111111111110101111101101 ? -b11111111111111111110101111101101 D -b1100110111101101100100011101101 % -b1100110111101101100100011101101 . -b1100110111101101100100011101101 6 -b1100110111101101100100011101101 @ -b1100110111101101100100011101101 F -b10011001000010010010001100000000 ) -#329856000 -0& -#329872000 -b1010000100010 , -#329888000 -1& -#329904000 -b11000010100011100010100111 " -b11000010100011100010100111 4 -b11000010100011100010100111 1 -b11000010100011100010100111 C -19 -b0 2 -b0 = -08 -b1110111111000010101110001100100 < -b11101100110011001100000100100111 : -b1110100111010110110010011000011 $ -b1110100111010110110010011000011 - -b1110100111010110110010011000011 5 -b1110100111010110110010011000011 ? -b1110100111010110110010011000011 D -b1110111111000010101110001100100 % -b1110111111000010101110001100100 . -b1110111111000010101110001100100 6 -b1110111111000010101110001100100 @ -b1110111111000010101110001100100 F -b11000010100011100010100111 ) -#329920000 -0& -#329936000 -b1010000100011 , -#329952000 -1& -#329968000 -b11000011100001101100000101110001 " -b11000011100001101100000101110001 4 -b11000011100001101100000101110001 1 -b11000011100001101100000101110001 C -09 -b0 2 -b0 = -08 -b111111111111010010100011101001 < -b111100011110010001001010000001 : -b11111100011110111110100110011000 $ -b11111100011110111110100110011000 - -b11111100011110111110100110011000 5 -b11111100011110111110100110011000 ? -b11111100011110111110100110011000 D -b111111111111010010100011101001 % -b111111111111010010100011101001 . -b111111111111010010100011101001 6 -b111111111111010010100011101001 @ -b111111111111010010100011101001 F -b11000011100001101100000101110001 ) -#329984000 -0& -#330000000 -b1010000100100 , -#330016000 -1& -#330032000 -b1111001110001101111001100000 " -b1111001110001101111001100000 4 -b1111001110001101111001100000 1 -b1111001110001101111001100000 C -b1 2 -b1 = -18 -b11111111111001010000111001111100 < -b11110000110000101101111010011000 : -b11110000110111011101000000011100 $ -b11110000110111011101000000011100 - -b11110000110111011101000000011100 5 -b11110000110111011101000000011100 ? -b11110000110111011101000000011100 D -b11111111111001010000111001111100 % -b11111111111001010000111001111100 . -b11111111111001010000111001111100 6 -b11111111111001010000111001111100 @ -b11111111111001010000111001111100 F -b1111001110001101111001100000 ) -#330048000 -0& -#330064000 -b1010000100101 , -#330080000 -1& -#330096000 -b10000110111100001111101100010011 " -b10000110111100001111101100010011 4 -b10000110111100001111101100010011 1 -b10000110111100001111101100010011 C -b0 2 -b0 = -08 -b11111111010111110100110110001011 < -b1111001000011110000010000100011 : -b1111001101011111011011010011000 $ -b1111001101011111011011010011000 - -b1111001101011111011011010011000 5 -b1111001101011111011011010011000 ? -b1111001101011111011011010011000 D -b11111111010111110100110110001011 % -b11111111010111110100110110001011 . -b11111111010111110100110110001011 6 -b11111111010111110100110110001011 @ -b11111111010111110100110110001011 F -b10000110111100001111101100010011 ) -#330112000 -0& -#330128000 -b1010000100110 , -#330144000 -1& -#330160000 -b11100011001001100100101001011111 " -b11100011001001100100101001011111 4 -b11100011001001100100101001011111 1 -b11100011001001100100101001011111 C -b11010111100011000000110111011010 < -b1100001101100101010101011111 : -b110100101010100100011110000101 $ -b110100101010100100011110000101 - -b110100101010100100011110000101 5 -b110100101010100100011110000101 ? -b110100101010100100011110000101 D -b11010111100011000000110111011010 % -b11010111100011000000110111011010 . -b11010111100011000000110111011010 6 -b11010111100011000000110111011010 @ -b11010111100011000000110111011010 F -b11100011001001100100101001011111 ) -#330176000 -0& -#330192000 -b1010000100111 , -#330208000 -1& -#330224000 -b11000010100001101100010001001010 " -b11000010100001101100010001001010 4 -b11000010100001101100010001001010 1 -b11000010100001101100010001001010 C -b1 2 -b1 = -18 -b11111111110010010110010110010 < -b11111101011110010001010110101010 : -b11011101011111111110100011111000 $ -b11011101011111111110100011111000 - -b11011101011111111110100011111000 5 -b11011101011111111110100011111000 ? -b11011101011111111110100011111000 D -b11111111110010010110010110010 % -b11111111110010010110010110010 . -b11111111110010010110010110010 6 -b11111111110010010110010110010 @ -b11111111110010010110010110010 F -b11000010100001101100010001001010 ) -#330240000 -0& -#330256000 -b1010000101000 , -#330272000 -1& -#330288000 -b11110011000101001101111111010001 " -b11110011000101001101111111010001 4 -b11110011000101001101111111010001 1 -b11110011000101001101111111010001 C -b0 2 -b0 = -08 -b10101110111010111011110101101011 < -b1100111010110010000000100101 : -b1011101111111110110001010111010 $ -b1011101111111110110001010111010 - -b1011101111111110110001010111010 5 -b1011101111111110110001010111010 ? -b1011101111111110110001010111010 D -b10101110111010111011110101101011 % -b10101110111010111011110101101011 . -b10101110111010111011110101101011 6 -b10101110111010111011110101101011 @ -b10101110111010111011110101101011 F -b11110011000101001101111111010001 ) -#330304000 -0& -#330320000 -b1010000101001 , -#330336000 -1& -#330352000 -b10101101101000101100000001111011 " -b10101101101000101100000001111011 4 -b10101101101000101100000001111011 1 -b10101101101000101100000001111011 C -b1111010011111111001100111101110 < -b1010010010111001111001110000011 : -b11010111110111010101100110010101 $ -b11010111110111010101100110010101 - -b11010111110111010101100110010101 5 -b11010111110111010101100110010101 ? -b11010111110111010101100110010101 D -b1111010011111111001100111101110 % -b1111010011111111001100111101110 . -b1111010011111111001100111101110 6 -b1111010011111111001100111101110 @ -b1111010011111111001100111101110 F -b10101101101000101100000001111011 ) -#330368000 -0& -#330384000 -b1010000101010 , -#330400000 -1& -#330416000 -b11100100011000010111111000000110 " -b11100100011000010111111000000110 4 -b11100100011000010111111000000110 1 -b11100100011000010111111000000110 C -b11011011010111110101001010010110 < -b11010100111010111111100100110 : -b111111001111100010110010010000 $ -b111111001111100010110010010000 - -b111111001111100010110010010000 5 -b111111001111100010110010010000 ? -b111111001111100010110010010000 D -b11011011010111110101001010010110 % -b11011011010111110101001010010110 . -b11011011010111110101001010010110 6 -b11011011010111110101001010010110 @ -b11011011010111110101001010010110 F -b11100100011000010111111000000110 ) -#330432000 -0& -#330448000 -b1010000101011 , -#330464000 -1& -#330480000 -b1111011001010100111010001000110 " -b1111011001010100111010001000110 4 -b1111011001010100111010001000110 1 -b1111011001010100111010001000110 C -b1111000111111010111000110100000 < -b1111100110101000111011110000110 : -b11110101110000010111100110 $ -b11110101110000010111100110 - -b11110101110000010111100110 5 -b11110101110000010111100110 ? -b11110101110000010111100110 D -b1111000111111010111000110100000 % -b1111000111111010111000110100000 . -b1111000111111010111000110100000 6 -b1111000111111010111000110100000 @ -b1111000111111010111000110100000 F -b1111011001010100111010001000110 ) -#330496000 -0& -#330512000 -b1010000101100 , -#330528000 -1& -#330544000 -b1110111000101001101101011111010 " -b1110111000101001101101011111010 4 -b1110111000101001101101011111010 1 -b1110111000101001101101011111010 C -b1 2 -b1 = -18 -b10001010101011010011000101010110 < -b10001000011001110001110100000010 : -b11111101101110011110101110101100 $ -b11111101101110011110101110101100 - -b11111101101110011110101110101100 5 -b11111101101110011110101110101100 ? -b11111101101110011110101110101100 D -b10001010101011010011000101010110 % -b10001010101011010011000101010110 . -b10001010101011010011000101010110 6 -b10001010101011010011000101010110 @ -b10001010101011010011000101010110 F -b1110111000101001101101011111010 ) -#330560000 -0& -#330576000 -b1010000101101 , -#330592000 -1& -#330608000 -b111101000101001001001011000110 " -b111101000101001001001011000110 4 -b111101000101001001001011000110 1 -b111101000101001001001011000110 C -b0 2 -b0 = -08 -19 -b1110000110110110110101011000111 < -b10111110101010110110001011001000 : -b1001101110011111111100000000001 $ -b1001101110011111111100000000001 - -b1001101110011111111100000000001 5 -b1001101110011111111100000000001 ? -b1001101110011111111100000000001 D -b1110000110110110110101011000111 % -b1110000110110110110101011000111 . -b1110000110110110110101011000111 6 -b1110000110110110110101011000111 @ -b1110000110110110110101011000111 F -b111101000101001001001011000110 ) -#330624000 -0& -#330640000 -b1010000101110 , -#330656000 -1& -#330672000 -b10001101000111001100011111101000 " -b10001101000111001100011111101000 4 -b10001101000111001100011111101000 1 -b10001101000111001100011111101000 C -b0 2 -b0 = -09 -08 -b11110111011001110010111100110111 < -b1110001111000110001100000010110 : -b1111010011110111110100011011111 $ -b1111010011110111110100011011111 - -b1111010011110111110100011011111 5 -b1111010011110111110100011011111 ? -b1111010011110111110100011011111 D -b11110111011001110010111100110111 % -b11110111011001110010111100110111 . -b11110111011001110010111100110111 6 -b11110111011001110010111100110111 @ -b11110111011001110010111100110111 F -b10001101000111001100011111101000 ) -#330688000 -0& -#330704000 -b1010000101111 , -#330720000 -1& -#330736000 -b100000001001001101110001000001 " -b100000001001001101110001000001 4 -b100000001001001101110001000001 1 -b100000001001001101110001000001 C -b1 2 -b1 = -18 -b11011111111001111011110111100100 < -b11011111101010110001111110001001 : -b11111111110000110110000110100101 $ -b11111111110000110110000110100101 - -b11111111110000110110000110100101 5 -b11111111110000110110000110100101 ? -b11111111110000110110000110100101 D -b11011111111001111011110111100100 % -b11011111111001111011110111100100 . -b11011111111001111011110111100100 6 -b11011111111001111011110111100100 @ -b11011111111001111011110111100100 F -b100000001001001101110001000001 ) -#330752000 -0& -#330768000 -b1010000110000 , -#330784000 -1& -#330800000 -b10010001000110111101001110010100 " -b10010001000110111101001110010100 4 -b10010001000110111101001110010100 1 -b10010001000110111101001110010100 C -b0 2 -b0 = -08 -b1101111110101110011001000110010 < -b1101110101001000001001111011000 : -b11111110110011001110000110100110 $ -b11111110110011001110000110100110 - -b11111110110011001110000110100110 5 -b11111110110011001110000110100110 ? -b11111110110011001110000110100110 D -b1101111110101110011001000110010 % -b1101111110101110011001000110010 . -b1101111110101110011001000110010 6 -b1101111110101110011001000110010 @ -b1101111110101110011001000110010 F -b10010001000110111101001110010100 ) -#330816000 -0& -#330832000 -b1010000110001 , -#330848000 -1& -#330864000 -b11110011001001010010100101010011 " -b11110011001001010010100101010011 4 -b11110011001001010010100101010011 1 -b11110011001001010010100101010011 C -b1110110110100001110101001100 < -b1100110110010101000101101011 : -b11111101111111110011010000011111 $ -b11111101111111110011010000011111 - -b11111101111111110011010000011111 5 -b11111101111111110011010000011111 ? -b11111101111111110011010000011111 D -b1110110110100001110101001100 % -b1110110110100001110101001100 . -b1110110110100001110101001100 6 -b1110110110100001110101001100 @ -b1110110110100001110101001100 F -b11110011001001010010100101010011 ) -#330880000 -0& -#330896000 -b1010000110010 , -#330912000 -1& -#330928000 -b10000001111101100001010100000010 " -b10000001111101100001010100000010 4 -b10000001111101100001010100000010 1 -b10000001111101100001010100000010 C -b11111100011010010110100001000000 < -b1111010000010001110010110000010 : -b1111101100111110111110101000010 $ -b1111101100111110111110101000010 - -b1111101100111110111110101000010 5 -b1111101100111110111110101000010 ? -b1111101100111110111110101000010 D -b11111100011010010110100001000000 % -b11111100011010010110100001000000 . -b11111100011010010110100001000000 6 -b11111100011010010110100001000000 @ -b11111100011010010110100001000000 F -b10000001111101100001010100000010 ) -#330944000 -0& -#330960000 -b1010000110011 , -#330976000 -1& -#330992000 -b1100000010011001001000010110 " -b1100000010011001001000010110 4 -b1100000010011001001000010110 1 -b1100000010011001001000010110 C -b0 2 -b0 = -19 -08 -b1100011111110001100111101100000 < -b11010011111010100010110011010110 : -b1101111111100010101110101110110 $ -b1101111111100010101110101110110 - -b1101111111100010101110101110110 5 -b1101111111100010101110101110110 ? -b1101111111100010101110101110110 D -b1100011111110001100111101100000 % -b1100011111110001100111101100000 . -b1100011111110001100111101100000 6 -b1100011111110001100111101100000 @ -b1100011111110001100111101100000 F -b1100000010011001001000010110 ) -#331008000 -0& -#331024000 -b1010000110100 , -#331040000 -1& -#331056000 -b11110001001001010000101110011111 " -b11110001001001010000101110011111 4 -b11110001001001010000101110011111 1 -b11110001001001010000101110011111 C -09 -b0 2 -b0 = -08 -b111010110110101101010100001010 < -b110110110101011001110011111 : -b11001011111111111101111010010101 $ -b11001011111111111101111010010101 - -b11001011111111111101111010010101 5 -b11001011111111111101111010010101 ? -b11001011111111111101111010010101 D -b111010110110101101010100001010 % -b111010110110101101010100001010 . -b111010110110101101010100001010 6 -b111010110110101101010100001010 @ -b111010110110101101010100001010 F -b11110001001001010000101110011111 ) -#331072000 -0& -#331088000 -b1010000110101 , -#331104000 -1& -#331120000 -b10001000101001001001011010011011 " -b10001000101001001001011010011011 4 -b10001000101001001001011010011011 1 -b10001000101001001001011010011011 C -b1110111010110111111110100011010 < -b1110111010110110110100010011011 : -b11111111111111110110101110000001 $ -b11111111111111110110101110000001 - -b11111111111111110110101110000001 5 -b11111111111111110110101110000001 ? -b11111111111111110110101110000001 D -b1110111010110111111110100011010 % -b1110111010110111111110100011010 . -b1110111010110111111110100011010 6 -b1110111010110111111110100011010 @ -b1110111010110111111110100011010 F -b10001000101001001001011010011011 ) -#331136000 -0& -#331152000 -b1010000110110 , -#331168000 -1& -#331184000 -b1100110110010011011011011110 " -b1100110110010011011011011110 4 -b1100110110010011011011011110 1 -b1100110110010011011011011110 C -b1 2 -b1 = -18 -b11111010001001101101110100111010 < -b11110001001001101100100100011110 : -b11110110111111111110101111100100 $ -b11110110111111111110101111100100 - -b11110110111111111110101111100100 5 -b11110110111111111110101111100100 ? -b11110110111111111110101111100100 D -b11111010001001101101110100111010 % -b11111010001001101101110100111010 . -b11111010001001101101110100111010 6 -b11111010001001101101110100111010 @ -b11111010001001101101110100111010 F -b1100110110010011011011011110 ) -#331200000 -0& -#331216000 -b1010000110111 , -#331232000 -1& -#331248000 -b111101110000000100011000011111 " -b111101110000000100011000011111 4 -b111101110000000100011000011111 1 -b111101110000000100011000011111 C -b0 2 -b0 = -08 -b100111001111011100011110001011 < -b1000010001110110100100100011111 : -b11010111111011000000110010100 $ -b11010111111011000000110010100 - -b11010111111011000000110010100 5 -b11010111111011000000110010100 ? -b11010111111011000000110010100 D -b100111001111011100011110001011 % -b100111001111011100011110001011 . -b100111001111011100011110001011 6 -b100111001111011100011110001011 @ -b100111001111011100011110001011 F -b111101110000000100011000011111 ) -#331264000 -0& -#331280000 -b1010000111000 , -#331296000 -1& -#331312000 -b11100010000000011111101010011010 " -b11100010000000011111101010011010 4 -b11100010000000011111101010011010 1 -b11100010000000011111101010011010 C -b10011011111111101011101010011001 < -b10101111111011111101010011100 : -b1111001111111110100000000000011 $ -b1111001111111110100000000000011 - -b1111001111111110100000000000011 5 -b1111001111111110100000000000011 ? -b1111001111111110100000000000011 D -b10011011111111101011101010011001 % -b10011011111111101011101010011001 . -b10011011111111101011101010011001 6 -b10011011111111101011101010011001 @ -b10011011111111101011101010011001 F -b11100010000000011111101010011010 ) -#331328000 -0& -#331344000 -b1010000111001 , -#331360000 -1& -#331376000 -b1101100111110010011110111000110 " -b1101100111110010011110111000110 4 -b1101100111110010011110111000110 1 -b1101100111110010011110111000110 C -b1 2 -b1 = -18 -19 -b11000011010111100110010001101100 < -b1110011000001011011111000010110 : -b10101111101001110101100110101010 $ -b10101111101001110101100110101010 - -b10101111101001110101100110101010 5 -b10101111101001110101100110101010 ? -b10101111101001110101100110101010 D -b11000011010111100110010001101100 % -b11000011010111100110010001101100 . -b11000011010111100110010001101100 6 -b11000011010111100110010001101100 @ -b11000011010111100110010001101100 F -b1101100111110010011110111000110 ) -#331392000 -0& -#331408000 -b1010000111010 , -#331424000 -1& -#331440000 -b10011000100100011100010001101100 " -b10011000100100011100010001101100 4 -b10011000100100011100010001101100 1 -b10011000100100011100010001101100 C -b0 2 -b0 = -08 -09 -b1101011111111101111100000000010 < -b1011111011011100011010001110000 : -b11110011011011110011110001101110 $ -b11110011011011110011110001101110 - -b11110011011011110011110001101110 5 -b11110011011011110011110001101110 ? -b11110011011011110011110001101110 D -b1101011111111101111100000000010 % -b1101011111111101111100000000010 . -b1101011111111101111100000000010 6 -b1101011111111101111100000000010 @ -b1101011111111101111100000000010 F -b10011000100100011100010001101100 ) -#331456000 -0& -#331472000 -b1010000111011 , -#331488000 -1& -#331504000 -b10110101100010101011000001000100 " -b10110101100010101011000001000100 4 -b10110101100010101011000001000100 1 -b10110101100010101011000001000100 C -b11101110011111010101110010000010 < -b1001010011101010100100101001000 : -b1011011111101111110110011000110 $ -b1011011111101111110110011000110 - -b1011011111101111110110011000110 5 -b1011011111101111110110011000110 ? -b1011011111101111110110011000110 D -b11101110011111010101110010000010 % -b11101110011111010101110010000010 . -b11101110011111010101110010000010 6 -b11101110011111010101110010000010 @ -b11101110011111010101110010000010 F -b10110101100010101011000001000100 ) -#331520000 -0& -#331536000 -b1010000111100 , -#331552000 -1& -#331568000 -b11000101000000001011010110001001 " -b11000101000000001011010110001001 4 -b11000101000000001011010110001001 1 -b11000101000000001011010110001001 C -b10111110111111110011110010111010 < -b111010111111101100010111101101 : -b1111011111111111000100100110011 $ -b1111011111111111000100100110011 - -b1111011111111111000100100110011 5 -b1111011111111111000100100110011 ? -b1111011111111111000100100110011 D -b10111110111111110011110010111010 % -b10111110111111110011110010111010 . -b10111110111111110011110010111010 6 -b10111110111111110011110010111010 @ -b10111110111111110011110010111010 F -b11000101000000001011010110001001 ) -#331584000 -0& -#331600000 -b1010000111101 , -#331616000 -1& -#331632000 -b10111010000010111011100010001010 " -b10111010000010111011100010001010 4 -b10111010000010111011100010001010 1 -b10111010000010111011100010001010 C -b1001101011101101100100011101011 < -b1000100111101000011100101001100 : -b11110111011111010111000001100001 $ -b11110111011111010111000001100001 - -b11110111011111010111000001100001 5 -b11110111011111010111000001100001 ? -b11110111011111010111000001100001 D -b1001101011101101100100011101011 % -b1001101011101101100100011101011 . -b1001101011101101100100011101011 6 -b1001101011101101100100011101011 @ -b1001101011101101100100011101011 F -b10111010000010111011100010001010 ) -#331648000 -0& -#331664000 -b1010000111110 , -#331680000 -1& -#331696000 -b1011101000101001000011001001000 " -b1011101000101001000011001001000 4 -b1011101000101001000011001001000 1 -b1011101000101001000011001001000 C -b1 2 -b1 = -18 -b10111100111010111011011010001100 < -b10011110111010101110011101010000 : -b11100001111111110011000011000100 $ -b11100001111111110011000011000100 - -b11100001111111110011000011000100 5 -b11100001111111110011000011000100 ? -b11100001111111110011000011000100 D -b10111100111010111011011010001100 % -b10111100111010111011011010001100 . -b10111100111010111011011010001100 6 -b10111100111010111011011010001100 @ -b10111100111010111011011010001100 F -b1011101000101001000011001001000 ) -#331712000 -0& -#331728000 -b1010000111111 , -#331744000 -1& -#331760000 -b1001000101011001101111111001001 " -b1001000101011001101111111001001 4 -b1001000101011001101111111001001 1 -b1001000101011001101111111001001 C -b11101111010100111101111101100111 < -b10010111010100101110000000010101 : -b10100111111111110000000010101110 $ -b10100111111111110000000010101110 - -b10100111111111110000000010101110 5 -b10100111111111110000000010101110 ? -b10100111111111110000000010101110 D -b11101111010100111101111101100111 % -b11101111010100111101111101100111 . -b11101111010100111101111101100111 6 -b11101111010100111101111101100111 @ -b11101111010100111101111101100111 F -b1001000101011001101111111001001 ) -#331776000 -0& -#331792000 -b1010001000000 , -#331808000 -1& -#331824000 -b1110001110000011111101101110000 " -b1110001110000011111101101110000 4 -b1110001110000011111101101110000 1 -b1110001110000011111101101110000 C -b0 2 -b0 = -08 -19 -b1001101111101010101011101010011 < -b10001010001010100000001101110110 : -b111100001101001010110000100011 $ -b111100001101001010110000100011 - -b111100001101001010110000100011 5 -b111100001101001010110000100011 ? -b111100001101001010110000100011 D -b1001101111101010101011101010011 % -b1001101111101010101011101010011 . -b1001101111101010101011101010011 6 -b1001101111101010101011101010011 @ -b1001101111101010101011101010011 F -b1110001110000011111101101110000 ) -#331840000 -0& -#331856000 -b1010001000001 , -#331872000 -1& -#331888000 -b10010110100010111011001001100110 " -b10010110100010111011001001100110 4 -b10010110100010111011001001100110 1 -b10010110100010111011001001100110 C -b0 2 -b0 = -09 -08 -b11111001011101010010101101001111 < -b1101001011100111100010001111000 : -b1101111111111101001100100101001 $ -b1101111111111101001100100101001 - -b1101111111111101001100100101001 5 -b1101111111111101001100100101001 ? -b1101111111111101001100100101001 D -b11111001011101010010101101001111 % -b11111001011101010010101101001111 . -b11111001011101010010101101001111 6 -b11111001011101010010101101001111 @ -b11111001011101010010101101001111 F -b10010110100010111011001001100110 ) -#331904000 -0& -#331920000 -b1010001000010 , -#331936000 -1& -#331952000 -b10000100000001011100111101001001 " -b10000100000001011100111101001001 4 -b10000100000001011100111101001001 1 -b10000100000001011100111101001001 C -b1011101110111100000011010000111 < -b110111101110011101000001010101 : -b11011001110110111100100111001110 $ -b11011001110110111100100111001110 - -b11011001110110111100100111001110 5 -b11011001110110111100100111001110 ? -b11011001110110111100100111001110 D -b1011101110111100000011010000111 % -b1011101110111100000011010000111 . -b1011101110111100000011010000111 6 -b1011101110111100000011010000111 @ -b1011101110111100000011010000111 F -b10000100000001011100111101001001 ) -#331968000 -0& -#331984000 -b1010001000011 , -#332000000 -1& -#332016000 -b111010000100111100011101011111 " -b111010000100111100011101011111 4 -b111010000100111100011101011111 1 -b111010000100111100011101011111 C -b1 2 -b1 = -18 -b11000101110111010000101001110001 < -b11000101101010111101011110011111 : -b11111111110011101100110100101110 $ -b11111111110011101100110100101110 - -b11111111110011101100110100101110 5 -b11111111110011101100110100101110 ? -b11111111110011101100110100101110 D -b11000101110111010000101001110001 % -b11000101110111010000101001110001 . -b11000101110111010000101001110001 6 -b11000101110111010000101001110001 @ -b11000101110111010000101001110001 F -b111010000100111100011101011111 ) -#332032000 -0& -#332048000 -b1010001000100 , -#332064000 -1& -#332080000 -b11000010010001111000010011010 " -b11000010010001111000010011010 4 -b11000010010001111000010011010 1 -b11000010010001111000010011010 C -b11111111110111110011000001101110 < -b11100111011101101111000101100010 : -b11100111100101111100000011110100 $ -b11100111100101111100000011110100 - -b11100111100101111100000011110100 5 -b11100111100101111100000011110100 ? -b11100111100101111100000011110100 D -b11111111110111110011000001101110 % -b11111111110111110011000001101110 . -b11111111110111110011000001101110 6 -b11111111110111110011000001101110 @ -b11111111110111110011000001101110 F -b11000010010001111000010011010 ) -#332096000 -0& -#332112000 -b1010001000101 , -#332128000 -1& -#332144000 -b10001011110011011001000111110010 " -b10001011110011011001000111110010 4 -b10001011110011011001000111110010 1 -b10001011110011011001000111110010 C -b0 2 -b0 = -08 -b1111101111101110101000010011111 < -b1110100001100100001001000001100 : -b11110110001110101100000101101101 $ -b11110110001110101100000101101101 - -b11110110001110101100000101101101 5 -b11110110001110101100000101101101 ? -b11110110001110101100000101101101 D -b1111101111101110101000010011111 % -b1111101111101110101000010011111 . -b1111101111101110101000010011111 6 -b1111101111101110101000010011111 @ -b1111101111101110101000010011111 F -b10001011110011011001000111110010 ) -#332160000 -0& -#332176000 -b1010001000110 , -#332192000 -1& -#332208000 -b11010010110001001110111000001111 " -b11010010110001001110111000001111 4 -b11010010110001001110111000001111 1 -b11010010110001001110111000001111 C -b11111101011111101110011101110111 < -b101101001110001111000011101111 : -b101111101110100000100101111000 $ -b101111101110100000100101111000 - -b101111101110100000100101111000 5 -b101111101110100000100101111000 ? -b101111101110100000100101111000 D -b11111101011111101110011101110111 % -b11111101011111101110011101110111 . -b11111101011111101110011101110111 6 -b11111101011111101110011101110111 @ -b11111101011111101110011101110111 F -b11010010110001001110111000001111 ) -#332224000 -0& -#332240000 -b1010001000111 , -#332256000 -1& -#332272000 -b11111100100000111111110011111 " -b11111100100000111111110011111 4 -b11111100100000111111110011111 1 -b11111100100000111111110011111 C -b0 2 -b0 = -19 -08 -b1100110011111111110000010111011 < -b11100000011011110111111111011111 : -b1111001111011111001111100100100 $ -b1111001111011111001111100100100 - -b1111001111011111001111100100100 5 -b1111001111011111001111100100100 ? -b1111001111011111001111100100100 D -b1100110011111111110000010111011 % -b1100110011111111110000010111011 . -b1100110011111111110000010111011 6 -b1100110011111111110000010111011 @ -b1100110011111111110000010111011 F -b11111100100000111111110011111 ) -#332288000 -0& -#332304000 -b1010001001000 , -#332320000 -1& -#332336000 -b1010100101101110001001010100010 " -b1010100101101110001001010100010 4 -b1010100101101110001001010100010 1 -b1010100101101110001001010100010 C -b1101101010011011111110100010010 < -b10100111010010001110110011000010 : -b111001111110101110111110110000 $ -b111001111110101110111110110000 - -b111001111110101110111110110000 5 -b111001111110101110111110110000 ? -b111001111110101110111110110000 D -b1101101010011011111110100010010 % -b1101101010011011111110100010010 . -b1101101010011011111110100010010 6 -b1101101010011011111110100010010 @ -b1101101010011011111110100010010 F -b1010100101101110001001010100010 ) -#332352000 -0& -#332368000 -b1010001001001 , -#332384000 -1& -#332400000 -b11010010100010101011000010010 " -b11010010100010101011000010010 4 -b11010010100010101011000010010 1 -b11010010100010101011000010010 C -b1 2 -b1 = -18 -09 -b11101111101111111010001011111010 < -b11100101101011101001011111100010 : -b11110101111011101111010011101000 $ -b11110101111011101111010011101000 - -b11110101111011101111010011101000 5 -b11110101111011101111010011101000 ? -b11110101111011101111010011101000 D -b11101111101111111010001011111010 % -b11101111101111111010001011111010 . -b11101111101111111010001011111010 6 -b11101111101111111010001011111010 @ -b11101111101111111010001011111010 F -b11010010100010101011000010010 ) -#332416000 -0& -#332432000 -b1010001001010 , -#332448000 -1& -#332464000 -b11101110001101100111010011011010 " -b11101110001101100111010011011010 4 -b11101110001101100111010011011010 1 -b11101110001101100111010011011010 C -b0 2 -b0 = -08 -b10011001101111110100011110100011 < -b10001010010000111101100011100 : -b1110111100010010011001101111001 $ -b1110111100010010011001101111001 - -b1110111100010010011001101111001 5 -b1110111100010010011001101111001 ? -b1110111100010010011001101111001 D -b10011001101111110100011110100011 % -b10011001101111110100011110100011 . -b10011001101111110100011110100011 6 -b10011001101111110100011110100011 @ -b10011001101111110100011110100011 F -b11101110001101100111010011011010 ) -#332480000 -0& -#332496000 -b1010001001011 , -#332512000 -1& -#332528000 -b1011100001000010001100111001111 " -b1011100001000010001100111001111 4 -b1011100001000010001100111001111 1 -b1011100001000010001100111001111 C -b0 2 -b0 = -19 -08 -b100111110111110110010011000110 < -b10100011110111011110000111001111 : -b1111011111111100111110100001001 $ -b1111011111111100111110100001001 - -b1111011111111100111110100001001 5 -b1111011111111100111110100001001 ? -b1111011111111100111110100001001 D -b100111110111110110010011000110 % -b100111110111110110010011000110 . -b100111110111110110010011000110 6 -b100111110111110110010011000110 @ -b100111110111110110010011000110 F -b1011100001000010001100111001111 ) -#332544000 -0& -#332560000 -b1010001001100 , -#332576000 -1& -#332592000 -b11001001010010000111100101101 " -b11001001010010000111100101101 4 -b11001001010010000111100101101 1 -b11001001010010000111100101101 C -b1101111100101111110000110111101 < -b11100110010101101101000001001101 : -b1110110101111101110111010010000 $ -b1110110101111101110111010010000 - -b1110110101111101110111010010000 5 -b1110110101111101110111010010000 ? -b1110110101111101110111010010000 D -b1101111100101111110000110111101 % -b1101111100101111110000110111101 . -b1101111100101111110000110111101 6 -b1101111100101111110000110111101 @ -b1101111100101111110000110111101 F -b11001001010010000111100101101 ) -#332608000 -0& -#332624000 -b1010001001101 , -#332640000 -1& -#332656000 -b10011010100110011011101001111111 " -b10011010100110011011101001111111 4 -b10011010100110011011101001111111 1 -b10011010100110011011101001111111 C -b0 2 -b0 = -09 -08 -b11100111111011110000001111110111 < -b1100101011001011011110101111111 : -b1111101011101101011100110001000 $ -b1111101011101101011100110001000 - -b1111101011101101011100110001000 5 -b1111101011101101011100110001000 ? -b1111101011101101011100110001000 D -b11100111111011110000001111110111 % -b11100111111011110000001111110111 . -b11100111111011110000001111110111 6 -b11100111111011110000001111110111 @ -b11100111111011110000001111110111 F -b10011010100110011011101001111111 ) -#332672000 -0& -#332688000 -b1010001001110 , -#332704000 -1& -#332720000 -b1011011001010000010010110111100 " -b1011011001010000010010110111100 4 -b1011011001010000010010110111100 1 -b1011011001010000010010110111100 C -b0 2 -b0 = -19 -08 -b101110111010111011110001101111 < -b10100100101011110101011001000010 : -b1110101110000111001100111010011 $ -b1110101110000111001100111010011 - -b1110101110000111001100111010011 5 -b1110101110000111001100111010011 ? -b1110101110000111001100111010011 D -b101110111010111011110001101111 % -b101110111010111011110001101111 . -b101110111010111011110001101111 6 -b101110111010111011110001101111 @ -b101110111010111011110001101111 F -b1011011001010000010010110111100 ) -#332736000 -0& -#332752000 -b1010001001111 , -#332768000 -1& -#332784000 -b1000110000101001110010100101000 " -b1000110000101001110010100101000 4 -b1000110000101001110010100101000 1 -b1000110000101001110010100101000 C -b1111101111110000100111111000100 < -b10111001111001001111101010110000 : -b111011111011001010101011101100 $ -b111011111011001010101011101100 - -b111011111011001010101011101100 5 -b111011111011001010101011101100 ? -b111011111011001010101011101100 D -b1111101111110000100111111000100 % -b1111101111110000100111111000100 . -b1111101111110000100111111000100 6 -b1111101111110000100111111000100 @ -b1111101111110000100111111000100 F -b1000110000101001110010100101000 ) -#332800000 -0& -#332816000 -b1010001010000 , -#332832000 -1& -#332848000 -b1100010010010001011101000011010 " -b1100010010010001011101000011010 4 -b1100010010010001011101000011010 1 -b1100010010010001011101000011010 C -b1 2 -b1 = -18 -09 -b10011111101101111011010001100100 < -b10011101101101101100001011100010 : -b11111101111111110000111001111110 $ -b11111101111111110000111001111110 - -b11111101111111110000111001111110 5 -b11111101111111110000111001111110 ? -b11111101111111110000111001111110 D -b10011111101101111011010001100100 % -b10011111101101111011010001100100 . -b10011111101101111011010001100100 6 -b10011111101101111011010001100100 @ -b10011111101101111011010001100100 F -b1100010010010001011101000011010 ) -#332864000 -0& -#332880000 -b1010001010001 , -#332896000 -1& -#332912000 -b11110001100010000100110011111000 " -b11110001100010000100110011111000 4 -b11110001100010000100110011111000 1 -b11110001100010000100110011111000 C -b1 2 -b1 = -18 -09 -b11000110011101111010111011010000 < -b11111110011101111001000011111000 : -b110111111111111110001000101000 $ -b110111111111111110001000101000 - -b110111111111111110001000101000 5 -b110111111111111110001000101000 ? -b110111111111111110001000101000 D -b11000110011101111010111011010000 % -b11000110011101111010111011010000 . -b11000110011101111010111011010000 6 -b11000110011101111010111011010000 @ -b11000110011101111010111011010000 F -b11110001100010000100110011111000 ) -#332928000 -0& -#332944000 -b1010001010010 , -#332960000 -1& -#332976000 -b10000010110110100111011010001001 " -b10000010110110100111011010001001 4 -b10000010110110100111011010001001 1 -b10000010110110100111011010001001 C -b0 2 -b0 = -08 -b1111111001101111100111111101101 < -b1111101001001011000100101010001 : -b11111101111011011011100101100100 $ -b11111101111011011011100101100100 - -b11111101111011011011100101100100 5 -b11111101111011011011100101100100 ? -b11111101111011011011100101100100 D -b1111111001101111100111111101101 % -b1111111001101111100111111101101 . -b1111111001101111100111111101101 6 -b1111111001101111100111111101101 @ -b1111111001101111100111111101101 F -b10000010110110100111011010001001 ) -#332992000 -0& -#333008000 -b1010001010011 , -#333024000 -1& -#333040000 -b10000000001100100110011100110011 " -b10000000001100100110011100110011 4 -b10000000001100100110011100110011 1 -b10000000001100100110011100110011 C -b11110011110111010110000000100100 < -b1100111110011000110011100111011 : -b1110011111011110000011100010111 $ -b1110011111011110000011100010111 - -b1110011111011110000011100010111 5 -b1110011111011110000011100010111 ? -b1110011111011110000011100010111 D -b11110011110111010110000000100100 % -b11110011110111010110000000100100 . -b11110011110111010110000000100100 6 -b11110011110111010110000000100100 @ -b11110011110111010110000000100100 F -b10000000001100100110011100110011 ) -#333056000 -0& -#333072000 -b1010001010100 , -#333088000 -1& -#333104000 -b11000001100000100110100111111100 " -b11000001100000100110100111111100 4 -b11000001100000100110100111111100 1 -b11000001100000100110100111111100 C -b1 2 -b1 = -18 -b11011110011111010110011111000100 < -b11111110011111000111010111111100 : -b11111111111110000111000111000 $ -b11111111111110000111000111000 - -b11111111111110000111000111000 5 -b11111111111110000111000111000 ? -b11111111111110000111000111000 D -b11011110011111010110011111000100 % -b11011110011111010110011111000100 . -b11011110011111010110011111000100 6 -b11011110011111010110011111000100 @ -b11011110011111010110011111000100 F -b11000001100000100110100111111100 ) -#333120000 -0& -#333136000 -b1010001010101 , -#333152000 -1& -#333168000 -b11000000000001010100000110001011 " -b11000000000001010100000110001011 4 -b11000000000001010100000110001011 1 -b11000000000001010100000110001011 C -b0 2 -b0 = -08 -b1111111101110100011011000101101 < -b111111011110011010110111010011 : -b10111111101111110111011110100110 $ -b10111111101111110111011110100110 - -b10111111101111110111011110100110 5 -b10111111101111110111011110100110 ? -b10111111101111110111011110100110 D -b1111111101110100011011000101101 % -b1111111101110100011011000101101 . -b1111111101110100011011000101101 6 -b1111111101110100011011000101101 @ -b1111111101110100011011000101101 F -b11000000000001010100000110001011 ) -#333184000 -0& -#333200000 -b1010001010110 , -#333216000 -1& -#333232000 -b11010100101001100101011010101011 " -b11010100101001100101011010101011 4 -b11010100101001100101011010101011 1 -b11010100101001100101011010101011 C -b11111011111111011110101000111110 < -b101011010110011010011011010011 : -b101111010110111011110010010101 $ -b101111010110111011110010010101 - -b101111010110111011110010010101 5 -b101111010110111011110010010101 ? -b101111010110111011110010010101 D -b11111011111111011110101000111110 % -b11111011111111011110101000111110 . -b11111011111111011110101000111110 6 -b11111011111111011110101000111110 @ -b11111011111111011110101000111110 F -b11010100101001100101011010101011 ) -#333248000 -0& -#333264000 -b1010001010111 , -#333280000 -1& -#333296000 -b1110010100010111001101110000 " -b1110010100010111001101110000 4 -b1110010100010111001101110000 1 -b1110010100010111001101110000 C -b1 2 -b1 = -18 -b11110011101111110101000000001100 < -b11110001101011010111001110001000 : -b11111101111011100010001101111100 $ -b11111101111011100010001101111100 - -b11111101111011100010001101111100 5 -b11111101111011100010001101111100 ? -b11111101111011100010001101111100 D -b11110011101111110101000000001100 % -b11110011101111110101000000001100 . -b11110011101111110101000000001100 6 -b11110011101111110101000000001100 @ -b11110011101111110101000000001100 F -b1110010100010111001101110000 ) -#333312000 -0& -#333328000 -b1010001011000 , -#333344000 -1& -#333360000 -b1001100111011100110001111110 " -b1001100111011100110001111110 4 -b1001100111011100110001111110 1 -b1001100111011100110001111110 C -b0 2 -b0 = -08 -19 -b1110111111100110001000011000100 < -b11110110011000011110110101111110 : -b1111110011011101101110010111010 $ -b1111110011011101101110010111010 - -b1111110011011101101110010111010 5 -b1111110011011101101110010111010 ? -b1111110011011101101110010111010 D -b1110111111100110001000011000100 % -b1110111111100110001000011000100 . -b1110111111100110001000011000100 6 -b1110111111100110001000011000100 @ -b1110111111100110001000011000100 F -b1001100111011100110001111110 ) -#333376000 -0& -#333392000 -b1010001011001 , -#333408000 -1& -#333424000 -b110000101100001111011111001011 " -b110000101100001111011111001011 4 -b110000101100001111011111001011 1 -b110000101100001111011111001011 C -b1 2 -b1 = -18 -09 -b11111111101011111000100110010100 < -b11001110110011110000011111110011 : -b11001111000111110111111001011111 $ -b11001111000111110111111001011111 - -b11001111000111110111111001011111 5 -b11001111000111110111111001011111 ? -b11001111000111110111111001011111 D -b11111111101011111000100110010100 % -b11111111101011111000100110010100 . -b11111111101011111000100110010100 6 -b11111111101011111000100110010100 @ -b11111111101011111000100110010100 F -b110000101100001111011111001011 ) -#333440000 -0& -#333456000 -b1010001011010 , -#333472000 -1& -#333488000 -b11001001000010010101100011001010 " -b11001001000010010101100011001010 4 -b11001001000010010101100011001010 1 -b11001001000010010101100011001010 C -b0 2 -b0 = -08 -b110111111111101001000000001011 < -b110110111101100101100011001100 : -b11111110111101111100100011000001 $ -b11111110111101111100100011000001 - -b11111110111101111100100011000001 5 -b11111110111101111100100011000001 ? -b11111110111101111100100011000001 D -b110111111111101001000000001011 % -b110111111111101001000000001011 . -b110111111111101001000000001011 6 -b110111111111101001000000001011 @ -b110111111111101001000000001011 F -b11001001000010010101100011001010 ) -#333504000 -0& -#333520000 -b1010001011011 , -#333536000 -1& -#333552000 -b11010100100110000111110010001000 " -b11010100100110000111110010001000 4 -b11010100100110000111110010001000 1 -b11010100100110000111110010001000 C -b110111111101111101000001000010 < -b11011011001110111110100001100 : -b11100011011011111010110011001010 $ -b11100011011011111010110011001010 - -b11100011011011111010110011001010 5 -b11100011011011111010110011001010 ? -b11100011011011111010110011001010 D -b110111111101111101000001000010 % -b110111111101111101000001000010 . -b110111111101111101000001000010 6 -b110111111101111101000001000010 @ -b110111111101111101000001000010 F -b11010100100110000111110010001000 ) -#333568000 -0& -#333584000 -b1010001011100 , -#333600000 -1& -#333616000 -b10111111001101111101001000000011 " -b10111111001101111101001000000011 4 -b10111111001101111101001000000011 1 -b10111111001101111101001000000011 C -b1 2 -b1 = -18 -b10111111011101100001011001110 < -b11000000110001111101001110011011 : -b10101000110110010001000011001101 $ -b10101000110110010001000011001101 - -b10101000110110010001000011001101 5 -b10101000110110010001000011001101 ? -b10101000110110010001000011001101 D -b10111111011101100001011001110 % -b10111111011101100001011001110 . -b10111111011101100001011001110 6 -b10111111011101100001011001110 @ -b10111111011101100001011001110 F -b10111111001101111101001000000011 ) -#333632000 -0& -#333648000 -b1010001011101 , -#333664000 -1& -#333680000 -b111100011100001101110111000001 " -b111100011100001101110111000001 4 -b111100011100001101110111000001 1 -b111100011100001101110111000001 C -b0 2 -b0 = -08 -19 -b1011011101011110101110111010011 < -b11000011100011101101110111100101 : -b1100111110111111000000000010010 $ -b1100111110111111000000000010010 - -b1100111110111111000000000010010 5 -b1100111110111111000000000010010 ? -b1100111110111111000000000010010 D -b1011011101011110101110111010011 % -b1011011101011110101110111010011 . -b1011011101011110101110111010011 6 -b1011011101011110101110111010011 @ -b1011011101011110101110111010011 F -b111100011100001101110111000001 ) -#333696000 -0& -#333712000 -b1010001011110 , -#333728000 -1& -#333744000 -b10000100110011001010100111111100 " -b10000100110011001010100111111100 4 -b10000100110011001010100111111100 1 -b10000100110011001010100111111100 C -09 -b0 2 -b0 = -08 -b1111011000111110110011011000100 < -b1111010111100110011010111111100 : -b11111111110100111100111100111000 $ -b11111111110100111100111100111000 - -b11111111110100111100111100111000 5 -b11111111110100111100111100111000 ? -b11111111110100111100111100111000 D -b1111011000111110110011011000100 % -b1111011000111110110011011000100 . -b1111011000111110110011011000100 6 -b1111011000111110110011011000100 @ -b1111011000111110110011011000100 F -b10000100110011001010100111111100 ) -#333760000 -0& -#333776000 -b1010001011111 , -#333792000 -1& -#333808000 -b1101010000001000101110010110 " -b1101010000001000101110010110 4 -b1101010000001000101110010110 1 -b1101010000001000101110010110 C -b1111111001111011100111010010 < -b10010100011101110110000010110 : -b10101001110011001001000100 $ -b10101001110011001001000100 - -b10101001110011001001000100 5 -b10101001110011001001000100 ? -b10101001110011001001000100 D -b1111111001111011100111010010 % -b1111111001111011100111010010 . -b1111111001111011100111010010 6 -b1111111001111011100111010010 @ -b1111111001111011100111010010 F -b1101010000001000101110010110 ) -#333824000 -0& -#333840000 -b1010001100000 , -#333856000 -1& -#333872000 -b11001010011000000110010101010110 " -b11001010011000000110010101010110 4 -b11001010011000000110010101010110 1 -b11001010011000000110010101010110 C -b0 2 -b0 = -08 -09 -b1111101111110111010101010111001 < -b110101100101110111101010101000 : -b10110111100110111100111111101111 $ -b10110111100110111100111111101111 - -b10110111100110111100111111101111 5 -b10110111100110111100111111101111 ? -b10110111100110111100111111101111 D -b1111101111110111010101010111001 % -b1111101111110111010101010111001 . -b1111101111110111010101010111001 6 -b1111101111110111010101010111001 @ -b1111101111110111010101010111001 F -b11001010011000000110010101010110 ) -#333888000 -0& -#333904000 -b1010001100001 , -#333920000 -1& -#333936000 -b110010101011011101110010110011 " -b110010101011011101110010110011 4 -b110010101011011101110010110011 1 -b110010101011011101110010110011 C -b1 2 -b1 = -18 -19 -b10111101010100110010110100110101 < -b1001101010100100001111010111011 : -b10001111111111101111000110000110 $ -b10001111111111101111000110000110 - -b10001111111111101111000110000110 5 -b10001111111111101111000110000110 ? -b10001111111111101111000110000110 D -b10111101010100110010110100110101 % -b10111101010100110010110100110101 . -b10111101010100110010110100110101 6 -b10111101010100110010110100110101 @ -b10111101010100110010110100110101 F -b110010101011011101110010110011 ) -#333952000 -0& -#333968000 -b1010001100010 , -#333984000 -1& -#334000000 -b1010001000010011010011010011010 " -b1010001000010011010011010011010 4 -b1010001000010011010011010011010 1 -b1010001000010011010011010011010 C -b0 2 -b0 = -08 -b1111100101111101110001100101010 < -b10101010011101100010100011011010 : -b101101101101110100010110110000 $ -b101101101101110100010110110000 - -b101101101101110100010110110000 5 -b101101101101110100010110110000 ? -b101101101101110100010110110000 D -b1111100101111101110001100101010 % -b1111100101111101110001100101010 . -b1111100101111101110001100101010 6 -b1111100101111101110001100101010 @ -b1111100101111101110001100101010 F -b1010001000010011010011010011010 ) -#334016000 -0& -#334032000 -b1010001100011 , -#334048000 -1& -#334064000 -b1101100001101100100010011010000 " -b1101100001101100100010011010000 4 -b1101100001101100100010011010000 1 -b1101100001101100100010011010000 C -b1111001111110011001001111111111 < -b10001111110010010110101100101110 : -b10101110011111101011100101111 $ -b10101110011111101011100101111 - -b10101110011111101011100101111 5 -b10101110011111101011100101111 ? -b10101110011111101011100101111 D -b1111001111110011001001111111111 % -b1111001111110011001001111111111 . -b1111001111110011001001111111111 6 -b1111001111110011001001111111111 @ -b1111001111110011001001111111111 F -b1101100001101100100010011010000 ) -#334080000 -0& -#334096000 -b1010001100100 , -#334112000 -1& -#334128000 -b110110100101001111100000100 " -b110110100101001111100000100 4 -b110110100101001111100000100 1 -b110110100101001111100000100 C -b1111001101101111000110011000010 < -b11111001000111001010000010001000 : -b1111111011001010001001111000110 $ -b1111111011001010001001111000110 - -b1111111011001010001001111000110 5 -b1111111011001010001001111000110 ? -b1111111011001010001001111000110 D -b1111001101101111000110011000010 % -b1111001101101111000110011000010 . -b1111001101101111000110011000010 6 -b1111001101101111000110011000010 @ -b1111001101101111000110011000010 F -b110110100101001111100000100 ) -#334144000 -0& -#334160000 -b1010001100101 , -#334176000 -1& -#334192000 -b100101001100001001011100000110 " -b100101001100001001011100000110 4 -b100101001100001001011100000110 1 -b100101001100001001011100000110 C -b1011011100110111011001011110000 < -b11011010010001101101100011100110 : -b1111110101010110010010111110110 $ -b1111110101010110010010111110110 - -b1111110101010110010010111110110 5 -b1111110101010110010010111110110 ? -b1111110101010110010010111110110 D -b1011011100110111011001011110000 % -b1011011100110111011001011110000 . -b1011011100110111011001011110000 6 -b1011011100110111011001011110000 @ -b1011011100110111011001011110000 F -b100101001100001001011100000110 ) -#334208000 -0& -#334224000 -b1010001100110 , -#334240000 -1& -#334256000 -b10001000101010000110011100000100 " -b10001000101010000110011100000100 4 -b10001000101010000110011100000100 1 -b10001000101010000110011100000100 C -b1 2 -b1 = -18 -09 -b110111010111000010111100110011 < -b11110111010100000111011101101010 : -b10111111111101000100100000110111 $ -b10111111111101000100100000110111 - -b10111111111101000100100000110111 5 -b10111111111101000100100000110111 ? -b10111111111101000100100000110111 D -b110111010111000010111100110011 % -b110111010111000010111100110011 . -b110111010111000010111100110011 6 -b110111010111000010111100110011 @ -b110111010111000010111100110011 F -b10001000101010000110011100000100 ) -#334272000 -0& -#334288000 -b1010001100111 , -#334304000 -1& -#334320000 -b10001000110011000100110111 " -b10001000110011000100110111 4 -b10001000110011000100110111 1 -b10001000110011000100110111 C -b11111111111111100011001101101100 < -b11111101110110110011010111000111 : -b11111101110111010000001001011011 $ -b11111101110111010000001001011011 - -b11111101110111010000001001011011 5 -b11111101110111010000001001011011 ? -b11111101110111010000001001011011 D -b11111111111111100011001101101100 % -b11111111111111100011001101101100 . -b11111111111111100011001101101100 6 -b11111111111111100011001101101100 @ -b11111111111111100011001101101100 F -b10001000110011000100110111 ) -#334336000 -0& -#334352000 -b1010001101000 , -#334368000 -1& -#334384000 -b11000010001110000111111001001110 " -b11000010001110000111111001001110 4 -b11000010001110000111111001001110 1 -b11000010001110000111111001001110 C -b0 2 -b0 = -08 -b10111111111101110101001110011101 < -b111101110001101000000101110000 : -b1111101110011110010110111010011 $ -b1111101110011110010110111010011 - -b1111101110011110010110111010011 5 -b1111101110011110010110111010011 ? -b1111101110011110010110111010011 D -b10111111111101110101001110011101 % -b10111111111101110101001110011101 . -b10111111111101110101001110011101 6 -b10111111111101110101001110011101 @ -b10111111111101110101001110011101 F -b11000010001110000111111001001110 ) -#334400000 -0& -#334416000 -b1010001101001 , -#334432000 -1& -#334448000 -b10101010000001110101111100101111 " -b10101010000001110101111100101111 4 -b10101010000001110101111100101111 1 -b10101010000001110101111100101111 C -b1111101111111000110110001011111 < -b1010101111101111001111111001111 : -b11010111111110110011001101110000 $ -b11010111111110110011001101110000 - -b11010111111110110011001101110000 5 -b11010111111110110011001101110000 ? -b11010111111110110011001101110000 D -b1111101111111000110110001011111 % -b1111101111111000110110001011111 . -b1111101111111000110110001011111 6 -b1111101111111000110110001011111 @ -b1111101111111000110110001011111 F -b10101010000001110101111100101111 ) -#334464000 -0& -#334480000 -b1010001101010 , -#334496000 -1& -#334512000 -b11100010110000010011101000011100 " -b11100010110000010011101000011100 4 -b11100010110000010011101000011100 1 -b11100010110000010011101000011100 C -b10111111001111100101011111101011 < -b11101001111011100010111100010 : -b1011101111111110110110111110111 $ -b1011101111111110110110111110111 - -b1011101111111110110110111110111 5 -b1011101111111110110110111110111 ? -b1011101111111110110110111110111 D -b10111111001111100101011111101011 % -b10111111001111100101011111101011 . -b10111111001111100101011111101011 6 -b10111111001111100101011111101011 @ -b10111111001111100101011111101011 F -b11100010110000010011101000011100 ) -#334528000 -0& -#334544000 -b1010001101011 , -#334560000 -1& -#334576000 -b10101011110101110100011001001011 " -b10101011110101110100011001001011 4 -b10101011110101110100011001001011 1 -b10101011110101110100011001001011 C -b11011101111111001011100111111100 < -b1010100001010001011100110110011 : -b1110110001010111111111110110111 $ -b1110110001010111111111110110111 - -b1110110001010111111111110110111 5 -b1110110001010111111111110110111 ? -b1110110001010111111111110110111 D -b11011101111111001011100111111100 % -b11011101111111001011100111111100 . -b11011101111111001011100111111100 6 -b11011101111111001011100111111100 @ -b11011101111111001011100111111100 F -b10101011110101110100011001001011 ) -#334592000 -0& -#334608000 -b1010001101100 , -#334624000 -1& -#334640000 -b10000100110001101000000001010 " -b10000100110001101000000001010 4 -b10000100110001101000000001010 1 -b10000100110001101000000001010 C -b0 2 -b0 = -19 -08 -b1101111001110111001100110101111 < -b11101110110111101110001101010100 : -b1111111101000110100100110100101 $ -b1111111101000110100100110100101 - -b1111111101000110100100110100101 5 -b1111111101000110100100110100101 ? -b1111111101000110100100110100101 D -b1101111001110111001100110101111 % -b1101111001110111001100110101111 . -b1101111001110111001100110101111 6 -b1101111001110111001100110101111 @ -b1101111001110111001100110101111 F -b10000100110001101000000001010 ) -#334656000 -0& -#334672000 -b1010001101101 , -#334688000 -1& -#334704000 -b1011000010100111110110000001111 " -b1011000010100111110110000001111 4 -b1011000010100111110110000001111 1 -b1011000010100111110110000001111 C -b1 2 -b1 = -18 -09 -b10110001101111100111111110111101 < -b10011011101011000001001101101111 : -b11101001111011011001001110110010 $ -b11101001111011011001001110110010 - -b11101001111011011001001110110010 5 -b11101001111011011001001110110010 ? -b11101001111011011001001110110010 D -b10110001101111100111111110111101 % -b10110001101111100111111110111101 . -b10110001101111100111111110111101 6 -b10110001101111100111111110111101 @ -b10110001101111100111111110111101 F -b1011000010100111110110000001111 ) -#334720000 -0& -#334736000 -b1010001101110 , -#334752000 -1& -#334768000 -b10101111011100010101101010110100 " -b10101111011100010101101010110100 4 -b10101111011100010101101010110100 1 -b10101111011100010101101010110100 C -b0 2 -b0 = -08 -b11010100101011110111111011111110 < -b1010000100011011010001101001000 : -b1111011110111100010010001001010 $ -b1111011110111100010010001001010 - -b1111011110111100010010001001010 5 -b1111011110111100010010001001010 ? -b1111011110111100010010001001010 D -b11010100101011110111111011111110 % -b11010100101011110111111011111110 . -b11010100101011110111111011111110 6 -b11010100101011110111111011111110 @ -b11010100101011110111111011111110 F -b10101111011100010101101010110100 ) -#334784000 -0& -#334800000 -b1010001101111 , -#334816000 -1& -#334832000 -b10001010100000100111000010000110 " -b10001010100000100111000010000110 4 -b10001010100000100111000010000110 1 -b10001010100000100111000010000110 C -b11110101011111110110001100001011 < -b1110101011111000111011010011000 : -b1111111111111010001001110001101 $ -b1111111111111010001001110001101 - -b1111111111111010001001110001101 5 -b1111111111111010001001110001101 ? -b1111111111111010001001110001101 D -b11110101011111110110001100001011 % -b11110101011111110110001100001011 . -b11110101011111110110001100001011 6 -b11110101011111110110001100001011 @ -b11110101011111110110001100001011 F -b10001010100000100111000010000110 ) -#334848000 -0& -#334864000 -b1010001110000 , -#334880000 -1& -#334896000 -b110010011001010000111111000110 " -b110010011001010000111111000110 4 -b110010011001010000111111000110 1 -b110010011001010000111111000110 C -b1 2 -b1 = -18 -b11011101101110110011011110011100 < -b11001101100110010110111111110110 : -b11101111110111100011100001011010 $ -b11101111110111100011100001011010 - -b11101111110111100011100001011010 5 -b11101111110111100011100001011010 ? -b11101111110111100011100001011010 D -b11011101101110110011011110011100 % -b11011101101110110011011110011100 . -b11011101101110110011011110011100 6 -b11011101101110110011011110011100 @ -b11011101101110110011011110011100 F -b110010011001010000111111000110 ) -#334912000 -0& -#334928000 -b1010001110001 , -#334944000 -1& -#334960000 -b10001101000110001100100111011011 " -b10001101000110001100100111011011 4 -b10001101000110001100100111011011 1 -b10001101000110001100100111011011 C -b1 2 -b1 = -18 -09 -b10110111111111110111111111011100 < -b11110010111001110011010111100011 : -b111010111001111011011000000111 $ -b111010111001111011011000000111 - -b111010111001111011011000000111 5 -b111010111001111011011000000111 ? -b111010111001111011011000000111 D -b10110111111111110111111111011100 % -b10110111111111110111111111011100 . -b10110111111111110111111111011100 6 -b10110111111111110111111111011100 @ -b10110111111111110111111111011100 F -b10001101000110001100100111011011 ) -#334976000 -0& -#334992000 -b1010001110010 , -#335008000 -1& -#335024000 -b100101111111000001000110101 " -b100101111111000001000110101 4 -b100101111111000001000110101 1 -b100101111111000001000110101 C -b0 2 -b0 = -08 -19 -b1101011011110000000001011010100 < -b11011011001111111000001110110101 : -b1101111110001111000000011100001 $ -b1101111110001111000000011100001 - -b1101111110001111000000011100001 5 -b1101111110001111000000011100001 ? -b1101111110001111000000011100001 D -b1101011011110000000001011010100 % -b1101011011110000000001011010100 . -b1101011011110000000001011010100 6 -b1101011011110000000001011010100 @ -b1101011011110000000001011010100 F -b100101111111000001000110101 ) -#335040000 -0& -#335056000 -b1010001110011 , -#335072000 -1& -#335088000 -b11010000010110101010010 " -b11010000010110101010010 4 -b11010000010110101010010 1 -b11010000010110101010010 C -b1 2 -b1 = -18 -09 -b11101111110101011100001000110001 < -b11011111100100111011000110010100 : -b11101111101111011110111101100011 $ -b11101111101111011110111101100011 - -b11101111101111011110111101100011 5 -b11101111101111011110111101100011 ? -b11101111101111011110111101100011 D -b11101111110101011100001000110001 % -b11101111110101011100001000110001 . -b11101111110101011100001000110001 6 -b11101111110101011100001000110001 @ -b11101111110101011100001000110001 F -b11010000010110101010010 ) -#335104000 -0& -#335120000 -b1010001110100 , -#335136000 -1& -#335152000 -b10010110100000101011111111111010 " -b10010110100000101011111111111010 4 -b10010110100000101011111111111010 1 -b10010110100000101011111111111010 C -b0 2 -b0 = -08 -b11100011011101010000010110010000 < -b1011001011011001011111111111010 : -b1110101111101111011101001101010 $ -b1110101111101111011101001101010 - -b1110101111101111011101001101010 5 -b1110101111101111011101001101010 ? -b1110101111101111011101001101010 D -b11100011011101010000010110010000 % -b11100011011101010000010110010000 . -b11100011011101010000010110010000 6 -b11100011011101010000010110010000 @ -b11100011011101010000010110010000 F -b10010110100000101011111111111010 ) -#335168000 -0& -#335184000 -b1010001110101 , -#335200000 -1& -#335216000 -b10111001010111000100010101010100 " -b10111001010111000100010101010100 4 -b10111001010111000100010101010100 1 -b10111001010111000100010101010100 C -b11101111111100111111001110010110 < -b1000110101000111010101001011000 : -b1010110101011111011011011000010 $ -b1010110101011111011011011000010 - -b1010110101011111011011011000010 5 -b1010110101011111011011011000010 ? -b1010110101011111011011011000010 D -b11101111111100111111001110010110 % -b11101111111100111111001110010110 . -b11101111111100111111001110010110 6 -b11101111111100111111001110010110 @ -b11101111111100111111001110010110 F -b10111001010111000100010101010100 ) -#335232000 -0& -#335248000 -b1010001110110 , -#335264000 -1& -#335280000 -b1000001000101010011011110000010 " -b1000001000101010011011110000010 4 -b1000001000101010011011110000010 1 -b1000001000101010011011110000010 C -b0 2 -b0 = -19 -08 -b1111110111010101001100011111011 < -b10111110111010100100100001110100 : -b111111111111111010111101111001 $ -b111111111111111010111101111001 - -b111111111111111010111101111001 5 -b111111111111111010111101111001 ? -b111111111111111010111101111001 D -b1111110111010101001100011111011 % -b1111110111010101001100011111011 . -b1111110111010101001100011111011 6 -b1111110111010101001100011111011 @ -b1111110111010101001100011111011 F -b1000001000101010011011110000010 ) -#335296000 -0& -#335312000 -b1010001110111 , -#335328000 -1& -#335344000 -b1011100110001111110001110011 " -b1011100110001111110001110011 4 -b1011100110001111110001110011 1 -b1011100110001111110001110011 C -b1 2 -b1 = -18 -09 -b11110101011011111110110110111010 < -b11110100011001101111111110000011 : -b11111110111101110001000111001001 $ -b11111110111101110001000111001001 - -b11111110111101110001000111001001 5 -b11111110111101110001000111001001 ? -b11111110111101110001000111001001 D -b11110101011011111110110110111010 % -b11110101011011111110110110111010 . -b11110101011011111110110110111010 6 -b11110101011011111110110110111010 @ -b11110101011011111110110110111010 F -b1011100110001111110001110011 ) -#335360000 -0& -#335376000 -b1010001111000 , -#335392000 -1& -#335408000 -b110100000110000101100000011000 " -b110100000110000101100000011000 4 -b110100000110000101100000011000 1 -b110100000110000101100000011000 C -b0 2 -b0 = -08 -19 -b1011111101001100000010110010110 < -b11001011011001000110001100100100 : -b1101011101111100101110110001110 $ -b1101011101111100101110110001110 - -b1101011101111100101110110001110 5 -b1101011101111100101110110001110 ? -b1101011101111100101110110001110 D -b1011111101001100000010110010110 % -b1011111101001100000010110010110 . -b1011111101001100000010110010110 6 -b1011111101001100000010110010110 @ -b1011111101001100000010110010110 F -b110100000110000101100000011000 ) -#335424000 -0& -#335440000 -b1010001111001 , -#335456000 -1& -#335472000 -b10011001111000110100111101010110 " -b10011001111000110100111101010110 4 -b10011001111000110100111101010110 1 -b10011001111000110100111101010110 C -09 -b0 2 -b0 = -08 -b1111110000101100011101010110000 < -b1100110000010111011000010010110 : -b11100111111101010111010111100110 $ -b11100111111101010111010111100110 - -b11100111111101010111010111100110 5 -b11100111111101010111010111100110 ? -b11100111111101010111010111100110 D -b1111110000101100011101010110000 % -b1111110000101100011101010110000 . -b1111110000101100011101010110000 6 -b1111110000101100011101010110000 @ -b1111110000101100011101010110000 F -b10011001111000110100111101010110 ) -#335488000 -0& -#335504000 -b1010001111010 , -#335520000 -1& -#335536000 -b10101000000001001110101111011101 " -b10101000000001001110101111011101 4 -b10101000000001001110101111011101 1 -b10101000000001001110101111011101 C -b11111111111110110001101011110100 < -b1010111111110110000110000011101 : -b1010111111111111111000100101001 $ -b1010111111111111111000100101001 - -b1010111111111111111000100101001 5 -b1010111111111111111000100101001 ? -b1010111111111111111000100101001 D -b11111111111110110001101011110100 % -b11111111111110110001101011110100 . -b11111111111110110001101011110100 6 -b11111111111110110001101011110100 @ -b11111111111110110001101011110100 F -b10101000000001001110101111011101 ) -#335552000 -0& -#335568000 -b1010001111011 , -#335584000 -1& -#335600000 -b111110101000011100101010000010 " -b111110101000011100101010000010 4 -b111110101000011100101010000010 1 -b111110101000011100101010000010 C -b1 2 -b1 = -18 -19 -b10011111010111100010011101011100 < -b1000001010111100001010100111010 : -b10100001111111111110110111011110 $ -b10100001111111111110110111011110 - -b10100001111111111110110111011110 5 -b10100001111111111110110111011110 ? -b10100001111111111110110111011110 D -b10011111010111100010011101011100 % -b10011111010111100010011101011100 . -b10011111010111100010011101011100 6 -b10011111010111100010011101011100 @ -b10011111010111100010011101011100 F -b111110101000011100101010000010 ) -#335616000 -0& -#335632000 -b1010001111100 , -#335648000 -1& -#335664000 -b10010010101110100010001001110011 " -b10010010101110100010001001110011 4 -b10010010101110100010001001110011 1 -b10010010101110100010001001110011 C -b0 2 -b0 = -08 -09 -b11101101111101100111000010101100 < -b1101101010000101100001110001011 : -b1111111010011000101001011011111 $ -b1111111010011000101001011011111 - -b1111111010011000101001011011111 5 -b1111111010011000101001011011111 ? -b1111111010011000101001011011111 D -b11101101111101100111000010101100 % -b11101101111101100111000010101100 . -b11101101111101100111000010101100 6 -b11101101111101100111000010101100 @ -b11101101111101100111000010101100 F -b10010010101110100010001001110011 ) -#335680000 -0& -#335696000 -b1010001111101 , -#335712000 -1& -#335728000 -b11010000100001101111011101100011 " -b11010000100001101111011101100011 4 -b11010000100001101111011101100011 1 -b11010000100001101111011101100011 C -b11101110011010111111000010101011 < -b101101010110001111100001110011 : -b111110111011010000011111001000 $ -b111110111011010000011111001000 - -b111110111011010000011111001000 5 -b111110111011010000011111001000 ? -b111110111011010000011111001000 D -b11101110011010111111000010101011 % -b11101110011010111111000010101011 . -b11101110011010111111000010101011 6 -b11101110011010111111000010101011 @ -b11101110011010111111000010101011 F -b11010000100001101111011101100011 ) -#335744000 -0& -#335760000 -b1010001111110 , -#335776000 -1& -#335792000 -b10010100101000011010010000010001 " -b10010100101000011010010000010001 4 -b10010100101000011010010000010001 1 -b10010100101000011010010000010001 C -b1111011011111110101110000001101 < -b1101011010111100101010000101001 : -b11101111110111101111100000011100 $ -b11101111110111101111100000011100 - -b11101111110111101111100000011100 5 -b11101111110111101111100000011100 ? -b11101111110111101111100000011100 D -b1111011011111110101110000001101 % -b1111011011111110101110000001101 . -b1111011011111110101110000001101 6 -b1111011011111110101110000001101 @ -b1111011011111110101110000001101 F -b10010100101000011010010000010001 ) -#335808000 -0& -#335824000 -b1010001111111 , -#335840000 -1& -#335856000 -b1100110000000001110001001111101 " -b1100110000000001110001001111101 4 -b1100110000000001110001001111101 1 -b1100110000000001110001001111101 C -b1 2 -b1 = -18 -b11011011111111110010110010101001 < -b10011001111111101111101101111101 : -b10111101111111111100111011010100 $ -b10111101111111111100111011010100 - -b10111101111111111100111011010100 5 -b10111101111111111100111011010100 ? -b10111101111111111100111011010100 D -b11011011111111110010110010101001 % -b11011011111111110010110010101001 . -b11011011111111110010110010101001 6 -b11011011111111110010110010101001 @ -b11011011111111110010110010101001 F -b1100110000000001110001001111101 ) -#335872000 -0& -#335888000 -b1010010000000 , -#335904000 -1& -#335920000 -b1100111000010001001100100001001 " -b1100111000010001001100100001001 4 -b1100111000010001001100100001001 1 -b1100111000010001001100100001001 C -b0 2 -b0 = -08 -19 -b1111101111101110001110010111111 < -b10011000111101101010001001110101 : -b11010111111111000010110110110 $ -b11010111111111000010110110110 - -b11010111111111000010110110110 5 -b11010111111111000010110110110 ? -b11010111111111000010110110110 D -b1111101111101110001110010111111 % -b1111101111101110001110010111111 . -b1111101111101110001110010111111 6 -b1111101111101110001110010111111 @ -b1111101111101110001110010111111 F -b1100111000010001001100100001001 ) -#335936000 -0& -#335952000 -b1010010000001 , -#335968000 -1& -#335984000 -b10001001000110001110010101011101 " -b10001001000110001110010101011101 4 -b10001001000110001110010101011101 1 -b10001001000110001110010101011101 C -b0 2 -b0 = -09 -08 -b11111011110001100111100111110101 < -b1101110101001010001011010011101 : -b1110010110111101001110010101000 $ -b1110010110111101001110010101000 - -b1110010110111101001110010101000 5 -b1110010110111101001110010101000 ? -b1110010110111101001110010101000 D -b11111011110001100111100111110101 % -b11111011110001100111100111110101 . -b11111011110001100111100111110101 6 -b11111011110001100111100111110101 @ -b11111011110001100111100111110101 F -b10001001000110001110010101011101 ) -#336000000 -0& -#336016000 -b1010010000010 , -#336032000 -1& -#336048000 -b101000000010000001101010010 " -b101000000010000001101010010 4 -b101000000010000001101010010 1 -b101000000010000001101010010 C -b1 2 -b1 = -18 -b11111110011111100100111111110010 < -b11111001111111011001110010010010 : -b11111011011111110100110010100000 $ -b11111011011111110100110010100000 - -b11111011011111110100110010100000 5 -b11111011011111110100110010100000 ? -b11111011011111110100110010100000 D -b11111110011111100100111111110010 % -b11111110011111100100111111110010 . -b11111110011111100100111111110010 6 -b11111110011111100100111111110010 @ -b11111110011111100100111111110010 F -b101000000010000001101010010 ) -#336064000 -0& -#336080000 -b1010010000011 , -#336096000 -1& -#336112000 -b10001111100000000010100110011011 " -b10001111100000000010100110011011 4 -b10001111100000000010100110011011 1 -b10001111100000000010100110011011 C -b0 2 -b0 = -08 -b1111110111111011010001001110111 < -b1110000011110110010111001100011 : -b11110001011111011000101111101100 $ -b11110001011111011000101111101100 - -b11110001011111011000101111101100 5 -b11110001011111011000101111101100 ? -b11110001011111011000101111101100 D -b1111110111111011010001001110111 % -b1111110111111011010001001110111 . -b1111110111111011010001001110111 6 -b1111110111111011010001001110111 @ -b1111110111111011010001001110111 F -b10001111100000000010100110011011 ) -#336128000 -0& -#336144000 -b1010010000100 , -#336160000 -1& -#336176000 -b11110001001000110001001111101011 " -b11110001001000110001001111101011 4 -b11110001001000110001001111101011 1 -b11110001001000110001001111101011 C -b10011110111101000100000011011011 < -b1110110010111001010000001011 : -b1101111110101110101001100110000 $ -b1101111110101110101001100110000 - -b1101111110101110101001100110000 5 -b1101111110101110101001100110000 ? -b1101111110101110101001100110000 D -b10011110111101000100000011011011 % -b10011110111101000100000011011011 . -b10011110111101000100000011011011 6 -b10011110111101000100000011011011 @ -b10011110111101000100000011011011 F -b11110001001000110001001111101011 ) -#336192000 -0& -#336208000 -b1010010000101 , -#336224000 -1& -#336240000 -b1000010000100011010100001011011 " -b1000010000100011010100001011011 4 -b1000010000100011010100001011011 1 -b1000010000100011010100001011011 C -b1 2 -b1 = -18 -b10111111111011101101110000110010 < -b10111101111011100101000010011011 : -b11111101111111110111010001101001 $ -b11111101111111110111010001101001 - -b11111101111111110111010001101001 5 -b11111101111111110111010001101001 ? -b11111101111111110111010001101001 D -b10111111111011101101110000110010 % -b10111111111011101101110000110010 . -b10111111111011101101110000110010 6 -b10111111111011101101110000110010 @ -b10111111111011101101110000110010 F -b1000010000100011010100001011011 ) -#336256000 -0& -#336272000 -b1010010000110 , -#336288000 -1& -#336304000 -b1011001001100001111101011000 " -b1011001001100001111101011000 4 -b1011001001100001111101011000 1 -b1011001001100001111101011000 C -b0 2 -b0 = -08 -19 -b1111110010110010011110111011001 < -b11110011110110000110000001011010 : -b1110101011111110010001010000001 $ -b1110101011111110010001010000001 - -b1110101011111110010001010000001 5 -b1110101011111110010001010000001 ? -b1110101011111110010001010000001 D -b1111110010110010011110111011001 % -b1111110010110010011110111011001 . -b1111110010110010011110111011001 6 -b1111110010110010011110111011001 @ -b1111110010110010011110111011001 F -b1011001001100001111101011000 ) -#336320000 -0& -#336336000 -b1010010000111 , -#336352000 -1& -#336368000 -b11110110000100111101001001000 " -b11110110000100111101001001000 4 -b11110110000100111101001001000 1 -b11110110000100111101001001000 C -b1 2 -b1 = -18 -09 -b11100001101111110100100101011101 < -b11100001001111000111110001110010 : -b11111111011111010011001100010101 $ -b11111111011111010011001100010101 - -b11111111011111010011001100010101 5 -b11111111011111010011001100010101 ? -b11111111011111010011001100010101 D -b11100001101111110100100101011101 % -b11100001101111110100100101011101 . -b11100001101111110100100101011101 6 -b11100001101111110100100101011101 @ -b11100001101111110100100101011101 F -b11110110000100111101001001000 ) -#336384000 -0& -#336400000 -b1010010001000 , -#336416000 -1& -#336432000 -b10110101000001101001110011101011 " -b10110101000001101001110011101011 4 -b10110101000001101001110011101011 1 -b10110101000001101001110011101011 C -b0 2 -b0 = -08 -b1101100111110010101110000010010 < -b1000110111110010001110100001011 : -b11011001111111111100000011111001 $ -b11011001111111111100000011111001 - -b11011001111111111100000011111001 5 -b11011001111111111100000011111001 ? -b11011001111111111100000011111001 D -b1101100111110010101110000010010 % -b1101100111110010101110000010010 . -b1101100111110010101110000010010 6 -b1101100111110010101110000010010 @ -b1101100111110010101110000010010 F -b10110101000001101001110011101011 ) -#336448000 -0& -#336464000 -b1010010001001 , -#336480000 -1& -#336496000 -b10111000101101001011000011110111 " -b10111000101101001011000011110111 4 -b10111000101101001011000011110111 1 -b10111000101101001011000011110111 C -b1001111111010110000101011100101 < -b1000111010010101100010011110111 : -b11110111010111111011101000010010 $ -b11110111010111111011101000010010 - -b11110111010111111011101000010010 5 -b11110111010111111011101000010010 ? -b11110111010111111011101000010010 D -b1001111111010110000101011100101 % -b1001111111010110000101011100101 . -b1001111111010110000101011100101 6 -b1001111111010110000101011100101 @ -b1001111111010110000101011100101 F -b10111000101101001011000011110111 ) -#336512000 -0& -#336528000 -b1010010001010 , -#336544000 -1& -#336560000 -b10110110100100001010111010100110 " -b10110110100100001010111010100110 4 -b10110110100100001010111010100110 1 -b10110110100100001010111010100110 C -b11001111111111110000100010101110 < -b1001001011011101010111010110110 : -b1111001011011111010011000001000 $ -b1111001011011111010011000001000 - -b1111001011011111010011000001000 5 -b1111001011011111010011000001000 ? -b1111001011011111010011000001000 D -b11001111111111110000100010101110 % -b11001111111111110000100010101110 . -b11001111111111110000100010101110 6 -b11001111111111110000100010101110 @ -b11001111111111110000100010101110 F -b10110110100100001010111010100110 ) -#336576000 -0& -#336592000 -b1010010001011 , -#336608000 -1& -#336624000 -b11000001000110001010110110111100 " -b11000001000110001010110110111100 4 -b11000001000110001010110110111100 1 -b11000001000110001010110110111100 C -b111111111011111001110001111111 < -b111110111001101100111001000010 : -b11111110111101110011000111000011 $ -b11111110111101110011000111000011 - -b11111110111101110011000111000011 5 -b11111110111101110011000111000011 ? -b11111110111101110011000111000011 D -b111111111011111001110001111111 % -b111111111011111001110001111111 . -b111111111011111001110001111111 6 -b111111111011111001110001111111 @ -b111111111011111001110001111111 F -b11000001000110001010110110111100 ) -#336640000 -0& -#336656000 -b1010010001100 , -#336672000 -1& -#336688000 -b10000010011000100010010000000011 " -b10000010011000100010010000000011 4 -b10000010011000100010010000000011 1 -b10000010011000100010010000000011 C -b11111101111111010010111111010111 < -b1111101100111000011101110101011 : -b1111111100111110000101111010100 $ -b1111111100111110000101111010100 - -b1111111100111110000101111010100 5 -b1111111100111110000101111010100 ? -b1111111100111110000101111010100 D -b11111101111111010010111111010111 % -b11111101111111010010111111010111 . -b11111101111111010010111111010111 6 -b11111101111111010010111111010111 @ -b11111101111111010010111111010111 F -b10000010011000100010010000000011 ) -#336704000 -0& -#336720000 -b1010010001101 , -#336736000 -1& -#336752000 -b10111101100101000000010000110110 " -b10111101100101000000010000110110 4 -b10111101100101000000010000110110 1 -b10111101100101000000010000110110 C -b11100111011110111000011101000000 < -b1000010011010110000101010110110 : -b1011010111011111000001101110110 $ -b1011010111011111000001101110110 - -b1011010111011111000001101110110 5 -b1011010111011111000001101110110 ? -b1011010111011111000001101110110 D -b11100111011110111000011101000000 % -b11100111011110111000011101000000 . -b11100111011110111000011101000000 6 -b11100111011110111000011101000000 @ -b11100111011110111000011101000000 F -b10111101100101000000010000110110 ) -#336768000 -0& -#336784000 -b1010010001110 , -#336800000 -1& -#336816000 -b10100101000111100101110011000010 " -b10100101000111100101110011000010 4 -b10100101000111100101110011000010 1 -b10100101000111100101110011000010 C -b1111001010100111111110010100011 < -b1010101101000011001110100000100 : -b11011100010011011010000001100001 $ -b11011100010011011010000001100001 - -b11011100010011011010000001100001 5 -b11011100010011011010000001100001 ? -b11011100010011011010000001100001 D -b1111001010100111111110010100011 % -b1111001010100111111110010100011 . -b1111001010100111111110010100011 6 -b1111001010100111111110010100011 @ -b1111001010100111111110010100011 F -b10100101000111100101110011000010 ) -#336832000 -0& -#336848000 -b1010010001111 , -#336864000 -1& -#336880000 -b10001011001101110101001110100 " -b10001011001101110101001110100 4 -b10001011001101110101001110100 1 -b10001011001101110101001110100 C -19 -b0 2 -b0 = -08 -b1111111100111000001001000010000 < -b11101110100101110000101001110100 : -b1101110111110101111100001100100 $ -b1101110111110101111100001100100 - -b1101110111110101111100001100100 5 -b1101110111110101111100001100100 ? -b1101110111110101111100001100100 D -b1111111100111000001001000010000 % -b1111111100111000001001000010000 . -b1111111100111000001001000010000 6 -b1111111100111000001001000010000 @ -b1111111100111000001001000010000 F -b10001011001101110101001110100 ) -#336896000 -0& -#336912000 -b1010010010000 , -#336928000 -1& -#336944000 -b10001110010100011101111010101000 " -b10001110010100011101111010101000 4 -b10001110010100011101111010101000 1 -b10001110010100011101111010101000 C -b0 2 -b0 = -09 -08 -b11110111000111100101010000000101 < -b1110000011011011101111010110010 : -b1111001010011111000101010101101 $ -b1111001010011111000101010101101 - -b1111001010011111000101010101101 5 -b1111001010011111000101010101101 ? -b1111001010011111000101010101101 D -b11110111000111100101010000000101 % -b11110111000111100101010000000101 . -b11110111000111100101010000000101 6 -b11110111000111100101010000000101 @ -b11110111000111100101010000000101 F -b10001110010100011101111010101000 ) -#336960000 -0& -#336976000 -b1010010010001 , -#336992000 -1& -#337008000 -b10000010010110001111101010010011 " -b10000010010110001111101010010011 4 -b10000010010110001111101010010011 1 -b10000010010110001111101010010011 C -b11111101101110101110011001101000 < -b1111101100111010000001101100011 : -b1111111111000100001110011111011 $ -b1111111111000100001110011111011 - -b1111111111000100001110011111011 5 -b1111111111000100001110011111011 ? -b1111111111000100001110011111011 D -b11111101101110101110011001101000 % -b11111101101110101110011001101000 . -b11111101101110101110011001101000 6 -b11111101101110101110011001101000 @ -b11111101101110101110011001101000 F -b10000010010110001111101010010011 ) -#337024000 -0& -#337040000 -b1010010010010 , -#337056000 -1& -#337072000 -b111000000101100010011100100000 " -b111000000101100010011100100000 4 -b111000000101100010011100100000 1 -b111000000101100010011100100000 C -b1 2 -b1 = -18 -b11101111111011010000000100011011 < -b11000111111010000010011101010110 : -b11010111111110110010011000111011 $ -b11010111111110110010011000111011 - -b11010111111110110010011000111011 5 -b11010111111110110010011000111011 ? -b11010111111110110010011000111011 D -b11101111111011010000000100011011 % -b11101111111011010000000100011011 . -b11101111111011010000000100011011 6 -b11101111111011010000000100011011 @ -b11101111111011010000000100011011 F -b111000000101100010011100100000 ) -#337088000 -0& -#337104000 -b1010010010011 , -#337120000 -1& -#337136000 -b100000010100100011001101000110 " -b100000010100100011001101000110 4 -b100000010100100011001101000110 1 -b100000010100100011001101000110 C -b11011111111001011111100100000011 < -b11011111100111011100001101001000 : -b11111111101101111100101001000101 $ -b11111111101101111100101001000101 - -b11111111101101111100101001000101 5 -b11111111101101111100101001000101 ? -b11111111101101111100101001000101 D -b11011111111001011111100100000011 % -b11011111111001011111100100000011 . -b11011111111001011111100100000011 6 -b11011111111001011111100100000011 @ -b11011111111001011111100100000011 F -b100000010100100011001101000110 ) -#337152000 -0& -#337168000 -b1010010010100 , -#337184000 -1& -#337200000 -b10100110001010000000010001011101 " -b10100110001010000000010001011101 4 -b10100110001010000000010001011101 1 -b10100110001010000000010001011101 C -b0 2 -b0 = -08 -b11011111111101110010111011010111 < -b1011001110101100101100101100001 : -b1111001110111110010101010001010 $ -b1111001110111110010101010001010 - -b1111001110111110010101010001010 5 -b1111001110111110010101010001010 ? -b1111001110111110010101010001010 D -b11011111111101110010111011010111 % -b11011111111101110010111011010111 . -b11011111111101110010111011010111 6 -b11011111111101110010111011010111 @ -b11011111111101110010111011010111 F -b10100110001010000000010001011101 ) -#337216000 -0& -#337232000 -b1010010010101 , -#337248000 -1& -#337264000 -b11000010010000010011101010011111 " -b11000010010000010011101010011111 4 -b11000010010000010011101010011111 1 -b11000010010000010011101010011111 C -b10111111111111100100111001001010 < -b111101101111011100001100011111 : -b1111101101111110111010011010101 $ -b1111101101111110111010011010101 - -b1111101101111110111010011010101 5 -b1111101101111110111010011010101 ? -b1111101101111110111010011010101 D -b10111111111111100100111001001010 % -b10111111111111100100111001001010 . -b10111111111111100100111001001010 6 -b10111111111111100100111001001010 @ -b10111111111111100100111001001010 F -b11000010010000010011101010011111 ) -#337280000 -0& -#337296000 -b1010010010110 , -#337312000 -1& -#337328000 -b10100000100000000001000010000010 " -b10100000100000000001000010000010 4 -b10100000100000000001000010000010 1 -b10100000100000000001000010000010 C -b1011111011111110001111111100100 < -b1011111011111100010111101001010 : -b11111111111111110000111101100110 $ -b11111111111111110000111101100110 - -b11111111111111110000111101100110 5 -b11111111111111110000111101100110 ? -b11111111111111110000111101100110 D -b1011111011111110001111111100100 % -b1011111011111110001111111100100 . -b1011111011111110001111111100100 6 -b1011111011111110001111111100100 @ -b1011111011111110001111111100100 F -b10100000100000000001000010000010 ) -#337344000 -0& -#337360000 -b1010010010111 , -#337376000 -1& -#337392000 -b1000000011000111110111100001 " -b1000000011000111110111100001 4 -b1000000011000111110111100001 1 -b1000000011000111110111100001 C -b1 2 -b1 = -18 -b11111101111101111010001111000110 < -b11110011111100111000000111101101 : -b11110101111110111101111000100111 $ -b11110101111110111101111000100111 - -b11110101111110111101111000100111 5 -b11110101111110111101111000100111 ? -b11110101111110111101111000100111 D -b11111101111101111010001111000110 % -b11111101111101111010001111000110 . -b11111101111101111010001111000110 6 -b11111101111101111010001111000110 @ -b11111101111101111010001111000110 F -b1000000011000111110111100001 ) -#337408000 -0& -#337424000 -b1010010011000 , -#337440000 -1& -#337456000 -b10000111000001101010100000100100 " -b10000111000001101010100000100100 4 -b10000111000001101010100000100100 1 -b10000111000001101010100000100100 C -b0 2 -b0 = -08 -b11111000111110010100001001000110 < -b1111000111110010010110010101000 : -b1111111111111111110101001100010 $ -b1111111111111111110101001100010 - -b1111111111111111110101001100010 5 -b1111111111111111110101001100010 ? -b1111111111111111110101001100010 D -b11111000111110010100001001000110 % -b11111000111110010100001001000110 . -b11111000111110010100001001000110 6 -b11111000111110010100001001000110 @ -b11111000111110010100001001000110 F -b10000111000001101010100000100100 ) -#337472000 -0& -#337488000 -b1010010011001 , -#337504000 -1& -#337520000 -b10001000100010001111000010101 " -b10001000100010001111000010101 4 -b10001000100010001111000010101 1 -b10001000100010001111000010101 C -b100111111011111010010110100010 < -b1011110111011100110000101011001 : -b110110111111101011101110110111 $ -b110110111111101011101110110111 - -b110110111111101011101110110111 5 -b110110111111101011101110110111 ? -b110110111111101011101110110111 D -b100111111011111010010110100010 % -b100111111011111010010110100010 . -b100111111011111010010110100010 6 -b100111111011111010010110100010 @ -b100111111011111010010110100010 F -b10001000100010001111000010101 ) -#337536000 -0& -#337552000 -b1010010011010 , -#337568000 -1& -#337584000 -b1101001010011011110000000000110 " -b1101001010011011110000000000110 4 -b1101001010011011110000000000110 1 -b1101001010011011110000000000110 C -b0 2 -b0 = -19 -08 -b111111101100101000000001111001 < -b10010110101100011110000011111000 : -b1010110111111110110000001111111 $ -b1010110111111110110000001111111 - -b1010110111111110110000001111111 5 -b1010110111111110110000001111111 ? -b1010110111111110110000001111111 D -b111111101100101000000001111001 % -b111111101100101000000001111001 . -b111111101100101000000001111001 6 -b111111101100101000000001111001 @ -b111111101100101000000001111001 F -b1101001010011011110000000000110 ) -#337600000 -0& -#337616000 -b1010010011011 , -#337632000 -1& -#337648000 -b11001011100001001111001101010001 " -b11001011100001001111001101010001 4 -b11001011100001001111001101010001 1 -b11001011100001001111001101010001 C -09 -b0 2 -b0 = -08 -b1110001111111111100000101100100 < -b101100011110101111001110011001 : -b10111010011110110011001000110101 $ -b10111010011110110011001000110101 - -b10111010011110110011001000110101 5 -b10111010011110110011001000110101 ? -b10111010011110110011001000110101 D -b1110001111111111100000101100100 % -b1110001111111111100000101100100 . -b1110001111111111100000101100100 6 -b1110001111111111100000101100100 @ -b1110001111111111100000101100100 F -b11001011100001001111001101010001 ) -#337664000 -0& -#337680000 -b1010010011100 , -#337696000 -1& -#337712000 -b11001001110100000001000110011111 " -b11001001110100000001000110011111 4 -b11001001110100000001000110011111 1 -b11001001110100000001000110011111 C -b1100110111101110010100011000010 < -b10110000111100110001000011111 : -b10101111001001110011100101011101 $ -b10101111001001110011100101011101 - -b10101111001001110011100101011101 5 -b10101111001001110011100101011101 ? -b10101111001001110011100101011101 D -b1100110111101110010100011000010 % -b1100110111101110010100011000010 . -b1100110111101110010100011000010 6 -b1100110111101110010100011000010 @ -b1100110111101110010100011000010 F -b11001001110100000001000110011111 ) -#337728000 -0& -#337744000 -b1010010011101 , -#337760000 -1& -#337776000 -b1101000001011001000000111110001 " -b1101000001011001000000111110001 4 -b1101000001011001000000111110001 1 -b1101000001011001000000111110001 C -b1 2 -b1 = -18 -b10010111110101110011101110110110 < -b10010111110100101111010111111101 : -b11111111111110111011101001000111 $ -b11111111111110111011101001000111 - -b11111111111110111011101001000111 5 -b11111111111110111011101001000111 ? -b11111111111110111011101001000111 D -b10010111110101110011101110110110 % -b10010111110101110011101110110110 . -b10010111110101110011101110110110 6 -b10010111110101110011101110110110 @ -b10010111110101110011101110110110 F -b1101000001011001000000111110001 ) -#337792000 -0& -#337808000 -b1010010011110 , -#337824000 -1& -#337840000 -b10101010100000011000101101000011 " -b10101010100000011000101101000011 4 -b10101010100000011000101101000011 1 -b10101010100000011000101101000011 C -b0 2 -b0 = -08 -b11010111011111101111001000000011 < -b1010101011111100110101101000011 : -b1111101111111110111100101000000 $ -b1111101111111110111100101000000 - -b1111101111111110111100101000000 5 -b1111101111111110111100101000000 ? -b1111101111111110111100101000000 D -b11010111011111101111001000000011 % -b11010111011111101111001000000011 . -b11010111011111101111001000000011 6 -b11010111011111101111001000000011 @ -b11010111011111101111001000000011 F -b10101010100000011000101101000011 ) -#337856000 -0& -#337872000 -b1010010011111 , -#337888000 -1& -#337904000 -b10100001110000110101011100010 " -b10100001110000110101011100010 4 -b10100001110000110101011100010 1 -b10100001110000110101011100010 C -b1 2 -b1 = -18 -b11101011110001110100100001011111 < -b11101011110001100110101100011100 : -b11111111111111110010001010111101 $ -b11111111111111110010001010111101 - -b11111111111111110010001010111101 5 -b11111111111111110010001010111101 ? -b11111111111111110010001010111101 D -b11101011110001110100100001011111 % -b11101011110001110100100001011111 . -b11101011110001110100100001011111 6 -b11101011110001110100100001011111 @ -b11101011110001110100100001011111 F -b10100001110000110101011100010 ) -#337920000 -0& -#337936000 -b1010010100000 , -#337952000 -1& -#337968000 -b11110101001001011110000100100010 " -b11110101001001011110000100100010 4 -b11110101001001011110000100100010 1 -b11110101001001011110000100100010 C -b0 2 -b0 = -08 -b1101011111100100001001001101011 < -b1010110010100000010110110100 : -b10011110110101111111001101001001 $ -b10011110110101111111001101001001 - -b10011110110101111111001101001001 5 -b10011110110101111111001101001001 ? -b10011110110101111111001101001001 D -b1101011111100100001001001101011 % -b1101011111100100001001001101011 . -b1101011111100100001001001101011 6 -b1101011111100100001001001101011 @ -b1101011111100100001001001101011 F -b11110101001001011110000100100010 ) -#337984000 -0& -#338000000 -b1010010100001 , -#338016000 -1& -#338032000 -b10010010100000000010100101001111 " -b10010010100000000010100101001111 4 -b10010010100000000010100101001111 1 -b10010010100000000010100101001111 C -b11100111111111101110010011010011 < -b1011101011111011011001001101111 : -b1110101011111101100110110011100 $ -b1110101011111101100110110011100 - -b1110101011111101100110110011100 5 -b1110101011111101100110110011100 ? -b1110101011111101100110110011100 D -b11100111111111101110010011010011 % -b11100111111111101110010011010011 . -b11100111111111101110010011010011 6 -b11100111111111101110010011010011 @ -b11100111111111101110010011010011 F -b10010010100000000010100101001111 ) -#338048000 -0& -#338064000 -b1010010100010 , -#338080000 -1& -#338096000 -b10001000010001100000100001111000 " -b10001000010001100000100001111000 4 -b10001000010001100000100001111000 1 -b10001000010001100000100001111000 C -b11110010111110011010100010110111 < -b1101101101110010100100110000110 : -b1111010101111111010000011001111 $ -b1111010101111111010000011001111 - -b1111010101111111010000011001111 5 -b1111010101111111010000011001111 ? -b1111010101111111010000011001111 D -b11110010111110011010100010110111 % -b11110010111110011010100010110111 . -b11110010111110011010100010110111 6 -b11110010111110011010100010110111 @ -b11110010111110011010100010110111 F -b10001000010001100000100001111000 ) -#338112000 -0& -#338128000 -b1010010100011 , -#338144000 -1& -#338160000 -b11010111000100001001101010011011 " -b11010111000100001001101010011011 4 -b11010111000100001001101010011011 1 -b11010111000100001001101010011011 C -b1111111111111111001011011110000 < -b101000111011101010001101011011 : -b10101000111011110000110001101011 $ -b10101000111011110000110001101011 - -b10101000111011110000110001101011 5 -b10101000111011110000110001101011 ? -b10101000111011110000110001101011 D -b1111111111111111001011011110000 % -b1111111111111111001011011110000 . -b1111111111111111001011011110000 6 -b1111111111111111001011011110000 @ -b1111111111111111001011011110000 F -b11010111000100001001101010011011 ) -#338176000 -0& -#338192000 -b1010010100100 , -#338208000 -1& -#338224000 -b10101000000100100100110111101010 " -b10101000000100100100110111101010 4 -b10101000000100100100110111101010 1 -b10101000000100100100110111101010 C -b1010111111011110001100110000100 < -b1010111111011000110110111110010 : -b11111111111111010101010001101110 $ -b11111111111111010101010001101110 - -b11111111111111010101010001101110 5 -b11111111111111010101010001101110 ? -b11111111111111010101010001101110 D -b1010111111011110001100110000100 % -b1010111111011110001100110000100 . -b1010111111011110001100110000100 6 -b1010111111011110001100110000100 @ -b1010111111011110001100110000100 F -b10101000000100100100110111101010 ) -#338240000 -0& -#338256000 -b1010010100101 , -#338272000 -1& -#338288000 -b1111011010101000101110101100110 " -b1111011010101000101110101100110 4 -b1111011010101000101110101100110 1 -b1111011010101000101110101100110 C -19 -b0 2 -b0 = -08 -b100101111111111110111010010000 < -b10000100101010111010001010000110 : -b1011110101010111011001111110110 $ -b1011110101010111011001111110110 - -b1011110101010111011001111110110 5 -b1011110101010111011001111110110 ? -b1011110101010111011001111110110 D -b100101111111111110111010010000 % -b100101111111111110111010010000 . -b100101111111111110111010010000 6 -b100101111111111110111010010000 @ -b100101111111111110111010010000 F -b1111011010101000101110101100110 ) -#338304000 -0& -#338320000 -b1010010100110 , -#338336000 -1& -#338352000 -b10100010010001001111100000010111 " -b10100010010001001111100000010111 4 -b10100010010001001111100000010111 1 -b10100010010001001111100000010111 C -b0 2 -b0 = -09 -08 -b11111101111110110011100011101101 < -b1011101101110101111100111100111 : -b1011111101111111100000011111010 $ -b1011111101111111100000011111010 - -b1011111101111111100000011111010 5 -b1011111101111111100000011111010 ? -b1011111101111111100000011111010 D -b11111101111110110011100011101101 % -b11111101111110110011100011101101 . -b11111101111110110011100011101101 6 -b11111101111110110011100011101101 @ -b11111101111110110011100011101101 F -b10100010010001001111100000010111 ) -#338368000 -0& -#338384000 -b1010010100111 , -#338400000 -1& -#338416000 -b10001111010011001110101010100100 " -b10001111010011001110101010100100 4 -b10001111010011001110101010100100 1 -b10001111010011001110101010100100 C -b1011100111000110001011110110100 < -b110000100100110001010011000100 : -b11010011101011111111110100010000 $ -b11010011101011111111110100010000 - -b11010011101011111111110100010000 5 -b11010011101011111111110100010000 ? -b11010011101011111111110100010000 D -b1011100111000110001011110110100 % -b1011100111000110001011110110100 . -b1011100111000110001011110110100 6 -b1011100111000110001011110110100 @ -b1011100111000110001011110110100 F -b10001111010011001110101010100100 ) -#338432000 -0& -#338448000 -b1010010101000 , -#338464000 -1& -#338480000 -b10000110111010011000011010 " -b10000110111010011000011010 4 -b10000110111010011000011010 1 -b10000110111010011000011010 C -19 -b0 2 -b0 = -08 -b1110111111111111011001101011111 < -b11101101111000111100100010100100 : -b1110101111001000001010101000101 $ -b1110101111001000001010101000101 - -b1110101111001000001010101000101 5 -b1110101111001000001010101000101 ? -b1110101111001000001010101000101 D -b1110111111111111011001101011111 % -b1110111111111111011001101011111 . -b1110111111111111011001101011111 6 -b1110111111111111011001101011111 @ -b1110111111111111011001101011111 F -b10000110111010011000011010 ) -#338496000 -0& -#338512000 -b1010010101001 , -#338528000 -1& -#338544000 -b10100010000000011010111100101110 " -b10100010000000011010111100101110 4 -b10100010000000011010111100101110 1 -b10100010000000011010111100101110 C -b0 2 -b0 = -09 -08 -b11010111111111000011110010010111 < -b1001101111110011101000001010000 : -b1110101111111011001001110111001 $ -b1110101111111011001001110111001 - -b1110101111111011001001110111001 5 -b1110101111111011001001110111001 ? -b1110101111111011001001110111001 D -b11010111111111000011110010010111 % -b11010111111111000011110010010111 . -b11010111111111000011110010010111 6 -b11010111111111000011110010010111 @ -b11010111111111000011110010010111 F -b10100010000000011010111100101110 ) -#338560000 -0& -#338576000 -b1010010101010 , -#338592000 -1& -#338608000 -b11011001000100101110111010010011 " -b11011001000100101110111010010011 4 -b11011001000100101110111010010011 1 -b11011001000100101110111010010011 C -b11110100111011011110000111111110 < -b100010111011001111000101101011 : -b101101111111110000111101101101 $ -b101101111111110000111101101101 - -b101101111111110000111101101101 5 -b101101111111110000111101101101 ? -b101101111111110000111101101101 D -b11110100111011011110000111111110 % -b11110100111011011110000111111110 . -b11110100111011011110000111111110 6 -b11110100111011011110000111111110 @ -b11110100111011011110000111111110 F -b11011001000100101110111010010011 ) -#338624000 -0& -#338640000 -b1010010101011 , -#338656000 -1& -#338672000 -b10101111000100100011010100000111 " -b10101111000100100011010100000111 4 -b10101111000100100011010100000111 1 -b10101111000100100011010100000111 C -b11011001101011100011001100101110 < -b1010000011010100011100101010111 : -b1110110101111000000011000101001 $ -b1110110101111000000011000101001 - -b1110110101111000000011000101001 5 -b1110110101111000000011000101001 ? -b1110110101111000000011000101001 D -b11011001101011100011001100101110 % -b11011001101011100011001100101110 . -b11011001101011100011001100101110 6 -b11011001101011100011001100101110 @ -b11011001101011100011001100101110 F -b10101111000100100011010100000111 ) -#338688000 -0& -#338704000 -b1010010101100 , -#338720000 -1& -#338736000 -b1000101000000010010110101100000 " -b1000101000000010010110101100000 4 -b1000101000000010010110101100000 1 -b1000101000000010010110101100000 C -b0 2 -b0 = -19 -08 -b111010101111110110001101001111 < -b10111010011111011011000101111110 : -b1111111101111100100111000101111 $ -b1111111101111100100111000101111 - -b1111111101111100100111000101111 5 -b1111111101111100100111000101111 ? -b1111111101111100100111000101111 D -b111010101111110110001101001111 % -b111010101111110110001101001111 . -b111010101111110110001101001111 6 -b111010101111110110001101001111 @ -b111010101111110110001101001111 F -b1000101000000010010110101100000 ) -#338752000 -0& -#338768000 -b1010010101101 , -#338784000 -1& -#338800000 -b1001010001110010011000101000000 " -b1001010001110010011000101000000 4 -b1001010001110010011000101000000 1 -b1001010001110010011000101000000 C -b1 2 -b1 = -18 -09 -b10110111010111111111011011001111 < -b10110100110001101011111001011110 : -b11111101011001101100011110001111 $ -b11111101011001101100011110001111 - -b11111101011001101100011110001111 5 -b11111101011001101100011110001111 ? -b11111101011001101100011110001111 D -b10110111010111111111011011001111 % -b10110111010111111111011011001111 . -b10110111010111111111011011001111 6 -b10110111010111111111011011001111 @ -b10110111010111111111011011001111 F -b1001010001110010011000101000000 ) -#338816000 -0& -#338832000 -b1010010101110 , -#338848000 -1& -#338864000 -b10000010100010110000110110001 " -b10000010100010110000110110001 4 -b10000010100010110000110110001 1 -b10000010100010110000110110001 C -b11111011001111110101100001100000 < -b11100110101011011001001000110001 : -b11101011011011100011100111010001 $ -b11101011011011100011100111010001 - -b11101011011011100011100111010001 5 -b11101011011011100011100111010001 ? -b11101011011011100011100111010001 D -b11111011001111110101100001100000 % -b11111011001111110101100001100000 . -b11111011001111110101100001100000 6 -b11111011001111110101100001100000 @ -b11111011001111110101100001100000 F -b10000010100010110000110110001 ) -#338880000 -0& -#338896000 -b1010010101111 , -#338912000 -1& -#338928000 -b10110000010100010101100111100011 " -b10110000010100010101100111100011 4 -b10110000010100010101100111100011 1 -b10110000010100010101100111100011 C -b0 2 -b0 = -08 -b11001111111011111000111111100111 < -b1001111101011100110010111101011 : -b1111111101111101101011000000100 $ -b1111111101111101101011000000100 - -b1111111101111101101011000000100 5 -b1111111101111101101011000000100 ? -b1111111101111101101011000000100 D -b11001111111011111000111111100111 % -b11001111111011111000111111100111 . -b11001111111011111000111111100111 6 -b11001111111011111000111111100111 @ -b11001111111011111000111111100111 F -b10110000010100010101100111100011 ) -#338944000 -0& -#338960000 -b1010010110000 , -#338976000 -1& -#338992000 -b10101110110101110100101101001001 " -b10101110110101110100101101001001 4 -b10101110110101110100101101001001 1 -b10101110110101110100101101001001 C -b1010001101010001100111100101100 < -b1010001001010000101001110010001 : -b11111111011111111000010001100101 $ -b11111111011111111000010001100101 - -b11111111011111111000010001100101 5 -b11111111011111111000010001100101 ? -b11111111011111111000010001100101 D -b1010001101010001100111100101100 % -b1010001101010001100111100101100 . -b1010001101010001100111100101100 6 -b1010001101010001100111100101100 @ -b1010001101010001100111100101100 F -b10101110110101110100101101001001 ) -#339008000 -0& -#339024000 -b1010010110001 , -#339040000 -1& -#339056000 -b1010000010110111010111 " -b1010000010110111010111 4 -b1010000010110111010111 1 -b1010000010110111010111 C -19 -b0 2 -b0 = -08 -b1011111110111111000010101111010 < -b10111111110101110010111000100111 : -b1011111111101111010100010101101 $ -b1011111111101111010100010101101 - -b1011111111101111010100010101101 5 -b1011111111101111010100010101101 ? -b1011111111101111010100010101101 D -b1011111110111111000010101111010 % -b1011111110111111000010101111010 . -b1011111110111111000010101111010 6 -b1011111110111111000010101111010 @ -b1011111110111111000010101111010 F -b1010000010110111010111 ) -#339072000 -0& -#339088000 -b1010010110010 , -#339104000 -1& -#339120000 -b11000000010001000010111111110111 " -b11000000010001000010111111110111 4 -b11000000010001000010111111110111 1 -b11000000010001000010111111110111 C -b0 2 -b0 = -09 -08 -b11111011001111110001111000111101 < -b110110101110100101000000000111 : -b111011011110110011000111001010 $ -b111011011110110011000111001010 - -b111011011110110011000111001010 5 -b111011011110110011000111001010 ? -b111011011110110011000111001010 D -b11111011001111110001111000111101 % -b11111011001111110001111000111101 . -b11111011001111110001111000111101 6 -b11111011001111110001111000111101 @ -b11111011001111110001111000111101 F -b11000000010001000010111111110111 ) -#339136000 -0& -#339152000 -b1010010110011 , -#339168000 -1& -#339184000 -b10010000110010111101100111110010 " -b10010000110010111101100111110010 4 -b10010000110010111101100111110010 1 -b10010000110010111101100111110010 C -b11111111011111110100100001001010 < -b1101111001100111101101000000010 : -b1101111101101001001000110111000 $ -b1101111101101001001000110111000 - -b1101111101101001001000110111000 5 -b1101111101101001001000110111000 ? -b1101111101101001001000110111000 D -b11111111011111110100100001001010 % -b11111111011111110100100001001010 . -b11111111011111110100100001001010 6 -b11111111011111110100100001001010 @ -b11111111011111110100100001001010 F -b10010000110010111101100111110010 ) -#339200000 -0& -#339216000 -b1010010110100 , -#339232000 -1& -#339248000 -b11101010000000010100000110110111 " -b11101010000000010100000110110111 4 -b11101010000000010100000110110111 1 -b11101010000000010100000110110111 C -b11111101111111101001010110100001 < -b10101111111100110100110110111 : -b10111111111111101010000010110 $ -b10111111111111101010000010110 - -b10111111111111101010000010110 5 -b10111111111111101010000010110 ? -b10111111111111101010000010110 D -b11111101111111101001010110100001 % -b11111101111111101001010110100001 . -b11111101111111101001010110100001 6 -b11111101111111101001010110100001 @ -b11111101111111101001010110100001 F -b11101010000000010100000110110111 ) -#339264000 -0& -#339280000 -b1010010110101 , -#339296000 -1& -#339312000 -b11001000100000111111111011 " -b11001000100000111111111011 4 -b11001000100000111111111011 1 -b11001000100000111111111011 C -b1 2 -b1 = -18 -b11111111011111011011010011000110 < -b11111011110111010111000000000011 : -b11111100010111111011101100111101 $ -b11111100010111111011101100111101 - -b11111100010111111011101100111101 5 -b11111100010111111011101100111101 ? -b11111100010111111011101100111101 D -b11111111011111011011010011000110 % -b11111111011111011011010011000110 . -b11111111011111011011010011000110 6 -b11111111011111011011010011000110 @ -b11111111011111011011010011000110 F -b11001000100000111111111011 ) -#339328000 -0& -#339344000 -b1010010110110 , -#339360000 -1& -#339376000 -b10101110100011011010100111101111 " -b10101110100011011010100111101111 4 -b10101110100011011010100111101111 1 -b10101110100011011010100111101111 C -b0 2 -b0 = -08 -b11111001011111110100001101001011 < -b1010001011100100010110111101111 : -b1010111111100101110101010100100 $ -b1010111111100101110101010100100 - -b1010111111100101110101010100100 5 -b1010111111100101110101010100100 ? -b1010111111100101110101010100100 D -b11111001011111110100001101001011 % -b11111001011111110100001101001011 . -b11111001011111110100001101001011 6 -b11111001011111110100001101001011 @ -b11111001011111110100001101001011 F -b10101110100011011010100111101111 ) -#339392000 -0& -#339408000 -b1010010110111 , -#339424000 -1& -#339440000 -b10001010000001001001101011101111 " -b10001010000001001001101011101111 4 -b10001010000001001001101011101111 1 -b10001010000001001001101011101111 C -b11110101111110110110100011110110 < -b1110101111110110101101100001111 : -b1111111111111111111001000011001 $ -b1111111111111111111001000011001 - -b1111111111111111111001000011001 5 -b1111111111111111111001000011001 ? -b1111111111111111111001000011001 D -b11110101111110110110100011110110 % -b11110101111110110110100011110110 . -b11110101111110110110100011110110 6 -b11110101111110110110100011110110 @ -b11110101111110110110100011110110 F -b10001010000001001001101011101111 ) -#339456000 -0& -#339472000 -b1010010111000 , -#339488000 -1& -#339504000 -b1001000010000010100111010111001 " -b1001000010000010100111010111001 4 -b1001000010000010100111010111001 1 -b1001000010000010100111010111001 C -b0 2 -b0 = -19 -08 -b1110110111111101101011110011000 < -b10110101101111100111000010111001 : -b111110101111111001100100100001 $ -b111110101111111001100100100001 - -b111110101111111001100100100001 5 -b111110101111111001100100100001 ? -b111110101111111001100100100001 D -b1110110111111101101011110011000 % -b1110110111111101101011110011000 . -b1110110111111101101011110011000 6 -b1110110111111101101011110011000 @ -b1110110111111101101011110011000 F -b1001000010000010100111010111001 ) -#339520000 -0& -#339536000 -b1010010111001 , -#339552000 -1& -#339568000 -b10100001101000100010100100110011 " -b10100001101000100010100100110011 4 -b10100001101000100010100100110011 1 -b10100001101000100010100100110011 C -b0 2 -b0 = -09 -08 -b11111110111110011110110010001111 < -b1011110010101011011001001001011 : -b1011111010110111100010110111100 $ -b1011111010110111100010110111100 - -b1011111010110111100010110111100 5 -b1011111010110111100010110111100 ? -b1011111010110111100010110111100 D -b11111110111110011110110010001111 % -b11111110111110011110110010001111 . -b11111110111110011110110010001111 6 -b11111110111110011110110010001111 @ -b11111110111110011110110010001111 F -b10100001101000100010100100110011 ) -#339584000 -0& -#339600000 -b1010010111010 , -#339616000 -1& -#339632000 -b10110110011110101000010100011110 " -b10110110011110101000010100011110 4 -b10110110011110101000010100011110 1 -b10110110011110101000010100011110 C -b1111111110000110010101010111010 < -b1001001011111001101101001011110 : -b11001001101110011010111110100100 $ -b11001001101110011010111110100100 - -b11001001101110011010111110100100 5 -b11001001101110011010111110100100 ? -b11001001101110011010111110100100 D -b1111111110000110010101010111010 % -b1111111110000110010101010111010 . -b1111111110000110010101010111010 6 -b1111111110000110010101010111010 @ -b1111111110000110010101010111010 F -b10110110011110101000010100011110 ) -#339648000 -0& -#339664000 -b1010010111011 , -#339680000 -1& -#339696000 -b10000010010010110000100111101001 " -b10000010010010110000100111101001 4 -b10000010010010110000100111101001 1 -b10000010010010110000100111101001 C -b11111101111111111110100110001101 < -b1111101101101001100100111110001 : -b1111111101101001110000001100100 $ -b1111111101101001110000001100100 - -b1111111101101001110000001100100 5 -b1111111101101001110000001100100 ? -b1111111101101001110000001100100 D -b11111101111111111110100110001101 % -b11111101111111111110100110001101 . -b11111101111111111110100110001101 6 -b11111101111111111110100110001101 @ -b11111101111111111110100110001101 F -b10000010010010110000100111101001 ) -#339712000 -0& -#339728000 -b1010010111100 , -#339744000 -1& -#339760000 -b1000000010110000001000001111001 " -b1000000010110000001000001111001 4 -b1000000010110000001000001111001 1 -b1000000010110000001000001111001 C -b0 2 -b0 = -19 -08 -b111111101111111110010101111101 < -b10111111101001111101101010000001 : -b1111111111001111111010100000100 $ -b1111111111001111111010100000100 - -b1111111111001111111010100000100 5 -b1111111111001111111010100000100 ? -b1111111111001111111010100000100 D -b111111101111111110010101111101 % -b111111101111111110010101111101 . -b111111101111111110010101111101 6 -b111111101111111110010101111101 @ -b111111101111111110010101111101 F -b1000000010110000001000001111001 ) -#339776000 -0& -#339792000 -b1010010111101 , -#339808000 -1& -#339824000 -b11110110001110001010001111111100 " -b11110110001110001010001111111100 4 -b11110110001110001010001111111100 1 -b11110110001110001010001111111100 C -09 -b0 2 -b0 = -08 -b1101111110001111110000100011111 < -b1001110001110010010000000010 : -b10011001111111110100001011100011 $ -b10011001111111110100001011100011 - -b10011001111111110100001011100011 5 -b10011001111111110100001011100011 ? -b10011001111111110100001011100011 D -b1101111110001111110000100011111 % -b1101111110001111110000100011111 . -b1101111110001111110000100011111 6 -b1101111110001111110000100011111 @ -b1101111110001111110000100011111 F -b11110110001110001010001111111100 ) -#339840000 -0& -#339856000 -b1010010111110 , -#339872000 -1& -#339888000 -b1110001111100110110111100001 " -b1110001111100110110111100001 4 -b1110001111100110110111100001 1 -b1110001111100110110111100001 C -b1 2 -b1 = -18 -b11110111110111110111110100100110 < -b11110001110000001000110111101101 : -b11111001111000010001000011000111 $ -b11111001111000010001000011000111 - -b11111001111000010001000011000111 5 -b11111001111000010001000011000111 ? -b11111001111000010001000011000111 D -b11110111110111110111110100100110 % -b11110111110111110111110100100110 . -b11110111110111110111110100100110 6 -b11110111110111110111110100100110 @ -b11110111110111110111110100100110 F -b1110001111100110110111100001 ) -#339904000 -0& -#339920000 -b1010010111111 , -#339936000 -1& -#339952000 -b1001001010100110101110000011 " -b1001001010100110101110000011 4 -b1001001010100110101110000011 1 -b1001001010100110101110000011 C -b0 2 -b0 = -08 -19 -b1111111101101010011111101110001 < -b11110110010101001001010001100011 : -b1110110100111110101010011110010 $ -b1110110100111110101010011110010 - -b1110110100111110101010011110010 5 -b1110110100111110101010011110010 ? -b1110110100111110101010011110010 D -b1111111101101010011111101110001 % -b1111111101101010011111101110001 . -b1111111101101010011111101110001 6 -b1111111101101010011111101110001 @ -b1111111101101010011111101110001 F -b1001001010100110101110000011 ) -#339968000 -0& -#339984000 -b1010011000000 , -#340000000 -1& -#340016000 -b10101100100001001110011100011011 " -b10101100100001001110011100011011 4 -b10101100100001001110011100011011 1 -b10101100100001001110011100011011 C -b0 2 -b0 = -09 -08 -b11111011011101111010010111011101 < -b1010011011010101110100010100011 : -b1010111111100110100001011000110 $ -b1010111111100110100001011000110 - -b1010111111100110100001011000110 5 -b1010111111100110100001011000110 ? -b1010111111100110100001011000110 D -b11111011011101111010010111011101 % -b11111011011101111010010111011101 . -b11111011011101111010010111011101 6 -b11111011011101111010010111011101 @ -b11111011011101111010010111011101 F -b10101100100001001110011100011011 ) -#340032000 -0& -#340048000 -b1010011000001 , -#340064000 -1& -#340080000 -b11110000011011000110110010011010 " -b11110000011011000110110010011010 4 -b11110000011011000110110010011010 1 -b11110000011011000110110010011010 C -b1 2 -b1 = -18 -b10111101110010111010111100101 < -b11111111100011101000111101100100 : -b11100111110101010001100101111111 $ -b11100111110101010001100101111111 - -b11100111110101010001100101111111 5 -b11100111110101010001100101111111 ? -b11100111110101010001100101111111 D -b10111101110010111010111100101 % -b10111101110010111010111100101 . -b10111101110010111010111100101 6 -b10111101110010111010111100101 @ -b10111101110010111010111100101 F -b11110000011011000110110010011010 ) -#340096000 -0& -#340112000 -b1010011000010 , -#340128000 -1& -#340144000 -b10100100000000001101011011101010 " -b10100100000000001101011011101010 4 -b10100100000000001101011011101010 1 -b10100100000000001101011011101010 C -b10101011101001011110000010000001 < -b10111011010010110001011011101100 : -b1111101001010011011001101011 $ -b1111101001010011011001101011 - -b1111101001010011011001101011 5 -b1111101001010011011001101011 ? -b1111101001010011011001101011 D -b10101011101001011110000010000001 % -b10101011101001011110000010000001 . -b10101011101001011110000010000001 6 -b10101011101001011110000010000001 @ -b10101011101001011110000010000001 F -b10100100000000001101011011101010 ) -#340160000 -0& -#340176000 -b1010011000011 , -#340192000 -1& -#340208000 -b10001010001000001111110111110000 " -b10001010001000001111110111110000 4 -b10001010001000001111110111110000 1 -b10001010001000001111110111110000 C -b0 2 -b0 = -08 -b11110111110111111101110000001101 < -b1110101110111101111111000001010 : -b1111101111111110010000111111101 $ -b1111101111111110010000111111101 - -b1111101111111110010000111111101 5 -b1111101111111110010000111111101 ? -b1111101111111110010000111111101 D -b11110111110111111101110000001101 % -b11110111110111111101110000001101 . -b11110111110111111101110000001101 6 -b11110111110111111101110000001101 @ -b11110111110111111101110000001101 F -b10001010001000001111110111110000 ) -#340224000 -0& -#340240000 -b1010011000100 , -#340256000 -1& -#340272000 -b111010010011100000110111101100 " -b111010010011100000110111101100 4 -b111010010011100000110111101100 1 -b111010010011100000110111101100 C -b101111111100011011111010000010 < -b1000101101100010111000111110000 : -b10101101111111011001101101110 $ -b10101101111111011001101101110 - -b10101101111111011001101101110 5 -b10101101111111011001101101110 ? -b10101101111111011001101101110 D -b101111111100011011111010000010 % -b101111111100011011111010000010 . -b101111111100011011111010000010 6 -b101111111100011011111010000010 @ -b101111111100011011111010000010 F -b111010010011100000110111101100 ) -#340288000 -0& -#340304000 -b1010011000101 , -#340320000 -1& -#340336000 -b11100001000011100110100001011 " -b11100001000011100110100001011 4 -b11100001000011100110100001011 1 -b11100001000011100110100001011 C -b0 2 -b0 = -19 -08 -b1101011110110110010001101100010 < -b11100011110101100001000111001011 : -b1110111111110101110111001101001 $ -b1110111111110101110111001101001 - -b1110111111110101110111001101001 5 -b1110111111110101110111001101001 ? -b1110111111110101110111001101001 D -b1101011110110110010001101100010 % -b1101011110110110010001101100010 . -b1101011110110110010001101100010 6 -b1101011110110110010001101100010 @ -b1101011110110110010001101100010 F -b11100001000011100110100001011 ) -#340352000 -0& -#340368000 -b1010011000110 , -#340384000 -1& -#340400000 -b1000101011101000011010001001100 " -b1000101011101000011010001001100 4 -b1000101011101000011010001001100 1 -b1000101011101000011010001001100 C -b1101000111011110000011000110100 < -b10010110100010100011100010101100 : -b101101100110110011001001111000 $ -b101101100110110011001001111000 - -b101101100110110011001001111000 5 -b101101100110110011001001111000 ? -b101101100110110011001001111000 D -b1101000111011110000011000110100 % -b1101000111011110000011000110100 . -b1101000111011110000011000110100 6 -b1101000111011110000011000110100 @ -b1101000111011110000011000110100 F -b1000101011101000011010001001100 ) -#340416000 -0& -#340432000 -b1010011000111 , -#340448000 -1& -#340464000 -b1100101000000110101100010100100 " -b1100101000000110101100010100100 4 -b1100101000000110101100010100100 1 -b1100101000000110101100010100100 C -b11011011101011101110100011000 < -b10011001111011000110001011010100 : -b1111110011101101000010110111100 $ -b1111110011101101000010110111100 - -b1111110011101101000010110111100 5 -b1111110011101101000010110111100 ? -b1111110011101101000010110111100 D -b11011011101011101110100011000 % -b11011011101011101110100011000 . -b11011011101011101110100011000 6 -b11011011101011101110100011000 @ -b11011011101011101110100011000 F -b1100101000000110101100010100100 ) -#340480000 -0& -#340496000 -b1010011001000 , -#340512000 -1& -#340528000 -b1111000001110001011101100101000 " -b1111000001110001011101100101000 4 -b1111000001110001011101100101000 1 -b1111000001110001011101100101000 C -b100101010011111010100101011010 < -b10000010110001101011101111001100 : -b1011101011101110001001001110010 $ -b1011101011101110001001001110010 - -b1011101011101110001001001110010 5 -b1011101011101110001001001110010 ? -b1011101011101110001001001110010 D -b100101010011111010100101011010 % -b100101010011111010100101011010 . -b100101010011111010100101011010 6 -b100101010011111010100101011010 @ -b100101010011111010100101011010 F -b1111000001110001011101100101000 ) -#340544000 -0& -#340560000 -b1010011001001 , -#340576000 -1& -#340592000 -b10001000001001001111100101010101 " -b10001000001001001111100101010101 4 -b10001000001001001111100101010101 1 -b10001000001001001111100101010101 C -b0 2 -b0 = -09 -08 -b11111111011110110111010110011001 < -b1110110110110110000001001100101 : -b1110111010111111000110011001100 $ -b1110111010111111000110011001100 - -b1110111010111111000110011001100 5 -b1110111010111111000110011001100 ? -b1110111010111111000110011001100 D -b11111111011110110111010110011001 % -b11111111011110110111010110011001 . -b11111111011110110111010110011001 6 -b11111111011110110111010110011001 @ -b11111111011110110111010110011001 F -b10001000001001001111100101010101 ) -#340608000 -0& -#340624000 -b1010011001010 , -#340640000 -1& -#340656000 -b11110001000111011110000101000111 " -b11110001000111011110000101000111 4 -b11110001000111011110000101000111 1 -b11110001000111011110000101000111 C -b111111101001101011000001101111 < -b1110011000100000000110010111 : -b11001110101110110101000100101000 $ -b11001110101110110101000100101000 - -b11001110101110110101000100101000 5 -b11001110101110110101000100101000 ? -b11001110101110110101000100101000 D -b111111101001101011000001101111 % -b111111101001101011000001101111 . -b111111101001101011000001101111 6 -b111111101001101011000001101111 @ -b111111101001101011000001101111 F -b11110001000111011110000101000111 ) -#340672000 -0& -#340688000 -b1010011001011 , -#340704000 -1& -#340720000 -b10010111010001000100101010110011 " -b10010111010001000100101010110011 4 -b10010111010001000100101010110011 1 -b10010111010001000100101010110011 C -b11101101101111111010010001111110 < -b1101000101110111001001101001011 : -b1111010111110111110111011001101 $ -b1111010111110111110111011001101 - -b1111010111110111110111011001101 5 -b1111010111110111110111011001101 ? -b1111010111110111110111011001101 D -b11101101101111111010010001111110 % -b11101101101111111010010001111110 . -b11101101101111111010010001111110 6 -b11101101101111111010010001111110 @ -b11101101101111111010010001111110 F -b10010111010001000100101010110011 ) -#340736000 -0& -#340752000 -b1010011001100 , -#340768000 -1& -#340784000 -b10110010001101011110100110001000 " -b10110010001101011110100110001000 4 -b10110010001101011110100110001000 1 -b10110010001101011110100110001000 C -b11101101110010110011011111011110 < -b1001101110010100001011000110100 : -b1011111111111101101111001010110 $ -b1011111111111101101111001010110 - -b1011111111111101101111001010110 5 -b1011111111111101101111001010110 ? -b1011111111111101101111001010110 D -b11101101110010110011011111011110 % -b11101101110010110011011111011110 . -b11101101110010110011011111011110 6 -b11101101110010110011011111011110 @ -b11101101110010110011011111011110 F -b10110010001101011110100110001000 ) -#340800000 -0& -#340816000 -b1010011001101 , -#340832000 -1& -#340848000 -b101111111111100011101100001110 " -b101111111111100011101100001110 4 -b101111111111100011101100001110 1 -b101111111111100011101100001110 C -b0 2 -b0 = -19 -08 -b1110111110101111001001101001010 < -b11010000000000010011101110001110 : -b1011000001010011010100001000100 $ -b1011000001010011010100001000100 - -b1011000001010011010100001000100 5 -b1011000001010011010100001000100 ? -b1011000001010011010100001000100 D -b1110111110101111001001101001010 % -b1110111110101111001001101001010 . -b1110111110101111001001101001010 6 -b1110111110101111001001101001010 @ -b1110111110101111001001101001010 F -b101111111111100011101100001110 ) -#340864000 -0& -#340880000 -b1010011001110 , -#340896000 -1& -#340912000 -b11101100000000110110000111100 " -b11101100000000110110000111100 4 -b11101100000000110110000111100 1 -b11101100000000110110000111100 C -b1101110111111110011001010010011 < -b11100010011111101001000101000010 : -b1110011011111110101111010101111 $ -b1110011011111110101111010101111 - -b1110011011111110101111010101111 5 -b1110011011111110101111010101111 ? -b1110011011111110101111010101111 D -b1101110111111110011001010010011 % -b1101110111111110011001010010011 . -b1101110111111110011001010010011 6 -b1101110111111110011001010010011 @ -b1101110111111110011001010010011 F -b11101100000000110110000111100 ) -#340928000 -0& -#340944000 -b1010011001111 , -#340960000 -1& -#340976000 -b11110100100100100101011010110 " -b11110100100100100101011010110 4 -b11110100100100100101011010110 1 -b11110100100100100101011010110 C -b1100111011111010101111100111001 < -b11100001011011000111010100101000 : -b1111001111011110001010111101111 $ -b1111001111011110001010111101111 - -b1111001111011110001010111101111 5 -b1111001111011110001010111101111 ? -b1111001111011110001010111101111 D -b1100111011111010101111100111001 % -b1100111011111010101111100111001 . -b1100111011111010101111100111001 6 -b1100111011111010101111100111001 @ -b1100111011111010101111100111001 F -b11110100100100100101011010110 ) -#340992000 -0& -#341008000 -b1010011010000 , -#341024000 -1& -#341040000 -b10011000000010000010010010100011 " -b10011000000010000010010010100011 4 -b10011000000010000010010010100011 1 -b10011000000010000010010010100011 C -09 -b0 2 -b0 = -08 -b1100111111101111111111111100011 < -b1100111111101111101101100100011 : -b11111111111111111101101101000000 $ -b11111111111111111101101101000000 - -b11111111111111111101101101000000 5 -b11111111111111111101101101000000 ? -b11111111111111111101101101000000 D -b1100111111101111111111111100011 % -b1100111111101111111111111100011 . -b1100111111101111111111111100011 6 -b1100111111101111111111111100011 @ -b1100111111101111111111111100011 F -b10011000000010000010010010100011 ) -#341056000 -0& -#341072000 -b1010011010001 , -#341088000 -1& -#341104000 -b1000001010001000100001011100010 " -b1000001010001000100001011100010 4 -b1000001010001000100001011100010 1 -b1000001010001000100001011100010 C -b1 2 -b1 = -18 -19 -b11011110101111110010111101011000 < -b1111110101110101001110100010010 : -b10011111111110110110110110111010 $ -b10011111111110110110110110111010 - -b10011111111110110110110110111010 5 -b10011111111110110110110110111010 ? -b10011111111110110110110110111010 D -b11011110101111110010111101011000 % -b11011110101111110010111101011000 . -b11011110101111110010111101011000 6 -b11011110101111110010111101011000 @ -b11011110101111110010111101011000 F -b1000001010001000100001011100010 ) -#341120000 -0& -#341136000 -b1010011010010 , -#341152000 -1& -#341168000 -b11111011100010001110011110000001 " -b11111011100010001110011110000001 4 -b11111011100010001110011110000001 1 -b11111011100010001110011110000001 C -09 -b1 2 -b1 = -18 -b10110000011110111101001000001101 < -b11111100011011110000011110011001 : -b1001011111100110011010110001100 $ -b1001011111100110011010110001100 - -b1001011111100110011010110001100 5 -b1001011111100110011010110001100 ? -b1001011111100110011010110001100 D -b10110000011110111101001000001101 % -b10110000011110111101001000001101 . -b10110000011110111101001000001101 6 -b10110000011110111101001000001101 @ -b10110000011110111101001000001101 F -b11111011100010001110011110000001 ) -#341184000 -0& -#341200000 -b1010011010011 , -#341216000 -1& -#341232000 -b10011110000010010110110001111111 " -b10011110000010010110110001111111 4 -b10011110000010010110110001111111 1 -b10011110000010010110110001111111 C -b0 2 -b0 = -08 -b11110001101111011011101100101011 < -b1100001011100101001001001111111 : -b1101111101101001101011101010100 $ -b1101111101101001101011101010100 - -b1101111101101001101011101010100 5 -b1101111101101001101011101010100 ? -b1101111101101001101011101010100 D -b11110001101111011011101100101011 % -b11110001101111011011101100101011 . -b11110001101111011011101100101011 6 -b11110001101111011011101100101011 @ -b11110001101111011011101100101011 F -b10011110000010010110110001111111 ) -#341248000 -0& -#341264000 -b1010011010100 , -#341280000 -1& -#341296000 -b11000000100100001111100101101100 " -b11000000100100001111100101101100 4 -b11000000100100001111100101101100 1 -b11000000100100001111100101101100 C -b11111110101001111110111110000000 < -b111100110111110000011001101100 : -b111110001101110001011011101100 $ -b111110001101110001011011101100 - -b111110001101110001011011101100 5 -b111110001101110001011011101100 ? -b111110001101110001011011101100 D -b11111110101001111110111110000000 % -b11111110101001111110111110000000 . -b11111110101001111110111110000000 6 -b11111110101001111110111110000000 @ -b11111110101001111110111110000000 F -b11000000100100001111100101101100 ) -#341312000 -0& -#341328000 -b1010011010101 , -#341344000 -1& -#341360000 -b1000001100000001100010111110 " -b1000001100000001100010111110 4 -b1000001100000001100010111110 1 -b1000001100000001100010111110 C -b1 2 -b1 = -18 -b11011111110001010100101110001011 < -b10110111101110101001111011000000 : -b11010111111101010101001100110101 $ -b11010111111101010101001100110101 - -b11010111111101010101001100110101 5 -b11010111111101010101001100110101 ? -b11010111111101010101001100110101 D -b11011111110001010100101110001011 % -b11011111110001010100101110001011 . -b11011111110001010100101110001011 6 -b11011111110001010100101110001011 @ -b11011111110001010100101110001011 F -b1000001100000001100010111110 ) -#341376000 -0& -#341392000 -b1010011010110 , -#341408000 -1& -#341424000 -b11000010110001000101111111001100 " -b11000010110001000101111111001100 4 -b11000010110001000101111111001100 1 -b11000010110001000101111111001100 C -b0 2 -b0 = -08 -b1111101011100110111010001011101 < -b111101001010101001111111101110 : -b10111111101101110010101110010001 $ -b10111111101101110010101110010001 - -b10111111101101110010101110010001 5 -b10111111101101110010101110010001 ? -b10111111101101110010101110010001 D -b1111101011100110111010001011101 % -b1111101011100110111010001011101 . -b1111101011100110111010001011101 6 -b1111101011100110111010001011101 @ -b1111101011100110111010001011101 F -b11000010110001000101111111001100 ) -#341440000 -0& -#341456000 -b1010011010111 , -#341472000 -1& -#341488000 -b1000111100010100100110100011100 " -b1000111100010100100110100011100 4 -b1000111100010100100110100011100 1 -b1000111100010100100110100011100 C -b1 2 -b1 = -18 -b11100110011111110111001101111111 < -b10001000011101001011000111100010 : -b10100001111101010011111001100011 $ -b10100001111101010011111001100011 - -b10100001111101010011111001100011 5 -b10100001111101010011111001100011 ? -b10100001111101010011111001100011 D -b11100110011111110111001101111111 % -b11100110011111110111001101111111 . -b11100110011111110111001101111111 6 -b11100110011111110111001101111111 @ -b11100110011111110111001101111111 F -b1000111100010100100110100011100 ) -#341504000 -0& -#341520000 -b1010011011000 , -#341536000 -1& -#341552000 -b10100000011001000101110001011100 " -b10100000011001000101110001011100 4 -b10100000011001000101110001011100 1 -b10100000011001000101110001011100 C -b0 2 -b0 = -08 -b1111111111111111001010010011010 < -b1011111100110110101110101100000 : -b11011111100110111100100011000110 $ -b11011111100110111100100011000110 - -b11011111100110111100100011000110 5 -b11011111100110111100100011000110 ? -b11011111100110111100100011000110 D -b1111111111111111001010010011010 % -b1111111111111111001010010011010 . -b1111111111111111001010010011010 6 -b1111111111111111001010010011010 @ -b1111111111111111001010010011010 F -b10100000011001000101110001011100 ) -#341568000 -0& -#341584000 -b1010011011001 , -#341600000 -1& -#341616000 -b110111000000101010010010101010 " -b110111000000101010010010101010 4 -b110111000000101010010010101010 1 -b110111000000101010010010101010 C -19 -b0 2 -b0 = -08 -b1101100111111111100110111111010 < -b11001000111111010011011101001010 : -b1011011111111010110100101010000 $ -b1011011111111010110100101010000 - -b1011011111111010110100101010000 5 -b1011011111111010110100101010000 ? -b1011011111111010110100101010000 D -b1101100111111111100110111111010 % -b1101100111111111100110111111010 . -b1101100111111111100110111111010 6 -b1101100111111111100110111111010 @ -b1101100111111111100110111111010 F -b110111000000101010010010101010 ) -#341632000 -0& -#341648000 -b1010011011010 , -#341664000 -1& -#341680000 -b100010000100000001101000111001 " -b100010000100000001101000111001 4 -b100010000100000001101000111001 1 -b100010000100000001101000111001 C -b1 2 -b1 = -18 -09 -b11011111111111110101001001111100 < -b11011101111011101001101011000001 : -b11111101111011110100100001000101 $ -b11111101111011110100100001000101 - -b11111101111011110100100001000101 5 -b11111101111011110100100001000101 ? -b11111101111011110100100001000101 D -b11011111111111110101001001111100 % -b11011111111111110101001001111100 . -b11011111111111110101001001111100 6 -b11011111111111110101001001111100 @ -b11011111111111110101001001111100 F -b100010000100000001101000111001 ) -#341696000 -0& -#341712000 -b1010011011011 , -#341728000 -1& -#341744000 -b10101000110011101001101011110000 " -b10101000110011101001101011110000 4 -b10101000110011101001101011110000 1 -b10101000110011101001101011110000 C -b0 2 -b0 = -08 -b11011111110101010011001101000100 < -b1010110111100001101110011111000 : -b1110111000110111010100110110100 $ -b1110111000110111010100110110100 - -b1110111000110111010100110110100 5 -b1110111000110111010100110110100 ? -b1110111000110111010100110110100 D -b11011111110101010011001101000100 % -b11011111110101010011001101000100 . -b11011111110101010011001101000100 6 -b11011111110101010011001101000100 @ -b11011111110101010011001101000100 F -b10101000110011101001101011110000 ) -#341760000 -0& -#341776000 -b1010011011100 , -#341792000 -1& -#341808000 -b10010101001000000001101110110010 " -b10010101001000000001101110110010 4 -b10010101001000000001101110110010 1 -b10010101001000000001101110110010 C -b1111010111111110111111001010000 < -b1101010110111101110010000110010 : -b11101111110111110110010111100010 $ -b11101111110111110110010111100010 - -b11101111110111110110010111100010 5 -b11101111110111110110010111100010 ? -b11101111110111110110010111100010 D -b1111010111111110111111001010000 % -b1111010111111110111111001010000 . -b1111010111111110111111001010000 6 -b1111010111111110111111001010000 @ -b1111010111111110111111001010000 F -b10010101001000000001101110110010 ) -#341824000 -0& -#341840000 -b1010011011101 , -#341856000 -1& -#341872000 -b1000110000100111100110011 " -b1000110000100111100110011 4 -b1000110000100111100110011 1 -b1000110000100111100110011 C -b1 2 -b1 = -18 -b11111110101011011101111011000001 < -b11111110011000110111000010110011 : -b11111111101101011001000111110010 $ -b11111111101101011001000111110010 - -b11111111101101011001000111110010 5 -b11111111101101011001000111110010 ? -b11111111101101011001000111110010 D -b11111110101011011101111011000001 % -b11111110101011011101111011000001 . -b11111110101011011101111011000001 6 -b11111110101011011101111011000001 @ -b11111110101011011101111011000001 F -b1000110000100111100110011 ) -#341888000 -0& -#341904000 -b1010011011110 , -#341920000 -1& -#341936000 -b10101000000010110011110101101111 " -b10101000000010110011110101101111 4 -b10101000000010110011110101101111 1 -b10101000000010110011110101101111 C -b0 2 -b0 = -08 -b1100110111111011011101101011101 < -b110101111101000100000110001111 : -b11001110111101101000011000110010 $ -b11001110111101101000011000110010 - -b11001110111101101000011000110010 5 -b11001110111101101000011000110010 ? -b11001110111101101000011000110010 D -b1100110111111011011101101011101 % -b1100110111111011011101101011101 . -b1100110111111011011101101011101 6 -b1100110111111011011101101011101 @ -b1100110111111011011101101011101 F -b10101000000010110011110101101111 ) -#341952000 -0& -#341968000 -b1010011011111 , -#341984000 -1& -#342000000 -b11001011011111010111100011 " -b11001011011111010111100011 4 -b11001011011111010111100011 1 -b11001011011111010111100011 C -b1 2 -b1 = -18 -b11111101111101100000100001011010 < -b11111100110100100000011000010011 : -b11111110110110111111110110111001 $ -b11111110110110111111110110111001 - -b11111110110110111111110110111001 5 -b11111110110110111111110110111001 ? -b11111110110110111111110110111001 D -b11111101111101100000100001011010 % -b11111101111101100000100001011010 . -b11111101111101100000100001011010 6 -b11111101111101100000100001011010 @ -b11111101111101100000100001011010 F -b11001011011111010111100011 ) -#342016000 -0& -#342032000 -b1010011100000 , -#342048000 -1& -#342064000 -b10000110000000011101000100010001 " -b10000110000000011101000100010001 4 -b10000110000000011101000100010001 1 -b10000110000000011101000100010001 C -b0 2 -b0 = -08 -b11111101111110101111011001111011 < -b1111001111101100001110111100101 : -b1111011111110110010011101101010 $ -b1111011111110110010011101101010 - -b1111011111110110010011101101010 5 -b1111011111110110010011101101010 ? -b1111011111110110010011101101010 D -b11111101111110101111011001111011 % -b11111101111110101111011001111011 . -b11111101111110101111011001111011 6 -b11111101111110101111011001111011 @ -b11111101111110101111011001111011 F -b10000110000000011101000100010001 ) -#342080000 -0& -#342096000 -b1010011100001 , -#342112000 -1& -#342128000 -b1001000101011101000110110010110 " -b1001000101011101000110110010110 4 -b1001000101011101000110110010110 1 -b1001000101011101000110110010110 C -b0 2 -b0 = -19 -08 -b1111111110111010100011110010100 < -b10110111010100010001000110010110 : -b110111011100111100101000000010 $ -b110111011100111100101000000010 - -b110111011100111100101000000010 5 -b110111011100111100101000000010 ? -b110111011100111100101000000010 D -b1111111110111010100011110010100 % -b1111111110111010100011110010100 . -b1111111110111010100011110010100 6 -b1111111110111010100011110010100 @ -b1111111110111010100011110010100 F -b1001000101011101000110110010110 ) -#342144000 -0& -#342160000 -b1010011100010 , -#342176000 -1& -#342192000 -b10011100001000001101011111000101 " -b10011100001000001101011111000101 4 -b10011100001000001101011111000101 1 -b10011100001000001101011111000101 C -b0 2 -b0 = -09 -08 -b11101011110111101100110011010001 < -b1100011110111001110011111100101 : -b1110111111111100001101100010100 $ -b1110111111111100001101100010100 - -b1110111111111100001101100010100 5 -b1110111111111100001101100010100 ? -b1110111111111100001101100010100 D -b11101011110111101100110011010001 % -b11101011110111101100110011010001 . -b11101011110111101100110011010001 6 -b11101011110111101100110011010001 @ -b11101011110111101100110011010001 F -b10011100001000001101011111000101 ) -#342208000 -0& -#342224000 -b1010011100011 , -#342240000 -1& -#342256000 -b10110001000100011001101011110101 " -b10110001000100011001101011110101 4 -b10110001000100011001101011110101 1 -b10110001000100011001101011110101 C -b1101110111111111100001110011100 < -b1001110111011100001110100000101 : -b11011111111011100101100101101001 $ -b11011111111011100101100101101001 - -b11011111111011100101100101101001 5 -b11011111111011100101100101101001 ? -b11011111111011100101100101101001 D -b1101110111111111100001110011100 % -b1101110111111111100001110011100 . -b1101110111111111100001110011100 6 -b1101110111111111100001110011100 @ -b1101110111111111100001110011100 F -b10110001000100011001101011110101 ) -#342272000 -0& -#342288000 -b1010011100100 , -#342304000 -1& -#342320000 -b110011010000011011001010010111 " -b110011010000011011001010010111 4 -b110011010000011011001010010111 1 -b110011010000011011001010010111 C -19 -b0 2 -b0 = -08 -b1011100101111111000000101100011 < -b11001100101111011011010101010111 : -b1101111111111100011001111110100 $ -b1101111111111100011001111110100 - -b1101111111111100011001111110100 5 -b1101111111111100011001111110100 ? -b1101111111111100011001111110100 D -b1011100101111111000000101100011 % -b1011100101111111000000101100011 . -b1011100101111111000000101100011 6 -b1011100101111111000000101100011 @ -b1011100101111111000000101100011 F -b110011010000011011001010010111 ) -#342336000 -0& -#342352000 -b1010011100101 , -#342368000 -1& -#342384000 -b1010111010101000110101011010010 " -b1010111010101000110101011010010 4 -b1010111010101000110101011010010 1 -b1010111010101000110101011010010 C -b1 2 -b1 = -18 -09 -b10101000111111110111011100010111 < -b10101000101010101001010011011100 : -b11111111101010110001110111000101 $ -b11111111101010110001110111000101 - -b11111111101010110001110111000101 5 -b11111111101010110001110111000101 ? -b11111111101010110001110111000101 D -b10101000111111110111011100010111 % -b10101000111111110111011100010111 . -b10101000111111110111011100010111 6 -b10101000111111110111011100010111 @ -b10101000111111110111011100010111 F -b1010111010101000110101011010010 ) -#342400000 -0& -#342416000 -b1010011100110 , -#342432000 -1& -#342448000 -b110110000011000100100100011100 " -b110110000011000100100100011100 4 -b110110000011000100100100011100 1 -b110110000011000100100100011100 C -b11101001111100110001100111010101 < -b11001001111100100110101010011110 : -b11011111111111110101000011001001 $ -b11011111111111110101000011001001 - -b11011111111111110101000011001001 5 -b11011111111111110101000011001001 ? -b11011111111111110101000011001001 D -b11101001111100110001100111010101 % -b11101001111100110001100111010101 . -b11101001111100110001100111010101 6 -b11101001111100110001100111010101 @ -b11101001111100110001100111010101 F -b110110000011000100100100011100 ) -#342464000 -0& -#342480000 -b1010011100111 , -#342496000 -1& -#342512000 -b11011000111100100100100011001011 " -b11011000111100100100100011001011 4 -b11011000111100100100100011001011 1 -b11011000111100100100100011001011 C -b0 2 -b0 = -08 -b10111011010101101011010110010100 < -b11110111110111011001011110011 : -b1100011101001001111110101011111 $ -b1100011101001001111110101011111 - -b1100011101001001111110101011111 5 -b1100011101001001111110101011111 ? -b1100011101001001111110101011111 D -b10111011010101101011010110010100 % -b10111011010101101011010110010100 . -b10111011010101101011010110010100 6 -b10111011010101101011010110010100 @ -b10111011010101101011010110010100 F -b11011000111100100100100011001011 ) -#342528000 -0& -#342544000 -b1010011101000 , -#342560000 -1& -#342576000 -b11001001001100100101010110000011 " -b11001001001100100101010110000011 4 -b11001001001100100101010110000011 1 -b11001001001100100101010110000011 C -b11111111111011010110001111000011 < -b110110110011001001101000000011 : -b110110110111110011011001000000 $ -b110110110111110011011001000000 - -b110110110111110011011001000000 5 -b110110110111110011011001000000 ? -b110110110111110011011001000000 D -b11111111111011010110001111000011 % -b11111111111011010110001111000011 . -b11111111111011010110001111000011 6 -b11111111111011010110001111000011 @ -b11111111111011010110001111000011 F -b11001001001100100101010110000011 ) -#342592000 -0& -#342608000 -b1010011101001 , -#342624000 -1& -#342640000 -b10100000110000000110111110110110 " -b10100000110000000110111110110110 4 -b10100000110000000110111110110110 1 -b10100000110000000110111110110110 C -b1111110101111110001110110111110 < -b1011101001111101000111111000110 : -b11011110011111110111001000001000 $ -b11011110011111110111001000001000 - -b11011110011111110111001000001000 5 -b11011110011111110111001000001000 ? -b11011110011111110111001000001000 D -b1111110101111110001110110111110 % -b1111110101111110001110110111110 . -b1111110101111110001110110111110 6 -b1111110101111110001110110111110 @ -b1111110101111110001110110111110 F -b10100000110000000110111110110110 ) -#342656000 -0& -#342672000 -b1010011101010 , -#342688000 -1& -#342704000 -b10000111000101111110000110000001 " -b10000111000101111110000110000001 4 -b10000111000101111110000110000001 1 -b10000111000101111110000110000001 C -b1111101111011101001101010001101 < -b1111000111010000001010110011001 : -b11111010111110010111101100001100 $ -b11111010111110010111101100001100 - -b11111010111110010111101100001100 5 -b11111010111110010111101100001100 ? -b11111010111110010111101100001100 D -b1111101111011101001101010001101 % -b1111101111011101001101010001101 . -b1111101111011101001101010001101 6 -b1111101111011101001101010001101 @ -b1111101111011101001101010001101 F -b10000111000101111110000110000001 ) -#342720000 -0& -#342736000 -b1010011101011 , -#342752000 -1& -#342768000 -b10110011000000001011000001010001 " -b10110011000000001011000001010001 4 -b10110011000000001011000001010001 1 -b10110011000000001011000001010001 C -b1011110111111110000101011010110 < -b1001100111111101100010101011101 : -b11101101111111111011101010000111 $ -b11101101111111111011101010000111 - -b11101101111111111011101010000111 5 -b11101101111111111011101010000111 ? -b11101101111111111011101010000111 D -b1011110111111110000101011010110 % -b1011110111111110000101011010110 . -b1011110111111110000101011010110 6 -b1011110111111110000101011010110 @ -b1011110111111110000101011010110 F -b10110011000000001011000001010001 ) -#342784000 -0& -#342800000 -b1010011101100 , -#342816000 -1& -#342832000 -b10001010011001000000100111010000 " -b10001010011001000000100111010000 4 -b10001010011001000000100111010000 1 -b10001010011001000000100111010000 C -b1110101100111111110111111001011 < -b1110101100110111101010111100110 : -b11111111111110111110011000011011 $ -b11111111111110111110011000011011 - -b11111111111110111110011000011011 5 -b11111111111110111110011000011011 ? -b11111111111110111110011000011011 D -b1110101100111111110111111001011 % -b1110101100111111110111111001011 . -b1110101100111111110111111001011 6 -b1110101100111111110111111001011 @ -b1110101100111111110111111001011 F -b10001010011001000000100111010000 ) -#342848000 -0& -#342864000 -b1010011101101 , -#342880000 -1& -#342896000 -b1111110100100000100111011011101 " -b1111110100100000100111011011101 4 -b1111110100100000100111011011101 1 -b1111110100100000100111011011101 C -19 -b0 2 -b0 = -08 -b1101001011111100100101110110 < -b10000000111011110101000100100001 : -b1110011101111111000011110101011 $ -b1110011101111111000011110101011 - -b1110011101111111000011110101011 5 -b1110011101111111000011110101011 ? -b1110011101111111000011110101011 D -b1101001011111100100101110110 % -b1101001011111100100101110110 . -b1101001011111100100101110110 6 -b1101001011111100100101110110 @ -b1101001011111100100101110110 F -b1111110100100000100111011011101 ) -#342912000 -0& -#342928000 -b1010011101110 , -#342944000 -1& -#342960000 -b11000011010100101100100110011000 " -b11000011010100101100100110011000 4 -b11000011010100101100100110011000 1 -b11000011010100101100100110011000 C -b0 2 -b0 = -09 -08 -b10100111111011111001110001010011 < -b1100101011001111001000011110 : -b1100100101111010101010111001011 $ -b1100100101111010101010111001011 - -b1100100101111010101010111001011 5 -b1100100101111010101010111001011 ? -b1100100101111010101010111001011 D -b10100111111011111001110001010011 % -b10100111111011111001110001010011 . -b10100111111011111001110001010011 6 -b10100111111011111001110001010011 @ -b10100111111011111001110001010011 F -b11000011010100101100100110011000 ) -#342976000 -0& -#342992000 -b1010011101111 , -#343008000 -1& -#343024000 -b1010000001000101101110111000 " -b1010000001000101101110111000 4 -b1010000001000101101110111000 1 -b1010000001000101101110111000 C -b0 2 -b0 = -19 -08 -b1101111101110111001100100010010 < -b11010101011110110101101110111100 : -b1100101101111111100001010101010 $ -b1100101101111111100001010101010 - -b1100101101111111100001010101010 5 -b1100101101111111100001010101010 ? -b1100101101111111100001010101010 D -b1101111101110111001100100010010 % -b1101111101110111001100100010010 . -b1101111101110111001100100010010 6 -b1101111101110111001100100010010 @ -b1101111101110111001100100010010 F -b1010000001000101101110111000 ) -#343040000 -0& -#343056000 -b1010011110000 , -#343072000 -1& -#343088000 -b1111010111100100010010010100101 " -b1111010111100100010010010100101 4 -b1111010111100100010010010100101 1 -b1111010111100100010010010100101 C -b1 2 -b1 = -18 -09 -b11111111110111110111100000101101 < -b10000101000011001101010010110101 : -b10000101001011010101110010001000 $ -b10000101001011010101110010001000 - -b10000101001011010101110010001000 5 -b10000101001011010101110010001000 ? -b10000101001011010101110010001000 D -b11111111110111110111100000101101 % -b11111111110111110111100000101101 . -b11111111110111110111100000101101 6 -b11111111110111110111100000101101 @ -b11111111110111110111100000101101 F -b1111010111100100010010010100101 ) -#343104000 -0& -#343120000 -b1010011110001 , -#343136000 -1& -#343152000 -b11111100100011011110101110111000 " -b11111100100011011110101110111000 4 -b11111100100011011110101110111000 1 -b11111100100011011110101110111000 C -b0 2 -b0 = -08 -b11011111111011101010101100011100 < -b11010100011110101111000000 : -b100011011000110100000010100100 $ -b100011011000110100000010100100 - -b100011011000110100000010100100 5 -b100011011000110100000010100100 ? -b100011011000110100000010100100 D -b11011111111011101010101100011100 % -b11011111111011101010101100011100 . -b11011111111011101010101100011100 6 -b11011111111011101010101100011100 @ -b11011111111011101010101100011100 F -b11111100100011011110101110111000 ) -#343168000 -0& -#343184000 -b1010011110010 , -#343200000 -1& -#343216000 -b1100000000000111101011100110110 " -b1100000000000111101011100110110 4 -b1100000000000111101011100110110 1 -b1100000000000111101011100110110 C -b1 2 -b1 = -18 -b10011110101110101010001011011000 < -b10011101011101000001100011000110 : -b11111110101110010111010111101110 $ -b11111110101110010111010111101110 - -b11111110101110010111010111101110 5 -b11111110101110010111010111101110 ? -b11111110101110010111010111101110 D -b10011110101110101010001011011000 % -b10011110101110101010001011011000 . -b10011110101110101010001011011000 6 -b10011110101110101010001011011000 @ -b10011110101110101010001011011000 F -b1100000000000111101011100110110 ) -#343232000 -0& -#343248000 -b1010011110011 , -#343264000 -1& -#343280000 -b10100001001000000111110000111000 " -b10100001001000000111110000111000 4 -b10100001001000000111110000111000 1 -b10100001001000000111110000111000 C -b0 2 -b0 = -08 -b11111110111111110101010010110011 < -b1011110110111100111110100111110 : -b1011111110111110010100010001011 $ -b1011111110111110010100010001011 - -b1011111110111110010100010001011 5 -b1011111110111110010100010001011 ? -b1011111110111110010100010001011 D -b11111110111111110101010010110011 % -b11111110111111110101010010110011 . -b11111110111111110101010010110011 6 -b11111110111111110101010010110011 @ -b11111110111111110101010010110011 F -b10100001001000000111110000111000 ) -#343296000 -0& -#343312000 -b1010011110100 , -#343328000 -1& -#343344000 -b100010101001100001010000111111 " -b100010101001100001010000111111 4 -b100010101001100001010000111111 1 -b100010101001100001010000111111 C -b1 2 -b1 = -18 -b11010111011111111000101001000001 < -b11001101010110010010100010111111 : -b11110101110110011001111001111110 $ -b11110101110110011001111001111110 - -b11110101110110011001111001111110 5 -b11110101110110011001111001111110 ? -b11110101110110011001111001111110 D -b11010111011111111000101001000001 % -b11010111011111111000101001000001 . -b11010111011111111000101001000001 6 -b11010111011111111000101001000001 @ -b11010111011111111000101001000001 F -b100010101001100001010000111111 ) -#343360000 -0& -#343376000 -b1010011110101 , -#343392000 -1& -#343408000 -b10111001110010000011010011011010 " -b10111001110010000011010011011010 4 -b10111001110010000011010011011010 1 -b10111001110010000011010011011010 C -b0 2 -b0 = -08 -b1100110010101111111111000010011 < -b1000101111101111100100011011100 : -b11011111100111111100101011001001 $ -b11011111100111111100101011001001 - -b11011111100111111100101011001001 5 -b11011111100111111100101011001001 ? -b11011111100111111100101011001001 D -b1100110010101111111111000010011 % -b1100110010101111111111000010011 . -b1100110010101111111111000010011 6 -b1100110010101111111111000010011 @ -b1100110010101111111111000010011 F -b10111001110010000011010011011010 ) -#343424000 -0& -#343440000 -b1010011110110 , -#343456000 -1& -#343472000 -b10010100110000110110001011 " -b10010100110000110110001011 4 -b10010100110000110110001011 1 -b10010100110000110110001011 C -b1 2 -b1 = -18 -19 -b10111101111111111110110111010100 < -b1111101101011001100111000110011 : -b10111111101011001110000001011111 $ -b10111111101011001110000001011111 - -b10111111101011001110000001011111 5 -b10111111101011001110000001011111 ? -b10111111101011001110000001011111 D -b10111101111111111110110111010100 % -b10111101111111111110110111010100 . -b10111101111111111110110111010100 6 -b10111101111111111110110111010100 @ -b10111101111111111110110111010100 F -b10010100110000110110001011 ) -#343488000 -0& -#343504000 -b1010011110111 , -#343520000 -1& -#343536000 -b110000000110001100001111111110 " -b110000000110001100001111111110 4 -b110000000110001100001111111110 1 -b110000000110001100001111111110 C -b0 2 -b0 = -08 -b1101011111010110110101000111101 < -b11000111110111110001010000000000 : -b1011011111100111010100111000011 $ -b1011011111100111010100111000011 - -b1011011111100111010100111000011 5 -b1011011111100111010100111000011 ? -b1011011111100111010100111000011 D -b1101011111010110110101000111101 % -b1101011111010110110101000111101 . -b1101011111010110110101000111101 6 -b1101011111010110110101000111101 @ -b1101011111010110110101000111101 F -b110000000110001100001111111110 ) -#343552000 -0& -#343568000 -b1010011111000 , -#343584000 -1& -#343600000 -b10010000000011100100010010001010 " -b10010000000011100100010010001010 4 -b10010000000011100100010010001010 1 -b10010000000011100100010010001010 C -09 -b0 2 -b0 = -08 -b1111011111100010100100011001110 < -b1100111111100000101010100010010 : -b11101011111111110000110001000100 $ -b11101011111111110000110001000100 - -b11101011111111110000110001000100 5 -b11101011111111110000110001000100 ? -b11101011111111110000110001000100 D -b1111011111100010100100011001110 % -b1111011111100010100100011001110 . -b1111011111100010100100011001110 6 -b1111011111100010100100011001110 @ -b1111011111100010100100011001110 F -b10010000000011100100010010001010 ) -#343616000 -0& -#343632000 -b1010011111001 , -#343648000 -1& -#343664000 -b10100111001000010101001110011000 " -b10100111001000010101001110011000 4 -b10100111001000010101001110011000 1 -b10100111001000010101001110011000 C -b11011000110111101110011100100000 < -b1011000110111101001101111011000 : -b1111111111111111011010010111000 $ -b1111111111111111011010010111000 - -b1111111111111111011010010111000 5 -b1111111111111111011010010111000 ? -b1111111111111111011010010111000 D -b11011000110111101110011100100000 % -b11011000110111101110011100100000 . -b11011000110111101110011100100000 6 -b11011000110111101110011100100000 @ -b11011000110111101110011100100000 F -b10100111001000010101001110011000 ) -#343680000 -0& -#343696000 -b1010011111010 , -#343712000 -1& -#343728000 -b10001000100000001011010100101111 " -b10001000100000001011010100101111 4 -b10001000100000001011010100101111 1 -b10001000100000001011010100101111 C -b11111111011101011000001000000110 < -b1110111011010101011100100101111 : -b1110111111101010011011100101001 $ -b1110111111101010011011100101001 - -b1110111111101010011011100101001 5 -b1110111111101010011011100101001 ? -b1110111111101010011011100101001 D -b11111111011101011000001000000110 % -b11111111011101011000001000000110 . -b11111111011101011000001000000110 6 -b11111111011101011000001000000110 @ -b11111111011101011000001000000110 F -b10001000100000001011010100101111 ) -#343744000 -0& -#343760000 -b1010011111011 , -#343776000 -1& -#343792000 -b10111000101011001011011100110 " -b10111000101011001011011100110 4 -b10111000101011001011011100110 1 -b10111000101011001011011100110 C -b1 2 -b1 = -18 -19 -b10101110111111111101101010010011 < -b1101000111010100010011100001000 : -b10111001111010100100110001110101 $ -b10111001111010100100110001110101 - -b10111001111010100100110001110101 5 -b10111001111010100100110001110101 ? -b10111001111010100100110001110101 D -b10101110111111111101101010010011 % -b10101110111111111101101010010011 . -b10101110111111111101101010010011 6 -b10101110111111111101101010010011 @ -b10101110111111111101101010010011 F -b10111000101011001011011100110 ) -#343808000 -0& -#343824000 -b1010011111100 , -#343840000 -1& -#343856000 -b10011010000001111010001010 " -b10011010000001111010001010 4 -b10011010000001111010001010 1 -b10011010000001111010001010 C -b1 2 -b1 = -09 -18 -b11111011101101111111101110001100 < -b11110101100101111110000010010010 : -b11111001110111111110010100000110 $ -b11111001110111111110010100000110 - -b11111001110111111110010100000110 5 -b11111001110111111110010100000110 ? -b11111001110111111110010100000110 D -b11111011101101111111101110001100 % -b11111011101101111111101110001100 . -b11111011101101111111101110001100 6 -b11111011101101111111101110001100 @ -b11111011101101111111101110001100 F -b10011010000001111010001010 ) -#343872000 -0& -#343888000 -b1010011111101 , -#343904000 -1& -#343920000 -b1100101100100010010110100100111 " -b1100101100100010010110100100111 4 -b1100101100100010010110100100111 1 -b1100101100100010010110100100111 C -b10111110111111100110101100001001 < -b10011010011011011011000100110111 : -b11011011011011110100011000101110 $ -b11011011011011110100011000101110 - -b11011011011011110100011000101110 5 -b11011011011011110100011000101110 ? -b11011011011011110100011000101110 D -b10111110111111100110101100001001 % -b10111110111111100110101100001001 . -b10111110111111100110101100001001 6 -b10111110111111100110101100001001 @ -b10111110111111100110101100001001 F -b1100101100100010010110100100111 ) -#343936000 -0& -#343952000 -b1010011111110 , -#343968000 -1& -#343984000 -b10011101000010000011100101010011 " -b10011101000010000011100101010011 4 -b10011101000010000011100101010011 1 -b10011101000010000011100101010011 C -b0 2 -b0 = -08 -b11100110111101010111100001111111 < -b1100010111100101011100110101011 : -b1111011111111010100000100101100 $ -b1111011111111010100000100101100 - -b1111011111111010100000100101100 5 -b1111011111111010100000100101100 ? -b1111011111111010100000100101100 D -b11100110111101010111100001111111 % -b11100110111101010111100001111111 . -b11100110111101010111100001111111 6 -b11100110111101010111100001111111 @ -b11100110111101010111100001111111 F -b10011101000010000011100101010011 ) -#344000000 -0& -#344016000 -b1010011111111 , -#344032000 -1& -#344048000 -b1000001110010000111100010111100 " -b1000001110010000111100010111100 4 -b1000001110010000111100010111100 1 -b1000001110010000111100010111100 C -b1 2 -b1 = -18 -b10111111010101110001110100110111 < -b10111101111101101000001011000010 : -b11111110100111110110010110001011 $ -b11111110100111110110010110001011 - -b11111110100111110110010110001011 5 -b11111110100111110110010110001011 ? -b11111110100111110110010110001011 D -b10111111010101110001110100110111 % -b10111111010101110001110100110111 . -b10111111010101110001110100110111 6 -b10111111010101110001110100110111 @ -b10111111010101110001110100110111 F -b1000001110010000111100010111100 ) -#344064000 -0& -#344080000 -b1010100000000 , -#344096000 -1& -#344112000 -b11100001010100100100110010 " -b11100001010100100100110010 4 -b11100001010100100100110010 1 -b11100001010100100100110010 C -b0 2 -b0 = -08 -b111111101010110010101100011010 < -b1111011110110011000110101000010 : -b111100001011100110001000101000 $ -b111100001011100110001000101000 - -b111100001011100110001000101000 5 -b111100001011100110001000101000 ? -b111100001011100110001000101000 D -b111111101010110010101100011010 % -b111111101010110010101100011010 . -b111111101010110010101100011010 6 -b111111101010110010101100011010 @ -b111111101010110010101100011010 F -b11100001010100100100110010 ) -#344128000 -0& -#344144000 -b1010100000001 , -#344160000 -1& -#344176000 -b1010110010100000011010001111 " -b1010110010100000011010001111 4 -b1010110010100000011010001111 1 -b1010110010100000011010001111 C -b1 2 -b1 = -18 -b11110101110111111111011011110101 < -b11110100111101011110011101101111 : -b11111111000101011111000001111010 $ -b11111111000101011111000001111010 - -b11111111000101011111000001111010 5 -b11111111000101011111000001111010 ? -b11111111000101011111000001111010 D -b11110101110111111111011011110101 % -b11110101110111111111011011110101 . -b11110101110111111111011011110101 6 -b11110101110111111111011011110101 @ -b11110101110111111111011011110101 F -b1010110010100000011010001111 ) -#344192000 -0& -#344208000 -b1010100000010 , -#344224000 -1& -#344240000 -b100000001100001000010000100100 " -b100000001100001000010000100100 4 -b100000001100001000010000100100 1 -b100000001100001000010000100100 C -b0 2 -b0 = -08 -19 -b1111111110101111000001100011001 < -b11011111101111101000101001010110 : -b1011111111001110000011100111101 $ -b1011111111001110000011100111101 - -b1011111111001110000011100111101 5 -b1011111111001110000011100111101 ? -b1011111111001110000011100111101 D -b1111111110101111000001100011001 % -b1111111110101111000001100011001 . -b1111111110101111000001100011001 6 -b1111111110101111000001100011001 @ -b1111111110101111000001100011001 F -b100000001100001000010000100100 ) -#344256000 -0& -#344272000 -b1010100000011 , -#344288000 -1& -#344304000 -b100101101110000101000010010 " -b100101101110000101000010010 4 -b100101101110000101000010010 1 -b100101101110000101000010010 C -b1 2 -b1 = -18 -09 -b11111011011111010011100000111001 < -b11111011010001110110101001100100 : -b11111111110010100011001000101011 $ -b11111111110010100011001000101011 - -b11111111110010100011001000101011 5 -b11111111110010100011001000101011 ? -b11111111110010100011001000101011 D -b11111011011111010011100000111001 % -b11111011011111010011100000111001 . -b11111011011111010011100000111001 6 -b11111011011111010011100000111001 @ -b11111011011111010011100000111001 F -b100101101110000101000010010 ) -#344320000 -0& -#344336000 -b1010100000100 , -#344352000 -1& -#344368000 -b110000000000000000010111100011 " -b110000000000000000010111100011 4 -b110000000000000000010111100011 1 -b110000000000000000010111100011 C -b0 2 -b0 = -08 -19 -b1001111111111110011001001011011 < -b11001111111111100110101000010011 : -b1111111111111110011011110111000 $ -b1111111111111110011011110111000 - -b1111111111111110011011110111000 5 -b1111111111111110011011110111000 ? -b1111111111111110011011110111000 D -b1001111111111110011001001011011 % -b1001111111111110011001001011011 . -b1001111111111110011001001011011 6 -b1001111111111110011001001011011 @ -b1001111111111110011001001011011 F -b110000000000000000010111100011 ) -#344384000 -0& -#344400000 -b1010100000101 , -#344416000 -1& -#344432000 -b1101001000000110011010111101000 " -b1101001000000110011010111101000 4 -b1101001000000110011010111101000 1 -b1101001000000110011010111101000 C -b1110111111111001000000101001011 < -b10010110111111000011010111101110 : -b11110111111111011010010100011 $ -b11110111111111011010010100011 - -b11110111111111011010010100011 5 -b11110111111111011010010100011 ? -b11110111111111011010010100011 D -b1110111111111001000000101001011 % -b1110111111111001000000101001011 . -b1110111111111001000000101001011 6 -b1110111111111001000000101001011 @ -b1110111111111001000000101001011 F -b1101001000000110011010111101000 ) -#344448000 -0& -#344464000 -b1010100000110 , -#344480000 -1& -#344496000 -b11110010110100100000010100101100 " -b11110010110100100000010100101100 4 -b11110010110100100000010100101100 1 -b11110010110100100000010100101100 C -09 -b0 2 -b0 = -08 -b1101111001111110100100111011001 < -b1101001011001001011011001110 : -b10011101111011010100110011110101 $ -b10011101111011010100110011110101 - -b10011101111011010100110011110101 5 -b10011101111011010100110011110101 ? -b10011101111011010100110011110101 D -b1101111001111110100100111011001 % -b1101111001111110100100111011001 . -b1101111001111110100100111011001 6 -b1101111001111110100100111011001 @ -b1101111001111110100100111011001 F -b11110010110100100000010100101100 ) -#344512000 -0& -#344528000 -b1010100000111 , -#344544000 -1& -#344560000 -b1100000110111001001110110011111 " -b1100000110111001001110110011111 4 -b1100000110111001001110110011111 1 -b1100000110111001001110110011111 C -19 -b0 2 -b0 = -08 -b10111001011100111100001111011 < -b10001111001000010101111001011111 : -b1110111111100101110010111100100 $ -b1110111111100101110010111100100 - -b1110111111100101110010111100100 5 -b1110111111100101110010111100100 ? -b1110111111100101110010111100100 D -b10111001011100111100001111011 % -b10111001011100111100001111011 . -b10111001011100111100001111011 6 -b10111001011100111100001111011 @ -b10111001011100111100001111011 F -b1100000110111001001110110011111 ) -#344576000 -0& -#344592000 -b1010100001000 , -#344608000 -1& -#344624000 -b100001100000001101010110000001 " -b100001100000001101010110000001 4 -b100001100000001101010110000001 1 -b100001100000001101010110000001 C -b1 2 -b1 = -18 -09 -b11111110101111111000101100000111 < -b11011101111111101110100110001101 : -b11011111001111110101111010000110 $ -b11011111001111110101111010000110 - -b11011111001111110101111010000110 5 -b11011111001111110101111010000110 ? -b11011111001111110101111010000110 D -b11111110101111111000101100000111 % -b11111110101111111000101100000111 . -b11111110101111111000101100000111 6 -b11111110101111111000101100000111 @ -b11111110101111111000101100000111 F -b100001100000001101010110000001 ) -#344640000 -0& -#344656000 -b1010100001001 , -#344672000 -1& -#344688000 -b1001101000011111011111111001110 " -b1001101000011111011111111001110 4 -b1001101000011111011111111001110 1 -b1001101000011111011111111001110 C -b11100010111100001101100111000111 < -b10010010111100000011111111010000 : -b10101111111111110110011000001001 $ -b10101111111111110110011000001001 - -b10101111111111110110011000001001 5 -b10101111111111110110011000001001 ? -b10101111111111110110011000001001 D -b11100010111100001101100111000111 % -b11100010111100001101100111000111 . -b11100010111100001101100111000111 6 -b11100010111100001101100111000111 @ -b11100010111100001101100111000111 F -b1001101000011111011111111001110 ) -#344704000 -0& -#344720000 -b1010100001010 , -#344736000 -1& -#344752000 -b1010001101010000110001100110101 " -b1010001101010000110001100110101 4 -b1010001101010000110001100110101 1 -b1010001101010000110001100110101 C -b11101010111100110011011100000110 < -b10100110010011101000101100111001 : -b10111011010110110101010000110011 $ -b10111011010110110101010000110011 - -b10111011010110110101010000110011 5 -b10111011010110110101010000110011 ? -b10111011010110110101010000110011 D -b11101010111100110011011100000110 % -b11101010111100110011011100000110 . -b11101010111100110011011100000110 6 -b11101010111100110011011100000110 @ -b11101010111100110011011100000110 F -b1010001101010000110001100110101 ) -#344768000 -0& -#344784000 -b1010100001011 , -#344800000 -1& -#344816000 -b11101010001111111010101011001000 " -b11101010001111111010101011001000 4 -b11101010001111111010101011001000 1 -b11101010001111111010101011001000 C -b1100111011111110011011000110011 < -b11110100101111111101001100101110 : -b10001101010000001001110011111011 $ -b10001101010000001001110011111011 - -b10001101010000001001110011111011 5 -b10001101010000001001110011111011 ? -b10001101010000001001110011111011 D -b1100111011111110011011000110011 % -b1100111011111110011011000110011 . -b1100111011111110011011000110011 6 -b1100111011111110011011000110011 @ -b1100111011111110011011000110011 F -b11101010001111111010101011001000 ) -#344832000 -0& -#344848000 -b1010100001100 , -#344864000 -1& -#344880000 -b10100001001011110000111001000 " -b10100001001011110000111001000 4 -b10100001001011110000111001000 1 -b10100001001011110000111001000 C -b0 2 -b0 = -08 -19 -b1101111110110110000001101000110 < -b11101011110110011110010111010100 : -b1111011111111101110001010001110 $ -b1111011111111101110001010001110 - -b1111011111111101110001010001110 5 -b1111011111111101110001010001110 ? -b1111011111111101110001010001110 D -b1101111110110110000001101000110 % -b1101111110110110000001101000110 . -b1101111110110110000001101000110 6 -b1101111110110110000001101000110 @ -b1101111110110110000001101000110 F -b10100001001011110000111001000 ) -#344896000 -0& -#344912000 -b1010100001101 , -#344928000 -1& -#344944000 -b10100000001001101101011101 " -b10100000001001101101011101 4 -b10100000001001101101011101 1 -b10100000001001101101011101 C -b0 2 -b0 = -09 -08 -b111001011111110001000010001001 < -b1110101011111101001110001011101 : -b111011111111111000101111010100 $ -b111011111111111000101111010100 - -b111011111111111000101111010100 5 -b111011111111111000101111010100 ? -b111011111111111000101111010100 D -b111001011111110001000010001001 % -b111001011111110001000010001001 . -b111001011111110001000010001001 6 -b111001011111110001000010001001 @ -b111001011111110001000010001001 F -b10100000001001101101011101 ) -#344960000 -0& -#344976000 -b1010100001110 , -#344992000 -1& -#345008000 -b1000100011011000000111011011011 " -b1000100011011000000111011011011 4 -b1000100011011000000111011011011 1 -b1000100011011000000111011011011 C -b1 2 -b1 = -18 -b10111111100011110011000111100111 < -b10111011011100100111000100100011 : -b11111011111000110011111100111100 $ -b11111011111000110011111100111100 - -b11111011111000110011111100111100 5 -b11111011111000110011111100111100 ? -b11111011111000110011111100111100 D -b10111111100011110011000111100111 % -b10111111100011110011000111100111 . -b10111111100011110011000111100111 6 -b10111111100011110011000111100111 @ -b10111111100011110011000111100111 F -b1000100011011000000111011011011 ) -#345024000 -0& -#345040000 -b1010100001111 , -#345056000 -1& -#345072000 -b11110010100000110111110111010110 " -b11110010100000110111110111010110 4 -b11110010100000110111110111010110 1 -b11110010100000110111110111010110 C -b0 2 -b0 = -08 -b10101111010011000110001110010100 < -b1101000110111000000111010110 : -b1011101110011110001111001000010 $ -b1011101110011110001111001000010 - -b1011101110011110001111001000010 5 -b1011101110011110001111001000010 ? -b1011101110011110001111001000010 D -b10101111010011000110001110010100 % -b10101111010011000110001110010100 . -b10101111010011000110001110010100 6 -b10101111010011000110001110010100 @ -b10101111010011000110001110010100 F -b11110010100000110111110111010110 ) -#345088000 -0& -#345104000 -b1010100010000 , -#345120000 -1& -#345136000 -b100001001000000010000010110001 " -b100001001000000010000010110001 4 -b100001001000000010000010110001 1 -b100001001000000010000010110001 C -b1 2 -b1 = -18 -b11001110111111110100100010010111 < -b10111110110111101011000010111101 : -b11101111110111110110100000100110 $ -b11101111110111110110100000100110 - -b11101111110111110110100000100110 5 -b11101111110111110110100000100110 ? -b11101111110111110110100000100110 D -b11001110111111110100100010010111 % -b11001110111111110100100010010111 . -b11001110111111110100100010010111 6 -b11001110111111110100100010010111 @ -b11001110111111110100100010010111 F -b100001001000000010000010110001 ) -#345152000 -0& -#345168000 -b1010100010001 , -#345184000 -1& -#345200000 -b10000111101001110110011101011101 " -b10000111101001110110011101011101 4 -b10000111101001110110011101011101 1 -b10000111101001110110011101011101 C -b111111010010000101010101011111 < -b11111000001101111000011101100001 : -b10111000111011110011001000000010 $ -b10111000111011110011001000000010 - -b10111000111011110011001000000010 5 -b10111000111011110011001000000010 ? -b10111000111011110011001000000010 D -b111111010010000101010101011111 % -b111111010010000101010101011111 . -b111111010010000101010101011111 6 -b111111010010000101010101011111 @ -b111111010010000101010101011111 F -b10000111101001110110011101011101 ) -#345216000 -0& -#345232000 -b1010100010010 , -#345248000 -1& -#345264000 -b10000001001110000001100100101001 " -b10000001001110000001100100101001 4 -b10000001001110000001100100101001 1 -b10000001001110000001100100101001 C -b0 2 -b0 = -08 -b1101111110011110001001101001000 < -b1011110110001100001110110101001 : -b11101110111101110000101001100001 $ -b11101110111101110000101001100001 - -b11101110111101110000101001100001 5 -b11101110111101110000101001100001 ? -b11101110111101110000101001100001 D -b1101111110011110001001101001000 % -b1101111110011110001001101001000 . -b1101111110011110001001101001000 6 -b1101111110011110001001101001000 @ -b1101111110011110001001101001000 F -b10000001001110000001100100101001 ) -#345280000 -0& -#345296000 -b1010100010011 , -#345312000 -1& -#345328000 -b11000100000000100100000010001011 " -b11000100000000100100000010001011 4 -b11000100000000100100000010001011 1 -b11000100000000100100000010001011 C -b1 2 -b1 = -18 -b11010011111111010001110111010010 < -b11101011111111000111101100101011 : -b10111111111110101110101011001 $ -b10111111111110101110101011001 - -b10111111111110101110101011001 5 -b10111111111110101110101011001 ? -b10111111111110101110101011001 D -b11010011111111010001110111010010 % -b11010011111111010001110111010010 . -b11010011111111010001110111010010 6 -b11010011111111010001110111010010 @ -b11010011111111010001110111010010 F -b11000100000000100100000010001011 ) -#345344000 -0& -#345360000 -b1010100010100 , -#345376000 -1& -#345392000 -b11010010000111110011111111101011 " -b11010010000111110011111111101011 4 -b11010010000111110011111111101011 1 -b11010010000111110011111111101011 C -b0 2 -b0 = -08 -b101110011000000101001010010011 < -b101010110111111100000000001011 : -b11111100011111110110110101111000 $ -b11111100011111110110110101111000 - -b11111100011111110110110101111000 5 -b11111100011111110110110101111000 ? -b11111100011111110110110101111000 D -b101110011000000101001010010011 % -b101110011000000101001010010011 . -b101110011000000101001010010011 6 -b101110011000000101001010010011 @ -b101110011000000101001010010011 F -b11010010000111110011111111101011 ) -#345408000 -0& -#345424000 -b1010100010101 , -#345440000 -1& -#345456000 -b10010100000000010001110100111111 " -b10010100000000010001110100111111 4 -b10010100000000010001110100111111 1 -b10010100000000010001110100111111 C -b11101100111111111010111000100101 < -b1100101111111100110000100111111 : -b1111000111111101011001100011010 $ -b1111000111111101011001100011010 - -b1111000111111101011001100011010 5 -b1111000111111101011001100011010 ? -b1111000111111101011001100011010 D -b11101100111111111010111000100101 % -b11101100111111111010111000100101 . -b11101100111111111010111000100101 6 -b11101100111111111010111000100101 @ -b11101100111111111010111000100101 F -b10010100000000010001110100111111 ) -#345472000 -0& -#345488000 -b1010100010110 , -#345504000 -1& -#345520000 -b10000010100100001111001011110111 " -b10000010100100001111001011110111 4 -b10000010100100001111001011110111 1 -b10000010100100001111001011110111 C -b11111101011110111100110001000111 < -b1111101011001110000101011110111 : -b1111111111010110011111010110000 $ -b1111111111010110011111010110000 - -b1111111111010110011111010110000 5 -b1111111111010110011111010110000 ? -b1111111111010110011111010110000 D -b11111101011110111100110001000111 % -b11111101011110111100110001000111 . -b11111101011110111100110001000111 6 -b11111101011110111100110001000111 @ -b11111101011110111100110001000111 F -b10000010100100001111001011110111 ) -#345536000 -0& -#345552000 -b1010100010111 , -#345568000 -1& -#345584000 -b10001001001011101111010 " -b10001001001011101111010 4 -b10001001001011101111010 1 -b10001001001011101111010 C -b0 2 -b0 = -19 -08 -b1111110101111001000110010001110 < -b11111101101101001010100010000010 : -b1111110111110000001101111110100 $ -b1111110111110000001101111110100 - -b1111110111110000001101111110100 5 -b1111110111110000001101111110100 ? -b1111110111110000001101111110100 D -b1111110101111001000110010001110 % -b1111110101111001000110010001110 . -b1111110101111001000110010001110 6 -b1111110101111001000110010001110 @ -b1111110101111001000110010001110 F -b10001001001011101111010 ) -#345600000 -0& -#345616000 -b1010100011000 , -#345632000 -1& -#345648000 -b11000100110001010101101100000011 " -b11000100110001010101101100000011 4 -b11000100110001010101101100000011 1 -b11000100110001010101101100000011 C -09 -b0 2 -b0 = -08 -b111111101111100100000101001001 < -b111011001110010101101110010011 : -b11111011011110110001101001001010 $ -b11111011011110110001101001001010 - -b11111011011110110001101001001010 5 -b11111011011110110001101001001010 ? -b11111011011110110001101001001010 D -b111111101111100100000101001001 % -b111111101111100100000101001001 . -b111111101111100100000101001001 6 -b111111101111100100000101001001 @ -b111111101111100100000101001001 F -b11000100110001010101101100000011 ) -#345664000 -0& -#345680000 -b1010100011001 , -#345696000 -1& -#345712000 -b1001101101000011010011000101010 " -b1001101101000011010011000101010 4 -b1001101101000011010011000101010 1 -b1001101101000011010011000101010 C -b11111110011101001010111010010 < -b1110010001111011100100111001010 : -b1010010011011110011001111111000 $ -b1010010011011110011001111111000 - -b1010010011011110011001111111000 5 -b1010010011011110011001111111000 ? -b1010010011011110011001111111000 D -b11111110011101001010111010010 % -b11111110011101001010111010010 . -b11111110011101001010111010010 6 -b11111110011101001010111010010 @ -b11111110011101001010111010010 F -b1001101101000011010011000101010 ) -#345728000 -0& -#345744000 -b1010100011010 , -#345760000 -1& -#345776000 -b1000101110100100001110000000010 " -b1000101110100100001110000000010 4 -b1000101110100100001110000000010 1 -b1000101110100100001110000000010 C -b0 2 -b0 = -19 -08 -b1111011101001111101111100010001 < -b10111010000111011010001000100100 : -b111110011101011100001100010011 $ -b111110011101011100001100010011 - -b111110011101011100001100010011 5 -b111110011101011100001100010011 ? -b111110011101011100001100010011 D -b1111011101001111101111100010001 % -b1111011101001111101111100010001 . -b1111011101001111101111100010001 6 -b1111011101001111101111100010001 @ -b1111011101001111101111100010001 F -b1000101110100100001110000000010 ) -#345792000 -0& -#345808000 -b1010100011011 , -#345824000 -1& -#345840000 -b1100000000100101100000110101111 " -b1100000000100101100000110101111 4 -b1100000000100101100000110101111 1 -b1100000000100101100000110101111 C -b1111111111011011011011101010000 < -b10011111111011010010111001001111 : -b11111111111110111011011111111 $ -b11111111111110111011011111111 - -b11111111111110111011011111111 5 -b11111111111110111011011111111 ? -b11111111111110111011011111111 D -b1111111111011011011011101010000 % -b1111111111011011011011101010000 . -b1111111111011011011011101010000 6 -b1111111111011011011011101010000 @ -b1111111111011011011011101010000 F -b1100000000100101100000110101111 ) -#345856000 -0& -#345872000 -b1010100011100 , -#345888000 -1& -#345904000 -b1110010011101101010100010100010 " -b1110010011101101010100010100010 4 -b1110010011101101010100010100010 1 -b1110010011101101010100010100010 C -b0 2 -b0 = -09 -08 -b1100111011111011000001101110010 < -b1111100100010001010111101000010 : -b10101000010110010101111010000 $ -b10101000010110010101111010000 - -b10101000010110010101111010000 5 -b10101000010110010101111010000 ? -b10101000010110010101111010000 D -b1100111011111011000001101110010 % -b1100111011111011000001101110010 . -b1100111011111011000001101110010 6 -b1100111011111011000001101110010 @ -b1100111011111011000001101110010 F -b1110010011101101010100010100010 ) -#345920000 -0& -#345936000 -b1010100011101 , -#345952000 -1& -#345968000 -b10010000010000101010001110111011 " -b10010000010000101010001110111011 4 -b10010000010000101010001110111011 1 -b10010000010000101010001110111011 C -b0 2 -b0 = -08 -09 -b1011111101011111101101001100100 < -b101111100111010101010001000011 : -b11001111111011010111100111011111 $ -b11001111111011010111100111011111 - -b11001111111011010111100111011111 5 -b11001111111011010111100111011111 ? -b11001111111011010111100111011111 D -b1011111101011111101101001100100 % -b1011111101011111101101001100100 . -b1011111101011111101101001100100 6 -b1011111101011111101101001100100 @ -b1011111101011111101101001100100 F -b10010000010000101010001110111011 ) -#345984000 -0& -#346000000 -b1010100011110 , -#346016000 -1& -#346032000 -b11000000000100000000000101000100 " -b11000000000100000000000101000100 4 -b11000000000100000000000101000100 1 -b11000000000100000000000101000100 C -b111111011101110010011010100100 < -b111110110111100100111010000100 : -b11111111011001110010011111100000 $ -b11111111011001110010011111100000 - -b11111111011001110010011111100000 5 -b11111111011001110010011111100000 ? -b11111111011001110010011111100000 D -b111111011101110010011010100100 % -b111111011101110010011010100100 . -b111111011101110010011010100100 6 -b111111011101110010011010100100 @ -b111111011101110010011010100100 F -b11000000000100000000000101000100 ) -#346048000 -0& -#346064000 -b1010100011111 , -#346080000 -1& -#346096000 -b1000111000000111100101111000001 " -b1000111000000111100101111000001 4 -b1000111000000111100101111000001 1 -b1000111000000111100101111000001 C -b1 2 -b1 = -18 -b10111110011011001101101000110110 < -b10110111110110111110110000101101 : -b11111001011011110001000111110111 $ -b11111001011011110001000111110111 - -b11111001011011110001000111110111 5 -b11111001011011110001000111110111 ? -b11111001011011110001000111110111 D -b10111110011011001101101000110110 % -b10111110011011001101101000110110 . -b10111110011011001101101000110110 6 -b10111110011011001101101000110110 @ -b10111110011011001101101000110110 F -b1000111000000111100101111000001 ) -#346112000 -0& -#346128000 -b1010100100000 , -#346144000 -1& -#346160000 -b10110001000000101001110010100000 " -b10110001000000101001110010100000 4 -b10110001000000101001110010100000 1 -b10110001000000101001110010100000 C -b1 2 -b1 = -18 -09 -b10001111111111111100011101010110 < -b11001110111111010010001101001100 : -b111110111111010101101111110110 $ -b111110111111010101101111110110 - -b111110111111010101101111110110 5 -b111110111111010101101111110110 ? -b111110111111010101101111110110 D -b10001111111111111100011101010110 % -b10001111111111111100011101010110 . -b10001111111111111100011101010110 6 -b10001111111111111100011101010110 @ -b10001111111111111100011101010110 F -b10110001000000101001110010100000 ) -#346176000 -0& -#346192000 -b1010100100001 , -#346208000 -1& -#346224000 -b111001011101111011001010 " -b111001011101111011001010 4 -b111001011101111011001010 1 -b111001011101111011001010 C -b11110111011110110101010100110000 < -b11101111000110011110000100101010 : -b11110111100111101000101111111010 $ -b11110111100111101000101111111010 - -b11110111100111101000101111111010 5 -b11110111100111101000101111111010 ? -b11110111100111101000101111111010 D -b11110111011110110101010100110000 % -b11110111011110110101010100110000 . -b11110111011110110101010100110000 6 -b11110111011110110101010100110000 @ -b11110111011110110101010100110000 F -b111001011101111011001010 ) -#346240000 -0& -#346256000 -b1010100100010 , -#346272000 -1& -#346288000 -b1000101011001111010011001101 " -b1000101011001111010011001101 4 -b1000101011001111010011001101 1 -b1000101011001111010011001101 C -b11100111110101111001010111001000 < -b11010111010100101111011011001101 : -b11101111011110110110000100000101 $ -b11101111011110110110000100000101 - -b11101111011110110110000100000101 5 -b11101111011110110110000100000101 ? -b11101111011110110110000100000101 D -b11100111110101111001010111001000 % -b11100111110101111001010111001000 . -b11100111110101111001010111001000 6 -b11100111110101111001010111001000 @ -b11100111110101111001010111001000 F -b1000101011001111010011001101 ) -#346304000 -0& -#346320000 -b1010100100011 , -#346336000 -1& -#346352000 -b11100010000011101111110000000001 " -b11100010000011101111110000000001 4 -b11100010000011101111110000000001 1 -b11100010000011101111110000000001 C -b0 2 -b0 = -08 -b11111111111010001100100110000 < -b11101111100001111111001100001 : -b11111101111100111110010100110001 $ -b11111101111100111110010100110001 - -b11111101111100111110010100110001 5 -b11111101111100111110010100110001 ? -b11111101111100111110010100110001 D -b11111111111010001100100110000 % -b11111111111010001100100110000 . -b11111111111010001100100110000 6 -b11111111111010001100100110000 @ -b11111111111010001100100110000 F -b11100010000011101111110000000001 ) -#346368000 -0& -#346384000 -b1010100100100 , -#346400000 -1& -#346416000 -b1000010110001011110011100001000 " -b1000010110001011110011100001000 4 -b1000010110001011110011100001000 1 -b1000010110001011110011100001000 C -b1 2 -b1 = -18 -b11111111101111110011100011001111 < -b10111101001110100001100010010110 : -b10111101011110101101111111000111 $ -b10111101011110101101111111000111 - -b10111101011110101101111111000111 5 -b10111101011110101101111111000111 ? -b10111101011110101101111111000111 D -b11111111101111110011100011001111 % -b11111111101111110011100011001111 . -b11111111101111110011100011001111 6 -b11111111101111110011100011001111 @ -b11111111101111110011100011001111 F -b1000010110001011110011100001000 ) -#346432000 -0& -#346448000 -b1010100100101 , -#346464000 -1& -#346480000 -b10100000001101111001000101110111 " -b10100000001101111001000101110111 4 -b10100000001101111001000101110111 1 -b10100000001101111001000101110111 C -b0 2 -b0 = -08 -b1111110110011001000000011011111 < -b1011101110001111001001010000111 : -b11011110111110110001000110101000 $ -b11011110111110110001000110101000 - -b11011110111110110001000110101000 5 -b11011110111110110001000110101000 ? -b11011110111110110001000110101000 D -b1111110110011001000000011011111 % -b1111110110011001000000011011111 . -b1111110110011001000000011011111 6 -b1111110110011001000000011011111 @ -b1111110110011001000000011011111 F -b10100000001101111001000101110111 ) -#346496000 -0& -#346512000 -b1010100100110 , -#346528000 -1& -#346544000 -b10000000000110010010111100001 " -b10000000000110010010111100001 4 -b10000000000110010010111100001 1 -b10000000000110010010111100001 C -b1 2 -b1 = -18 -b11011110111111001100100101010011 < -b10101101111111001011011000000101 : -b11001110111111111110110010110010 $ -b11001110111111111110110010110010 - -b11001110111111111110110010110010 5 -b11001110111111111110110010110010 ? -b11001110111111111110110010110010 D -b11011110111111001100100101010011 % -b11011110111111001100100101010011 . -b11011110111111001100100101010011 6 -b11011110111111001100100101010011 @ -b11011110111111001100100101010011 F -b10000000000110010010111100001 ) -#346560000 -0& -#346576000 -b1010100100111 , -#346592000 -1& -#346608000 -b10001100010110110111010111011010 " -b10001100010110110111010111011010 4 -b10001100010110110111010111011010 1 -b10001100010110110111010111011010 C -b0 2 -b0 = -08 -b11111011101101100001010100111000 < -b1110011101000110111011000011010 : -b1110111111011010110000011100010 $ -b1110111111011010110000011100010 - -b1110111111011010110000011100010 5 -b1110111111011010110000011100010 ? -b1110111111011010110000011100010 D -b11111011101101100001010100111000 % -b11111011101101100001010100111000 . -b11111011101101100001010100111000 6 -b11111011101101100001010100111000 @ -b11111011101101100001010100111000 F -b10001100010110110111010111011010 ) -#346624000 -0& -#346640000 -b1010100101000 , -#346656000 -1& -#346672000 -b11010101000101111010111011110101 " -b11010101000101111010111011110101 4 -b11010101000101111010111011110101 1 -b11010101000101111010111011110101 C -b10111011111111001110101011000110 < -b101010111010000010111011111001 : -b1101110111010110100010000110011 $ -b1101110111010110100010000110011 - -b1101110111010110100010000110011 5 -b1101110111010110100010000110011 ? -b1101110111010110100010000110011 D -b10111011111111001110101011000110 % -b10111011111111001110101011000110 . -b10111011111111001110101011000110 6 -b10111011111111001110101011000110 @ -b10111011111111001110101011000110 F -b11010101000101111010111011110101 ) -#346688000 -0& -#346704000 -b1010100101001 , -#346720000 -1& -#346736000 -b11000001010111100100100101010000 " -b11000001010111100100100101010000 4 -b11000001010111100100100101010000 1 -b11000001010111100100100101010000 C -b1 2 -b1 = -18 -b1011110101001111111111111111010 < -b11111110101000011011011010100100 : -b10011111111110011011011010101010 $ -b10011111111110011011011010101010 - -b10011111111110011011011010101010 5 -b10011111111110011011011010101010 ? -b10011111111110011011011010101010 D -b1011110101001111111111111111010 % -b1011110101001111111111111111010 . -b1011110101001111111111111111010 6 -b1011110101001111111111111111010 @ -b1011110101001111111111111111010 F -b11000001010111100100100101010000 ) -#346752000 -0& -#346768000 -b1010100101010 , -#346784000 -1& -#346800000 -b1000000011000101010010000101011 " -b1000000011000101010010000101011 4 -b1000000011000101010010000101011 1 -b1000000011000101010010000101011 C -b0 2 -b0 = -08 -19 -b111111100111111000001000101110 < -b10111111100111001010100000110011 : -b1111111111111010010011000000101 $ -b1111111111111010010011000000101 - -b1111111111111010010011000000101 5 -b1111111111111010010011000000101 ? -b1111111111111010010011000000101 D -b111111100111111000001000101110 % -b111111100111111000001000101110 . -b111111100111111000001000101110 6 -b111111100111111000001000101110 @ -b111111100111111000001000101110 F -b1000000011000101010010000101011 ) -#346816000 -0& -#346832000 -b1010100101011 , -#346848000 -1& -#346864000 -b11001010100001111001001101000 " -b11001010100001111001001101000 4 -b11001010100001111001001101000 1 -b11001010100001111001001101000 C -b1 2 -b1 = -18 -09 -b11101110111111111001110101100000 < -b11100110101011110000110001101000 : -b11110111101011110110111100001000 $ -b11110111101011110110111100001000 - -b11110111101011110110111100001000 5 -b11110111101011110110111100001000 ? -b11110111101011110110111100001000 D -b11101110111111111001110101100000 % -b11101110111111111001110101100000 . -b11101110111111111001110101100000 6 -b11101110111111111001110101100000 @ -b11101110111111111001110101100000 F -b11001010100001111001001101000 ) -#346880000 -0& -#346896000 -b1010100101100 , -#346912000 -1& -#346928000 -b10011011111100011001111111111011 " -b10011011111100011001111111111011 4 -b10011011111100011001111111111011 1 -b10011011111100011001111111111011 C -b0 2 -b0 = -08 -b11101110100111101011000011111111 < -b1100100000011011110000000000011 : -b1110101011011110010111100000100 $ -b1110101011011110010111100000100 - -b1110101011011110010111100000100 5 -b1110101011011110010111100000100 ? -b1110101011011110010111100000100 D -b11101110100111101011000011111111 % -b11101110100111101011000011111111 . -b11101110100111101011000011111111 6 -b11101110100111101011000011111111 @ -b11101110100111101011000011111111 F -b10011011111100011001111111111011 ) -#346944000 -0& -#346960000 -b1010100101101 , -#346976000 -1& -#346992000 -b10010100000001001111000011110000 " -b10010100000001001111000011110000 4 -b10010100000001001111000011110000 1 -b10010100000001001111000011110000 C -b11111111111110110000110000110000 < -b1101011111110110000100011110000 : -b1101011111111111111110011000000 $ -b1101011111111111111110011000000 - -b1101011111111111111110011000000 5 -b1101011111111111111110011000000 ? -b1101011111111111111110011000000 D -b11111111111110110000110000110000 % -b11111111111110110000110000110000 . -b11111111111110110000110000110000 6 -b11111111111110110000110000110000 @ -b11111111111110110000110000110000 F -b10010100000001001111000011110000 ) -#347008000 -0& -#347024000 -b1010100101110 , -#347040000 -1& -#347056000 -b11010000000110000111000000011111 " -b11010000000110000111000000011111 4 -b11010000000110000111000000011111 1 -b11010000000110000111000000011111 C -b10101111011111011101001011011001 < -b101110111000110111010110011111 : -b1111111011001011010001011000110 $ -b1111111011001011010001011000110 - -b1111111011001011010001011000110 5 -b1111111011001011010001011000110 ? -b1111111011001011010001011000110 D -b10101111011111011101001011011001 % -b10101111011111011101001011011001 . -b10101111011111011101001011011001 6 -b10101111011111011101001011011001 @ -b10101111011111011101001011011001 F -b11010000000110000111000000011111 ) -#347072000 -0& -#347088000 -b1010100101111 , -#347104000 -1& -#347120000 -b11000011001010011011011000001110 " -b11000011001010011011011000001110 4 -b11000011001010011011011000001110 1 -b11000011001010011011011000001110 C -b1111110111111111111011000001000 < -b111100110101100011011000001110 : -b10111101110101100100000000000110 $ -b10111101110101100100000000000110 - -b10111101110101100100000000000110 5 -b10111101110101100100000000000110 ? -b10111101110101100100000000000110 D -b1111110111111111111011000001000 % -b1111110111111111111011000001000 . -b1111110111111111111011000001000 6 -b1111110111111111111011000001000 @ -b1111110111111111111011000001000 F -b11000011001010011011011000001110 ) -#347136000 -0& -#347152000 -b1010100110000 , -#347168000 -1& -#347184000 -b10111001000011101101011100000011 " -b10111001000011101101011100000011 4 -b10111001000011101101011100000011 1 -b10111001000011101101011100000011 C -b1111111101111000111011011010111 < -b1000110011011110001100010101011 : -b11000110101100101010000111010100 $ -b11000110101100101010000111010100 - -b11000110101100101010000111010100 5 -b11000110101100101010000111010100 ? -b11000110101100101010000111010100 D -b1111111101111000111011011010111 % -b1111111101111000111011011010111 . -b1111111101111000111011011010111 6 -b1111111101111000111011011010111 @ -b1111111101111000111011011010111 F -b10111001000011101101011100000011 ) -#347200000 -0& -#347216000 -b1010100110001 , -#347232000 -1& -#347248000 -b10000011000001110111010000010111 " -b10000011000001110111010000010111 4 -b10000011000001110111010000010111 1 -b10000011000001110111010000010111 C -b11111100110111111100100010010010 < -b1111100101110001000010100010111 : -b1111111110110001011110010000101 $ -b1111111110110001011110010000101 - -b1111111110110001011110010000101 5 -b1111111110110001011110010000101 ? -b1111111110110001011110010000101 D -b11111100110111111100100010010010 % -b11111100110111111100100010010010 . -b11111100110111111100100010010010 6 -b11111100110111111100100010010010 @ -b11111100110111111100100010010010 F -b10000011000001110111010000010111 ) -#347264000 -0& -#347280000 -b1010100110010 , -#347296000 -1& -#347312000 -b10000100010000011000101111011010 " -b10000100010000011000101111011010 4 -b10000100010000011000101111011010 1 -b10000100010000011000101111011010 C -b1111011101111110001111110111110 < -b1111011101111011011010000100010 : -b11111111111111101001010001100100 $ -b11111111111111101001010001100100 - -b11111111111111101001010001100100 5 -b11111111111111101001010001100100 ? -b11111111111111101001010001100100 D -b1111011101111110001111110111110 % -b1111011101111110001111110111110 . -b1111011101111110001111110111110 6 -b1111011101111110001111110111110 @ -b1111011101111110001111110111110 F -b10000100010000011000101111011010 ) -#347328000 -0& -#347344000 -b1010100110011 , -#347360000 -1& -#347376000 -b1000000100100001111100111110100 " -b1000000100100001111100111110100 4 -b1000000100100001111100111110100 1 -b1000000100100001111100111110100 C -19 -b0 2 -b0 = -08 -b1111101110111010011111101100101 < -b10111011001010110000010111110110 : -b111101010011011100011010010001 $ -b111101010011011100011010010001 - -b111101010011011100011010010001 5 -b111101010011011100011010010001 ? -b111101010011011100011010010001 D -b1111101110111010011111101100101 % -b1111101110111010011111101100101 . -b1111101110111010011111101100101 6 -b1111101110111010011111101100101 @ -b1111101110111010011111101100101 F -b1000000100100001111100111110100 ) -#347392000 -0& -#347408000 -b1010100110100 , -#347424000 -1& -#347440000 -b1001011010100100100101100101 " -b1001011010100100100101100101 4 -b1001011010100100100101100101 1 -b1001011010100100100101100101 C -b1100110110111011011010110011101 < -b11010110100101011011001010010101 : -b1101111101101111111110011111000 $ -b1101111101101111111110011111000 - -b1101111101101111111110011111000 5 -b1101111101101111111110011111000 ? -b1101111101101111111110011111000 D -b1100110110111011011010110011101 % -b1100110110111011011010110011101 . -b1100110110111011011010110011101 6 -b1100110110111011011010110011101 @ -b1100110110111011011010110011101 F -b1001011010100100100101100101 ) -#347456000 -0& -#347472000 -b1010100110101 , -#347488000 -1& -#347504000 -b10111100100000101001001110100110 " -b10111100100000101001001110100110 4 -b10111100100000101001001110100110 1 -b10111100100000101001001110100110 C -09 -b0 2 -b0 = -08 -b1110111111011110100110101100110 < -b1000011010111010010110000100110 : -b11001011011011011101111011000000 $ -b11001011011011011101111011000000 - -b11001011011011011101111011000000 5 -b11001011011011011101111011000000 ? -b11001011011011011101111011000000 D -b1110111111011110100110101100110 % -b1110111111011110100110101100110 . -b1110111111011110100110101100110 6 -b1110111111011110100110101100110 @ -b1110111111011110100110101100110 F -b10111100100000101001001110100110 ) -#347520000 -0& -#347536000 -b1010100110110 , -#347552000 -1& -#347568000 -b11101110100000101100011000110101 " -b11101110100000101100011000110101 4 -b11101110100000101100011000110101 1 -b11101110100000101100011000110101 C -b1011111111111011001110100101011 < -b10001011111001111100001001001 : -b10110001011111110101101100011110 $ -b10110001011111110101101100011110 - -b10110001011111110101101100011110 5 -b10110001011111110101101100011110 ? -b10110001011111110101101100011110 D -b1011111111111011001110100101011 % -b1011111111111011001110100101011 . -b1011111111111011001110100101011 6 -b1011111111111011001110100101011 @ -b1011111111111011001110100101011 F -b11101110100000101100011000110101 ) -#347584000 -0& -#347600000 -b1010100110111 , -#347616000 -1& -#347632000 -b11100000000001100011111110111110 " -b11100000000001100011111110111110 4 -b11100000000001100011111110111110 1 -b11100000000001100011111110111110 C -b1111011111100010111111011110011 < -b10111111010001100000001000000 : -b10011011111101110100000101001101 $ -b10011011111101110100000101001101 - -b10011011111101110100000101001101 5 -b10011011111101110100000101001101 ? -b10011011111101110100000101001101 D -b1111011111100010111111011110011 % -b1111011111100010111111011110011 . -b1111011111100010111111011110011 6 -b1111011111100010111111011110011 @ -b1111011111100010111111011110011 F -b11100000000001100011111110111110 ) -#347648000 -0& -#347664000 -b1010100111000 , -#347680000 -1& -#347696000 -b11100000011100110110111000100011 " -b11100000011100110110111000100011 4 -b11100000011100110110111000100011 1 -b11100000011100110110111000100011 C -b1011111100011101010001111100110 < -b11111100011000111000110101011 : -b10111111111111011100110111000101 $ -b10111111111111011100110111000101 - -b10111111111111011100110111000101 5 -b10111111111111011100110111000101 ? -b10111111111111011100110111000101 D -b1011111100011101010001111100110 % -b1011111100011101010001111100110 . -b1011111100011101010001111100110 6 -b1011111100011101010001111100110 @ -b1011111100011101010001111100110 F -b11100000011100110110111000100011 ) -#347712000 -0& -#347728000 -b1010100111001 , -#347744000 -1& -#347760000 -b10100110001101000001110110011011 " -b10100110001101000001110110011011 4 -b10100110001101000001110110011011 1 -b10100110001101000001110110011011 C -b1000111111011110011100010000000 < -b101001110010100101110110011011 : -b11100001110110110010010100011011 $ -b11100001110110110010010100011011 - -b11100001110110110010010100011011 5 -b11100001110110110010010100011011 ? -b11100001110110110010010100011011 D -b1000111111011110011100010000000 % -b1000111111011110011100010000000 . -b1000111111011110011100010000000 6 -b1000111111011110011100010000000 @ -b1000111111011110011100010000000 F -b10100110001101000001110110011011 ) -#347776000 -0& -#347792000 -b1010100111010 , -#347808000 -1& -#347824000 -b10100101011101000011100011010000 " -b10100101011101000011100011010000 4 -b10100101011101000011100011010000 1 -b10100101011101000011100011010000 C -b11111010110111110010100010111101 < -b1011010100010100011100100101010 : -b1011111101010110001000001101101 $ -b1011111101010110001000001101101 - -b1011111101010110001000001101101 5 -b1011111101010110001000001101101 ? -b1011111101010110001000001101101 D -b11111010110111110010100010111101 % -b11111010110111110010100010111101 . -b11111010110111110010100010111101 6 -b11111010110111110010100010111101 @ -b11111010110111110010100010111101 F -b10100101011101000011100011010000 ) -#347840000 -0& -#347856000 -b1010100111011 , -#347872000 -1& -#347888000 -b100001100111010111111011100 " -b100001100111010111111011100 4 -b100001100111010111111011100 1 -b100001100111010111111011100 C -b1 2 -b1 = -18 -b11011011011010011011010110110000 < -b10111010110000111101000000011100 : -b11011111010110100001101001101100 $ -b11011111010110100001101001101100 - -b11011111010110100001101001101100 5 -b11011111010110100001101001101100 ? -b11011111010110100001101001101100 D -b11011011011010011011010110110000 % -b11011011011010011011010110110000 . -b11011011011010011011010110110000 6 -b11011011011010011011010110110000 @ -b11011011011010011011010110110000 F -b100001100111010111111011100 ) -#347904000 -0& -#347920000 -b1010100111100 , -#347936000 -1& -#347952000 -b10001100001000000111001101111011 " -b10001100001000000111001101111011 4 -b10001100001000000111001101111011 1 -b10001100001000000111001101111011 C -b0 2 -b0 = -08 -b11110010111111111110110011111111 < -b1110001110111111000110010000011 : -b1111110110111111001111110000100 $ -b1111110110111111001111110000100 - -b1111110110111111001111110000100 5 -b1111110110111111001111110000100 ? -b1111110110111111001111110000100 D -b11110010111111111110110011111111 % -b11110010111111111110110011111111 . -b11110010111111111110110011111111 6 -b11110010111111111110110011111111 @ -b11110010111111111110110011111111 F -b10001100001000000111001101111011 ) -#347968000 -0& -#347984000 -b1010100111101 , -#348000000 -1& -#348016000 -b11011011100110011001101011011111 " -b11011011100110011001101011011111 4 -b11011011100110011001101011011111 1 -b11011011100110011001101011011111 C -b1101111111011110010101011111010 < -b100100011001011101101100011111 : -b10110100011101101011000000100101 $ -b10110100011101101011000000100101 - -b10110100011101101011000000100101 5 -b10110100011101101011000000100101 ? -b10110100011101101011000000100101 D -b1101111111011110010101011111010 % -b1101111111011110010101011111010 . -b1101111111011110010101011111010 6 -b1101111111011110010101011111010 @ -b1101111111011110010101011111010 F -b11011011100110011001101011011111 ) -#348032000 -0& -#348048000 -b1010100111110 , -#348064000 -1& -#348080000 -b11100010001110000010100001111001 " -b11100010001110000010100001111001 4 -b11100010001110000010100001111001 1 -b11100010001110000010100001111001 C -b1111111011101010111000100100011 < -b11100110000101100101001111101 : -b10011101010011010101100101011010 $ -b10011101010011010101100101011010 - -b10011101010011010101100101011010 5 -b10011101010011010101100101011010 ? -b10011101010011010101100101011010 D -b1111111011101010111000100100011 % -b1111111011101010111000100100011 . -b1111111011101010111000100100011 6 -b1111111011101010111000100100011 @ -b1111111011101010111000100100011 F -b11100010001110000010100001111001 ) -#348096000 -0& -#348112000 -b1010100111111 , -#348128000 -1& -#348144000 -b10010011100101101001001001000011 " -b10010011100101101001001001000011 4 -b10010011100101101001001001000011 1 -b10010011100101101001001001000011 C -b1111010010111010111000000100110 < -b1100100001010010101001010001011 : -b11101001110010111110001001100101 $ -b11101001110010111110001001100101 - -b11101001110010111110001001100101 5 -b11101001110010111110001001100101 ? -b11101001110010111110001001100101 D -b1111010010111010111000000100110 % -b1111010010111010111000000100110 . -b1111010010111010111000000100110 6 -b1111010010111010111000000100110 @ -b1111010010111010111000000100110 F -b10010011100101101001001001000011 ) -#348160000 -0& -#348176000 -b1010101000000 , -#348192000 -1& -#348208000 -b1111010000011101100110110001100 " -b1111010000011101100110110001100 4 -b1111010000011101100110110001100 1 -b1111010000011101100110110001100 C -b1 2 -b1 = -18 -b10001111111111110011001101001110 < -b10000101111100010011001000010000 : -b11110101111100011111111011000010 $ -b11110101111100011111111011000010 - -b11110101111100011111111011000010 5 -b11110101111100011111111011000010 ? -b11110101111100011111111011000010 D -b10001111111111110011001101001110 % -b10001111111111110011001101001110 . -b10001111111111110011001101001110 6 -b10001111111111110011001101001110 @ -b10001111111111110011001101001110 F -b1111010000011101100110110001100 ) -#348224000 -0& -#348240000 -b1010101000001 , -#348256000 -1& -#348272000 -b10010000111001101110110111011101 " -b10010000111001101110110111011101 4 -b10010000111001101110110111011101 1 -b10010000111001101110110111011101 C -b0 2 -b0 = -08 -b11111101000110111111100110111001 < -b1101011000110010000111000011101 : -b1101101111111010001010001100100 $ -b1101101111111010001010001100100 - -b1101101111111010001010001100100 5 -b1101101111111010001010001100100 ? -b1101101111111010001010001100100 D -b11111101000110111111100110111001 % -b11111101000110111111100110111001 . -b11111101000110111111100110111001 6 -b11111101000110111111100110111001 @ -b11111101000110111111100110111001 F -b10010000111001101110110111011101 ) -#348288000 -0& -#348304000 -b1010101000010 , -#348320000 -1& -#348336000 -b1010000000001011100011010111100 " -b1010000000001011100011010111100 4 -b1010000000001011100011010111100 1 -b1010000000001011100011010111100 C -b1 2 -b1 = -18 -b10101111111110100110101000111110 < -b10101111111110100001011011000000 : -b11111111111111111010110010000010 $ -b11111111111111111010110010000010 - -b11111111111111111010110010000010 5 -b11111111111111111010110010000010 ? -b11111111111111111010110010000010 D -b10101111111110100110101000111110 % -b10101111111110100110101000111110 . -b10101111111110100110101000111110 6 -b10101111111110100110101000111110 @ -b10101111111110100110101000111110 F -b1010000000001011100011010111100 ) -#348352000 -0& -#348368000 -b1010101000011 , -#348384000 -1& -#348400000 -b10001000010000000000100010010111 " -b10001000010000000000100010010111 4 -b10001000010000000000100010010111 1 -b10001000010000000000100010010111 C -b1 2 -b1 = -18 -09 -b10101111111101111000111010000111 < -b11010111101011110001010010010111 : -b100111101101111000011000010000 $ -b100111101101111000011000010000 - -b100111101101111000011000010000 5 -b100111101101111000011000010000 ? -b100111101101111000011000010000 D -b10101111111101111000111010000111 % -b10101111111101111000111010000111 . -b10101111111101111000111010000111 6 -b10101111111101111000111010000111 @ -b10101111111101111000111010000111 F -b10001000010000000000100010010111 ) -#348416000 -0& -#348432000 -b1010101000100 , -#348448000 -1& -#348464000 -b101101010001110111110100100 " -b101101010001110111110100100 4 -b101101010001110111110100100 1 -b101101010001110111110100100 C -b0 2 -b0 = -08 -19 -b1111010110101101011001110010000 < -b11111010010101010000111111000100 : -b1111111011111100101110000110100 $ -b1111111011111100101110000110100 - -b1111111011111100101110000110100 5 -b1111111011111100101110000110100 ? -b1111111011111100101110000110100 D -b1111010110101101011001110010000 % -b1111010110101101011001110010000 . -b1111010110101101011001110010000 6 -b1111010110101101011001110010000 @ -b1111010110101101011001110010000 F -b101101010001110111110100100 ) -#348480000 -0& -#348496000 -b1010101000101 , -#348512000 -1& -#348528000 -b10101100001000110010011010001001 " -b10101100001000110010011010001001 4 -b10101100001000110010011010001001 1 -b10101100001000110010011010001001 C -09 -b0 2 -b0 = -08 -b1001111111111100010010110010001 < -b110011110110110010100010101001 : -b11100011110111010000001100011000 $ -b11100011110111010000001100011000 - -b11100011110111010000001100011000 5 -b11100011110111010000001100011000 ? -b11100011110111010000001100011000 D -b1001111111111100010010110010001 % -b1001111111111100010010110010001 . -b1001111111111100010010110010001 6 -b1001111111111100010010110010001 @ -b1001111111111100010010110010001 F -b10101100001000110010011010001001 ) -#348544000 -0& -#348560000 -b1010101000110 , -#348576000 -1& -#348592000 -b1100001100011001000101110101 " -b1100001100011001000101110101 4 -b1100001100011001000101110101 1 -b1100001100011001000101110101 C -19 -b0 2 -b0 = -08 -b1110011110101100100110110010001 < -b11110011101111100010101001110101 : -b1111111111001111101110011100100 $ -b1111111111001111101110011100100 - -b1111111111001111101110011100100 5 -b1111111111001111101110011100100 ? -b1111111111001111101110011100100 D -b1110011110101100100110110010001 % -b1110011110101100100110110010001 . -b1110011110101100100110110010001 6 -b1110011110101100100110110010001 @ -b1110011110101100100110110010001 F -b1100001100011001000101110101 ) -#348608000 -0& -#348624000 -b1010101000111 , -#348640000 -1& -#348656000 -b110011001001011010110000010000 " -b110011001001011010110000010000 4 -b110011001001011010110000010000 1 -b110011001001011010110000010000 C -b1 2 -b1 = -18 -09 -b11011110111110100010101001010011 < -b11001100110110011011000010010110 : -b11101101110111111000011001000011 $ -b11101101110111111000011001000011 - -b11101101110111111000011001000011 5 -b11101101110111111000011001000011 ? -b11101101110111111000011001000011 D -b11011110111110100010101001010011 % -b11011110111110100010101001010011 . -b11011110111110100010101001010011 6 -b11011110111110100010101001010011 @ -b11011110111110100010101001010011 F -b110011001001011010110000010000 ) -#348672000 -0& -#348688000 -b1010101001000 , -#348704000 -1& -#348720000 -b100110010011000010101100010010 " -b100110010011000010101100010010 4 -b100110010011000010101100010010 1 -b100110010011000010101100010010 C -b11011111111111111010001110011010 < -b11011001101100110010110000100010 : -b11111001101100111000100010001000 $ -b11111001101100111000100010001000 - -b11111001101100111000100010001000 5 -b11111001101100111000100010001000 ? -b11111001101100111000100010001000 D -b11011111111111111010001110011010 % -b11011111111111111010001110011010 . -b11011111111111111010001110011010 6 -b11011111111111111010001110011010 @ -b11011111111111111010001110011010 F -b100110010011000010101100010010 ) -#348736000 -0& -#348752000 -b1010101001001 , -#348768000 -1& -#348784000 -b10101110100000110010111001100 " -b10101110100000110010111001100 4 -b10101110100000110010111001100 1 -b10101110100000110010111001100 C -b11111110010011010001110001000000 < -b11101001111010101001010111001100 : -b11101011100111010111100110001100 $ -b11101011100111010111100110001100 - -b11101011100111010111100110001100 5 -b11101011100111010111100110001100 ? -b11101011100111010111100110001100 D -b11111110010011010001110001000000 % -b11111110010011010001110001000000 . -b11111110010011010001110001000000 6 -b11111110010011010001110001000000 @ -b11111110010011010001110001000000 F -b10101110100000110010111001100 ) -#348800000 -0& -#348816000 -b1010101001010 , -#348832000 -1& -#348848000 -b10110000011100101111000111110110 " -b10110000011100101111000111110110 4 -b10110000011100101111000111110110 1 -b10110000011100101111000111110110 C -b0 2 -b0 = -08 -b1001111100111011111111011100110 < -b1001111100011010000110111110110 : -b11111111111011110000111100010000 $ -b11111111111011110000111100010000 - -b11111111111011110000111100010000 5 -b11111111111011110000111100010000 ? -b11111111111011110000111100010000 D -b1001111100111011111111011100110 % -b1001111100111011111111011100110 . -b1001111100111011111111011100110 6 -b1001111100111011111111011100110 @ -b1001111100111011111111011100110 F -b10110000011100101111000111110110 ) -#348864000 -0& -#348880000 -b1010101001011 , -#348896000 -1& -#348912000 -b101010000100101101001011110110 " -b101010000100101101001011110110 4 -b101010000100101101001011110110 1 -b101010000100101101001011110110 C -b1 2 -b1 = -18 -b11011101111111110111110101110101 < -b11010101111011010010110011111000 : -b11110111111011011010111110000011 $ -b11110111111011011010111110000011 - -b11110111111011011010111110000011 5 -b11110111111011011010111110000011 ? -b11110111111011011010111110000011 D -b11011101111111110111110101110101 % -b11011101111111110111110101110101 . -b11011101111111110111110101110101 6 -b11011101111111110111110101110101 @ -b11011101111111110111110101110101 F -b101010000100101101001011110110 ) -#348928000 -0& -#348944000 -b1010101001100 , -#348960000 -1& -#348976000 -b10000000110000000010111011111011 " -b10000000110000000010111011111011 4 -b10000000110000000010111011111011 1 -b10000000110000000010111011111011 C -b111111000111110100101110111000 < -b11111110111111101011000011111011 : -b10111111110111110110010101000011 $ -b10111111110111110110010101000011 - -b10111111110111110110010101000011 5 -b10111111110111110110010101000011 ? -b10111111110111110110010101000011 D -b111111000111110100101110111000 % -b111111000111110100101110111000 . -b111111000111110100101110111000 6 -b111111000111110100101110111000 @ -b111111000111110100101110111000 F -b10000000110000000010111011111011 ) -#348992000 -0& -#349008000 -b1010101001101 , -#349024000 -1& -#349040000 -b1000000001101110110111001100011 " -b1000000001101110110111001100011 4 -b1000000001101110110111001100011 1 -b1000000001101110110111001100011 C -b0 2 -b0 = -08 -19 -b111111111011101000010010011101 < -b10111111110010000110111110011011 : -b1111111110110011110101011111110 $ -b1111111110110011110101011111110 - -b1111111110110011110101011111110 5 -b1111111110110011110101011111110 ? -b1111111110110011110101011111110 D -b111111111011101000010010011101 % -b111111111011101000010010011101 . -b111111111011101000010010011101 6 -b111111111011101000010010011101 @ -b111111111011101000010010011101 F -b1000000001101110110111001100011 ) -#349056000 -0& -#349072000 -b1010101001110 , -#349088000 -1& -#349104000 -b10100011101100010000000001110111 " -b10100011101100010000000001110111 4 -b10100011101100010000000001110111 1 -b10100011101100010000000001110111 C -b0 2 -b0 = -09 -08 -b11011101101110101010000100101110 < -b1011011110001100100001010000111 : -b1111110000010111010000101011001 $ -b1111110000010111010000101011001 - -b1111110000010111010000101011001 5 -b1111110000010111010000101011001 ? -b1111110000010111010000101011001 D -b11011101101110101010000100101110 % -b11011101101110101010000100101110 . -b11011101101110101010000100101110 6 -b11011101101110101010000100101110 @ -b11011101101110101010000100101110 F -b10100011101100010000000001110111 ) -#349120000 -0& -#349136000 -b1010101001111 , -#349152000 -1& -#349168000 -b11001011110110001100001100001111 " -b11001011110110001100001100001111 4 -b11001011110110001100001100001111 1 -b11001011110110001100001100001111 C -b110101111001111011010110110100 < -b110100001001110010110001101111 : -b11111110001111110111011010111011 $ -b11111110001111110111011010111011 - -b11111110001111110111011010111011 5 -b11111110001111110111011010111011 ? -b11111110001111110111011010111011 D -b110101111001111011010110110100 % -b110101111001111011010110110100 . -b110101111001111011010110110100 6 -b110101111001111011010110110100 @ -b110101111001111011010110110100 F -b11001011110110001100001100001111 ) -#349184000 -0& -#349200000 -b1010101010000 , -#349216000 -1& -#349232000 -b10110000010100001010001000011101 " -b10110000010100001010001000011101 4 -b10110000010100001010001000011101 1 -b10110000010100001010001000011101 C -b11011111101011110111101011100000 < -b1001111101011110101001111011101 : -b1101111111111111101100011111101 $ -b1101111111111111101100011111101 - -b1101111111111111101100011111101 5 -b1101111111111111101100011111101 ? -b1101111111111111101100011111101 D -b11011111101011110111101011100000 % -b11011111101011110111101011100000 . -b11011111101011110111101011100000 6 -b11011111101011110111101011100000 @ -b11011111101011110111101011100000 F -b10110000010100001010001000011101 ) -#349248000 -0& -#349264000 -b1010101010001 , -#349280000 -1& -#349296000 -b11000000011000000101111100010011 " -b11000000011000000101111100010011 4 -b11000000011000000101111100010011 1 -b11000000011000000101111100010011 C -b10111111100111010001010011001111 < -b111111100110100110000010101011 : -b1111111111111010100101111011100 $ -b1111111111111010100101111011100 - -b1111111111111010100101111011100 5 -b1111111111111010100101111011100 ? -b1111111111111010100101111011100 D -b10111111100111010001010011001111 % -b10111111100111010001010011001111 . -b10111111100111010001010011001111 6 -b10111111100111010001010011001111 @ -b10111111100111010001010011001111 F -b11000000011000000101111100010011 ) -#349312000 -0& -#349328000 -b1010101010010 , -#349344000 -1& -#349360000 -b1000001101100001001111110000001 " -b1000001101100001001111110000001 4 -b1000001101100001001111110000001 1 -b1000001101100001001111110000001 C -b0 2 -b0 = -19 -08 -b101110111011110011100111100001 < -b10011110010011101110000001000001 : -b1101111010111111010011001100000 $ -b1101111010111111010011001100000 - -b1101111010111111010011001100000 5 -b1101111010111111010011001100000 ? -b1101111010111111010011001100000 D -b101110111011110011100111100001 % -b101110111011110011100111100001 . -b101110111011110011100111100001 6 -b101110111011110011100111100001 @ -b101110111011110011100111100001 F -b1000001101100001001111110000001 ) -#349376000 -0& -#349392000 -b1010101010011 , -#349408000 -1& -#349424000 -b1101010100110000010111 " -b1101010100110000010111 4 -b1101010100110000010111 1 -b1101010100110000010111 C -b1 2 -b1 = -18 -09 -b11111110101011111000100010110000 < -b11111101010010100100110101010111 : -b11111110100110101100010010100111 $ -b11111110100110101100010010100111 - -b11111110100110101100010010100111 5 -b11111110100110101100010010100111 ? -b11111110100110101100010010100111 D -b11111110101011111000100010110000 % -b11111110101011111000100010110000 . -b11111110101011111000100010110000 6 -b11111110101011111000100010110000 @ -b11111110101011111000100010110000 F -b1101010100110000010111 ) -#349440000 -0& -#349456000 -b1010101010100 , -#349472000 -1& -#349488000 -b101100000010111110100110 " -b101100000010111110100110 4 -b101100000010111110100110 1 -b101100000010111110100110 C -b0 2 -b0 = -08 -19 -b1110111011111010101110111011010 < -b11101111010010101101000001010110 : -b1110111110011010111001001111100 $ -b1110111110011010111001001111100 - -b1110111110011010111001001111100 5 -b1110111110011010111001001111100 ? -b1110111110011010111001001111100 D -b1110111011111010101110111011010 % -b1110111011111010101110111011010 . -b1110111011111010101110111011010 6 -b1110111011111010101110111011010 @ -b1110111011111010101110111011010 F -b101100000010111110100110 ) -#349504000 -0& -#349520000 -b1010101010101 , -#349536000 -1& -#349552000 -b111001101011000101011011000110 " -b111001101011000101011011000110 4 -b111001101011000101011011000110 1 -b111001101011000101011011000110 C -b1 2 -b1 = -18 -09 -b11100110011101110010110101111011 < -b11000110010100101010100100111000 : -b11011111110110110111101110111101 $ -b11011111110110110111101110111101 - -b11011111110110110111101110111101 5 -b11011111110110110111101110111101 ? -b11011111110110110111101110111101 D -b11100110011101110010110101111011 % -b11100110011101110010110101111011 . -b11100110011101110010110101111011 6 -b11100110011101110010110101111011 @ -b11100110011101110010110101111011 F -b111001101011000101011011000110 ) -#349568000 -0& -#349584000 -b1010101010110 , -#349600000 -1& -#349616000 -b10001001000101001111000001010110 " -b10001001000101001111000001010110 4 -b10001001000101001111000001010110 1 -b10001001000101001111000001010110 C -b0 2 -b0 = -08 -b11110110011010111100001011011010 < -b1110101111010101111010101100110 : -b1111111011111110011001010001100 $ -b1111111011111110011001010001100 - -b1111111011111110011001010001100 5 -b1111111011111110011001010001100 ? -b1111111011111110011001010001100 D -b11110110011010111100001011011010 % -b11110110011010111100001011011010 . -b11110110011010111100001011011010 6 -b11110110011010111100001011011010 @ -b11110110011010111100001011011010 F -b10001001000101001111000001010110 ) -#349632000 -0& -#349648000 -b1010101010111 , -#349664000 -1& -#349680000 -b1001100011111100110101010000010 " -b1001100011111100110101010000010 4 -b1001100011111100110101010000010 1 -b1001100011111100110101010000010 C -b1 2 -b1 = -18 -b10111110101011000000110101010010 < -b10110001011111100111010100100010 : -b11110010110100100110011111010000 $ -b11110010110100100110011111010000 - -b11110010110100100110011111010000 5 -b11110010110100100110011111010000 ? -b11110010110100100110011111010000 D -b10111110101011000000110101010010 % -b10111110101011000000110101010010 . -b10111110101011000000110101010010 6 -b10111110101011000000110101010010 @ -b10111110101011000000110101010010 F -b1001100011111100110101010000010 ) -#349696000 -0& -#349712000 -b1010101011000 , -#349728000 -1& -#349744000 -b11001110000011001101101011001110 " -b11001110000011001101101011001110 4 -b11001110000011001101101011001110 1 -b11001110000011001101101011001110 C -b0 2 -b0 = -09 -08 -b1101111101110100100110110010011 < -b10001011100001110010011110000 : -b10100001101101101001011101011101 $ -b10100001101101101001011101011101 - -b10100001101101101001011101011101 5 -b10100001101101101001011101011101 ? -b10100001101101101001011101011101 D -b1101111101110100100110110010011 % -b1101111101110100100110110010011 . -b1101111101110100100110110010011 6 -b1101111101110100100110110010011 @ -b1101111101110100100110110010011 F -b11001110000011001101101011001110 ) -#349760000 -0& -#349776000 -b1010101011001 , -#349792000 -1& -#349808000 -b10000010000110001101111011 " -b10000010000110001101111011 4 -b10000010000110001101111011 1 -b10000010000110001101111011 C -b1 2 -b1 = -18 -b11111111111111110001110101100010 < -b11111101111101101001101101111011 : -b11111101111101110111111000011001 $ -b11111101111101110111111000011001 - -b11111101111101110111111000011001 5 -b11111101111101110111111000011001 ? -b11111101111101110111111000011001 D -b11111111111111110001110101100010 % -b11111111111111110001110101100010 . -b11111111111111110001110101100010 6 -b11111111111111110001110101100010 @ -b11111111111111110001110101100010 F -b10000010000110001101111011 ) -#349824000 -0& -#349840000 -b1010101011010 , -#349856000 -1& -#349872000 -b10000000010101101100011110011111 " -b10000000010101101100011110011111 4 -b10000000010101101100011110011111 1 -b10000000010101101100011110011111 C -b0 2 -b0 = -08 -b11011011111110010000001010111000 < -b110111101010001100011111011111 : -b1011011101011111100010100100111 $ -b1011011101011111100010100100111 - -b1011011101011111100010100100111 5 -b1011011101011111100010100100111 ? -b1011011101011111100010100100111 D -b11011011111110010000001010111000 % -b11011011111110010000001010111000 . -b11011011111110010000001010111000 6 -b11011011111110010000001010111000 @ -b11011011111110010000001010111000 F -b10000000010101101100011110011111 ) -#349888000 -0& -#349904000 -b1010101011011 , -#349920000 -1& -#349936000 -b1011011111000011111011000100 " -b1011011111000011111011000100 4 -b1011011111000011111011000100 1 -b1011011111000011111011000100 C -b1 2 -b1 = -18 -b11110110100011110100100100111010 < -b11110100100000101100000100111000 : -b11111101111100110111011111111110 $ -b11111101111100110111011111111110 - -b11111101111100110111011111111110 5 -b11111101111100110111011111111110 ? -b11111101111100110111011111111110 D -b11110110100011110100100100111010 % -b11110110100011110100100100111010 . -b11110110100011110100100100111010 6 -b11110110100011110100100100111010 @ -b11110110100011110100100100111010 F -b1011011111000011111011000100 ) -#349952000 -0& -#349968000 -b1010101011100 , -#349984000 -1& -#350000000 -b10000000100001000000100001011011 " -b10000000100001000000100001011011 4 -b10000000100001000000100001011011 1 -b10000000100001000000100001011011 C -b0 2 -b0 = -08 -b1111111111111110010011000000110 < -b1111111011110100101010001100011 : -b11111111011110110010111001011101 $ -b11111111011110110010111001011101 - -b11111111011110110010111001011101 5 -b11111111011110110010111001011101 ? -b11111111011110110010111001011101 D -b1111111111111110010011000000110 % -b1111111111111110010011000000110 . -b1111111111111110010011000000110 6 -b1111111111111110010011000000110 @ -b1111111111111110010011000000110 F -b10000000100001000000100001011011 ) -#350016000 -0& -#350032000 -b1010101011101 , -#350048000 -1& -#350064000 -b11101001100010100101001100101001 " -b11101001100010100101001100101001 4 -b11101001100010100101001100101001 1 -b11101001100010100101001100101001 C -b1111110011101110011010011010111 < -b10110011101001001110011010101 : -b10010111111111010110011111111110 $ -b10010111111111010110011111111110 - -b10010111111111010110011111111110 5 -b10010111111111010110011111111110 ? -b10010111111111010110011111111110 D -b1111110011101110011010011010111 % -b1111110011101110011010011010111 . -b1111110011101110011010011010111 6 -b1111110011101110011010011010111 @ -b1111110011101110011010011010111 F -b11101001100010100101001100101001 ) -#350080000 -0& -#350096000 -b1010101011110 , -#350112000 -1& -#350128000 -b11101000001000010011000000001 " -b11101000001000010011000000001 4 -b11101000001000010011000000001 1 -b11101000001000010011000000001 C -19 -b0 2 -b0 = -08 -b1110011111110110100011111000011 < -b11100010111110101010100110000101 : -b1101110111111110110000111000010 $ -b1101110111111110110000111000010 - -b1101110111111110110000111000010 5 -b1101110111111110110000111000010 ? -b1101110111111110110000111000010 D -b1110011111110110100011111000011 % -b1110011111110110100011111000011 . -b1110011111110110100011111000011 6 -b1110011111110110100011111000011 @ -b1110011111110110100011111000011 F -b11101000001000010011000000001 ) -#350144000 -0& -#350160000 -b1010101011111 , -#350176000 -1& -#350192000 -b10000011010101111011000111000101 " -b10000011010101111011000111000101 4 -b10000011010101111011000111000101 1 -b10000011010101111011000111000101 C -09 -b0 2 -b0 = -08 -b1111101101010001001101100110001 < -b1111100101001111100011000100101 : -b11111110111111110010101011110100 $ -b11111110111111110010101011110100 - -b11111110111111110010101011110100 5 -b11111110111111110010101011110100 ? -b11111110111111110010101011110100 D -b1111101101010001001101100110001 % -b1111101101010001001101100110001 . -b1111101101010001001101100110001 6 -b1111101101010001001101100110001 @ -b1111101101010001001101100110001 F -b10000011010101111011000111000101 ) -#350208000 -0& -#350224000 -b1010101100000 , -#350240000 -1& -#350256000 -b10101001001000000100000000000100 " -b10101001001000000100000000000100 4 -b10101001001000000100000000000100 1 -b10101001001000000100000000000100 C -b1011100111110110000000000101001 < -b1010010110101100100000001010110 : -b11110101110110110100000000101101 $ -b11110101110110110100000000101101 - -b11110101110110110100000000101101 5 -b11110101110110110100000000101101 ? -b11110101110110110100000000101101 D -b1011100111110110000000000101001 % -b1011100111110110000000000101001 . -b1011100111110110000000000101001 6 -b1011100111110110000000000101001 @ -b1011100111110110000000000101001 F -b10101001001000000100000000000100 ) -#350272000 -0& -#350288000 -b1010101100001 , -#350304000 -1& -#350320000 -b10101111111000101111001101000110 " -b10101111111000101111001101000110 4 -b10101111111000101111001101000110 1 -b10101111111000101111001101000110 C -b1111101110111111111000110011000 < -b1010000000111001111010001110110 : -b11010010001111010000001011011110 $ -b11010010001111010000001011011110 - -b11010010001111010000001011011110 5 -b11010010001111010000001011011110 ? -b11010010001111010000001011011110 D -b1111101110111111111000110011000 % -b1111101110111111111000110011000 . -b1111101110111111111000110011000 6 -b1111101110111111111000110011000 @ -b1111101110111111111000110011000 F -b10101111111000101111001101000110 ) -#350336000 -0& -#350352000 -b1010101100010 , -#350368000 -1& -#350384000 -b101000101110011110011100 " -b101000101110011110011100 4 -b101000101110011110011100 1 -b101000101110011110011100 C -19 -b0 2 -b0 = -08 -b1111111011111010101001100111011 < -b11111111010111010000011111100010 : -b1111111110111111011010010100111 $ -b1111111110111111011010010100111 - -b1111111110111111011010010100111 5 -b1111111110111111011010010100111 ? -b1111111110111111011010010100111 D -b1111111011111010101001100111011 % -b1111111011111010101001100111011 . -b1111111011111010101001100111011 6 -b1111111011111010101001100111011 @ -b1111111011111010101001100111011 F -b101000101110011110011100 ) -#350400000 -0& -#350416000 -b1010101100011 , -#350432000 -1& -#350448000 -b10011110000011000110100010100011 " -b10011110000011000110100010100011 4 -b10011110000011000110100010100011 1 -b10011110000011000110100010100011 C -b0 2 -b0 = -09 -08 -b11110101111110110101010001100101 < -b1100001111100101001000100101011 : -b1101011111101110011110011000110 $ -b1101011111101110011110011000110 - -b1101011111101110011110011000110 5 -b1101011111101110011110011000110 ? -b1101011111101110011110011000110 D -b11110101111110110101010001100101 % -b11110101111110110101010001100101 . -b11110101111110110101010001100101 6 -b11110101111110110101010001100101 @ -b11110101111110110101010001100101 F -b10011110000011000110100010100011 ) -#350464000 -0& -#350480000 -b1010101100100 , -#350496000 -1& -#350512000 -b10001100000011010000100011111100 " -b10001100000011010000100011111100 4 -b10001100000011010000100011111100 1 -b10001100000011010000100011111100 C -b1 2 -b1 = -18 -b110001111100111100001001010000 < -b11101111111100101000110011111100 : -b10111101111111101100101010101100 $ -b10111101111111101100101010101100 - -b10111101111111101100101010101100 5 -b10111101111111101100101010101100 ? -b10111101111111101100101010101100 D -b110001111100111100001001010000 % -b110001111100111100001001010000 . -b110001111100111100001001010000 6 -b110001111100111100001001010000 @ -b110001111100111100001001010000 F -b10001100000011010000100011111100 ) -#350528000 -0& -#350544000 -b1010101100101 , -#350560000 -1& -#350576000 -b1000111101101101011100101000100 " -b1000111101101101011100101000100 4 -b1000111101101101011100101000100 1 -b1000111101101101011100101000100 C -b0 2 -b0 = -08 -19 -b111100011110000100011100110010 < -b10111000010001110100010110101000 : -b1111011110011101111111001110110 $ -b1111011110011101111111001110110 - -b1111011110011101111111001110110 5 -b1111011110011101111111001110110 ? -b1111011110011101111111001110110 D -b111100011110000100011100110010 % -b111100011110000100011100110010 . -b111100011110000100011100110010 6 -b111100011110000100011100110010 @ -b111100011110000100011100110010 F -b1000111101101101011100101000100 ) -#350592000 -0& -#350608000 -b1010101100110 , -#350624000 -1& -#350640000 -b11000000000100011010001111100001 " -b11000000000100011010001111100001 4 -b11000000000100011010001111100001 1 -b11000000000100011010001111100001 C -b0 2 -b0 = -09 -08 -b11111111111111100001011000100011 < -b111111111011011100101111100101 : -b111111111011111011010111000010 $ -b111111111011111011010111000010 - -b111111111011111011010111000010 5 -b111111111011111011010111000010 ? -b111111111011111011010111000010 D -b11111111111111100001011000100011 % -b11111111111111100001011000100011 . -b11111111111111100001011000100011 6 -b11111111111111100001011000100011 @ -b11111111111111100001011000100011 F -b11000000000100011010001111100001 ) -#350656000 -0& -#350672000 -b1010101100111 , -#350688000 -1& -#350704000 -b1110101000010101000100000010101 " -b1110101000010101000100000010101 4 -b1110101000010101000100000010101 1 -b1110101000010101000100000010101 C -b1 2 -b1 = -18 -b11011111111101111110101101111000 < -b10001010111101010100111011100101 : -b10101010111111010110001101101101 $ -b10101010111111010110001101101101 - -b10101010111111010110001101101101 5 -b10101010111111010110001101101101 ? -b10101010111111010110001101101101 D -b11011111111101111110101101111000 % -b11011111111101111110101101111000 . -b11011111111101111110101101111000 6 -b11011111111101111110101101111000 @ -b11011111111101111110101101111000 F -b1110101000010101000100000010101 ) -#350720000 -0& -#350736000 -b1010101101000 , -#350752000 -1& -#350768000 -b10001001100100100011000100010111 " -b10001001100100100011000100010111 4 -b10001001100100100011000100010111 1 -b10001001100100100011000100010111 C -b1 2 -b1 = -18 -09 -b10110111110111100011101001000011 < -b11110110001010100100010110010111 : -b111110010011000000101101010100 $ -b111110010011000000101101010100 - -b111110010011000000101101010100 5 -b111110010011000000101101010100 ? -b111110010011000000101101010100 D -b10110111110111100011101001000011 % -b10110111110111100011101001000011 . -b10110111110111100011101001000011 6 -b10110111110111100011101001000011 @ -b10110111110111100011101001000011 F -b10001001100100100011000100010111 ) -#350784000 -0& -#350800000 -b1010101101001 , -#350816000 -1& -#350832000 -b11000111011010001110001011110011 " -b11000111011010001110001011110011 4 -b11000111011010001110001011110011 1 -b11000111011010001110001011110011 C -b0 2 -b0 = -08 -b11111100111111110100010001010001 < -b111000100101101110101011110011 : -b111011100101111010011010100010 $ -b111011100101111010011010100010 - -b111011100101111010011010100010 5 -b111011100101111010011010100010 ? -b111011100101111010011010100010 D -b11111100111111110100010001010001 % -b11111100111111110100010001010001 . -b11111100111111110100010001010001 6 -b11111100111111110100010001010001 @ -b11111100111111110100010001010001 F -b11000111011010001110001011110011 ) -#350848000 -0& -#350864000 -b1010101101010 , -#350880000 -1& -#350896000 -b101000111000001000111111001011 " -b101000111000001000111111001011 4 -b101000111000001000111111001011 1 -b101000111000001000111111001011 C -b0 2 -b0 = -19 -08 -b1111111011111110111111111111010 < -b11010111000111110111000000101011 : -b1010111100111111111000000110001 $ -b1010111100111111111000000110001 - -b1010111100111111111000000110001 5 -b1010111100111111111000000110001 ? -b1010111100111111111000000110001 D -b1111111011111110111111111111010 % -b1111111011111110111111111111010 . -b1111111011111110111111111111010 6 -b1111111011111110111111111111010 @ -b1111111011111110111111111111010 F -b101000111000001000111111001011 ) -#350912000 -0& -#350928000 -b1010101101011 , -#350944000 -1& -#350960000 -b1001000000011110111001101011011 " -b1001000000011110111001101011011 4 -b1001000000011110111001101011011 1 -b1001000000011110111001101011011 C -b1110110111100101111111111110011 < -b10110101111100001000110010011011 : -b111110111111011000110010101000 $ -b111110111111011000110010101000 - -b111110111111011000110010101000 5 -b111110111111011000110010101000 ? -b111110111111011000110010101000 D -b1110110111100101111111111110011 % -b1110110111100101111111111110011 . -b1110110111100101111111111110011 6 -b1110110111100101111111111110011 @ -b1110110111100101111111111110011 F -b1001000000011110111001101011011 ) -#350976000 -0& -#350992000 -b1010101101100 , -#351008000 -1& -#351024000 -b10010000001010000100100000111001 " -b10010000001010000100100000111001 4 -b10010000001010000100100000111001 1 -b10010000001010000100100000111001 C -09 -b0 2 -b0 = -08 -b1101111111111010001110010001100 < -b1101111110100100111000101000001 : -b11111111110101010101010010110101 $ -b11111111110101010101010010110101 - -b11111111110101010101010010110101 5 -b11111111110101010101010010110101 ? -b11111111110101010101010010110101 D -b1101111111111010001110010001100 % -b1101111111111010001110010001100 . -b1101111111111010001110010001100 6 -b1101111111111010001110010001100 @ -b1101111111111010001110010001100 F -b10010000001010000100100000111001 ) -#351040000 -0& -#351056000 -b1010101101101 , -#351072000 -1& -#351088000 -b10101101000110000101001101011000 " -b10101101000110000101001101011000 4 -b10101101000110000101001101011000 1 -b10101101000110000101001101011000 C -b11111110111011101010001110011100 < -b1010010111001011001010001100000 : -b1010011111101101111000011000100 $ -b1010011111101101111000011000100 - -b1010011111101101111000011000100 5 -b1010011111101101111000011000100 ? -b1010011111101101111000011000100 D -b11111110111011101010001110011100 % -b11111110111011101010001110011100 . -b11111110111011101010001110011100 6 -b11111110111011101010001110011100 @ -b11111110111011101010001110011100 F -b10101101000110000101001101011000 ) -#351104000 -0& -#351120000 -b1010101101110 , -#351136000 -1& -#351152000 -b1100000011100011110011100011101 " -b1100000011100011110011100011101 4 -b1100000011100011110011100011101 1 -b1100000011100011110011100011101 C -b1 2 -b1 = -18 -b10111111111011110111000011101111 < -b10011111100011100000100011100001 : -b11011111100111101001011111110010 $ -b11011111100111101001011111110010 - -b11011111100111101001011111110010 5 -b11011111100111101001011111110010 ? -b11011111100111101001011111110010 D -b10111111111011110111000011101111 % -b10111111111011110111000011101111 . -b10111111111011110111000011101111 6 -b10111111111011110111000011101111 @ -b10111111111011110111000011101111 F -b1100000011100011110011100011101 ) -#351168000 -0& -#351184000 -b1010101101111 , -#351200000 -1& -#351216000 -b11010000000000010000100001111111 " -b11010000000000010000100001111111 4 -b11010000000000010000100001111111 1 -b11010000000000010000100001111111 C -b0 2 -b0 = -08 -b10111111010100111010100101000010 < -b101110101001100100101001111111 : -b1101111010100101010000100111101 $ -b1101111010100101010000100111101 - -b1101111010100101010000100111101 5 -b1101111010100101010000100111101 ? -b1101111010100101010000100111101 D -b10111111010100111010100101000010 % -b10111111010100111010100101000010 . -b10111111010100111010100101000010 6 -b10111111010100111010100101000010 @ -b10111111010100111010100101000010 F -b11010000000000010000100001111111 ) -#351232000 -0& -#351248000 -b1010101110000 , -#351264000 -1& -#351280000 -b1111100010001101001110111101000 " -b1111100010001101001110111101000 4 -b1111100010001101001110111101000 1 -b1111100010001101001110111101000 C -b0 2 -b0 = -19 -08 -b111111111110010000011011100000 < -b10000011101110001010000111101000 : -b1000011101111111001101100001000 $ -b1000011101111111001101100001000 - -b1000011101111111001101100001000 5 -b1000011101111111001101100001000 ? -b1000011101111111001101100001000 D -b111111111110010000011011100000 % -b111111111110010000011011100000 . -b111111111110010000011011100000 6 -b111111111110010000011011100000 @ -b111111111110010000011011100000 F -b1111100010001101001110111101000 ) -#351296000 -0& -#351312000 -b1010101110001 , -#351328000 -1& -#351344000 -b1010110010001110100101001001110 " -b1010110010001110100101001001110 4 -b1010110010001110100101001001110 1 -b1010110010001110100101001001110 C -b111111111110011000111001100110 < -b10101001101110000101001010001110 : -b1101001101111101100010000101000 $ -b1101001101111101100010000101000 - -b1101001101111101100010000101000 5 -b1101001101111101100010000101000 ? -b1101001101111101100010000101000 D -b111111111110011000111001100110 % -b111111111110011000111001100110 . -b111111111110011000111001100110 6 -b111111111110011000111001100110 @ -b111111111110011000111001100110 F -b1010110010001110100101001001110 ) -#351360000 -0& -#351376000 -b1010101110010 , -#351392000 -1& -#351408000 -b1111000001100100001100101100001 " -b1111000001100100001100101100001 4 -b1111000001100100001100101100001 1 -b1111000001100100001100101100001 C -b1 2 -b1 = -18 -09 -b10010111111111100111110100000011 < -b10000111110010101110000101100101 : -b11101111110011000110010001100010 $ -b11101111110011000110010001100010 - -b11101111110011000110010001100010 5 -b11101111110011000110010001100010 ? -b11101111110011000110010001100010 D -b10010111111111100111110100000011 % -b10010111111111100111110100000011 . -b10010111111111100111110100000011 6 -b10010111111111100111110100000011 @ -b10010111111111100111110100000011 F -b1111000001100100001100101100001 ) -#351424000 -0& -#351440000 -b1010101110011 , -#351456000 -1& -#351472000 -b10000000000110111010011110001100 " -b10000000000110111010011110001100 4 -b10000000000110111010011110001100 1 -b10000000000110111010011110001100 C -b0 2 -b0 = -08 -b11111011110011010011101000010100 < -b1110111101000111101011110101100 : -b1111011110101101001110110011000 $ -b1111011110101101001110110011000 - -b1111011110101101001110110011000 5 -b1111011110101101001110110011000 ? -b1111011110101101001110110011000 D -b11111011110011010011101000010100 % -b11111011110011010011101000010100 . -b11111011110011010011101000010100 6 -b11111011110011010011101000010100 @ -b11111011110011010011101000010100 F -b10000000000110111010011110001100 ) -#351488000 -0& -#351504000 -b1010101110100 , -#351520000 -1& -#351536000 -b10000000010001010110010110101010 " -b10000000010001010110010110101010 4 -b10000000010001010110010110101010 1 -b10000000010001010110010110101010 C -b1 2 -b1 = -18 -b10111101101111111010110000111000 < -b11111011101110100111010111001010 : -b111101111110101100100110010010 $ -b111101111110101100100110010010 - -b111101111110101100100110010010 5 -b111101111110101100100110010010 ? -b111101111110101100100110010010 D -b10111101101111111010110000111000 % -b10111101101111111010110000111000 . -b10111101101111111010110000111000 6 -b10111101101111111010110000111000 @ -b10111101101111111010110000111000 F -b10000000010001010110010110101010 ) -#351552000 -0& -#351568000 -b1010101110101 , -#351584000 -1& -#351600000 -b1000010001100111000011100010001 " -b1000010001100111000011100010001 4 -b1000010001100111000011100010001 1 -b1000010001100111000011100010001 C -b10111101011111000110010011111110 < -b10111100110011000100100011101101 : -b11111111010011111110001111101111 $ -b11111111010011111110001111101111 - -b11111111010011111110001111101111 5 -b11111111010011111110001111101111 ? -b11111111010011111110001111101111 D -b10111101011111000110010011111110 % -b10111101011111000110010011111110 . -b10111101011111000110010011111110 6 -b10111101011111000110010011111110 @ -b10111101011111000110010011111110 F -b1000010001100111000011100010001 ) -#351616000 -0& -#351632000 -b1010101110110 , -#351648000 -1& -#351664000 -b11000000011100101010001111100101 " -b11000000011100101010001111100101 4 -b11000000011100101010001111100101 1 -b11000000011100101010001111100101 C -b0 2 -b0 = -08 -b10111110111101110001000001101110 < -b111101011111001100001111111001 : -b1111110100001011011001110001011 $ -b1111110100001011011001110001011 - -b1111110100001011011001110001011 5 -b1111110100001011011001110001011 ? -b1111110100001011011001110001011 D -b10111110111101110001000001101110 % -b10111110111101110001000001101110 . -b10111110111101110001000001101110 6 -b10111110111101110001000001101110 @ -b10111110111101110001000001101110 F -b11000000011100101010001111100101 ) -#351680000 -0& -#351696000 -b1010101110111 , -#351712000 -1& -#351728000 -b101101101010101011111100100000 " -b101101101010101011111100100000 4 -b101101101010101011111100100000 1 -b101101101010101011111100100000 C -b1 2 -b1 = -18 -b11110110011101000000101010100000 < -b11010010010100101100000000100000 : -b11011011110111101011010110000000 $ -b11011011110111101011010110000000 - -b11011011110111101011010110000000 5 -b11011011110111101011010110000000 ? -b11011011110111101011010110000000 D -b11110110011101000000101010100000 % -b11110110011101000000101010100000 . -b11110110011101000000101010100000 6 -b11110110011101000000101010100000 @ -b11110110011101000000101010100000 F -b101101101010101011111100100000 ) -#351744000 -0& -#351760000 -b1010101111000 , -#351776000 -1& -#351792000 -b10100101010101110101011011111100 " -b10100101010101110101011011111100 4 -b10100101010101110101011011111100 1 -b10100101010101110101011011111100 C -b0 2 -b0 = -08 -b1000111111110110011011100010001 < -b101010101001111001100011111110 : -b11100010101011000110000111101101 $ -b11100010101011000110000111101101 - -b11100010101011000110000111101101 5 -b11100010101011000110000111101101 ? -b11100010101011000110000111101101 D -b1000111111110110011011100010001 % -b1000111111110110011011100010001 . -b1000111111110110011011100010001 6 -b1000111111110110011011100010001 @ -b1000111111110110011011100010001 F -b10100101010101110101011011111100 ) -#351808000 -0& -#351824000 -b1010101111001 , -#351840000 -1& -#351856000 -b10000100000100100010010110001110 " -b10000100000100100010010110001110 4 -b10000100000100100010010110001110 1 -b10000100000100100010010110001110 C -b11111111111111110110101000111110 < -b1111011111011001011100111101110 : -b1111011111011010100111110110000 $ -b1111011111011010100111110110000 - -b1111011111011010100111110110000 5 -b1111011111011010100111110110000 ? -b1111011111011010100111110110000 D -b11111111111111110110101000111110 % -b11111111111111110110101000111110 . -b11111111111111110110101000111110 6 -b11111111111111110110101000111110 @ -b11111111111111110110101000111110 F -b10000100000100100010010110001110 ) -#351872000 -0& -#351888000 -b1010101111010 , -#351904000 -1& -#351920000 -b10010111010101010011100110000111 " -b10010111010101010011100110000111 4 -b10010111010101010011100110000111 1 -b10010111010101010011100110000111 C -b11101010111110100111101001011101 < -b1101000101010011011111000110111 : -b1111101101011110100001111011010 $ -b1111101101011110100001111011010 - -b1111101101011110100001111011010 5 -b1111101101011110100001111011010 ? -b1111101101011110100001111011010 D -b11101010111110100111101001011101 % -b11101010111110100111101001011101 . -b11101010111110100111101001011101 6 -b11101010111110100111101001011101 @ -b11101010111110100111101001011101 F -b10010111010101010011100110000111 ) -#351936000 -0& -#351952000 -b1010101111011 , -#351968000 -1& -#351984000 -b11010100111000111100100001101000 " -b11010100111000111100100001101000 4 -b11010100111000111100100001101000 1 -b11010100111000111100100001101000 C -b111010110011011110111111111010 < -b101000111111000001011110001100 : -b11101110001011100010011110010010 $ -b11101110001011100010011110010010 - -b11101110001011100010011110010010 5 -b11101110001011100010011110010010 ? -b11101110001011100010011110010010 D -b111010110011011110111111111010 % -b111010110011011110111111111010 . -b111010110011011110111111111010 6 -b111010110011011110111111111010 @ -b111010110011011110111111111010 F -b11010100111000111100100001101000 ) -#352000000 -0& -#352016000 -b1010101111100 , -#352032000 -1& -#352048000 -b1010011111000111000100100101101 " -b1010011111000111000100100101101 4 -b1010011111000111000100100101101 1 -b1010011111000111000100100101101 C -19 -b0 2 -b0 = -08 -b1111111110111010001111100100011 < -b10101100000110111011010100110001 : -b101100001111101001011000001110 $ -b101100001111101001011000001110 - -b101100001111101001011000001110 5 -b101100001111101001011000001110 ? -b101100001111101001011000001110 D -b1111111110111010001111100100011 % -b1111111110111010001111100100011 . -b1111111110111010001111100100011 6 -b1111111110111010001111100100011 @ -b1111111110111010001111100100011 F -b1010011111000111000100100101101 ) -#352064000 -0& -#352080000 -b1010101111101 , -#352096000 -1& -#352112000 -b100110111011001001010101101110 " -b100110111011001001010101101110 4 -b100110111011001001010101101110 1 -b100110111011001001010101101110 C -b1011111110111111100110010000100 < -b11011001000100110010011001101110 : -b1111001001100110101100111101010 $ -b1111001001100110101100111101010 - -b1111001001100110101100111101010 5 -b1111001001100110101100111101010 ? -b1111001001100110101100111101010 D -b1011111110111111100110010000100 % -b1011111110111111100110010000100 . -b1011111110111111100110010000100 6 -b1011111110111111100110010000100 @ -b1011111110111111100110010000100 F -b100110111011001001010101101110 ) -#352128000 -0& -#352144000 -b1010101111110 , -#352160000 -1& -#352176000 -b11000001000000101111011000100100 " -b11000001000000101111011000100100 4 -b11000001000000101111011000100100 1 -b11000001000000101111011000100100 C -b1 2 -b1 = -18 -09 -b11011101111011010000001111111110 < -b11111010110111001111100111011000 : -b11100111011111111010111011010 $ -b11100111011111111010111011010 - -b11100111011111111010111011010 5 -b11100111011111111010111011010 ? -b11100111011111111010111011010 D -b11011101111011010000001111111110 % -b11011101111011010000001111111110 . -b11011101111011010000001111111110 6 -b11011101111011010000001111111110 @ -b11011101111011010000001111111110 F -b11000001000000101111011000100100 ) -#352192000 -0& -#352208000 -b1010101111111 , -#352224000 -1& -#352240000 -b11110111000000011110110110110000 " -b11110111000000011110110110110000 4 -b11110111000000011110110110110000 1 -b11110111000000011110110110110000 C -b0 2 -b0 = -08 -b10011110111111101100111110010000 < -b1000111111011111000110110000 : -b1101001111111110010001000100000 $ -b1101001111111110010001000100000 - -b1101001111111110010001000100000 5 -b1101001111111110010001000100000 ? -b1101001111111110010001000100000 D -b10011110111111101100111110010000 % -b10011110111111101100111110010000 . -b10011110111111101100111110010000 6 -b10011110111111101100111110010000 @ -b10011110111111101100111110010000 F -b11110111000000011110110110110000 ) -#352256000 -0& -#352272000 -b1010110000000 , -#352288000 -1& -#352304000 -b10000001101010000111111011100111 " -b10000001101010000111111011100111 4 -b10000001101010000111111011100111 1 -b10000001101010000111111011100111 C -b1111110110101110011010000010001 < -b1111110010101100111111100000111 : -b11111111011111110100101011110110 $ -b11111111011111110100101011110110 - -b11111111011111110100101011110110 5 -b11111111011111110100101011110110 ? -b11111111011111110100101011110110 D -b1111110110101110011010000010001 % -b1111110110101110011010000010001 . -b1111110110101110011010000010001 6 -b1111110110101110011010000010001 @ -b1111110110101110011010000010001 F -b10000001101010000111111011100111 ) -#352320000 -0& -#352336000 -b1010110000001 , -#352352000 -1& -#352368000 -b1101000111110010010110111 " -b1101000111110010010110111 4 -b1101000111110010010110111 1 -b1101000111110010010110111 C -19 -b0 2 -b0 = -08 -b1111111011111110011101101000110 < -b11111110010111000001101100110111 : -b1111110110111001101111111110001 $ -b1111110110111001101111111110001 - -b1111110110111001101111111110001 5 -b1111110110111001101111111110001 ? -b1111110110111001101111111110001 D -b1111111011111110011101101000110 % -b1111111011111110011101101000110 . -b1111111011111110011101101000110 6 -b1111111011111110011101101000110 @ -b1111111011111110011101101000110 F -b1101000111110010010110111 ) -#352384000 -0& -#352400000 -b1010110000010 , -#352416000 -1& -#352432000 -b111100010100101000000001 " -b111100010100101000000001 4 -b111100010100101000000001 1 -b111100010100101000000001 C -b1 2 -b1 = -18 -09 -b11011110111111100101001110110001 < -b10111101000011010110110101100001 : -b11011110000011110001100110110000 $ -b11011110000011110001100110110000 - -b11011110000011110001100110110000 5 -b11011110000011110001100110110000 ? -b11011110000011110001100110110000 D -b11011110111111100101001110110001 % -b11011110111111100101001110110001 . -b11011110111111100101001110110001 6 -b11011110111111100101001110110001 @ -b11011110111111100101001110110001 F -b111100010100101000000001 ) -#352448000 -0& -#352464000 -b1010110000011 , -#352480000 -1& -#352496000 -b11101000111010100010101001101011 " -b11101000111010100010101001101011 4 -b11101000111010100010101001101011 1 -b11101000111010100010101001101011 C -b0 2 -b0 = -08 -b10101000111111111011001010111 < -b10011000101011101001010010011 : -b11111101111101011101110000111100 $ -b11111101111101011101110000111100 - -b11111101111101011101110000111100 5 -b11111101111101011101110000111100 ? -b11111101111101011101110000111100 D -b10101000111111111011001010111 % -b10101000111111111011001010111 . -b10101000111111111011001010111 6 -b10101000111111111011001010111 @ -b10101000111111111011001010111 F -b11101000111010100010101001101011 ) -#352512000 -0& -#352528000 -b1010110000100 , -#352544000 -1& -#352560000 -b10000110000110110011100111100110 " -b10000110000110110011100111100110 4 -b10000110000110110011100111100110 1 -b10000110000110110011100111100110 C -b1111011100000010101101000100000 < -b1111001000110111011110111100110 : -b11111101100110100110001111000110 $ -b11111101100110100110001111000110 - -b11111101100110100110001111000110 5 -b11111101100110100110001111000110 ? -b11111101100110100110001111000110 D -b1111011100000010101101000100000 % -b1111011100000010101101000100000 . -b1111011100000010101101000100000 6 -b1111011100000010101101000100000 @ -b1111011100000010101101000100000 F -b10000110000110110011100111100110 ) -#352576000 -0& -#352592000 -b1010110000101 , -#352608000 -1& -#352624000 -b10100010001101111001001011100001 " -b10100010001101111001001011100001 4 -b10100010001101111001001011100001 1 -b10100010001101111001001011100001 C -b11110101110110011001101000110000 < -b1001101110001111010001100000001 : -b1010111111011100000100011010001 $ -b1010111111011100000100011010001 - -b1010111111011100000100011010001 5 -b1010111111011100000100011010001 ? -b1010111111011100000100011010001 D -b11110101110110011001101000110000 % -b11110101110110011001101000110000 . -b11110101110110011001101000110000 6 -b11110101110110011001101000110000 @ -b11110101110110011001101000110000 F -b10100010001101111001001011100001 ) -#352640000 -0& -#352656000 -b1010110000110 , -#352672000 -1& -#352688000 -b10000111000010001110101001010101 " -b10000111000010001110101001010101 4 -b10000111000010001110101001010101 1 -b10000111000010001110101001010101 C -b1111000111101111110111100101101 < -b1111000111101101111010010100101 : -b11111111111111110000010101111000 $ -b11111111111111110000010101111000 - -b11111111111111110000010101111000 5 -b11111111111111110000010101111000 ? -b11111111111111110000010101111000 D -b1111000111101111110111100101101 % -b1111000111101111110111100101101 . -b1111000111101111110111100101101 6 -b1111000111101111110111100101101 @ -b1111000111101111110111100101101 F -b10000111000010001110101001010101 ) -#352704000 -0& -#352720000 -b1010110000111 , -#352736000 -1& -#352752000 -b1111000001000011001110101000 " -b1111000001000011001110101000 4 -b1111000001000011001110101000 1 -b1111000001000011001110101000 C -b1 2 -b1 = -18 -b11110110111011110100000100100101 < -b11110000110110101011001110110010 : -b11111001111010110111001010001101 $ -b11111001111010110111001010001101 - -b11111001111010110111001010001101 5 -b11111001111010110111001010001101 ? -b11111001111010110111001010001101 D -b11110110111011110100000100100101 % -b11110110111011110100000100100101 . -b11110110111011110100000100100101 6 -b11110110111011110100000100100101 @ -b11110110111011110100000100100101 F -b1111000001000011001110101000 ) -#352768000 -0& -#352784000 -b1010110001000 , -#352800000 -1& -#352816000 -b10000000100010111010110111100011 " -b10000000100010111010110111100011 4 -b10000000100010111010110111100011 1 -b10000000100010111010110111100011 C -b0 2 -b0 = -08 -b1111111011101110101000100101001 < -b1111111011101000100110111110011 : -b11111111111111001111110011001010 $ -b11111111111111001111110011001010 - -b11111111111111001111110011001010 5 -b11111111111111001111110011001010 ? -b11111111111111001111110011001010 D -b1111111011101110101000100101001 % -b1111111011101110101000100101001 . -b1111111011101110101000100101001 6 -b1111111011101110101000100101001 @ -b1111111011101110101000100101001 F -b10000000100010111010110111100011 ) -#352832000 -0& -#352848000 -b1010110001001 , -#352864000 -1& -#352880000 -b11000000111000100110001100100110 " -b11000000111000100110001100100110 4 -b11000000111000100110001100100110 1 -b11000000111000100110001100100110 C -b1 2 -b1 = -18 -b1011111111011011010011001011010 < -b11111110111111010110101111010110 : -b10011111000011111100010101111100 $ -b10011111000011111100010101111100 - -b10011111000011111100010101111100 5 -b10011111000011111100010101111100 ? -b10011111000011111100010101111100 D -b1011111111011011010011001011010 % -b1011111111011011010011001011010 . -b1011111111011011010011001011010 6 -b1011111111011011010011001011010 @ -b1011111111011011010011001011010 F -b11000000111000100110001100100110 ) -#352896000 -0& -#352912000 -b1010110001010 , -#352928000 -1& -#352944000 -b11110001101011100111100110101110 " -b11110001101011100111100110101110 4 -b11110001101011100111100110101110 1 -b11110001101011100111100110101110 C -b0 2 -b0 = -08 -b1011110111100011100110101011110 < -b1110010100011000001001001110 : -b10101111010111111011010011110000 $ -b10101111010111111011010011110000 - -b10101111010111111011010011110000 5 -b10101111010111111011010011110000 ? -b10101111010111111011010011110000 D -b1011110111100011100110101011110 % -b1011110111100011100110101011110 . -b1011110111100011100110101011110 6 -b1011110111100011100110101011110 @ -b1011110111100011100110101011110 F -b11110001101011100111100110101110 ) -#352960000 -0& -#352976000 -b1010110001011 , -#352992000 -1& -#353008000 -b10010011100111100010000100011 " -b10010011100111100010000100011 4 -b10010011100111100010000100011 1 -b10010011100111100010000100011 C -19 -b0 2 -b0 = -08 -b1110100110011010111010001010101 < -b11011011100011000010010011001011 : -b1100110101111101011000001110110 $ -b1100110101111101011000001110110 - -b1100110101111101011000001110110 5 -b1100110101111101011000001110110 ? -b1100110101111101011000001110110 D -b1110100110011010111010001010101 % -b1110100110011010111010001010101 . -b1110100110011010111010001010101 6 -b1110100110011010111010001010101 @ -b1110100110011010111010001010101 F -b10010011100111100010000100011 ) -#353024000 -0& -#353040000 -b1010110001100 , -#353056000 -1& -#353072000 -b11001001000110000100001111111011 " -b11001001000110000100001111111011 4 -b11001001000110000100001111111011 1 -b11001001000110000100001111111011 C -b1 2 -b1 = -18 -09 -b11110011010111111101111001101 < -b11110101110111111011010000000011 : -b11010111011100111011100000110110 $ -b11010111011100111011100000110110 - -b11010111011100111011100000110110 5 -b11010111011100111011100000110110 ? -b11010111011100111011100000110110 D -b11110011010111111101111001101 % -b11110011010111111101111001101 . -b11110011010111111101111001101 6 -b11110011010111111101111001101 @ -b11110011010111111101111001101 F -b11001001000110000100001111111011 ) -#353088000 -0& -#353104000 -b1010110001101 , -#353120000 -1& -#353136000 -b100101001000011001011100111010 " -b100101001000011001011100111010 4 -b100101001000011001011100111010 1 -b100101001000011001011100111010 C -b0 2 -b0 = -08 -19 -b1111111110101111011110001011101 < -b11011010110011011110011111000100 : -b1011010111101100010101101100111 $ -b1011010111101100010101101100111 - -b1011010111101100010101101100111 5 -b1011010111101100010101101100111 ? -b1011010111101100010101101100111 D -b1111111110101111011110001011101 % -b1111111110101111011110001011101 . -b1111111110101111011110001011101 6 -b1111111110101111011110001011101 @ -b1111111110101111011110001011101 F -b100101001000011001011100111010 ) -#353152000 -0& -#353168000 -b1010110001110 , -#353184000 -1& -#353200000 -b11001000100000110010001101101000 " -b11001000100000110010001101101000 4 -b11001000100000110010001101101000 1 -b11001000100000110010001101101000 C -09 -b0 2 -b0 = -08 -b111101111111110010001001011100 < -b110011011110110010001110010000 : -b11110101011111000000000100110100 $ -b11110101011111000000000100110100 - -b11110101011111000000000100110100 5 -b11110101011111000000000100110100 ? -b11110101011111000000000100110100 D -b111101111111110010001001011100 % -b111101111111110010001001011100 . -b111101111111110010001001011100 6 -b111101111111110010001001011100 @ -b111101111111110010001001011100 F -b11001000100000110010001101101000 ) -#353216000 -0& -#353232000 -b1010110001111 , -#353248000 -1& -#353264000 -b10011000000110010101100011101110 " -b10011000000110010101100011101110 4 -b10011000000110010101100011101110 1 -b10011000000110010101100011101110 C -b1111011110001100010011100100010 < -b1011111101001011010011011101110 : -b11100011110111110111111111001100 $ -b11100011110111110111111111001100 - -b11100011110111110111111111001100 5 -b11100011110111110111111111001100 ? -b11100011110111110111111111001100 D -b1111011110001100010011100100010 % -b1111011110001100010011100100010 . -b1111011110001100010011100100010 6 -b1111011110001100010011100100010 @ -b1111011110001100010011100100010 F -b10011000000110010101100011101110 ) -#353280000 -0& -#353296000 -b1010110010000 , -#353312000 -1& -#353328000 -b101100110110100110001100010 " -b101100110110100110001100010 4 -b101100110110100110001100010 1 -b101100110110100110001100010 C -19 -b0 2 -b0 = -08 -b1110011011101100010010001101011 < -b11101010011000111000110001110100 : -b1110110111011010110100000001001 $ -b1110110111011010110100000001001 - -b1110110111011010110100000001001 5 -b1110110111011010110100000001001 ? -b1110110111011010110100000001001 D -b1110011011101100010010001101011 % -b1110011011101100010010001101011 . -b1110011011101100010010001101011 6 -b1110011011101100010010001101011 @ -b1110011011101100010010001101011 F -b101100110110100110001100010 ) -#353344000 -0& -#353360000 -b1010110010001 , -#353376000 -1& -#353392000 -b10001001000001000001111101001 " -b10001001000001000001111101001 4 -b10001001000001000001111101001 1 -b10001001000001000001111101001 C -b0 2 -b0 = -09 -08 -b110111010111111000011101111111 < -b1011101110111101000110000010101 : -b100110011111110000010010010110 $ -b100110011111110000010010010110 - -b100110011111110000010010010110 5 -b100110011111110000010010010110 ? -b100110011111110000010010010110 D -b110111010111111000011101111111 % -b110111010111111000011101111111 . -b110111010111111000011101111111 6 -b110111010111111000011101111111 @ -b110111010111111000011101111111 F -b10001001000001000001111101001 ) -#353408000 -0& -#353424000 -b1010110010010 , -#353440000 -1& -#353456000 -b1100110110010000001111111011110 " -b1100110110010000001111111011110 4 -b1100110110010000001111111011110 1 -b1100110110010000001111111011110 C -b1 2 -b1 = -18 -19 -b10001011000011011000100010000111 < -b1111000110100110001111111100000 : -b11101101110001011001011101011001 $ -b11101101110001011001011101011001 - -b11101101110001011001011101011001 5 -b11101101110001011001011101011001 ? -b11101101110001011001011101011001 D -b10001011000011011000100010000111 % -b10001011000011011000100010000111 . -b10001011000011011000100010000111 6 -b10001011000011011000100010000111 @ -b10001011000011011000100010000111 F -b1100110110010000001111111011110 ) -#353472000 -0& -#353488000 -b1010110010011 , -#353504000 -1& -#353520000 -b1110100001000110001000010 " -b1110100001000110001000010 4 -b1110100001000110001000010 1 -b1110100001000110001000010 C -b0 2 -b0 = -08 -b1110110011010101110001111010101 < -b11101110001001010101001101101100 : -b1110111101110100110111110010111 $ -b1110111101110100110111110010111 - -b1110111101110100110111110010111 5 -b1110111101110100110111110010111 ? -b1110111101110100110111110010111 D -b1110110011010101110001111010101 % -b1110110011010101110001111010101 . -b1110110011010101110001111010101 6 -b1110110011010101110001111010101 @ -b1110110011010101110001111010101 F -b1110100001000110001000010 ) -#353536000 -0& -#353552000 -b1010110010100 , -#353568000 -1& -#353584000 -b100001011110101010011011 " -b100001011110101010011011 4 -b100001011110101010011011 1 -b100001011110101010011011 C -b1 2 -b1 = -18 -09 -b11110111111101111000000100101101 < -b11101111011010011110110011100011 : -b11110111011100100110101110110110 $ -b11110111011100100110101110110110 - -b11110111011100100110101110110110 5 -b11110111011100100110101110110110 ? -b11110111011100100110101110110110 D -b11110111111101111000000100101101 % -b11110111111101111000000100101101 . -b11110111111101111000000100101101 6 -b11110111111101111000000100101101 @ -b11110111111101111000000100101101 F -b100001011110101010011011 ) -#353600000 -0& -#353616000 -b1010110010101 , -#353632000 -1& -#353648000 -b11000100101001010101100001111011 " -b11000100101001010101100001111011 4 -b11000100101001010101100001111011 1 -b11000100101001010101100001111011 C -b0 2 -b0 = -08 -b111011110110110111001111011011 < -b111011010110011001111101111011 : -b11111111011111100010101110100000 $ -b11111111011111100010101110100000 - -b11111111011111100010101110100000 5 -b11111111011111100010101110100000 ? -b11111111011111100010101110100000 D -b111011110110110111001111011011 % -b111011110110110111001111011011 . -b111011110110110111001111011011 6 -b111011110110110111001111011011 @ -b111011110110110111001111011011 F -b11000100101001010101100001111011 ) -#353664000 -0& -#353680000 -b1010110010110 , -#353696000 -1& -#353712000 -b11100011000000110101000010111100 " -b11100011000000110101000010111100 4 -b11100011000000110101000010111100 1 -b11100011000000110101000010111100 C -b10111101111111100001110001101110 < -b11100111110110110100101000000 : -b1011110111111010100110011010010 $ -b1011110111111010100110011010010 - -b1011110111111010100110011010010 5 -b1011110111111010100110011010010 ? -b1011110111111010100110011010010 D -b10111101111111100001110001101110 % -b10111101111111100001110001101110 . -b10111101111111100001110001101110 6 -b10111101111111100001110001101110 @ -b10111101111111100001110001101110 F -b11100011000000110101000010111100 ) -#353728000 -0& -#353744000 -b1010110010111 , -#353760000 -1& -#353776000 -b1110010000000100101011101111001 " -b1110010000000100101011101111001 4 -b1110010000000100101011101111001 1 -b1110010000000100101011101111001 C -b1 2 -b1 = -18 -b11011111111111110011111001010101 < -b10001101111111001010011110000001 : -b10101101111111010110100100101100 $ -b10101101111111010110100100101100 - -b10101101111111010110100100101100 5 -b10101101111111010110100100101100 ? -b10101101111111010110100100101100 D -b11011111111111110011111001010101 % -b11011111111111110011111001010101 . -b11011111111111110011111001010101 6 -b11011111111111110011111001010101 @ -b11011111111111110011111001010101 F -b1110010000000100101011101111001 ) -#353792000 -0& -#353808000 -b1010110011000 , -#353824000 -1& -#353840000 -b1100010110101111101111011001 " -b1100010110101111101111011001 4 -b1100010110101111101111011001 1 -b1100010110101111101111011001 C -b0 2 -b0 = -08 -19 -b1100010101001111101001000001101 < -b11010001101001001111101111100001 : -b1101110111111010010100111010100 $ -b1101110111111010010100111010100 - -b1101110111111010010100111010100 5 -b1101110111111010010100111010100 ? -b1101110111111010010100111010100 D -b1100010101001111101001000001101 % -b1100010101001111101001000001101 . -b1100010101001111101001000001101 6 -b1100010101001111101001000001101 @ -b1100010101001111101001000001101 F -b1100010110101111101111011001 ) -#353856000 -0& -#353872000 -b1010110011001 , -#353888000 -1& -#353904000 -b1101000011101000111011110011000 " -b1101000011101000111011110011000 4 -b1101000011101000111011110011000 1 -b1101000011101000111011110011000 C -b1 2 -b1 = -18 -b11001101110010111000010100110011 < -b1110011100010110111011111011110 : -b10100101101111111111001010101011 $ -b10100101101111111111001010101011 - -b10100101101111111111001010101011 5 -b10100101101111111111001010101011 ? -b10100101101111111111001010101011 D -b11001101110010111000010100110011 % -b11001101110010111000010100110011 . -b11001101110010111000010100110011 6 -b11001101110010111000010100110011 @ -b11001101110010111000010100110011 F -b1101000011101000111011110011000 ) -#353920000 -0& -#353936000 -b1010110011010 , -#353952000 -1& -#353968000 -b100010001101011010010001011010 " -b100010001101011010010001011010 4 -b100010001101011010010001011010 1 -b100010001101011010010001011010 C -b1 2 -b1 = -09 -18 -b11011100010110100111010111101111 < -b11011010110010100100011110100100 : -b11111110011011111101000110110101 $ -b11111110011011111101000110110101 - -b11111110011011111101000110110101 5 -b11111110011011111101000110110101 ? -b11111110011011111101000110110101 D -b11011100010110100111010111101111 % -b11011100010110100111010111101111 . -b11011100010110100111010111101111 6 -b11011100010110100111010111101111 @ -b11011100010110100111010111101111 F -b100010001101011010010001011010 ) -#353984000 -0& -#354000000 -b1010110011011 , -#354016000 -1& -#354032000 -b10010110101000000111001001000100 " -b10010110101000000111001001000100 4 -b10010110101000000111001001000100 1 -b10010110101000000111001001000100 C -b0 2 -b0 = -08 -b1101111010011111101101010010101 < -b1101001001111111000001101100110 : -b11111001111011111010100011010001 $ -b11111001111011111010100011010001 - -b11111001111011111010100011010001 5 -b11111001111011111010100011010001 ? -b11111001111011111010100011010001 D -b1101111010011111101101010010101 % -b1101111010011111101101010010101 . -b1101111010011111101101010010101 6 -b1101111010011111101101010010101 @ -b1101111010011111101101010010101 F -b10010110101000000111001001000100 ) -#354048000 -0& -#354064000 -b1010110011100 , -#354080000 -1& -#354096000 -b10100010000001101011100100100 " -b10100010000001101011100100100 4 -b10100010000001101011100100100 1 -b10100010000001101011100100100 C -b1 2 -b1 = -18 -b11111011111111110010000111001011 < -b11101011101111110001100010111010 : -b11101111101111111111011011101111 $ -b11101111101111111111011011101111 - -b11101111101111111111011011101111 5 -b11101111101111111111011011101111 ? -b11101111101111111111011011101111 D -b11111011111111110010000111001011 % -b11111011111111110010000111001011 . -b11111011111111110010000111001011 6 -b11111011111111110010000111001011 @ -b11111011111111110010000111001011 F -b10100010000001101011100100100 ) -#354112000 -0& -#354128000 -b1010110011101 , -#354144000 -1& -#354160000 -b10000001110000101101010111001011 " -b10000001110000101101010111001011 4 -b10000001110000101101010111001011 1 -b10000001110000101101010111001011 C -b0 2 -b0 = -08 -b1111111011010011011000010001111 < -b1111110000101010001010111010011 : -b11111110101010110110010101000100 $ -b11111110101010110110010101000100 - -b11111110101010110110010101000100 5 -b11111110101010110110010101000100 ? -b11111110101010110110010101000100 D -b1111111011010011011000010001111 % -b1111111011010011011000010001111 . -b1111111011010011011000010001111 6 -b1111111011010011011000010001111 @ -b1111111011010011011000010001111 F -b10000001110000101101010111001011 ) -#354176000 -0& -#354192000 -b1010110011110 , -#354208000 -1& -#354224000 -b100001100000011000011110111010 " -b100001100000011000011110111010 4 -b100001100000011000011110111010 1 -b100001100000011000011110111010 C -b1 2 -b1 = -18 -b11111111111111101010010101010010 < -b11011110011111011100100000111010 : -b11011110011111110010001011101000 $ -b11011110011111110010001011101000 - -b11011110011111110010001011101000 5 -b11011110011111110010001011101000 ? -b11011110011111110010001011101000 D -b11111111111111101010010101010010 % -b11111111111111101010010101010010 . -b11111111111111101010010101010010 6 -b11111111111111101010010101010010 @ -b11111111111111101010010101010010 F -b100001100000011000011110111010 ) -#354240000 -0& -#354256000 -b1010110011111 , -#354272000 -1& -#354288000 -b10000001110101000010110100000 " -b10000001110101000010110100000 4 -b10000001110101000010110100000 1 -b10000001110101000010110100000 C -b11111011111001010100010101111000 < -b11100111110001010000011001010000 : -b11101011110111111100000011011000 $ -b11101011110111111100000011011000 - -b11101011110111111100000011011000 5 -b11101011110111111100000011011000 ? -b11101011110111111100000011011000 D -b11111011111001010100010101111000 % -b11111011111001010100010101111000 . -b11111011111001010100010101111000 6 -b11111011111001010100010101111000 @ -b11111011111001010100010101111000 F -b10000001110101000010110100000 ) -#354304000 -0& -#354320000 -b1010110100000 , -#354336000 -1& -#354352000 -b10000000111000100010111010111100 " -b10000000111000100010111010111100 4 -b10000000111000100010111010111100 1 -b10000000111000100010111010111100 C -b0 2 -b0 = -08 -b1111111101111010101100011100011 < -b1111111000111001100111101000010 : -b11111111010111110111011001011111 $ -b11111111010111110111011001011111 - -b11111111010111110111011001011111 5 -b11111111010111110111011001011111 ? -b11111111010111110111011001011111 D -b1111111101111010101100011100011 % -b1111111101111010101100011100011 . -b1111111101111010101100011100011 6 -b1111111101111010101100011100011 @ -b1111111101111010101100011100011 F -b10000000111000100010111010111100 ) -#354368000 -0& -#354384000 -b1010110100001 , -#354400000 -1& -#354416000 -b10111010001101111101000101001 " -b10111010001101111101000101001 4 -b10111010001101111101000101001 1 -b10111010001101111101000101001 C -b1 2 -b1 = -18 -19 -b10101000101110100010111111001000 < -b1101000101101110000010110101001 : -b10111111111111001101010111100001 $ -b10111111111111001101010111100001 - -b10111111111111001101010111100001 5 -b10111111111111001101010111100001 ? -b10111111111111001101010111100001 D -b10101000101110100010111111001000 % -b10101000101110100010111111001000 . -b10101000101110100010111111001000 6 -b10101000101110100010111111001000 @ -b10101000101110100010111111001000 F -b10111010001101111101000101001 ) -#354432000 -0& -#354448000 -b1010110100010 , -#354464000 -1& -#354480000 -b11110001011101000010111000001010 " -b11110001011101000010111000001010 4 -b11110001011101000010111000001010 1 -b11110001011101000010111000001010 C -b0 2 -b0 = -08 -09 -b11111111010011111000111110111100 < -b1101100010110011000101110010 : -b1110001110111010000110110110 $ -b1110001110111010000110110110 - -b1110001110111010000110110110 5 -b1110001110111010000110110110 ? -b1110001110111010000110110110 D -b11111111010011111000111110111100 % -b11111111010011111000111110111100 . -b11111111010011111000111110111100 6 -b11111111010011111000111110111100 @ -b11111111010011111000111110111100 F -b11110001011101000010111000001010 ) -#354496000 -0& -#354512000 -b1010110100011 , -#354528000 -1& -#354544000 -b10110101011100011111000100111 " -b10110101011100011111000100111 4 -b10110101011100011111000100111 1 -b10110101011100011111000100111 C -b1 2 -b1 = -18 -b11101010101100110010100000110011 < -b11100110110100000011111001000111 : -b11111100000111010001011000010100 $ -b11111100000111010001011000010100 - -b11111100000111010001011000010100 5 -b11111100000111010001011000010100 ? -b11111100000111010001011000010100 D -b11101010101100110010100000110011 % -b11101010101100110010100000110011 . -b11101010101100110010100000110011 6 -b11101010101100110010100000110011 @ -b11101010101100110010100000110011 F -b10110101011100011111000100111 ) -#354560000 -0& -#354576000 -b1010110100100 , -#354592000 -1& -#354608000 -b10001100010000001111101110000101 " -b10001100010000001111101110000101 4 -b10001100010000001111101110000101 1 -b10001100010000001111101110000101 C -b0 2 -b0 = -08 -b11111111101111111011111101101110 < -b1110011101111110000010001011001 : -b1110011111111110100010011101011 $ -b1110011111111110100010011101011 - -b1110011111111110100010011101011 5 -b1110011111111110100010011101011 ? -b1110011111111110100010011101011 D -b11111111101111111011111101101110 % -b11111111101111111011111101101110 . -b11111111101111111011111101101110 6 -b11111111101111111011111101101110 @ -b11111111101111111011111101101110 F -b10001100010000001111101110000101 ) -#354624000 -0& -#354640000 -b1010110100101 , -#354656000 -1& -#354672000 -b10000001111100010010000001011000 " -b10000001111100010010000001011000 4 -b10000001111100010010000001011000 1 -b10000001111100010010000001011000 C -b1111110010011110001110011010101 < -b1111110000011010101100101100010 : -b11111111101111100011110010001101 $ -b11111111101111100011110010001101 - -b11111111101111100011110010001101 5 -b11111111101111100011110010001101 ? -b11111111101111100011110010001101 D -b1111110010011110001110011010101 % -b1111110010011110001110011010101 . -b1111110010011110001110011010101 6 -b1111110010011110001110011010101 @ -b1111110010011110001110011010101 F -b10000001111100010010000001011000 ) -#354688000 -0& -#354704000 -b1010110100110 , -#354720000 -1& -#354736000 -b100100000101101101010101100 " -b100100000101101101010101100 4 -b100100000101101101010101100 1 -b100100000101101101010101100 C -19 -b0 2 -b0 = -08 -b1111111111111111110110111111011 < -b11111011011111010010010101010010 : -b1111011011111010011011101010111 $ -b1111011011111010011011101010111 - -b1111011011111010011011101010111 5 -b1111011011111010011011101010111 ? -b1111011011111010011011101010111 D -b1111111111111111110110111111011 % -b1111111111111111110110111111011 . -b1111111111111111110110111111011 6 -b1111111111111111110110111111011 @ -b1111111111111111110110111111011 F -b100100000101101101010101100 ) -#354752000 -0& -#354768000 -b1010110100111 , -#354784000 -1& -#354800000 -b10010010010100111001000110101011 " -b10010010010100111001000110101011 4 -b10010010010100111001000110101011 1 -b10010010010100111001000110101011 C -b0 2 -b0 = -09 -08 -b11101111111111100111100110001011 < -b1101101101011000110000110101011 : -b1111101101011011110100000100000 $ -b1111101101011011110100000100000 - -b1111101101011011110100000100000 5 -b1111101101011011110100000100000 ? -b1111101101011011110100000100000 D -b11101111111111100111100110001011 % -b11101111111111100111100110001011 . -b11101111111111100111100110001011 6 -b11101111111111100111100110001011 @ -b11101111111111100111100110001011 F -b10010010010100111001000110101011 ) -#354816000 -0& -#354832000 -b1010110101000 , -#354848000 -1& -#354864000 -b111000011011111011110110011001 " -b111000011011111011110110011001 4 -b111000011011111011110110011001 1 -b111000011011111011110110011001 C -b1 2 -b1 = -18 -b11101111111111110111100001010110 < -b11000111100100000011111000100101 : -b11010111100100001100010111001111 $ -b11010111100100001100010111001111 - -b11010111100100001100010111001111 5 -b11010111100100001100010111001111 ? -b11010111100100001100010111001111 D -b11101111111111110111100001010110 % -b11101111111111110111100001010110 . -b11101111111111110111100001010110 6 -b11101111111111110111100001010110 @ -b11101111111111110111100001010110 F -b111000011011111011110110011001 ) -#354880000 -0& -#354896000 -b1010110101001 , -#354912000 -1& -#354928000 -b100111011000001011010011000 " -b100111011000001011010011000 4 -b100111011000001011010011000 1 -b100111011000001011010011000 C -b1 2 -b1 = -19 -18 -b10001011110100111001101111001000 < -b11011000100110010100100011000 : -b10001111001111111000110101010000 $ -b10001111001111111000110101010000 - -b10001111001111111000110101010000 5 -b10001111001111111000110101010000 ? -b10001111001111111000110101010000 D -b10001011110100111001101111001000 % -b10001011110100111001101111001000 . -b10001011110100111001101111001000 6 -b10001011110100111001101111001000 @ -b10001011110100111001101111001000 F -b100111011000001011010011000 ) -#354944000 -0& -#354960000 -b1010110101010 , -#354976000 -1& -#354992000 -b1110010111010001101000110101100 " -b1110010111010001101000110101100 4 -b1110010111010001101000110101100 1 -b1110010111010001101000110101100 C -b0 2 -b0 = -08 -b11110111111110101000101011100 < -b10001011000101101101001001001100 : -b1101100000101111000000011110000 $ -b1101100000101111000000011110000 - -b1101100000101111000000011110000 5 -b1101100000101111000000011110000 ? -b1101100000101111000000011110000 D -b11110111111110101000101011100 % -b11110111111110101000101011100 . -b11110111111110101000101011100 6 -b11110111111110101000101011100 @ -b11110111111110101000101011100 F -b1110010111010001101000110101100 ) -#355008000 -0& -#355024000 -b1010110101011 , -#355040000 -1& -#355056000 -b10001100111101100110111110100100 " -b10001100111101100110111110100100 4 -b10001100111101100110111110100100 1 -b10001100111101100110111110100100 C -b0 2 -b0 = -09 -08 -b11110010010101010010011001000011 < -b1110000111110000111000000101010 : -b1111110101000110100100111100111 $ -b1111110101000110100100111100111 - -b1111110101000110100100111100111 5 -b1111110101000110100100111100111 ? -b1111110101000110100100111100111 D -b11110010010101010010011001000011 % -b11110010010101010010011001000011 . -b11110010010101010010011001000011 6 -b11110010010101010010011001000011 @ -b11110010010101010010011001000011 F -b10001100111101100110111110100100 ) -#355072000 -0& -#355088000 -b1010110101100 , -#355104000 -1& -#355120000 -b1101100111101011101011110010000 " -b1101100111101011101011110010000 4 -b1101100111101011101011110010000 1 -b1101100111101011101011110010000 C -b0 2 -b0 = -19 -08 -b1110111110001000011010101111001 < -b10010010111101100001100001100010 : -b11011001100011110001011101001 $ -b11011001100011110001011101001 - -b11011001100011110001011101001 5 -b11011001100011110001011101001 ? -b11011001100011110001011101001 D -b1110111110001000011010101111001 % -b1110111110001000011010101111001 . -b1110111110001000011010101111001 6 -b1110111110001000011010101111001 @ -b1110111110001000011010101111001 F -b1101100111101011101011110010000 ) -#355136000 -0& -#355152000 -b1010110101101 , -#355168000 -1& -#355184000 -b111000000001110011001011011 " -b111000000001110011001011011 4 -b111000000001110011001011011 1 -b111000000001110011001011011 C -b1 2 -b1 = -18 -09 -b11101011011011111000001110101110 < -b11010111110111101110100110100011 : -b11101100011011110110010111110101 $ -b11101100011011110110010111110101 - -b11101100011011110110010111110101 5 -b11101100011011110110010111110101 ? -b11101100011011110110010111110101 D -b11101011011011111000001110101110 % -b11101011011011111000001110101110 . -b11101011011011111000001110101110 6 -b11101011011011111000001110101110 @ -b11101011011011111000001110101110 F -b111000000001110011001011011 ) -#355200000 -0& -#355216000 -b1010110101110 , -#355232000 -1& -#355248000 -b11100000010010111000011101110000 " -b11100000010010111000011101110000 4 -b11100000010010111000011101110000 1 -b11100000010010111000011101110000 C -b0 2 -b0 = -08 -b10111110101101010110010010000111 < -b11101101101000100100001111110 : -b1011110111111101110001111110111 $ -b1011110111111101110001111110111 - -b1011110111111101110001111110111 5 -b1011110111111101110001111110111 ? -b1011110111111101110001111110111 D -b10111110101101010110010010000111 % -b10111110101101010110010010000111 . -b10111110101101010110010010000111 6 -b10111110101101010110010010000111 @ -b10111110101101010110010010000111 F -b11100000010010111000011101110000 ) -#355264000 -0& -#355280000 -b1010110101111 , -#355296000 -1& -#355312000 -b1000000001101100000010110001111 " -b1000000001101100000010110001111 4 -b1000000001101100000010110001111 1 -b1000000001101100000010110001111 C -b1 2 -b1 = -18 -b10111111110011111011100000110011 < -b10111111110010010111010111101111 : -b11111111111110011011110110111100 $ -b11111111111110011011110110111100 - -b11111111111110011011110110111100 5 -b11111111111110011011110110111100 ? -b11111111111110011011110110111100 D -b10111111110011111011100000110011 % -b10111111110011111011100000110011 . -b10111111110011111011100000110011 6 -b10111111110011111011100000110011 @ -b10111111110011111011100000110011 F -b1000000001101100000010110001111 ) -#355328000 -0& -#355344000 -b1010110110000 , -#355360000 -1& -#355376000 -b1100001000110010110011000110100 " -b1100001000110010110011000110100 4 -b1100001000110010110011000110100 1 -b1100001000110010110011000110100 C -b0 2 -b0 = -08 -19 -b11110111011101101111001011101 < -b10011110111001101001011011000110 : -b1111111111101111011100001101001 $ -b1111111111101111011100001101001 - -b1111111111101111011100001101001 5 -b1111111111101111011100001101001 ? -b1111111111101111011100001101001 D -b11110111011101101111001011101 % -b11110111011101101111001011101 . -b11110111011101101111001011101 6 -b11110111011101101111001011101 @ -b11110111011101101111001011101 F -b1100001000110010110011000110100 ) -#355392000 -0& -#355408000 -b1010110110001 , -#355424000 -1& -#355440000 -b11100010110001010111110011100 " -b11100010110001010111110011100 4 -b11100010110001010111110011100 1 -b11100010110001010111110011100 C -b1 2 -b1 = -18 -09 -b11100101101011100101001011011101 < -b11011111101001010101000000011110 : -b11111001111101101111110101000001 $ -b11111001111101101111110101000001 - -b11111001111101101111110101000001 5 -b11111001111101101111110101000001 ? -b11111001111101101111110101000001 D -b11100101101011100101001011011101 % -b11100101101011100101001011011101 . -b11100101101011100101001011011101 6 -b11100101101011100101001011011101 @ -b11100101101011100101001011011101 F -b11100010110001010111110011100 ) -#355456000 -0& -#355472000 -b1010110110010 , -#355488000 -1& -#355504000 -b10100100010000100010100001010 " -b10100100010000100010100001010 4 -b10100100010000100010100001010 1 -b10100100010000100010100001010 C -b0 2 -b0 = -08 -b101111010011111001110101101100 < -b1101011000101110111010111010010 : -b111011110001111101100001100110 $ -b111011110001111101100001100110 - -b111011110001111101100001100110 5 -b111011110001111101100001100110 ? -b111011110001111101100001100110 D -b101111010011111001110101101100 % -b101111010011111001110101101100 . -b101111010011111001110101101100 6 -b101111010011111001110101101100 @ -b101111010011111001110101101100 F -b10100100010000100010100001010 ) -#355520000 -0& -#355536000 -b1010110110011 , -#355552000 -1& -#355568000 -b11000111110000110001100000010010 " -b11000111110000110001100000010010 4 -b11000111110000110001100000010010 1 -b11000111110000110001100000010010 C -b10111011011111100011100011101010 < -b111000001110110101100111100010 : -b1111100101111010010000011111000 $ -b1111100101111010010000011111000 - -b1111100101111010010000011111000 5 -b1111100101111010010000011111000 ? -b1111100101111010010000011111000 D -b10111011011111100011100011101010 % -b10111011011111100011100011101010 . -b10111011011111100011100011101010 6 -b10111011011111100011100011101010 @ -b10111011011111100011100011101010 F -b11000111110000110001100000010010 ) -#355584000 -0& -#355600000 -b1010110110100 , -#355616000 -1& -#355632000 -b10111000100100111010001101101111 " -b10111000100100111010001101101111 4 -b10111000100100111010001101101111 1 -b10111000100100111010001101101111 C -b1011101011111110101011110001001 < -b1000011011011000100110001101111 : -b11100101111011001111010011100110 $ -b11100101111011001111010011100110 - -b11100101111011001111010011100110 5 -b11100101111011001111010011100110 ? -b11100101111011001111010011100110 D -b1011101011111110101011110001001 % -b1011101011111110101011110001001 . -b1011101011111110101011110001001 6 -b1011101011111110101011110001001 @ -b1011101011111110101011110001001 F -b10111000100100111010001101101111 ) -#355648000 -0& -#355664000 -b1010110110101 , -#355680000 -1& -#355696000 -b1101001011100000011001110010011 " -b1101001011100000011001110010011 4 -b1101001011100000011001110010011 1 -b1101001011100000011001110010011 C -19 -b0 2 -b0 = -08 -b1111110001111111001101001011101 < -b10010101100011110100010000101011 : -b10111010011111010100111001110 $ -b10111010011111010100111001110 - -b10111010011111010100111001110 5 -b10111010011111010100111001110 ? -b10111010011111010100111001110 D -b1111110001111111001101001011101 % -b1111110001111111001101001011101 . -b1111110001111111001101001011101 6 -b1111110001111111001101001011101 @ -b1111110001111111001101001011101 F -b1101001011100000011001110010011 ) -#355712000 -0& -#355728000 -b1010110110110 , -#355744000 -1& -#355760000 -b10011001000000011001101110111011 " -b10011001000000011001101110111011 4 -b10011001000000011001101110111011 1 -b10011001000000011001101110111011 C -09 -b0 2 -b0 = -08 -b1110111111111100110101011000010 < -b1100110111111100101110000111011 : -b11101110111111111111000101111001 $ -b11101110111111111111000101111001 - -b11101110111111111111000101111001 5 -b11101110111111111111000101111001 ? -b11101110111111111111000101111001 D -b1110111111111100110101011000010 % -b1110111111111100110101011000010 . -b1110111111111100110101011000010 6 -b1110111111111100110101011000010 @ -b1110111111111100110101011000010 F -b10011001000000011001101110111011 ) -#355776000 -0& -#355792000 -b1010110110111 , -#355808000 -1& -#355824000 -b1100011000011010100000001001 " -b1100011000011010100000001001 4 -b1100011000011010100000001001 1 -b1100011000011010100000001001 C -b111111101111111110100001010010 < -b1110011100111100010100010101101 : -b110011110111100100000001011011 $ -b110011110111100100000001011011 - -b110011110111100100000001011011 5 -b110011110111100100000001011011 ? -b110011110111100100000001011011 D -b111111101111111110100001010010 % -b111111101111111110100001010010 . -b111111101111111110100001010010 6 -b111111101111111110100001010010 @ -b111111101111111110100001010010 F -b1100011000011010100000001001 ) -#355840000 -0& -#355856000 -b1010110111000 , -#355872000 -1& -#355888000 -b10001011000011011101011010100000 " -b10001011000011011101011010100000 4 -b10001011000011011101011010100000 1 -b10001011000011011101011010100000 C -b11111101111111110111111110110101 < -b1110100111100100010100011001010 : -b1110110111100101010100100010101 $ -b1110110111100101010100100010101 - -b1110110111100101010100100010101 5 -b1110110111100101010100100010101 ? -b1110110111100101010100100010101 D -b11111101111111110111111110110101 % -b11111101111111110111111110110101 . -b11111101111111110111111110110101 6 -b11111101111111110111111110110101 @ -b11111101111111110111111110110101 F -b10001011000011011101011010100000 ) -#355904000 -0& -#355920000 -b1010110111001 , -#355936000 -1& -#355952000 -b10111010100000111101110111010111 " -b10111010100000111101110111010111 4 -b10111010100000111101110111010111 1 -b10111010100000111101110111010111 C -b1010110001111000001010001000000 < -b1000010111110111101110111010111 : -b11101100101111111100100110010111 $ -b11101100101111111100100110010111 - -b11101100101111111100100110010111 5 -b11101100101111111100100110010111 ? -b11101100101111111100100110010111 D -b1010110001111000001010001000000 % -b1010110001111000001010001000000 . -b1010110001111000001010001000000 6 -b1010110001111000001010001000000 @ -b1010110001111000001010001000000 F -b10111010100000111101110111010111 ) -#355968000 -0& -#355984000 -b1010110111010 , -#356000000 -1& -#356016000 -b1000110010000001100000101001000 " -b1000110010000001100000101001000 4 -b1000110010000001100000101001000 1 -b1000110010000001100000101001000 C -b1 2 -b1 = -18 -b11111111101011110000100111000001 < -b10111001100111101101001001001010 : -b10111001111011111100100010001001 $ -b10111001111011111100100010001001 - -b10111001111011111100100010001001 5 -b10111001111011111100100010001001 ? -b10111001111011111100100010001001 D -b11111111101011110000100111000001 % -b11111111101011110000100111000001 . -b11111111101011110000100111000001 6 -b11111111101011110000100111000001 @ -b11111111101011110000100111000001 F -b1000110010000001100000101001000 ) -#356032000 -0& -#356048000 -b1010110111011 , -#356064000 -1& -#356080000 -b10110111000011001100001110000101 " -b10110111000011001100001110000101 4 -b10110111000011001100001110000101 1 -b10110111000011001100001110000101 C -b10001111011110001010100000111 < -b10111000110100101110101110001001 : -b10100110111000111101011010000010 $ -b10100110111000111101011010000010 - -b10100110111000111101011010000010 5 -b10100110111000111101011010000010 ? -b10100110111000111101011010000010 D -b10001111011110001010100000111 % -b10001111011110001010100000111 . -b10001111011110001010100000111 6 -b10001111011110001010100000111 @ -b10001111011110001010100000111 F -b10110111000011001100001110000101 ) -#356096000 -0& -#356112000 -b1010110111100 , -#356128000 -1& -#356144000 -b11010101010100001000101001001111 " -b11010101010100001000101001001111 4 -b11010101010100001000101001001111 1 -b11010101010100001000101001001111 C -b0 2 -b0 = -08 -b1111010111011011101110010000111 < -b101010101010110011001101001111 : -b10101111101111010101011011001000 $ -b10101111101111010101011011001000 - -b10101111101111010101011011001000 5 -b10101111101111010101011011001000 ? -b10101111101111010101011011001000 D -b1111010111011011101110010000111 % -b1111010111011011101110010000111 . -b1111010111011011101110010000111 6 -b1111010111011011101110010000111 @ -b1111010111011011101110010000111 F -b11010101010100001000101001001111 ) -#356160000 -0& -#356176000 -b1010110111101 , -#356192000 -1& -#356208000 -b10110000000001101010111111001000 " -b10110000000001101010111111001000 4 -b10110000000001101010111111001000 1 -b10110000000001101010111111001000 C -b11011111111011111101111110001000 < -b1001111110110010100111111001000 : -b1101111111010010111000001000000 $ -b1101111111010010111000001000000 - -b1101111111010010111000001000000 5 -b1101111111010010111000001000000 ? -b1101111111010010111000001000000 D -b11011111111011111101111110001000 % -b11011111111011111101111110001000 . -b11011111111011111101111110001000 6 -b11011111111011111101111110001000 @ -b11011111111011111101111110001000 F -b10110000000001101010111111001000 ) -#356224000 -0& -#356240000 -b1010110111110 , -#356256000 -1& -#356272000 -b11010010110010001010010010011000 " -b11010010110010001010010010011000 4 -b11010010110010001010010010011000 1 -b11010010110010001010010010011000 C -b10101101111111110011111101011001 < -b101101001101101101101100011010 : -b1111111001101111001101111000001 $ -b1111111001101111001101111000001 - -b1111111001101111001101111000001 5 -b1111111001101111001101111000001 ? -b1111111001101111001101111000001 D -b10101101111111110011111101011001 % -b10101101111111110011111101011001 . -b10101101111111110011111101011001 6 -b10101101111111110011111101011001 @ -b10101101111111110011111101011001 F -b11010010110010001010010010011000 ) -#356288000 -0& -#356304000 -b1010110111111 , -#356320000 -1& -#356336000 -b10010011101011101000100110011111 " -b10010011101011101000100110011111 4 -b10010011101011101000100110011111 1 -b10010011101011101000100110011111 C -b1101111110101110001010110100111 < -b1101100010100001011000111011111 : -b11111100011110011001110000111000 $ -b11111100011110011001110000111000 - -b11111100011110011001110000111000 5 -b11111100011110011001110000111000 ? -b11111100011110011001110000111000 D -b1101111110101110001010110100111 % -b1101111110101110001010110100111 . -b1101111110101110001010110100111 6 -b1101111110101110001010110100111 @ -b1101111110101110001010110100111 F -b10010011101011101000100110011111 ) -#356352000 -0& -#356368000 -b1010111000000 , -#356384000 -1& -#356400000 -b11001000000000000000001100101001 " -b11001000000000000000001100101001 4 -b11001000000000000000001100101001 1 -b11001000000000000000001100101001 C -b1110111101111111011000001001001 < -b110111011111110110001110101001 : -b10111111101111111011001101100000 $ -b10111111101111111011001101100000 - -b10111111101111111011001101100000 5 -b10111111101111111011001101100000 ? -b10111111101111111011001101100000 D -b1110111101111111011000001001001 % -b1110111101111111011000001001001 . -b1110111101111111011000001001001 6 -b1110111101111111011000001001001 @ -b1110111101111111011000001001001 F -b11001000000000000000001100101001 ) -#356416000 -0& -#356432000 -b1010111000001 , -#356448000 -1& -#356464000 -b10010100000001111000011001110110 " -b10010100000001111000011001110110 4 -b10010100000001111000011001110110 1 -b10010100000001111000011001110110 C -b1101011111110010100101110101010 < -b1101011111110000001100110000110 : -b11111111111111101100110111011100 $ -b11111111111111101100110111011100 - -b11111111111111101100110111011100 5 -b11111111111111101100110111011100 ? -b11111111111111101100110111011100 D -b1101011111110010100101110101010 % -b1101011111110010100101110101010 . -b1101011111110010100101110101010 6 -b1101011111110010100101110101010 @ -b1101011111110010100101110101010 F -b10010100000001111000011001110110 ) -#356480000 -0& -#356496000 -b1010111000010 , -#356512000 -1& -#356528000 -b1110000010000011111101101100001 " -b1110000010000011111101101100001 4 -b1110000010000011111101101100001 1 -b1110000010000011111101101100001 C -b1 2 -b1 = -18 -b11001111011111101100000000110010 < -b10001110101111011111101110000101 : -b10111111001111110011101101010011 $ -b10111111001111110011101101010011 - -b10111111001111110011101101010011 5 -b10111111001111110011101101010011 ? -b10111111001111110011101101010011 D -b11001111011111101100000000110010 % -b11001111011111101100000000110010 . -b11001111011111101100000000110010 6 -b11001111011111101100000000110010 @ -b11001111011111101100000000110010 F -b1110000010000011111101101100001 ) -#356544000 -0& -#356560000 -b1010111000011 , -#356576000 -1& -#356592000 -b1010100101000111010110010111111 " -b1010100101000111010110010111111 4 -b1010100101000111010110010111111 1 -b1010100101000111010110010111111 C -b0 2 -b0 = -08 -19 -b101011111110100110111101010010 < -b10101011010101000011001100111111 : -b1111111010110011100001111101101 $ -b1111111010110011100001111101101 - -b1111111010110011100001111101101 5 -b1111111010110011100001111101101 ? -b1111111010110011100001111101101 D -b101011111110100110111101010010 % -b101011111110100110111101010010 . -b101011111110100110111101010010 6 -b101011111110100110111101010010 @ -b101011111110100110111101010010 F -b1010100101000111010110010111111 ) -#356608000 -0& -#356624000 -b1010111000100 , -#356640000 -1& -#356656000 -b10001110011010111100001000101001 " -b10001110011010111100001000101001 4 -b10001110011010111100001000101001 1 -b10001110011010111100001000101001 C -b0 2 -b0 = -09 -08 -b11111101111011111000101100010110 < -b1110001011100111101010001010101 : -b1110011100001000100100100111111 $ -b1110011100001000100100100111111 - -b1110011100001000100100100111111 5 -b1110011100001000100100100111111 ? -b1110011100001000100100100111111 D -b11111101111011111000101100010110 % -b11111101111011111000101100010110 . -b11111101111011111000101100010110 6 -b11111101111011111000101100010110 @ -b11111101111011111000101100010110 F -b10001110011010111100001000101001 ) -#356672000 -0& -#356688000 -b1010111000101 , -#356704000 -1& -#356720000 -b10001110100000010100001010110111 " -b10001110100000010100001010110111 4 -b10001110100000010100001010110111 1 -b10001110100000010100001010110111 C -b11110011011111110100100000110001 < -b1110001011111010101001010110111 : -b1111101111111100000101010000110 $ -b1111101111111100000101010000110 - -b1111101111111100000101010000110 5 -b1111101111111100000101010000110 ? -b1111101111111100000101010000110 D -b11110011011111110100100000110001 % -b11110011011111110100100000110001 . -b11110011011111110100100000110001 6 -b11110011011111110100100000110001 @ -b11110011011111110100100000110001 F -b10001110100000010100001010110111 ) -#356736000 -0& -#356752000 -b1010111000110 , -#356768000 -1& -#356784000 -b10000000000010100011000110110000 " -b10000000000010100011000110110000 4 -b10000000000010100011000110110000 1 -b10000000000010100011000110110000 C -b1111011111111110000010001011000 < -b1110111111101000011101001000000 : -b11111011111101010011010111101000 $ -b11111011111101010011010111101000 - -b11111011111101010011010111101000 5 -b11111011111101010011010111101000 ? -b11111011111101010011010111101000 D -b1111011111111110000010001011000 % -b1111011111111110000010001011000 . -b1111011111111110000010001011000 6 -b1111011111111110000010001011000 @ -b1111011111111110000010001011000 F -b10000000000010100011000110110000 ) -#356800000 -0& -#356816000 -b1010111000111 , -#356832000 -1& -#356848000 -b10010100000001001110110011001001 " -b10010100000001001110110011001001 4 -b10010100000001001110110011001001 1 -b10010100000001001110110011001001 C -b11001011111110111011011111111101 < -b101011111110110001001100110001 : -b1011111111111110101101100110100 $ -b1011111111111110101101100110100 - -b1011111111111110101101100110100 5 -b1011111111111110101101100110100 ? -b1011111111111110101101100110100 D -b11001011111110111011011111111101 % -b11001011111110111011011111111101 . -b11001011111110111011011111111101 6 -b11001011111110111011011111111101 @ -b11001011111110111011011111111101 F -b10010100000001001110110011001001 ) -#356864000 -0& -#356880000 -b1010111001000 , -#356896000 -1& -#356912000 -b10010010001001011000111010101101 " -b10010010001001011000111010101101 4 -b10010010001001011000111010101101 1 -b10010010001001011000111010101101 C -b11111101010110110111001100010011 < -b1101100110110100111000011010001 : -b1101111011111101111110110111110 $ -b1101111011111101111110110111110 - -b1101111011111101111110110111110 5 -b1101111011111101111110110111110 ? -b1101111011111101111110110111110 D -b11111101010110110111001100010011 % -b11111101010110110111001100010011 . -b11111101010110110111001100010011 6 -b11111101010110110111001100010011 @ -b11111101010110110111001100010011 F -b10010010001001011000111010101101 ) -#356928000 -0& -#356944000 -b1010111001001 , -#356960000 -1& -#356976000 -b11000000001111001100110100001111 " -b11000000001111001100110100001111 4 -b11000000001111001100110100001111 1 -b11000000001111001100110100001111 C -b11111111110011111101011111010110 < -b111111110000101111001010101111 : -b111111111100110001101011011001 $ -b111111111100110001101011011001 - -b111111111100110001101011011001 5 -b111111111100110001101011011001 ? -b111111111100110001101011011001 D -b11111111110011111101011111010110 % -b11111111110011111101011111010110 . -b11111111110011111101011111010110 6 -b11111111110011111101011111010110 @ -b11111111110011111101011111010110 F -b11000000001111001100110100001111 ) -#356992000 -0& -#357008000 -b1010111001010 , -#357024000 -1& -#357040000 -b10000010000000101011010001110110 " -b10000010000000101011010001110110 4 -b10000010000000101011010001110110 1 -b10000010000000101011010001110110 C -b11101101111111010001011010100000 < -b1011101111111001011100101110110 : -b1101111111111111010001011010110 $ -b1101111111111111010001011010110 - -b1101111111111111010001011010110 5 -b1101111111111111010001011010110 ? -b1101111111111111010001011010110 D -b11101101111111010001011010100000 % -b11101101111111010001011010100000 . -b11101101111111010001011010100000 6 -b11101101111111010001011010100000 @ -b11101101111111010001011010100000 F -b10000010000000101011010001110110 ) -#357056000 -0& -#357072000 -b1010111001011 , -#357088000 -1& -#357104000 -b10100111010101011001110010101010 " -b10100111010101011001110010101010 4 -b10100111010101011001110010101010 1 -b10100111010101011001110010101010 C -b1111011111111110100101110001001 < -b1011000101010100010001010101100 : -b11011100101010101101011100100011 $ -b11011100101010101101011100100011 - -b11011100101010101101011100100011 5 -b11011100101010101101011100100011 ? -b11011100101010101101011100100011 D -b1111011111111110100101110001001 % -b1111011111111110100101110001001 . -b1111011111111110100101110001001 6 -b1111011111111110100101110001001 @ -b1111011111111110100101110001001 F -b10100111010101011001110010101010 ) -#357120000 -0& -#357136000 -b1010111001100 , -#357152000 -1& -#357168000 -b10010011011101000100000110010010 " -b10010011011101000100000110010010 4 -b10010011011101000100000110010010 1 -b10010011011101000100000110010010 C -b11111100101010110101010010011001 < -b1101100100010100110100110100100 : -b1101111110111110001010100001011 $ -b1101111110111110001010100001011 - -b1101111110111110001010100001011 5 -b1101111110111110001010100001011 ? -b1101111110111110001010100001011 D -b11111100101010110101010010011001 % -b11111100101010110101010010011001 . -b11111100101010110101010010011001 6 -b11111100101010110101010010011001 @ -b11111100101010110101010010011001 F -b10010011011101000100000110010010 ) -#357184000 -0& -#357200000 -b1010111001101 , -#357216000 -1& -#357232000 -b111101000110110110000100000 " -b111101000110110110000100000 4 -b111101000110110110000100000 1 -b111101000110110110000100000 C -b0 2 -b0 = -19 -08 -b1111011111111001010111011111100 < -b11111000010111000111000111011000 : -b1111100010111111100001011011100 $ -b1111100010111111100001011011100 - -b1111100010111111100001011011100 5 -b1111100010111111100001011011100 ? -b1111100010111111100001011011100 D -b1111011111111001010111011111100 % -b1111011111111001010111011111100 . -b1111011111111001010111011111100 6 -b1111011111111001010111011111100 @ -b1111011111111001010111011111100 F -b111101000110110110000100000 ) -#357248000 -0& -#357264000 -b1010111001110 , -#357280000 -1& -#357296000 -b10000010000110100101110101101000 " -b10000010000110100101110101101000 4 -b10000010000110100101110101101000 1 -b10000010000110100101110101101000 C -b0 2 -b0 = -09 -08 -b11111101011101111111101110011100 < -b1111100111001011010001010010000 : -b1111111011011011010011011110100 $ -b1111111011011011010011011110100 - -b1111111011011011010011011110100 5 -b1111111011011011010011011110100 ? -b1111111011011011010011011110100 D -b11111101011101111111101110011100 % -b11111101011101111111101110011100 . -b11111101011101111111101110011100 6 -b11111101011101111111101110011100 @ -b11111101011101111111101110011100 F -b10000010000110100101110101101000 ) -#357312000 -0& -#357328000 -b1010111001111 , -#357344000 -1& -#357360000 -b1001110111101111111010110000 " -b1001110111101111111010110000 4 -b1001110111101111111010110000 1 -b1001110111101111111010110000 C -b1 2 -b1 = -18 -b11110111101000110100011101100010 < -b11110110001000010000000100110100 : -b11111110011111011011100111010010 $ -b11111110011111011011100111010010 - -b11111110011111011011100111010010 5 -b11111110011111011011100111010010 ? -b11111110011111011011100111010010 D -b11110111101000110100011101100010 % -b11110111101000110100011101100010 . -b11110111101000110100011101100010 6 -b11110111101000110100011101100010 @ -b11110111101000110100011101100010 F -b1001110111101111111010110000 ) -#357376000 -0& -#357392000 -b1010111010000 , -#357408000 -1& -#357424000 -b11111000100100001000010011000100 " -b11111000100100001000010011000100 4 -b11111000100100001000010011000100 1 -b11111000100100001000010011000100 C -b0 2 -b0 = -08 -b1010111011110110110111111010011 < -b111011001110101101011101010 : -b10101111111010111110101100010111 $ -b10101111111010111110101100010111 - -b10101111111010111110101100010111 5 -b10101111111010111110101100010111 ? -b10101111111010111110101100010111 D -b1010111011110110110111111010011 % -b1010111011110110110111111010011 . -b1010111011110110110111111010011 6 -b1010111011110110110111111010011 @ -b1010111011110110110111111010011 F -b11111000100100001000010011000100 ) -#357440000 -0& -#357456000 -b1010111010001 , -#357472000 -1& -#357488000 -b11100000000011101111010111110100 " -b11100000000011101111010111110100 4 -b11100000000011101111010111110100 1 -b11100000000011101111010111110100 C -b11111111100110101011010000111 < -b11111111100001111100111111010 : -b11111111111111011010001101110011 $ -b11111111111111011010001101110011 - -b11111111111111011010001101110011 5 -b11111111111111011010001101110011 ? -b11111111111111011010001101110011 D -b11111111100110101011010000111 % -b11111111100110101011010000111 . -b11111111100110101011010000111 6 -b11111111100110101011010000111 @ -b11111111100110101011010000111 F -b11100000000011101111010111110100 ) -#357504000 -0& -#357520000 -b1010111010010 , -#357536000 -1& -#357552000 -b11111011111100000011011110101000 " -b11111011111100000011011110101000 4 -b11111011111100000011011110101000 1 -b11111011111100000011011110101000 C -b10101111001111100000000111111 < -b11111111111011011111010110 : -b11101110000101111111011110010111 $ -b11101110000101111111011110010111 - -b11101110000101111111011110010111 5 -b11101110000101111111011110010111 ? -b11101110000101111111011110010111 D -b10101111001111100000000111111 % -b10101111001111100000000111111 . -b10101111001111100000000111111 6 -b10101111001111100000000111111 @ -b10101111001111100000000111111 F -b11111011111100000011011110101000 ) -#357568000 -0& -#357584000 -b1010111010011 , -#357600000 -1& -#357616000 -b1101100100110000010011111110010 " -b1101100100110000010011111110010 4 -b1101100100110000010011111110010 1 -b1101100100110000010011111110010 C -19 -b0 2 -b0 = -08 -b10111110101110110111110111100 < -b10010011001001101011100000001010 : -b1111011010011110100100001001110 $ -b1111011010011110100100001001110 - -b1111011010011110100100001001110 5 -b1111011010011110100100001001110 ? -b1111011010011110100100001001110 D -b10111110101110110111110111100 % -b10111110101110110111110111100 . -b10111110101110110111110111100 6 -b10111110101110110111110111100 @ -b10111110101110110111110111100 F -b1101100100110000010011111110010 ) -#357632000 -0& -#357648000 -b1010111010100 , -#357664000 -1& -#357680000 -b10000000100100010001000111101100 " -b10000000100100010001000111101100 4 -b10000000100100010001000111101100 1 -b10000000100100010001000111101100 C -09 -b0 2 -b0 = -08 -b1110111001111110001101001011111 < -b1101110111011010010011000010010 : -b11110111101011100000101110110011 $ -b11110111101011100000101110110011 - -b11110111101011100000101110110011 5 -b11110111101011100000101110110011 ? -b11110111101011100000101110110011 D -b1110111001111110001101001011111 % -b1110111001111110001101001011111 . -b1110111001111110001101001011111 6 -b1110111001111110001101001011111 @ -b1110111001111110001101001011111 F -b10000000100100010001000111101100 ) -#357696000 -0& -#357712000 -b1010111010101 , -#357728000 -1& -#357744000 -b11010001000000101011001010010111 " -b11010001000000101011001010010111 4 -b11010001000000101011001010010111 1 -b11010001000000101011001010010111 C -b10111111111101010010110011101000 < -b101110111011001100101101100111 : -b1101110111101111001111001111111 $ -b1101110111101111001111001111111 - -b1101110111101111001111001111111 5 -b1101110111101111001111001111111 ? -b1101110111101111001111001111111 D -b10111111111101010010110011101000 % -b10111111111101010010110011101000 . -b10111111111101010010110011101000 6 -b10111111111101010010110011101000 @ -b10111111111101010010110011101000 F -b11010001000000101011001010010111 ) -#357760000 -0& -#357776000 -b1010111010110 , -#357792000 -1& -#357808000 -b10010010000000001111101110011011 " -b10010010000000001111101110011011 4 -b10010010000000001111101110011011 1 -b10010010000000001111101110011011 C -b11001101111111111011110110011100 < -b101101111111110000001110100011 : -b1011111111111110100011000000111 $ -b1011111111111110100011000000111 - -b1011111111111110100011000000111 5 -b1011111111111110100011000000111 ? -b1011111111111110100011000000111 D -b11001101111111111011110110011100 % -b11001101111111111011110110011100 . -b11001101111111111011110110011100 6 -b11001101111111111011110110011100 @ -b11001101111111111011110110011100 F -b10010010000000001111101110011011 ) -#357824000 -0& -#357840000 -b1010111010111 , -#357856000 -1& -#357872000 -b10101100010100000010001111110111 " -b10101100010100000010001111110111 4 -b10101100010100000010001111110111 1 -b10101100010100000010001111110111 C -b11011011111111111000101001101101 < -b1010011101011110011010000000111 : -b1110111101011111010100110011010 $ -b1110111101011111010100110011010 - -b1110111101011111010100110011010 5 -b1110111101011111010100110011010 ? -b1110111101011111010100110011010 D -b11011011111111111000101001101101 % -b11011011111111111000101001101101 . -b11011011111111111000101001101101 6 -b11011011111111111000101001101101 @ -b11011011111111111000101001101101 F -b10101100010100000010001111110111 ) -#357888000 -0& -#357904000 -b1010111011000 , -#357920000 -1& -#357936000 -b11000010000000011000001010110111 " -b11000010000000011000001010110111 4 -b11000010000000011000001010110111 1 -b11000010000000011000001010110111 C -b11101101011011110000110101111110 < -b11100110111011001110101000111 : -b101111011011101000111111001001 $ -b101111011011101000111111001001 - -b101111011011101000111111001001 5 -b101111011011101000111111001001 ? -b101111011011101000111111001001 D -b11101101011011110000110101111110 % -b11101101011011110000110101111110 . -b11101101011011110000110101111110 6 -b11101101011011110000110101111110 @ -b11101101011011110000110101111110 F -b11000010000000011000001010110111 ) -#357952000 -0& -#357968000 -b1010111011001 , -#357984000 -1& -#358000000 -b100010101111111111111100110 " -b100010101111111111111100110 4 -b100010101111111111111100110 1 -b100010101111111111111100110 C -b1 2 -b1 = -18 -b11011011101011000111100001001111 < -b10111011101001111111111111111000 : -b11011111111110111000011110101001 $ -b11011111111110111000011110101001 - -b11011111111110111000011110101001 5 -b11011111111110111000011110101001 ? -b11011111111110111000011110101001 D -b11011011101011000111100001001111 % -b11011011101011000111100001001111 . -b11011011101011000111100001001111 6 -b11011011101011000111100001001111 @ -b11011011101011000111100001001111 F -b100010101111111111111100110 ) -#358016000 -0& -#358032000 -b1010111011010 , -#358048000 -1& -#358064000 -b10010000100101000000111000011011 " -b10010000100101000000111000011011 4 -b10010000100101000000111000011011 1 -b10010000100101000000111000011011 C -b0 2 -b0 = -08 -b1111111011010110111101000100010 < -b1101111011010101110111001011011 : -b11101111111111110111010000111001 $ -b11101111111111110111010000111001 - -b11101111111111110111010000111001 5 -b11101111111111110111010000111001 ? -b11101111111111110111010000111001 D -b1111111011010110111101000100010 % -b1111111011010110111101000100010 . -b1111111011010110111101000100010 6 -b1111111011010110111101000100010 @ -b1111111011010110111101000100010 F -b10010000100101000000111000011011 ) -#358080000 -0& -#358096000 -b1010111011011 , -#358112000 -1& -#358128000 -b110101010001001101101101110101 " -b110101010001001101101101110101 4 -b110101010001001101101101110101 1 -b110101010001001101101101110101 C -19 -b0 2 -b0 = -08 -b1101011111110110110011011100110 < -b11001010101110110010010001111001 : -b1011110101111111011110110010011 $ -b1011110101111111011110110010011 - -b1011110101111111011110110010011 5 -b1011110101111111011110110010011 ? -b1011110101111111011110110010011 D -b1101011111110110110011011100110 % -b1101011111110110110011011100110 . -b1101011111110110110011011100110 6 -b1101011111110110110011011100110 @ -b1101011111110110110011011100110 F -b110101010001001101101101110101 ) -#358144000 -0& -#358160000 -b1010111011100 , -#358176000 -1& -#358192000 -b1000001111011010100001011100001 " -b1000001111011010100001011100001 4 -b1000001111011010100001011100001 1 -b1000001111011010100001011100001 C -b1 2 -b1 = -18 -09 -b11111110100110111111111101011100 < -b10111110000100101011110100011001 : -b10111111011101101011110110111101 $ -b10111111011101101011110110111101 - -b10111111011101101011110110111101 5 -b10111111011101101011110110111101 ? -b10111111011101101011110110111101 D -b11111110100110111111111101011100 % -b11111110100110111111111101011100 . -b11111110100110111111111101011100 6 -b11111110100110111111111101011100 @ -b11111110100110111111111101011100 F -b1000001111011010100001011100001 ) -#358208000 -0& -#358224000 -b1010111011101 , -#358240000 -1& -#358256000 -b11000000101100010110011000110100 " -b11000000101100010110011000110100 4 -b11000000101100010110011000110100 1 -b11000000101100010110011000110100 C -b1 2 -b1 = -18 -09 -b10011111111010111111111011101011 < -b11111111010001101001011111001010 : -b1011111010110101001100011011111 $ -b1011111010110101001100011011111 - -b1011111010110101001100011011111 5 -b1011111010110101001100011011111 ? -b1011111010110101001100011011111 D -b10011111111010111111111011101011 % -b10011111111010111111111011101011 . -b10011111111010111111111011101011 6 -b10011111111010111111111011101011 @ -b10011111111010111111111011101011 F -b11000000101100010110011000110100 ) -#358272000 -0& -#358288000 -b1010111011110 , -#358304000 -1& -#358320000 -b11001010011110101101110001001000 " -b11001010011110101101110001001000 4 -b11001010011110101101110001001000 1 -b11001010011110101101110001001000 C -b0 2 -b0 = -08 -b11111101111111111011111000011000 < -b110101100001010010000001101000 : -b110111100001010110001001010000 $ -b110111100001010110001001010000 - -b110111100001010110001001010000 5 -b110111100001010110001001010000 ? -b110111100001010110001001010000 D -b11111101111111111011111000011000 % -b11111101111111111011111000011000 . -b11111101111111111011111000011000 6 -b11111101111111111011111000011000 @ -b11111101111111111011111000011000 F -b11001010011110101101110001001000 ) -#358336000 -0& -#358352000 -b1010111011111 , -#358368000 -1& -#358384000 -b10010101100010111010101101010101 " -b10010101100010111010101101010101 4 -b10010101100010111010101101010101 1 -b10010101100010111010101101010101 C -b11001010111111111101011101110111 < -b101010011101000101001110011001 : -b1011111011101000111110000100010 $ -b1011111011101000111110000100010 - -b1011111011101000111110000100010 5 -b1011111011101000111110000100010 ? -b1011111011101000111110000100010 D -b11001010111111111101011101110111 % -b11001010111111111101011101110111 . -b11001010111111111101011101110111 6 -b11001010111111111101011101110111 @ -b11001010111111111101011101110111 F -b10010101100010111010101101010101 ) -#358400000 -0& -#358416000 -b1010111100000 , -#358432000 -1& -#358448000 -b110010111000100111000100011000 " -b110010111000100111000100011000 4 -b110010111000100111000100011000 1 -b110010111000100111000100011000 C -b1 2 -b1 = -18 -b11111001000111010011010000010011 < -b11000101000111000111100100011110 : -b11001011111111110100010100001011 $ -b11001011111111110100010100001011 - -b11001011111111110100010100001011 5 -b11001011111111110100010100001011 ? -b11001011111111110100010100001011 D -b11111001000111010011010000010011 % -b11111001000111010011010000010011 . -b11111001000111010011010000010011 6 -b11111001000111010011010000010011 @ -b11111001000111010011010000010011 F -b110010111000100111000100011000 ) -#358464000 -0& -#358480000 -b1010111100001 , -#358496000 -1& -#358512000 -b1110100010000111100101100111 " -b1110100010000111100101100111 4 -b1110100010000111100101100111 1 -b1110100010000111100101100111 C -b11110011011111101100100111100010 < -b11110001011101010111101001100111 : -b11111101111101101011000010000101 $ -b11111101111101101011000010000101 - -b11111101111101101011000010000101 5 -b11111101111101101011000010000101 ? -b11111101111101101011000010000101 D -b11110011011111101100100111100010 % -b11110011011111101100100111100010 . -b11110011011111101100100111100010 6 -b11110011011111101100100111100010 @ -b11110011011111101100100111100010 F -b1110100010000111100101100111 ) -#358528000 -0& -#358544000 -b1010111100010 , -#358560000 -1& -#358576000 -b10011111000000000111000110010111 " -b10011111000000000111000110010111 4 -b10011111000000000111000110010111 1 -b10011111000000000111000110010111 C -b0 2 -b0 = -08 -b11001000111111110110001111011001 < -b100000111111100111011000100111 : -b1010111111111110001001001001110 $ -b1010111111111110001001001001110 - -b1010111111111110001001001001110 5 -b1010111111111110001001001001110 ? -b1010111111111110001001001001110 D -b11001000111111110110001111011001 % -b11001000111111110110001111011001 . -b11001000111111110110001111011001 6 -b11001000111111110110001111011001 @ -b11001000111111110110001111011001 F -b10011111000000000111000110010111 ) -#358592000 -0& -#358608000 -b1010111100011 , -#358624000 -1& -#358640000 -b1000111010011010010010111 " -b1000111010011010010010111 4 -b1000111010011010010010111 1 -b1000111010011010010010111 C -b0 2 -b0 = -19 -08 -b1111110111010110010101100001111 < -b11111110111000010100101010100111 : -b1111111111101100001111110011000 $ -b1111111111101100001111110011000 - -b1111111111101100001111110011000 5 -b1111111111101100001111110011000 ? -b1111111111101100001111110011000 D -b1111110111010110010101100001111 % -b1111110111010110010101100001111 . -b1111110111010110010101100001111 6 -b1111110111010110010101100001111 @ -b1111110111010110010101100001111 F -b1000111010011010010010111 ) -#358656000 -0& -#358672000 -b1010111100100 , -#358688000 -1& -#358704000 -b11001100001000100100010010111000 " -b11001100001000100100010010111000 4 -b11001100001000100100010010111000 1 -b11001100001000100100010010111000 C -b1 2 -b1 = -18 -09 -b11001111110101110110000111011011 < -b11010011110011001000011100111110 : -b11111101010010010101100011 $ -b11111101010010010101100011 - -b11111101010010010101100011 5 -b11111101010010010101100011 ? -b11111101010010010101100011 D -b11001111110101110110000111011011 % -b11001111110101110110000111011011 . -b11001111110101110110000111011011 6 -b11001111110101110110000111011011 @ -b11001111110101110110000111011011 F -b11001100001000100100010010111000 ) -#358720000 -0& -#358736000 -b1010111100101 , -#358752000 -1& -#358768000 -b100100011011010001000000100111 " -b100100011011010001000000100111 4 -b100100011011010001000000100111 1 -b100100011011010001000000100111 C -b11110011101101110100010100110110 < -b11001011100100011001101001000111 : -b11010111110110100101010100010001 $ -b11010111110110100101010100010001 - -b11010111110110100101010100010001 5 -b11010111110110100101010100010001 ? -b11010111110110100101010100010001 D -b11110011101101110100010100110110 % -b11110011101101110100010100110110 . -b11110011101101110100010100110110 6 -b11110011101101110100010100110110 @ -b11110011101101110100010100110110 F -b100100011011010001000000100111 ) -#358784000 -0& -#358800000 -b1010111100110 , -#358816000 -1& -#358832000 -b10001000000100111011110101000 " -b10001000000100111011110101000 4 -b10001000000100111011110101000 1 -b10001000000100111011110101000 C -b0 2 -b0 = -08 -19 -b1011110110111011101100110110110 < -b10101110101111011000011111010100 : -b1001111110111111010111000011110 $ -b1001111110111111010111000011110 - -b1001111110111111010111000011110 5 -b1001111110111111010111000011110 ? -b1001111110111111010111000011110 D -b1011110110111011101100110110110 % -b1011110110111011101100110110110 . -b1011110110111011101100110110110 6 -b1011110110111011101100110110110 @ -b1011110110111011101100110110110 F -b10001000000100111011110101000 ) -#358848000 -0& -#358864000 -b1010111100111 , -#358880000 -1& -#358896000 -b1011110001110010001010101101011 " -b1011110001110010001010101101011 4 -b1011110001110010001010101101011 1 -b1011110001110010001010101101011 C -b110100110100100111001010100001 < -b10011111101111011101101001101011 : -b1101010111010110110011111001010 $ -b1101010111010110110011111001010 - -b1101010111010110110011111001010 5 -b1101010111010110110011111001010 ? -b1101010111010110110011111001010 D -b110100110100100111001010100001 % -b110100110100100111001010100001 . -b110100110100100111001010100001 6 -b110100110100100111001010100001 @ -b110100110100100111001010100001 F -b1011110001110010001010101101011 ) -#358912000 -0& -#358928000 -b1010111101000 , -#358944000 -1& -#358960000 -b1000001011001001001001111000000 " -b1000001011001001001001111000000 4 -b1000001011001001001001111000000 1 -b1000001011001001001001111000000 C -b1 2 -b1 = -18 -09 -b10110111101111110111011001110100 < -b10101110100110110101110000101000 : -b11110110110110111110010110110100 $ -b11110110110110111110010110110100 - -b11110110110110111110010110110100 5 -b11110110110110111110010110110100 ? -b11110110110110111110010110110100 D -b10110111101111110111011001110100 % -b10110111101111110111011001110100 . -b10110111101111110111011001110100 6 -b10110111101111110111011001110100 @ -b10110111101111110111011001110100 F -b1000001011001001001001111000000 ) -#358976000 -0& -#358992000 -b1010111101001 , -#359008000 -1& -#359024000 -b10000000010000101011110000010011 " -b10000000010000101011110000010011 4 -b10000000010000101011110000010011 1 -b10000000010000101011110000010011 C -b0 2 -b0 = -08 -b1011111011111110011000100100011 < -b111110101111001011111001010011 : -b11011111001111011000110100110000 $ -b11011111001111011000110100110000 - -b11011111001111011000110100110000 5 -b11011111001111011000110100110000 ? -b11011111001111011000110100110000 D -b1011111011111110011000100100011 % -b1011111011111110011000100100011 . -b1011111011111110011000100100011 6 -b1011111011111110011000100100011 @ -b1011111011111110011000100100011 F -b10000000010000101011110000010011 ) -#359040000 -0& -#359056000 -b1010111101010 , -#359072000 -1& -#359088000 -b10101110000101000110000011110011 " -b10101110000101000110000011110011 4 -b10101110000101000110000011110011 1 -b10101110000101000110000011110011 C -b1 2 -b1 = -18 -b10011111111110111011110110100000 < -b11010001111010111001101011110011 : -b110001111011111101110101010011 $ -b110001111011111101110101010011 - -b110001111011111101110101010011 5 -b110001111011111101110101010011 ? -b110001111011111101110101010011 D -b10011111111110111011110110100000 % -b10011111111110111011110110100000 . -b10011111111110111011110110100000 6 -b10011111111110111011110110100000 @ -b10011111111110111011110110100000 F -b10101110000101000110000011110011 ) -#359104000 -0& -#359120000 -b1010111101011 , -#359136000 -1& -#359152000 -b10000100111000011000001110110101 " -b10000100111000011000001110110101 4 -b10000100111000011000001110110101 1 -b10000100111000011000001110110101 C -b0 2 -b0 = -08 -b11111011000111101110001101101111 < -b1111011000111100100010001001001 : -b1111111111111110110000011011010 $ -b1111111111111110110000011011010 - -b1111111111111110110000011011010 5 -b1111111111111110110000011011010 ? -b1111111111111110110000011011010 D -b11111011000111101110001101101111 % -b11111011000111101110001101101111 . -b11111011000111101110001101101111 6 -b11111011000111101110001101101111 @ -b11111011000111101110001101101111 F -b10000100111000011000001110110101 ) -#359168000 -0& -#359184000 -b1010111101100 , -#359200000 -1& -#359216000 -b11010010000101101101010101001110 " -b11010010000101101101010101001110 4 -b11010010000101101101010101001110 1 -b11010010000101101101010101001110 C -b1101111111000111010001001101100 < -b101101110110010001100110001110 : -b10111101111101010111011100100010 $ -b10111101111101010111011100100010 - -b10111101111101010111011100100010 5 -b10111101111101010111011100100010 ? -b10111101111101010111011100100010 D -b1101111111000111010001001101100 % -b1101111111000111010001001101100 . -b1101111111000111010001001101100 6 -b1101111111000111010001001101100 @ -b1101111111000111010001001101100 F -b11010010000101101101010101001110 ) -#359232000 -0& -#359248000 -b1010111101101 , -#359264000 -1& -#359280000 -b10010010111010001010110001110011 " -b10010010111010001010110001110011 4 -b10010010111010001010110001110011 1 -b10010010111010001010110001110011 C -b11101110101111111001011110001100 < -b1101011000101101101001110001011 : -b1111100010101110011101111111111 $ -b1111100010101110011101111111111 - -b1111100010101110011101111111111 5 -b1111100010101110011101111111111 ? -b1111100010101110011101111111111 D -b11101110101111111001011110001100 % -b11101110101111111001011110001100 . -b11101110101111111001011110001100 6 -b11101110101111111001011110001100 @ -b11101110101111111001011110001100 F -b10010010111010001010110001110011 ) -#359296000 -0& -#359312000 -b1010111101110 , -#359328000 -1& -#359344000 -b11000000000111111110010111111011 " -b11000000000111111110010111111011 4 -b11000000000111111110010111111011 1 -b11000000000111111110010111111011 C -b111111111010111010110001111001 < -b111111110111111111010111111011 : -b11111111111101000100100110000010 $ -b11111111111101000100100110000010 - -b11111111111101000100100110000010 5 -b11111111111101000100100110000010 ? -b11111111111101000100100110000010 D -b111111111010111010110001111001 % -b111111111010111010110001111001 . -b111111111010111010110001111001 6 -b111111111010111010110001111001 @ -b111111111010111010110001111001 F -b11000000000111111110010111111011 ) -#359360000 -0& -#359376000 -b1010111101111 , -#359392000 -1& -#359408000 -b10000110011000101101110000111 " -b10000110011000101101110000111 4 -b10000110011000101101110000111 1 -b10000110011000101101110000111 C -19 -b0 2 -b0 = -08 -b1001110001111110001010001100110 < -b10101101001100100110010001000111 : -b1011110111100110100111111100001 $ -b1011110111100110100111111100001 - -b1011110111100110100111111100001 5 -b1011110111100110100111111100001 ? -b1011110111100110100111111100001 D -b1001110001111110001010001100110 % -b1001110001111110001010001100110 . -b1001110001111110001010001100110 6 -b1001110001111110001010001100110 @ -b1001110001111110001010001100110 F -b10000110011000101101110000111 ) -#359424000 -0& -#359440000 -b1010111110000 , -#359456000 -1& -#359472000 -b10100110011000000110010110101100 " -b10100110011000000110010110101100 4 -b10100110011000000110010110101100 1 -b10100110011000000110010110101100 C -b0 2 -b0 = -09 -08 -b11111001111110111100101010000101 < -b1011001100101110111100110101110 : -b1011111100110111010111100101001 $ -b1011111100110111010111100101001 - -b1011111100110111010111100101001 5 -b1011111100110111010111100101001 ? -b1011111100110111010111100101001 D -b11111001111110111100101010000101 % -b11111001111110111100101010000101 . -b11111001111110111100101010000101 6 -b11111001111110111100101010000101 @ -b11111001111110111100101010000101 F -b10100110011000000110010110101100 ) -#359488000 -0& -#359504000 -b1010111110001 , -#359520000 -1& -#359536000 -b11000000000000100111010000001110 " -b11000000000000100111010000001110 4 -b11000000000000100111010000001110 1 -b11000000000000100111010000001110 C -b10111111110101110010001100001000 < -b111111101011000111101000001110 : -b1111111110101010101011100000110 $ -b1111111110101010101011100000110 - -b1111111110101010101011100000110 5 -b1111111110101010101011100000110 ? -b1111111110101010101011100000110 D -b10111111110101110010001100001000 % -b10111111110101110010001100001000 . -b10111111110101110010001100001000 6 -b10111111110101110010001100001000 @ -b10111111110101110010001100001000 F -b11000000000000100111010000001110 ) -#359552000 -0& -#359568000 -b1010111110010 , -#359584000 -1& -#359600000 -b10101001000001011010011011100111 " -b10101001000001011010011011100111 4 -b10101001000001011010011011100111 1 -b10101001000001011010011011100111 C -b1 2 -b1 = -18 -b10011110011111100111110101100000 < -b11010101111110100101100011100111 : -b110111011110111101101110000111 $ -b110111011110111101101110000111 - -b110111011110111101101110000111 5 -b110111011110111101101110000111 ? -b110111011110111101101110000111 D -b10011110011111100111110101100000 % -b10011110011111100111110101100000 . -b10011110011111100111110101100000 6 -b10011110011111100111110101100000 @ -b10011110011111100111110101100000 F -b10101001000001011010011011100111 ) -#359616000 -0& -#359632000 -b1010111110011 , -#359648000 -1& -#359664000 -b11100001001100101001110000101110 " -b11100001001100101001110000101110 4 -b11100001001100101001110000101110 1 -b11100001001100101001110000101110 C -b0 2 -b0 = -08 -b11011110111011010000011101000110 < -b11110110011001010001010101110 : -b111111110111111001101101101000 $ -b111111110111111001101101101000 - -b111111110111111001101101101000 5 -b111111110111111001101101101000 ? -b111111110111111001101101101000 D -b11011110111011010000011101000110 % -b11011110111011010000011101000110 . -b11011110111011010000011101000110 6 -b11011110111011010000011101000110 @ -b11011110111011010000011101000110 F -b11100001001100101001110000101110 ) -#359680000 -0& -#359696000 -b1010111110100 , -#359712000 -1& -#359728000 -b11000101011100110101110001111011 " -b11000101011100110101110001111011 4 -b11000101011100110101110001111011 1 -b11000101011100110101110001111011 C -b1110010001011010110110111000000 < -b101001100010111001111101111011 : -b10110111010111100011000110111011 $ -b10110111010111100011000110111011 - -b10110111010111100011000110111011 5 -b10110111010111100011000110111011 ? -b10110111010111100011000110111011 D -b1110010001011010110110111000000 % -b1110010001011010110110111000000 . -b1110010001011010110110111000000 6 -b1110010001011010110110111000000 @ -b1110010001011010110110111000000 F -b11000101011100110101110001111011 ) -#359744000 -0& -#359760000 -b1010111110101 , -#359776000 -1& -#359792000 -b10010000000110100010010010011111 " -b10010000000110100010010010011111 4 -b10010000000110100010010010011111 1 -b10010000000110100010010010011111 C -b1101110111001111100101100111111 < -b1101101111001011011101011011111 : -b11111110111111011110111110100000 $ -b11111110111111011110111110100000 - -b11111110111111011110111110100000 5 -b11111110111111011110111110100000 ? -b11111110111111011110111110100000 D -b1101110111001111100101100111111 % -b1101110111001111100101100111111 . -b1101110111001111100101100111111 6 -b1101110111001111100101100111111 @ -b1101110111001111100101100111111 F -b10010000000110100010010010011111 ) -#359808000 -0& -#359824000 -b1010111110110 , -#359840000 -1& -#359856000 -b110010110001000010000001111101 " -b110010110001000010000001111101 4 -b110010110001000010000001111101 1 -b110010110001000010000001111101 C -b1 2 -b1 = -18 -b11111110001111100001001101111011 < -b11001011001110000100011010000001 : -b11001100111110100011001100000110 $ -b11001100111110100011001100000110 - -b11001100111110100011001100000110 5 -b11001100111110100011001100000110 ? -b11001100111110100011001100000110 D -b11111110001111100001001101111011 % -b11111110001111100001001101111011 . -b11111110001111100001001101111011 6 -b11111110001111100001001101111011 @ -b11111110001111100001001101111011 F -b110010110001000010000001111101 ) -#359872000 -0& -#359888000 -b1010111110111 , -#359904000 -1& -#359920000 -b10111010100010001111011000111011 " -b10111010100010001111011000111011 4 -b10111010100010001111011000111011 1 -b10111010100010001111011000111011 C -b0 2 -b0 = -08 -b11001111011111110001011001010000 < -b1000101011101101111011010111011 : -b1110101111101111110000001101011 $ -b1110101111101111110000001101011 - -b1110101111101111110000001101011 5 -b1110101111101111110000001101011 ? -b1110101111101111110000001101011 D -b11001111011111110001011001010000 % -b11001111011111110001011001010000 . -b11001111011111110001011001010000 6 -b11001111011111110001011001010000 @ -b11001111011111110001011001010000 F -b10111010100010001111011000111011 ) -#359936000 -0& -#359952000 -b1010111111000 , -#359968000 -1& -#359984000 -b10000001100011010001010011010 " -b10000001100011010001010011010 4 -b10000001100011010001010011010 1 -b10000001100011010001010011010 C -b111111111111111101111110111111 < -b1101111110011100101110011100100 : -b101111110011100111110100100101 $ -b101111110011100111110100100101 - -b101111110011100111110100100101 5 -b101111110011100111110100100101 ? -b101111110011100111110100100101 D -b111111111111111101111110111111 % -b111111111111111101111110111111 . -b111111111111111101111110111111 6 -b111111111111111101111110111111 @ -b111111111111111101111110111111 F -b10000001100011010001010011010 ) -#360000000 -0& -#360016000 -b1010111111001 , -#360032000 -1& -#360048000 -b10111100010100011001101000100 " -b10111100010100011001101000100 4 -b10111100010100011001101000100 1 -b10111100010100011001101000100 C -b1 2 -b1 = -18 -b11100100111101010011000000011011 < -b11011000011101000011001101111010 : -b11110011011111110000001101011111 $ -b11110011011111110000001101011111 - -b11110011011111110000001101011111 5 -b11110011011111110000001101011111 ? -b11110011011111110000001101011111 D -b11100100111101010011000000011011 % -b11100100111101010011000000011011 . -b11100100111101010011000000011011 6 -b11100100111101010011000000011011 @ -b11100100111101010011000000011011 F -b10111100010100011001101000100 ) -#360064000 -0& -#360080000 -b1010111111010 , -#360096000 -1& -#360112000 -b11101000111001100101001010010 " -b11101000111001100101001010010 4 -b11101000111001100101001010010 1 -b11101000111001100101001010010 C -b0 2 -b0 = -08 -19 -b1101110110110111101111001011010 < -b11100010101000101111001001100010 : -b1110011110001110001010000001000 $ -b1110011110001110001010000001000 - -b1110011110001110001010000001000 5 -b1110011110001110001010000001000 ? -b1110011110001110001010000001000 D -b1101110110110111101111001011010 % -b1101110110110111101111001011010 . -b1101110110110111101111001011010 6 -b1101110110110111101111001011010 @ -b1101110110110111101111001011010 F -b11101000111001100101001010010 ) -#360128000 -0& -#360144000 -b1010111111011 , -#360160000 -1& -#360176000 -b11000111001001010101110011111110 " -b11000111001001010101110011111110 4 -b11000111001001010101110011111110 1 -b11000111001001010101110011111110 C -09 -b0 2 -b0 = -08 -b111111010100111110011011111001 < -b110111110010101010000100000000 : -b11111000011101101011101000000111 $ -b11111000011101101011101000000111 - -b11111000011101101011101000000111 5 -b11111000011101101011101000000111 ? -b11111000011101101011101000000111 D -b111111010100111110011011111001 % -b111111010100111110011011111001 . -b111111010100111110011011111001 6 -b111111010100111110011011111001 @ -b111111010100111110011011111001 F -b11000111001001010101110011111110 ) -#360192000 -0& -#360208000 -b1010111111100 , -#360224000 -1& -#360240000 -b10010000000010111100000110100001 " -b10010000000010111100000110100001 4 -b10010000000010111100000110100001 1 -b10010000000010111100000110100001 C -b1111111111111000110010011100001 < -b1101111111101000000101000100001 : -b11101111111101111010010101000000 $ -b11101111111101111010010101000000 - -b11101111111101111010010101000000 5 -b11101111111101111010010101000000 ? -b11101111111101111010010101000000 D -b1111111111111000110010011100001 % -b1111111111111000110010011100001 . -b1111111111111000110010011100001 6 -b1111111111111000110010011100001 @ -b1111111111111000110010011100001 F -b10010000000010111100000110100001 ) -#360256000 -0& -#360272000 -b1010111111101 , -#360288000 -1& -#360304000 -b1101001100000001110111000111110 " -b1101001100000001110111000111110 4 -b1101001100000001110111000111110 1 -b1101001100000001110111000111110 C -19 -b0 2 -b0 = -08 -b1011110011111110101001011101110 < -b10010110011111110000111110111110 : -b110111111111111011110011010000 $ -b110111111111111011110011010000 - -b110111111111111011110011010000 5 -b110111111111111011110011010000 ? -b110111111111111011110011010000 D -b1011110011111110101001011101110 % -b1011110011111110101001011101110 . -b1011110011111110101001011101110 6 -b1011110011111110101001011101110 @ -b1011110011111110101001011101110 F -b1101001100000001110111000111110 ) -#360320000 -0& -#360336000 -b1010111111110 , -#360352000 -1& -#360368000 -b110010111000100000000111001111 " -b110010111000100000000111001111 4 -b110010111000100000000111001111 1 -b110010111000100000000111001111 C -b1 2 -b1 = -18 -b10011111100111010111110100011110 < -b1001101000111001111100111101111 : -b10101101011111110111110011010001 $ -b10101101011111110111110011010001 - -b10101101011111110111110011010001 5 -b10101101011111110111110011010001 ? -b10101101011111110111110011010001 D -b10011111100111010111110100011110 % -b10011111100111010111110100011110 . -b10011111100111010111110100011110 6 -b10011111100111010111110100011110 @ -b10011111100111010111110100011110 F -b110010111000100000000111001111 ) -#360384000 -0& -#360400000 -b1010111111111 , -#360416000 -1& -#360432000 -b100101000100110111001010011 " -b100101000100110111001010011 4 -b100101000100110111001010011 1 -b100101000100110111001010011 C -b0 2 -b0 = -08 -b1111001110111011010001011010110 < -b11110111010111010110111101011011 : -b1111101011111111100110010000101 $ -b1111101011111111100110010000101 - -b1111101011111111100110010000101 5 -b1111101011111111100110010000101 ? -b1111101011111111100110010000101 D -b1111001110111011010001011010110 % -b1111001110111011010001011010110 . -b1111001110111011010001011010110 6 -b1111001110111011010001011010110 @ -b1111001110111011010001011010110 F -b100101000100110111001010011 ) -#360448000 -0& -#360464000 -b1011000000000 , -#360480000 -1& -#360496000 -b11000010001010000111111101100100 " -b11000010001010000111111101100100 4 -b11000010001010000111111101100100 1 -b11000010001010000111111101100100 C -09 -b0 2 -b0 = -08 -b1111110111111111111011010110110 < -b111011110101111000000010001000 : -b10111100110101111000100111010010 $ -b10111100110101111000100111010010 - -b10111100110101111000100111010010 5 -b10111100110101111000100111010010 ? -b10111100110101111000100111010010 D -b1111110111111111111011010110110 % -b1111110111111111111011010110110 . -b1111110111111111111011010110110 6 -b1111110111111111111011010110110 @ -b1111110111111111111011010110110 F -b11000010001010000111111101100100 ) -#360512000 -0& -#360528000 -b1011000000001 , -#360544000 -1& -#360560000 -b10000000010011010010010110100101 " -b10000000010011010010010110100101 4 -b10000000010011010010010110100101 1 -b10000000010011010010010110100101 C -b11101111111111101011110111011010 < -b1011111101100100101011001011001 : -b1101111101100111001100001111111 $ -b1101111101100111001100001111111 - -b1101111101100111001100001111111 5 -b1101111101100111001100001111111 ? -b1101111101100111001100001111111 D -b11101111111111101011110111011010 % -b11101111111111101011110111011010 . -b11101111111111101011110111011010 6 -b11101111111111101011110111011010 @ -b11101111111111101011110111011010 F -b10000000010011010010010110100101 ) -#360576000 -0& -#360592000 -b1011000000010 , -#360608000 -1& -#360624000 -b11011010000101100110011110100100 " -b11011010000101100110011110100100 4 -b11011010000101100110011110100100 1 -b11011010000101100110011110100100 C -b10100011011110111100011101101011 < -b11100111010010110100000111010 : -b1111001011011011010000011001111 $ -b1111001011011011010000011001111 - -b1111001011011011010000011001111 5 -b1111001011011011010000011001111 ? -b1111001011011011010000011001111 D -b10100011011110111100011101101011 % -b10100011011110111100011101101011 . -b10100011011110111100011101101011 6 -b10100011011110111100011101101011 @ -b10100011011110111100011101101011 F -b11011010000101100110011110100100 ) -#360640000 -0& -#360656000 -b1011000000011 , -#360672000 -1& -#360688000 -b1001000101100001011010110001010 " -b1001000101100001011010110001010 4 -b1001000101100001011010110001010 1 -b1001000101100001011010110001010 C -b0 2 -b0 = -19 -08 -b111011110111011001110101110111 < -b10101111010010101100011001110100 : -b1110011011011010010100011111101 $ -b1110011011011010010100011111101 - -b1110011011011010010100011111101 5 -b1110011011011010010100011111101 ? -b1110011011011010010100011111101 D -b111011110111011001110101110111 % -b111011110111011001110101110111 . -b111011110111011001110101110111 6 -b111011110111011001110101110111 @ -b111011110111011001110101110111 F -b1001000101100001011010110001010 ) -#360704000 -0& -#360720000 -b1011000000100 , -#360736000 -1& -#360752000 -b10101000111111100001001000000010 " -b10101000111111100001001000000010 4 -b10101000111111100001001000000010 1 -b10101000111111100001001000000010 C -09 -b0 2 -b0 = -08 -b1010111001100111110110000110001 < -b1010111000000011110101001100100 : -b11111111110011011111111000110011 $ -b11111111110011011111111000110011 - -b11111111110011011111111000110011 5 -b11111111110011011111111000110011 ? -b11111111110011011111111000110011 D -b1010111001100111110110000110001 % -b1010111001100111110110000110001 . -b1010111001100111110110000110001 6 -b1010111001100111110110000110001 @ -b1010111001100111110110000110001 F -b10101000111111100001001000000010 ) -#360768000 -0& -#360784000 -b1011000000101 , -#360800000 -1& -#360816000 -b1100011001100011010110001100 " -b1100011001100011010110001100 4 -b1100011001100011010110001100 1 -b1100011001100011010110001100 C -b1 2 -b1 = -18 -b11111111111110101001000111110100 < -b11110011100101110011011001101100 : -b11110011100111001010010001111000 $ -b11110011100111001010010001111000 - -b11110011100111001010010001111000 5 -b11110011100111001010010001111000 ? -b11110011100111001010010001111000 D -b11111111111110101001000111110100 % -b11111111111110101001000111110100 . -b11111111111110101001000111110100 6 -b11111111111110101001000111110100 @ -b11111111111110101001000111110100 F -b1100011001100011010110001100 ) -#360832000 -0& -#360848000 -b1011000000110 , -#360864000 -1& -#360880000 -b110010100000010000010101111101 " -b110010100000010000010101111101 4 -b110010100000010000010101111101 1 -b110010100000010000010101111101 C -b0 2 -b0 = -08 -19 -b1011111011111100100001001110110 < -b11001101011111011000100110000001 : -b1101101111111110100011100001011 $ -b1101101111111110100011100001011 - -b1101101111111110100011100001011 5 -b1101101111111110100011100001011 ? -b1101101111111110100011100001011 D -b1011111011111100100001001110110 % -b1011111011111100100001001110110 . -b1011111011111100100001001110110 6 -b1011111011111100100001001110110 @ -b1011111011111100100001001110110 F -b110010100000010000010101111101 ) -#360896000 -0& -#360912000 -b1011000000111 , -#360928000 -1& -#360944000 -b10110101100010111010110111011000 " -b10110101100010111010110111011000 4 -b10110101100010111010110111011000 1 -b10110101100010111010110111011000 C -09 -b0 2 -b0 = -08 -b1111000011001110110000001110101 < -b1000110010101000010111000100010 : -b11001101111011001100110110101101 $ -b11001101111011001100110110101101 - -b11001101111011001100110110101101 5 -b11001101111011001100110110101101 ? -b11001101111011001100110110101101 D -b1111000011001110110000001110101 % -b1111000011001110110000001110101 . -b1111000011001110110000001110101 6 -b1111000011001110110000001110101 @ -b1111000011001110110000001110101 F -b10110101100010111010110111011000 ) -#360960000 -0& -#360976000 -b1011000001000 , -#360992000 -1& -#361008000 -b1000111100100110101110000 " -b1000111100100110101110000 4 -b1000111100100110101110000 1 -b1000111100100110101110000 C -b1 2 -b1 = -18 -b11111111011110111110111001110001 < -b11111101111000011001000101110010 : -b11111110011001011010001100000001 $ -b11111110011001011010001100000001 - -b11111110011001011010001100000001 5 -b11111110011001011010001100000001 ? -b11111110011001011010001100000001 D -b11111111011110111110111001110001 % -b11111111011110111110111001110001 . -b11111111011110111110111001110001 6 -b11111111011110111110111001110001 @ -b11111111011110111110111001110001 F -b1000111100100110101110000 ) -#361024000 -0& -#361040000 -b1011000001001 , -#361056000 -1& -#361072000 -b10100110000001111010110011111100 " -b10100110000001111010110011111100 4 -b10100110000001111010110011111100 1 -b10100110000001111010110011111100 C -b0 2 -b0 = -08 -b11010101111110010011001100111110 < -b1001001111101111101001100000000 : -b1110011111111101001111111000010 $ -b1110011111111101001111111000010 - -b1110011111111101001111111000010 5 -b1110011111111101001111111000010 ? -b1110011111111101001111111000010 D -b11010101111110010011001100111110 % -b11010101111110010011001100111110 . -b11010101111110010011001100111110 6 -b11010101111110010011001100111110 @ -b11010101111110010011001100111110 F -b10100110000001111010110011111100 ) -#361088000 -0& -#361104000 -b1011000001010 , -#361120000 -1& -#361136000 -b10001000010100011001100110 " -b10001000010100011001100110 4 -b10001000010100011001100110 1 -b10001000010100011001100110 C -b0 2 -b0 = -19 -08 -b1110101111001110111111101010000 < -b11101101101011011011100010000110 : -b1110111110001100011100100110110 $ -b1110111110001100011100100110110 - -b1110111110001100011100100110110 5 -b1110111110001100011100100110110 ? -b1110111110001100011100100110110 D -b1110101111001110111111101010000 % -b1110101111001110111111101010000 . -b1110101111001110111111101010000 6 -b1110101111001110111111101010000 @ -b1110101111001110111111101010000 F -b10001000010100011001100110 ) -#361152000 -0& -#361168000 -b1011000001011 , -#361184000 -1& -#361200000 -b10000110110000010001110010110111 " -b10000110110000010001110010110111 4 -b10000110110000010001110010110111 1 -b10000110110000010001110010110111 C -09 -b0 2 -b0 = -08 -b1011101111101100110110111011100 < -b111001001011011101111101000111 : -b11011011001101110111000101101011 $ -b11011011001101110111000101101011 - -b11011011001101110111000101101011 5 -b11011011001101110111000101101011 ? -b11011011001101110111000101101011 D -b1011101111101100110110111011100 % -b1011101111101100110110111011100 . -b1011101111101100110110111011100 6 -b1011101111101100110110111011100 @ -b1011101111101100110110111011100 F -b10000110110000010001110010110111 ) -#361216000 -0& -#361232000 -b1011000001100 , -#361248000 -1& -#361264000 -b1011110000010100110100010011100 " -b1011110000010100110100010011100 4 -b1011110000010100110100010011100 1 -b1011110000010100110100010011100 C -b1 2 -b1 = -18 -b10101101111111010010110001101010 < -b10100001111101000111000101100000 : -b11110011111101110100010011110110 $ -b11110011111101110100010011110110 - -b11110011111101110100010011110110 5 -b11110011111101110100010011110110 ? -b11110011111101110100010011110110 D -b10101101111111010010110001101010 % -b10101101111111010010110001101010 . -b10101101111111010010110001101010 6 -b10101101111111010010110001101010 @ -b10101101111111010010110001101010 F -b1011110000010100110100010011100 ) -#361280000 -0& -#361296000 -b1011000001101 , -#361312000 -1& -#361328000 -b10101111010100110111 " -b10101111010100110111 4 -b10101111010100110111 1 -b10101111010100110111 C -b11111111111101110101010110011010 < -b11111111111101001111011001000111 : -b11111111111111011010000010101101 $ -b11111111111111011010000010101101 - -b11111111111111011010000010101101 5 -b11111111111111011010000010101101 ? -b11111111111111011010000010101101 D -b11111111111101110101010110011010 % -b11111111111101110101010110011010 . -b11111111111101110101010110011010 6 -b11111111111101110101010110011010 @ -b11111111111101110101010110011010 F -b10101111010100110111 ) -#361344000 -0& -#361360000 -b1011000001110 , -#361376000 -1& -#361392000 -b1001111111001001110001010011110 " -b1001111111001001110001010011110 4 -b1001111111001001110001010011110 1 -b1001111111001001110001010011110 C -b0 2 -b0 = -08 -19 -b110010010100111001000101111000 < -b10110000000010110000010101011110 : -b1111101101101110111001111100110 $ -b1111101101101110111001111100110 - -b1111101101101110111001111100110 5 -b1111101101101110111001111100110 ? -b1111101101101110111001111100110 D -b110010010100111001000101111000 % -b110010010100111001000101111000 . -b110010010100111001000101111000 6 -b110010010100111001000101111000 @ -b110010010100111001000101111000 F -b1001111111001001110001010011110 ) -#361408000 -0& -#361424000 -b1011000001111 , -#361440000 -1& -#361456000 -b10000010111100011101010101100101 " -b10000010111100011101010101100101 4 -b10000010111100011101010101100101 1 -b10000010111100011101010101100101 C -b0 2 -b0 = -09 -08 -b11111101000111111111000011100010 < -b1111101000011100001011001101001 : -b1111111111011100010010110000111 $ -b1111111111011100010010110000111 - -b1111111111011100010010110000111 5 -b1111111111011100010010110000111 ? -b1111111111011100010010110000111 D -b11111101000111111111000011100010 % -b11111101000111111111000011100010 . -b11111101000111111111000011100010 6 -b11111101000111111111000011100010 @ -b11111101000111111111000011100010 F -b10000010111100011101010101100101 ) -#361472000 -0& -#361488000 -b1011000010000 , -#361504000 -1& -#361520000 -b10000100100010100011011011101111 " -b10000100100010100011011011101111 4 -b10000100100010100011011011101111 1 -b10000100100010100011011011101111 C -b1111011111101111010000100011011 < -b1111011011101010011100100001111 : -b11111111011111011001011111110100 $ -b11111111011111011001011111110100 - -b11111111011111011001011111110100 5 -b11111111011111011001011111110100 ? -b11111111011111011001011111110100 D -b1111011111101111010000100011011 % -b1111011111101111010000100011011 . -b1111011111101111010000100011011 6 -b1111011111101111010000100011011 @ -b1111011111101111010000100011011 F -b10000100100010100011011011101111 ) -#361536000 -0& -#361552000 -b1011000010001 , -#361568000 -1& -#361584000 -b11000001110110000010010001101111 " -b11000001110110000010010001101111 4 -b11000001110110000010010001101111 1 -b11000001110110000010010001101111 C -b11110110011100111111110111101010 < -b101110000111111101011101101111 : -b110111101010111101100110000101 $ -b110111101010111101100110000101 - -b110111101010111101100110000101 5 -b110111101010111101100110000101 ? -b110111101010111101100110000101 D -b11110110011100111111110111101010 % -b11110110011100111111110111101010 . -b11110110011100111111110111101010 6 -b11110110011100111111110111101010 @ -b11110110011100111111110111101010 F -b11000001110110000010010001101111 ) -#361600000 -0& -#361616000 -b1011000010010 , -#361632000 -1& -#361648000 -b110010000011100111111001011001 " -b110010000011100111111001011001 4 -b110010000011100111111001011001 1 -b110010000011100111111001011001 C -b1111110100111100011010000111 < -b1001101101100010111111101100101 : -b111101110111011011100011011110 $ -b111101110111011011100011011110 - -b111101110111011011100011011110 5 -b111101110111011011100011011110 ? -b111101110111011011100011011110 D -b1111110100111100011010000111 % -b1111110100111100011010000111 . -b1111110100111100011010000111 6 -b1111110100111100011010000111 @ -b1111110100111100011010000111 F -b110010000011100111111001011001 ) -#361664000 -0& -#361680000 -b1011000010011 , -#361696000 -1& -#361712000 -b10101100000100010110011010100100 " -b10101100000100010110011010100100 4 -b10101100000100010110011010100100 1 -b10101100000100010110011010100100 C -b0 2 -b0 = -08 -09 -b1110110111011110111100111100011 < -b1010001111011011001100100101010 : -b11011010111111100001111101000111 $ -b11011010111111100001111101000111 - -b11011010111111100001111101000111 5 -b11011010111111100001111101000111 ? -b11011010111111100001111101000111 D -b1110110111011110111100111100011 % -b1110110111011110111100111100011 . -b1110110111011110111100111100011 6 -b1110110111011110111100111100011 @ -b1110110111011110111100111100011 F -b10101100000100010110011010100100 ) -#361728000 -0& -#361744000 -b1011000010100 , -#361760000 -1& -#361776000 -b11010110011100101000111101100011 " -b11010110011100101000111101100011 4 -b11010110011100101000111101100011 1 -b11010110011100101000111101100011 C -b10101111100011000101000100010111 < -b101001100010110010111110001011 : -b1111001111111101101111001110100 $ -b1111001111111101101111001110100 - -b1111001111111101101111001110100 5 -b1111001111111101101111001110100 ? -b1111001111111101101111001110100 D -b10101111100011000101000100010111 % -b10101111100011000101000100010111 . -b10101111100011000101000100010111 6 -b10101111100011000101000100010111 @ -b10101111100011000101000100010111 F -b11010110011100101000111101100011 ) -#361792000 -0& -#361808000 -b1011000010101 , -#361824000 -1& -#361840000 -b10000000011100000001101101111101 " -b10000000011100000001101101111101 4 -b10000000011100000001101101111101 1 -b10000000011100000001101101111101 C -b1011111100111111010001110100110 < -b111111100011110101110010000001 : -b11011111111011111011100011011011 $ -b11011111111011111011100011011011 - -b11011111111011111011100011011011 5 -b11011111111011111011100011011011 ? -b11011111111011111011100011011011 D -b1011111100111111010001110100110 % -b1011111100111111010001110100110 . -b1011111100111111010001110100110 6 -b1011111100111111010001110100110 @ -b1011111100111111010001110100110 F -b10000000011100000001101101111101 ) -#361856000 -0& -#361872000 -b1011000010110 , -#361888000 -1& -#361904000 -b1101011000000100000001011000010 " -b1101011000000100000001011000010 4 -b1101011000000100000001011000010 1 -b1101011000000100000001011000010 C -19 -b0 2 -b0 = -08 -b110101110111010000101111101101 < -b10010100101111000001010100011100 : -b1011110110111110000100100101111 $ -b1011110110111110000100100101111 - -b1011110110111110000100100101111 5 -b1011110110111110000100100101111 ? -b1011110110111110000100100101111 D -b110101110111010000101111101101 % -b110101110111010000101111101101 . -b110101110111010000101111101101 6 -b110101110111010000101111101101 @ -b110101110111010000101111101101 F -b1101011000000100000001011000010 ) -#361920000 -0& -#361936000 -b1011000010111 , -#361952000 -1& -#361968000 -b11110010000111000010001010100111 " -b11110010000111000010001010100111 4 -b11110010000111000010001010100111 1 -b11110010000111000010001010100111 C -b0 2 -b0 = -09 -08 -b11011101111101111111110111110000 < -b1101111000111101110101000111 : -b101111111010111101111101010111 $ -b101111111010111101111101010111 - -b101111111010111101111101010111 5 -b101111111010111101111101010111 ? -b101111111010111101111101010111 D -b11011101111101111111110111110000 % -b11011101111101111111110111110000 . -b11011101111101111111110111110000 6 -b11011101111101111111110111110000 @ -b11011101111101111111110111110000 F -b11110010000111000010001010100111 ) -#361984000 -0& -#362000000 -b1011000011000 , -#362016000 -1& -#362032000 -b11011010001010101110101111111101 " -b11011010001010101110101111111101 4 -b11011010001010101110101111111101 1 -b11011010001010101110101111111101 C -b111101110111011111101011001111 < -b100101110101010000110000000001 : -b11100111111101110001000100110010 $ -b11100111111101110001000100110010 - -b11100111111101110001000100110010 5 -b11100111111101110001000100110010 ? -b11100111111101110001000100110010 D -b111101110111011111101011001111 % -b111101110111011111101011001111 . -b111101110111011111101011001111 6 -b111101110111011111101011001111 @ -b111101110111011111101011001111 F -b11011010001010101110101111111101 ) -#362048000 -0& -#362064000 -b1011000011001 , -#362080000 -1& -#362096000 -b11001011111101000100101000000110 " -b11001011111101000100101000000110 4 -b11001011111101000100101000000110 1 -b11001011111101000100101000000110 C -b10111100011011110101001110011010 < -b110100000010100110110100110110 : -b1110111100110110001100110011100 $ -b1110111100110110001100110011100 - -b1110111100110110001100110011100 5 -b1110111100110110001100110011100 ? -b1110111100110110001100110011100 D -b10111100011011110101001110011010 % -b10111100011011110101001110011010 . -b10111100011011110101001110011010 6 -b10111100011011110101001110011010 @ -b10111100011011110101001110011010 F -b11001011111101000100101000000110 ) -#362112000 -0& -#362128000 -b1011000011010 , -#362144000 -1& -#362160000 -b1011000011011011011111011001 " -b1011000011011011011111011001 4 -b1011000011011011011111011001 1 -b1011000011011011011111011001 C -b1 2 -b1 = -18 -b11110111111100101111100001011000 < -b11110100111100100100011111011001 : -b11111100111111110100111110000001 $ -b11111100111111110100111110000001 - -b11111100111111110100111110000001 5 -b11111100111111110100111110000001 ? -b11111100111111110100111110000001 D -b11110111111100101111100001011000 % -b11110111111100101111100001011000 . -b11110111111100101111100001011000 6 -b11110111111100101111100001011000 @ -b11110111111100101111100001011000 F -b1011000011011011011111011001 ) -#362176000 -0& -#362192000 -b1011000011011 , -#362208000 -1& -#362224000 -b1010101110111101000111000101000 " -b1010101110111101000111000101000 4 -b1010101110111101000111000101000 1 -b1010101110111101000111000101000 C -b0 2 -b0 = -08 -19 -b111010001010110111100001010111 < -b10101010001000010110111011010110 : -b1101111111101011111011001111111 $ -b1101111111101011111011001111111 - -b1101111111101011111011001111111 5 -b1101111111101011111011001111111 ? -b1101111111101011111011001111111 D -b111010001010110111100001010111 % -b111010001010110111100001010111 . -b111010001010110111100001010111 6 -b111010001010110111100001010111 @ -b111010001010110111100001010111 F -b1010101110111101000111000101000 ) -#362240000 -0& -#362256000 -b1011000011100 , -#362272000 -1& -#362288000 -b11100011000010010000011101000110 " -b11100011000010010000011101000110 4 -b11100011000010010000011101000110 1 -b11100011000010010000011101000110 C -09 -b0 2 -b0 = -08 -b1111111111101100011100111111001 < -b11100111101010111100010111000 : -b10011100111111110011111010111111 $ -b10011100111111110011111010111111 - -b10011100111111110011111010111111 5 -b10011100111111110011111010111111 ? -b10011100111111110011111010111111 D -b1111111111101100011100111111001 % -b1111111111101100011100111111001 . -b1111111111101100011100111111001 6 -b1111111111101100011100111111001 @ -b1111111111101100011100111111001 F -b11100011000010010000011101000110 ) -#362304000 -0& -#362320000 -b1011000011101 , -#362336000 -1& -#362352000 -b10111010000110100000011010000101 " -b10111010000110100000011010000101 4 -b10111010000110100000011010000101 1 -b10111010000110100000011010000101 C -b11000101111101010010001101111010 < -b1000101111001000100100101111001 : -b1111111111011110010010111111111 $ -b1111111111011110010010111111111 - -b1111111111011110010010111111111 5 -b1111111111011110010010111111111 ? -b1111111111011110010010111111111 D -b11000101111101010010001101111010 % -b11000101111101010010001101111010 . -b11000101111101010010001101111010 6 -b11000101111101010010001101111010 @ -b11000101111101010010001101111010 F -b10111010000110100000011010000101 ) -#362368000 -0& -#362384000 -b1011000011110 , -#362400000 -1& -#362416000 -b100000000001010111011100 " -b100000000001010111011100 4 -b100000000001010111011100 1 -b100000000001010111011100 C -b0 2 -b0 = -19 -08 -b1000111111111110000001011001000 < -b10001111011111100001100111011100 : -b1000111011111110001011100010100 $ -b1000111011111110001011100010100 - -b1000111011111110001011100010100 5 -b1000111011111110001011100010100 ? -b1000111011111110001011100010100 D -b1000111111111110000001011001000 % -b1000111111111110000001011001000 . -b1000111111111110000001011001000 6 -b1000111111111110000001011001000 @ -b1000111111111110000001011001000 F -b100000000001010111011100 ) -#362432000 -0& -#362448000 -b1011000011111 , -#362464000 -1& -#362480000 -b10101011100011011110010101100 " -b10101011100011011110010101100 4 -b10101011100011011110010101100 1 -b10101011100011011110010101100 C -b1 2 -b1 = -18 -09 -b11101010011011100010110011010011 < -b11101001100011011011110101010010 : -b11111111000111111001000001111111 $ -b11111111000111111001000001111111 - -b11111111000111111001000001111111 5 -b11111111000111111001000001111111 ? -b11111111000111111001000001111111 D -b11101010011011100010110011010011 % -b11101010011011100010110011010011 . -b11101010011011100010110011010011 6 -b11101010011011100010110011010011 @ -b11101010011011100010110011010011 F -b10101011100011011110010101100 ) -#362496000 -0& -#362512000 -b1011000100000 , -#362528000 -1& -#362544000 -b10100100001110011100110111111011 " -b10100100001110011100110111111011 4 -b10100100001110011100110111111011 1 -b10100100001110011100110111111011 C -b1 2 -b1 = -18 -09 -b10101011110001100010110110001100 < -b10111011110001100000111000000011 : -b1111111111111110000001110111 $ -b1111111111111110000001110111 - -b1111111111111110000001110111 5 -b1111111111111110000001110111 ? -b1111111111111110000001110111 D -b10101011110001100010110110001100 % -b10101011110001100010110110001100 . -b10101011110001100010110110001100 6 -b10101011110001100010110110001100 @ -b10101011110001100010110110001100 F -b10100100001110011100110111111011 ) -#362560000 -0& -#362576000 -b1011000100001 , -#362592000 -1& -#362608000 -b11010000100000001011001100011110 " -b11010000100000001011001100011110 4 -b11010000100000001011001100011110 1 -b11010000100000001011001100011110 C -b0 2 -b0 = -08 -b10101111011101110010110110100011 < -b101111011011101100110001100000 : -b1111111111101111001111010111101 $ -b1111111111101111001111010111101 - -b1111111111101111001111010111101 5 -b1111111111101111001111010111101 ? -b1111111111101111001111010111101 D -b10101111011101110010110110100011 % -b10101111011101110010110110100011 . -b10101111011101110010110110100011 6 -b10101111011101110010110110100011 @ -b10101111011101110010110110100011 F -b11010000100000001011001100011110 ) -#362624000 -0& -#362640000 -b1011000100010 , -#362656000 -1& -#362672000 -b10010000001101101010110010100011 " -b10010000001101101010110010100011 4 -b10010000001101101010110010100011 1 -b10010000001101101010110010100011 C -b11011111110010110111110000101111 < -b101111110010010100110010111011 : -b1001111111111011101000010001100 $ -b1001111111111011101000010001100 - -b1001111111111011101000010001100 5 -b1001111111111011101000010001100 ? -b1001111111111011101000010001100 D -b11011111110010110111110000101111 % -b11011111110010110111110000101111 . -b11011111110010110111110000101111 6 -b11011111110010110111110000101111 @ -b11011111110010110111110000101111 F -b10010000001101101010110010100011 ) -#362688000 -0& -#362704000 -b1011000100011 , -#362720000 -1& -#362736000 -b10011000100001110111100101011000 " -b10011000100001110111100101011000 4 -b10011000100001110111100101011000 1 -b10011000100001110111100101011000 C -b11000110111111011100010111001100 < -b100101011110001000001001100000 : -b1011110011110101011110010010100 $ -b1011110011110101011110010010100 - -b1011110011110101011110010010100 5 -b1011110011110101011110010010100 ? -b1011110011110101011110010010100 D -b11000110111111011100010111001100 % -b11000110111111011100010111001100 . -b11000110111111011100010111001100 6 -b11000110111111011100010111001100 @ -b11000110111111011100010111001100 F -b10011000100001110111100101011000 ) -#362752000 -0& -#362768000 -b1011000100100 , -#362784000 -1& -#362800000 -b111100100001110110101101110110 " -b111100100001110110101101110110 4 -b111100100001110110101101110110 1 -b111100100001110110101101110110 C -b1 2 -b1 = -18 -b11100011110111001110010101111010 < -b11000011001110000111001110000110 : -b11011111010110111000111000001100 $ -b11011111010110111000111000001100 - -b11011111010110111000111000001100 5 -b11011111010110111000111000001100 ? -b11011111010110111000111000001100 D -b11100011110111001110010101111010 % -b11100011110111001110010101111010 . -b11100011110111001110010101111010 6 -b11100011110111001110010101111010 @ -b11100011110111001110010101111010 F -b111100100001110110101101110110 ) -#362816000 -0& -#362832000 -b1011000100101 , -#362848000 -1& -#362864000 -b11000011100100100110101101000011 " -b11000011100100100110101101000011 4 -b11000011100100100110101101000011 1 -b11000011100100100110101101000011 C -b11100001011110010000011101111 < -b11111011111011000110110010011011 : -b11011111101111010100101110101100 $ -b11011111101111010100101110101100 - -b11011111101111010100101110101100 5 -b11011111101111010100101110101100 ? -b11011111101111010100101110101100 D -b11100001011110010000011101111 % -b11100001011110010000011101111 . -b11100001011110010000011101111 6 -b11100001011110010000011101111 @ -b11100001011110010000011101111 F -b11000011100100100110101101000011 ) -#362880000 -0& -#362896000 -b1011000100110 , -#362912000 -1& -#362928000 -b100010001000101111010111001010 " -b100010001000101111010111001010 4 -b100010001000101111010111001010 1 -b100010001000101111010111001010 C -b0 2 -b0 = -08 -19 -b1011011101111011101100101011011 < -b11010101010111010000010111101100 : -b1111001100111110010110010010001 $ -b1111001100111110010110010010001 - -b1111001100111110010110010010001 5 -b1111001100111110010110010010001 ? -b1111001100111110010110010010001 D -b1011011101111011101100101011011 % -b1011011101111011101100101011011 . -b1011011101111011101100101011011 6 -b1011011101111011101100101011011 @ -b1011011101111011101100101011011 F -b100010001000101111010111001010 ) -#362944000 -0& -#362960000 -b1011000100111 , -#362976000 -1& -#362992000 -b10000001010010001011100100011 " -b10000001010010001011100100011 4 -b10000001010010001011100100011 1 -b10000001010010001011100100011 C -b1110111111101100110001111110011 < -b11011111110101011101100011000011 : -b1100111110111110111010011010000 $ -b1100111110111110111010011010000 - -b1100111110111110111010011010000 5 -b1100111110111110111010011010000 ? -b1100111110111110111010011010000 D -b1110111111101100110001111110011 % -b1110111111101100110001111110011 . -b1110111111101100110001111110011 6 -b1110111111101100110001111110011 @ -b1110111111101100110001111110011 F -b10000001010010001011100100011 ) -#363008000 -0& -#363024000 -b1011000101000 , -#363040000 -1& -#363056000 -b101001101111001110001011100011 " -b101001101111001110001011100011 4 -b101001101111001110001011100011 1 -b101001101111001110001011100011 C -b1010010000110110100110011101001 < -b11001101110000101111101011110011 : -b1111011101001111010111000001010 $ -b1111011101001111010111000001010 - -b1111011101001111010111000001010 5 -b1111011101001111010111000001010 ? -b1111011101001111010111000001010 D -b1010010000110110100110011101001 % -b1010010000110110100110011101001 . -b1010010000110110100110011101001 6 -b1010010000110110100110011101001 @ -b1010010000110110100110011101001 F -b101001101111001110001011100011 ) -#363072000 -0& -#363088000 -b1011000101001 , -#363104000 -1& -#363120000 -b10101110001010001000010010010000 " -b10101110001010001000010010010000 4 -b10101110001010001000010010010000 1 -b10101110001010001000010010010000 C -b0 2 -b0 = -09 -08 -b11010000110111110111111100100010 < -b1001111110101110111101011010100 : -b1111110111101111111101110110010 $ -b1111110111101111111101110110010 - -b1111110111101111111101110110010 5 -b1111110111101111111101110110010 ? -b1111110111101111111101110110010 D -b11010000110111110111111100100010 % -b11010000110111110111111100100010 . -b11010000110111110111111100100010 6 -b11010000110111110111111100100010 @ -b11010000110111110111111100100010 F -b10101110001010001000010010010000 ) -#363136000 -0& -#363152000 -b1011000101010 , -#363168000 -1& -#363184000 -b10000111010010100101010101000010 " -b10000111010010100101010101000010 4 -b10000111010010100101010101000010 1 -b10000111010010100101010101000010 C -b1 2 -b1 = -18 -b111000111110111100011001000111 < -b11111000101011010101100101001100 : -b10111111101100011001001100000101 $ -b10111111101100011001001100000101 - -b10111111101100011001001100000101 5 -b10111111101100011001001100000101 ? -b10111111101100011001001100000101 D -b111000111110111100011001000111 % -b111000111110111100011001000111 . -b111000111110111100011001000111 6 -b111000111110111100011001000111 @ -b111000111110111100011001000111 F -b10000111010010100101010101000010 ) -#363200000 -0& -#363216000 -b1011000101011 , -#363232000 -1& -#363248000 -b100001001000001010011010010110 " -b100001001000001010011010010110 4 -b100001001000001010011010010110 1 -b100001001000001010011010010110 C -b0 2 -b0 = -08 -19 -b1011101111111110101100010101111 < -b11011010110111110101011011101000 : -b1111100110111111111111000111001 $ -b1111100110111111111111000111001 - -b1111100110111111111111000111001 5 -b1111100110111111111111000111001 ? -b1111100110111111111111000111001 D -b1011101111111110101100010101111 % -b1011101111111110101100010101111 . -b1011101111111110101100010101111 6 -b1011101111111110101100010101111 @ -b1011101111111110101100010101111 F -b100001001000001010011010010110 ) -#363264000 -0& -#363280000 -b1011000101100 , -#363296000 -1& -#363312000 -b1000010011001010001100001111 " -b1000010011001010001100001111 4 -b1000010011001010001100001111 1 -b1000010011001010001100001111 C -b1111111111110111001101011110001 < -b11110111101100101101010011101111 : -b1110111101101110011100111111110 $ -b1110111101101110011100111111110 - -b1110111101101110011100111111110 5 -b1110111101101110011100111111110 ? -b1110111101101110011100111111110 D -b1111111111110111001101011110001 % -b1111111111110111001101011110001 . -b1111111111110111001101011110001 6 -b1111111111110111001101011110001 @ -b1111111111110111001101011110001 F -b1000010011001010001100001111 ) -#363328000 -0& -#363344000 -b1011000101101 , -#363360000 -1& -#363376000 -b11011011101101000011101111010011 " -b11011011101101000011101111010011 4 -b11011011101101000011101111010011 1 -b11011011101101000011101111010011 C -b1 2 -b1 = -18 -09 -b1011101111011111011110000110001 < -b11100100010010110100010000010011 : -b10000110010110111000011111100010 $ -b10000110010110111000011111100010 - -b10000110010110111000011111100010 5 -b10000110010110111000011111100010 ? -b10000110010110111000011111100010 D -b1011101111011111011110000110001 % -b1011101111011111011110000110001 . -b1011101111011111011110000110001 6 -b1011101111011111011110000110001 @ -b1011101111011111011110000110001 F -b11011011101101000011101111010011 ) -#363392000 -0& -#363408000 -b1011000101110 , -#363424000 -1& -#363440000 -b111100000000110110000101001110 " -b111100000000110110000101001110 4 -b111100000000110110000101001110 1 -b111100000000110110000101001110 C -b0 2 -b0 = -08 -19 -b1001011101111010011010110010110 < -b11000011011110111000101001101110 : -b1110111101111100101010011011000 $ -b1110111101111100101010011011000 - -b1110111101111100101010011011000 5 -b1110111101111100101010011011000 ? -b1110111101111100101010011011000 D -b1001011101111010011010110010110 % -b1001011101111010011010110010110 . -b1001011101111010011010110010110 6 -b1001011101111010011010110010110 @ -b1001011101111010011010110010110 F -b111100000000110110000101001110 ) -#363456000 -0& -#363472000 -b1011000101111 , -#363488000 -1& -#363504000 -b11001110000011001001101001111010 " -b11001110000011001001101001111010 4 -b11001110000011001001101001111010 1 -b11001110000011001001101001111010 C -b0 2 -b0 = -09 -08 -b11111010111101010101100010001000 < -b101111111011110001101101111010 : -b110100111110011100001011110010 $ -b110100111110011100001011110010 - -b110100111110011100001011110010 5 -b110100111110011100001011110010 ? -b110100111110011100001011110010 D -b11111010111101010101100010001000 % -b11111010111101010101100010001000 . -b11111010111101010101100010001000 6 -b11111010111101010101100010001000 @ -b11111010111101010101100010001000 F -b11001110000011001001101001111010 ) -#363520000 -0& -#363536000 -b1011000110000 , -#363552000 -1& -#363568000 -b10010101110001000001111101001110 " -b10010101110001000001111101001110 4 -b10010101110001000001111101001110 1 -b10010101110001000001111101001110 C -b11101111001110110001001010101101 < -b1101010001110100010000010010000 : -b1111010111111110000110111100011 $ -b1111010111111110000110111100011 - -b1111010111111110000110111100011 5 -b1111010111111110000110111100011 ? -b1111010111111110000110111100011 D -b11101111001110110001001010101101 % -b11101111001110110001001010101101 . -b11101111001110110001001010101101 6 -b11101111001110110001001010101101 @ -b11101111001110110001001010101101 F -b10010101110001000001111101001110 ) -#363584000 -0& -#363600000 -b1011000110001 , -#363616000 -1& -#363632000 -b11000001001110010101010100111001 " -b11000001001110010101010100111001 4 -b11000001001110010101010100111001 1 -b11000001001110010101010100111001 C -b1 2 -b1 = -18 -b10011111111101100110000110001100 < -b11111110110001011001011001000001 : -b1011110110011110011010010110101 $ -b1011110110011110011010010110101 - -b1011110110011110011010010110101 5 -b1011110110011110011010010110101 ? -b1011110110011110011010010110101 D -b10011111111101100110000110001100 % -b10011111111101100110000110001100 . -b10011111111101100110000110001100 6 -b10011111111101100110000110001100 @ -b10011111111101100110000110001100 F -b11000001001110010101010100111001 ) -#363648000 -0& -#363664000 -b1011000110010 , -#363680000 -1& -#363696000 -b10000001111101000100011000000100 " -b10000001111101000100011000000100 4 -b10000001111101000100011000000100 1 -b10000001111101000100011000000100 C -b0 2 -b0 = -08 -b11111110000110110100011111001111 < -b1111110000010100100100110011010 : -b1111111111011110000000111001011 $ -b1111111111011110000000111001011 - -b1111111111011110000000111001011 5 -b1111111111011110000000111001011 ? -b1111111111011110000000111001011 D -b11111110000110110100011111001111 % -b11111110000110110100011111001111 . -b11111110000110110100011111001111 6 -b11111110000110110100011111001111 @ -b11111110000110110100011111001111 F -b10000001111101000100011000000100 ) -#363712000 -0& -#363728000 -b1011000110011 , -#363744000 -1& -#363760000 -b101100011110000000111010110011 " -b101100011110000000111010110011 4 -b101100011110000000111010110011 1 -b101100011110000000111010110011 C -b0 2 -b0 = -19 -08 -b1010110111011001011111010110010 < -b11010001100000010110111010110011 : -b1111010100101001011000000000001 $ -b1111010100101001011000000000001 - -b1111010100101001011000000000001 5 -b1111010100101001011000000000001 ? -b1111010100101001011000000000001 D -b1010110111011001011111010110010 % -b1010110111011001011111010110010 . -b1010110111011001011111010110010 6 -b1010110111011001011111010110010 @ -b1010110111011001011111010110010 F -b101100011110000000111010110011 ) -#363776000 -0& -#363792000 -b1011000110100 , -#363808000 -1& -#363824000 -b10001100010000100110101110111111 " -b10001100010000100110101110111111 4 -b10001100010000100110101110111111 1 -b10001100010000100110101110111111 C -09 -b0 2 -b0 = -08 -b1110111101111010000101001101001 < -b1110011101111000110110000111111 : -b11111011111111110110000111010110 $ -b11111011111111110110000111010110 - -b11111011111111110110000111010110 5 -b11111011111111110110000111010110 ? -b11111011111111110110000111010110 D -b1110111101111010000101001101001 % -b1110111101111010000101001101001 . -b1110111101111010000101001101001 6 -b1110111101111010000101001101001 @ -b1110111101111010000101001101001 F -b10001100010000100110101110111111 ) -#363840000 -0& -#363856000 -b1011000110101 , -#363872000 -1& -#363888000 -b110001001111010110111101100100 " -b110001001111010110111101100100 4 -b110001001111010110111101100100 1 -b110001001111010110111101100100 C -b1 2 -b1 = -18 -b11110111111100110110100100100101 < -b10111110110000010110111101100110 : -b11000110110011100000011001000001 $ -b11000110110011100000011001000001 - -b11000110110011100000011001000001 5 -b11000110110011100000011001000001 ? -b11000110110011100000011001000001 D -b11110111111100110110100100100101 % -b11110111111100110110100100100101 . -b11110111111100110110100100100101 6 -b11110111111100110110100100100101 @ -b11110111111100110110100100100101 F -b110001001111010110111101100100 ) -#363904000 -0& -#363920000 -b1011000110110 , -#363936000 -1& -#363952000 -b1100110101000111111101010010 " -b1100110101000111111101010010 4 -b1100110101000111111101010010 1 -b1100110101000111111101010010 C -b0 2 -b0 = -08 -b101111111111111100001100100101 < -b1010011001010110111111110011100 : -b100011001010111011110001110111 $ -b100011001010111011110001110111 - -b100011001010111011110001110111 5 -b100011001010111011110001110111 ? -b100011001010111011110001110111 D -b101111111111111100001100100101 % -b101111111111111100001100100101 . -b101111111111111100001100100101 6 -b101111111111111100001100100101 @ -b101111111111111100001100100101 F -b1100110101000111111101010010 ) -#363968000 -0& -#363984000 -b1011000110111 , -#364000000 -1& -#364016000 -b1011000010101001100101101010010 " -b1011000010101001100101101010010 4 -b1011000010101001100101101010010 1 -b1011000010101001100101101010010 C -b1 2 -b1 = -18 -b10110111111011111010011110000001 < -b10100111101010110001010001010100 : -b11101111101110110110110011010011 $ -b11101111101110110110110011010011 - -b11101111101110110110110011010011 5 -b11101111101110110110110011010011 ? -b11101111101110110110110011010011 D -b10110111111011111010011110000001 % -b10110111111011111010011110000001 . -b10110111111011111010011110000001 6 -b10110111111011111010011110000001 @ -b10110111111011111010011110000001 F -b1011000010101001100101101010010 ) -#364032000 -0& -#364048000 -b1011000111000 , -#364064000 -1& -#364080000 -b10011100100111000100010110011100 " -b10011100100111000100010110011100 4 -b10011100100111000100010110011100 1 -b10011100100111000100010110011100 C -b1 2 -b1 = -18 -09 -b10101111011001110111011101001110 < -b11100011011000101010101000100000 : -b110011111110110011001011010010 $ -b110011111110110011001011010010 - -b110011111110110011001011010010 5 -b110011111110110011001011010010 ? -b110011111110110011001011010010 D -b10101111011001110111011101001110 % -b10101111011001110111011101001110 . -b10101111011001110111011101001110 6 -b10101111011001110111011101001110 @ -b10101111011001110111011101001110 F -b10011100100111000100010110011100 ) -#364096000 -0& -#364112000 -b1011000111001 , -#364128000 -1& -#364144000 -b11000010010011101010101000011010 " -b11000010010011101010101000011010 4 -b11000010010011101010101000011010 1 -b11000010010011101010101000011010 C -b0 2 -b0 = -08 -b1110111101101000010001110111110 < -b101101101011101010110101100010 : -b10110101111110101000100110100100 $ -b10110101111110101000100110100100 - -b10110101111110101000100110100100 5 -b10110101111110101000100110100100 ? -b10110101111110101000100110100100 D -b1110111101101000010001110111110 % -b1110111101101000010001110111110 . -b1110111101101000010001110111110 6 -b1110111101101000010001110111110 @ -b1110111101101000010001110111110 F -b11000010010011101010101000011010 ) -#364160000 -0& -#364176000 -b1011000111010 , -#364192000 -1& -#364208000 -b10001001010010011100110111110111 " -b10001001010010011100110111110111 4 -b10001001010010011100110111110111 1 -b10001001010010011100110111110111 C -b1 2 -b1 = -18 -b110110101111101111010010110101 < -b11110110101101100010110111110111 : -b10111111111101110011100101000010 $ -b10111111111101110011100101000010 - -b10111111111101110011100101000010 5 -b10111111111101110011100101000010 ? -b10111111111101110011100101000010 D -b110110101111101111010010110101 % -b110110101111101111010010110101 . -b110110101111101111010010110101 6 -b110110101111101111010010110101 @ -b110110101111101111010010110101 F -b10001001010010011100110111110111 ) -#364224000 -0& -#364240000 -b1011000111011 , -#364256000 -1& -#364272000 -b110111000000000011111100110110 " -b110111000000000011111100110110 4 -b110111000000000011111100110110 1 -b110111000000000011111100110110 C -b11011110111111111110101111100101 < -b11001000111111111100000010111000 : -b11101001111111111101010011010011 $ -b11101001111111111101010011010011 - -b11101001111111111101010011010011 5 -b11101001111111111101010011010011 ? -b11101001111111111101010011010011 D -b11011110111111111110101111100101 % -b11011110111111111110101111100101 . -b11011110111111111110101111100101 6 -b11011110111111111110101111100101 @ -b11011110111111111110101111100101 F -b110111000000000011111100110110 ) -#364288000 -0& -#364304000 -b1011000111100 , -#364320000 -1& -#364336000 -b1110001010000000010100011000000 " -b1110001010000000010100011000000 4 -b1110001010000000010100011000000 1 -b1110001010000000010100011000000 C -b0 2 -b0 = -08 -19 -b1011110111111111110110101011011 < -b10001110101111111011001011110110 : -b101111101111111100010110011011 $ -b101111101111111100010110011011 - -b101111101111111100010110011011 5 -b101111101111111100010110011011 ? -b101111101111111100010110011011 D -b1011110111111111110110101011011 % -b1011110111111111110110101011011 . -b1011110111111111110110101011011 6 -b1011110111111111110110101011011 @ -b1011110111111111110110101011011 F -b1110001010000000010100011000000 ) -#364352000 -0& -#364368000 -b1011000111101 , -#364384000 -1& -#364400000 -b10100010100100110110100111001101 " -b10100010100100110110100111001101 4 -b10100010100100110110100111001101 1 -b10100010100100110110100111001101 C -b0 2 -b0 = -09 -08 -b11011111011010010011011001000110 < -b1011101011000111001010111010001 : -b1111101111110100101111110001011 $ -b1111101111110100101111110001011 - -b1111101111110100101111110001011 5 -b1111101111110100101111110001011 ? -b1111101111110100101111110001011 D -b11011111011010010011011001000110 % -b11011111011010010011011001000110 . -b11011111011010010011011001000110 6 -b11011111011010010011011001000110 @ -b11011111011010010011011001000110 F -b10100010100100110110100111001101 ) -#364416000 -0& -#364432000 -b1011000111110 , -#364448000 -1& -#364464000 -b101110010001010000011100110000 " -b101110010001010000011100110000 4 -b101110010001010000011100110000 1 -b101110010001010000011100110000 C -b0 2 -b0 = -19 -08 -b1111011111111111001010110010111 < -b11010001101110100010100000111110 : -b1010101101110101001001010100111 $ -b1010101101110101001001010100111 - -b1010101101110101001001010100111 5 -b1010101101110101001001010100111 ? -b1010101101110101001001010100111 D -b1111011111111111001010110010111 % -b1111011111111111001010110010111 . -b1111011111111111001010110010111 6 -b1111011111111111001010110010111 @ -b1111011111111111001010110010111 F -b101110010001010000011100110000 ) -#364480000 -0& -#364496000 -b1011000111111 , -#364512000 -1& -#364528000 -b11001011110001000101110100110011 " -b11001011110001000101110100110011 4 -b11001011110001000101110100110011 1 -b11001011110001000101110100110011 C -b1 2 -b1 = -18 -09 -b11011100001111110100011110011000 < -b11110100001110100110001001000011 : -b10111111110110001101010101011 $ -b10111111110110001101010101011 - -b10111111110110001101010101011 5 -b10111111110110001101010101011 ? -b10111111110110001101010101011 D -b11011100001111110100011110011000 % -b11011100001111110100011110011000 . -b11011100001111110100011110011000 6 -b11011100001111110100011110011000 @ -b11011100001111110100011110011000 F -b11001011110001000101110100110011 ) -#364544000 -0& -#364560000 -b1011001000000 , -#364576000 -1& -#364592000 -b11100100110001100101111101100 " -b11100100110001100101111101100 4 -b11100100110001100101111101100 1 -b11100100110001100101111101100 C -b0 2 -b0 = -08 -19 -b1101111111111111001100100001100 < -b11100011011001101110101111101100 : -b1110011011001110101001011100000 $ -b1110011011001110101001011100000 - -b1110011011001110101001011100000 5 -b1110011011001110101001011100000 ? -b1110011011001110101001011100000 D -b1101111111111111001100100001100 % -b1101111111111111001100100001100 . -b1101111111111111001100100001100 6 -b1101111111111111001100100001100 @ -b1101111111111111001100100001100 F -b11100100110001100101111101100 ) -#364608000 -0& -#364624000 -b1011001000001 , -#364640000 -1& -#364656000 -b10010100000000001111110101111 " -b10010100000000001111110101111 4 -b10010100000000001111110101111 1 -b10010100000000001111110101111 C -b1101111011111011100010010110111 < -b11101101011110111001111111001111 : -b1111101111111011101101100011000 $ -b1111101111111011101101100011000 - -b1111101111111011101101100011000 5 -b1111101111111011101101100011000 ? -b1111101111111011101101100011000 D -b1101111011111011100010010110111 % -b1101111011111011100010010110111 . -b1101111011111011100010010110111 6 -b1101111011111011100010010110111 @ -b1101111011111011100010010110111 F -b10010100000000001111110101111 ) -#364672000 -0& -#364688000 -b1011001000010 , -#364704000 -1& -#364720000 -b1101110000000000111100111001001 " -b1101110000000000111100111001001 4 -b1101110000000000111100111001001 1 -b1101110000000000111100111001001 C -b11101111111110000000110110110 < -b10010001111111100111101000110101 : -b1110011111111110111100001111111 $ -b1110011111111110111100001111111 - -b1110011111111110111100001111111 5 -b1110011111111110111100001111111 ? -b1110011111111110111100001111111 D -b11101111111110000000110110110 % -b11101111111110000000110110110 . -b11101111111110000000110110110 6 -b11101111111110000000110110110 @ -b11101111111110000000110110110 F -b1101110000000000111100111001001 ) -#364736000 -0& -#364752000 -b1011001000011 , -#364768000 -1& -#364784000 -b10001111001010110111111110011001 " -b10001111001010110111111110011001 4 -b10001111001010110111111110011001 1 -b10001111001010110111111110011001 C -b0 2 -b0 = -09 -08 -b11110101111101101111110001000101 < -b1110000110101001000000000100001 : -b1111010110111011000001111011100 $ -b1111010110111011000001111011100 - -b1111010110111011000001111011100 5 -b1111010110111011000001111011100 ? -b1111010110111011000001111011100 D -b11110101111101101111110001000101 % -b11110101111101101111110001000101 . -b11110101111101101111110001000101 6 -b11110101111101101111110001000101 @ -b11110101111101101111110001000101 F -b10001111001010110111111110011001 ) -#364800000 -0& -#364816000 -b1011001000100 , -#364832000 -1& -#364848000 -b111010000001001001110000011100 " -b111010000001001001110000011100 4 -b111010000001001001110000011100 1 -b111010000001001001110000011100 C -b0 2 -b0 = -19 -08 -b1000111011110111110010101000001 < -b11000100111110110101111010011110 : -b1111101011111110111100101011101 $ -b1111101011111110111100101011101 - -b1111101011111110111100101011101 5 -b1111101011111110111100101011101 ? -b1111101011111110111100101011101 D -b1000111011110111110010101000001 % -b1000111011110111110010101000001 . -b1000111011110111110010101000001 6 -b1000111011110111110010101000001 @ -b1000111011110111110010101000001 F -b111010000001001001110000011100 ) -#364864000 -0& -#364880000 -b1011001000101 , -#364896000 -1& -#364912000 -b1000100101000100100010001101111 " -b1000100101000100100010001101111 4 -b1000100101000100100010001101111 1 -b1000100101000100100010001101111 C -b1111111111100111110100010001000 < -b10111011010001011001010101101111 : -b111011010100011010110011100111 $ -b111011010100011010110011100111 - -b111011010100011010110011100111 5 -b111011010100011010110011100111 ? -b111011010100011010110011100111 D -b1111111111100111110100010001000 % -b1111111111100111110100010001000 . -b1111111111100111110100010001000 6 -b1111111111100111110100010001000 @ -b1111111111100111110100010001000 F -b1000100101000100100010001101111 ) -#364928000 -0& -#364944000 -b1011001000110 , -#364960000 -1& -#364976000 -b10000000010111000010000101001 " -b10000000010111000010000101001 4 -b10000000010111000010000101001 1 -b10000000010111000010000101001 C -b1100111101111001110011011000010 < -b11011111011101000100100110101101 : -b1110111101101110110001011101011 $ -b1110111101101110110001011101011 - -b1110111101101110110001011101011 5 -b1110111101101110110001011101011 ? -b1110111101101110110001011101011 D -b1100111101111001110011011000010 % -b1100111101111001110011011000010 . -b1100111101111001110011011000010 6 -b1100111101111001110011011000010 @ -b1100111101111001110011011000010 F -b10000000010111000010000101001 ) -#364992000 -0& -#365008000 -b1011001000111 , -#365024000 -1& -#365040000 -b101000100100101111001010110 " -b101000100100101111001010110 4 -b101000100100101111001010110 1 -b101000100100101111001010110 C -b1 2 -b1 = -18 -09 -b11111010111111111001111010000010 < -b11111010111011010101111101010110 : -b11111111111011011100000011010100 $ -b11111111111011011100000011010100 - -b11111111111011011100000011010100 5 -b11111111111011011100000011010100 ? -b11111111111011011100000011010100 D -b11111010111111111001111010000010 % -b11111010111111111001111010000010 . -b11111010111111111001111010000010 6 -b11111010111111111001111010000010 @ -b11111010111111111001111010000010 F -b101000100100101111001010110 ) -#365056000 -0& -#365072000 -b1011001001000 , -#365088000 -1& -#365104000 -b10101000011110011010100110110010 " -b10101000011110011010100110110010 4 -b10101000011110011010100110110010 1 -b10101000011110011010100110110010 C -b0 2 -b0 = -08 -b1111111100111100000000101010011 < -b1010111100001011010101000110100 : -b11010111111001111010100011100001 $ -b11010111111001111010100011100001 - -b11010111111001111010100011100001 5 -b11010111111001111010100011100001 ? -b11010111111001111010100011100001 D -b1111111100111100000000101010011 % -b1111111100111100000000101010011 . -b1111111100111100000000101010011 6 -b1111111100111100000000101010011 @ -b1111111100111100000000101010011 F -b10101000011110011010100110110010 ) -#365120000 -0& -#365136000 -b1011001001001 , -#365152000 -1& -#365168000 -b10111000001010110110110011111 " -b10111000001010110110110011111 4 -b10111000001010110110110011111 1 -b10111000001010110110110011111 C -19 -b0 2 -b0 = -08 -b1111111111110111111011100001101 < -b11101000111110101001000110011111 : -b1101000111111101001101010010010 $ -b1101000111111101001101010010010 - -b1101000111111101001101010010010 5 -b1101000111111101001101010010010 ? -b1101000111111101001101010010010 D -b1111111111110111111011100001101 % -b1111111111110111111011100001101 . -b1111111111110111111011100001101 6 -b1111111111110111111011100001101 @ -b1111111111110111111011100001101 F -b10111000001010110110110011111 ) -#365184000 -0& -#365200000 -b1011001001010 , -#365216000 -1& -#365232000 -b11010011001010101110000110 " -b11010011001010101110000110 4 -b11010011001010101110000110 1 -b11010011001010101110000110 C -b1 2 -b1 = -18 -b10111010111100111010100101000110 < -b1110100101100101010110000000110 : -b10111001101111110000001011000000 $ -b10111001101111110000001011000000 - -b10111001101111110000001011000000 5 -b10111001101111110000001011000000 ? -b10111001101111110000001011000000 D -b10111010111100111010100101000110 % -b10111010111100111010100101000110 . -b10111010111100111010100101000110 6 -b10111010111100111010100101000110 @ -b10111010111100111010100101000110 F -b11010011001010101110000110 ) -#365248000 -0& -#365264000 -b1011001001011 , -#365280000 -1& -#365296000 -b10001001000000110010110001101011 " -b10001001000000110010110001101011 4 -b10001001000000110010110001101011 1 -b10001001000000110010110001101011 C -b0 2 -b0 = -08 -09 -b11001111110111000010011101100110 < -b10110101110110011001001110011 : -b1000110110111110000101100001101 $ -b1000110110111110000101100001101 - -b1000110110111110000101100001101 5 -b1000110110111110000101100001101 ? -b1000110110111110000101100001101 D -b11001111110111000010011101100110 % -b11001111110111000010011101100110 . -b11001111110111000010011101100110 6 -b11001111110111000010011101100110 @ -b11001111110111000010011101100110 F -b10001001000000110010110001101011 ) -#365312000 -0& -#365328000 -b1011001001100 , -#365344000 -1& -#365360000 -b10000101010111000100000010110111 " -b10000101010111000100000010110111 4 -b10000101010111000100000010110111 1 -b10000101010111000100000010110111 C -b1101110101001111001100000001111 < -b1011010101000110111000011000111 : -b11101011111110111101100010111000 $ -b11101011111110111101100010111000 - -b11101011111110111101100010111000 5 -b11101011111110111101100010111000 ? -b11101011111110111101100010111000 D -b1101110101001111001100000001111 % -b1101110101001111001100000001111 . -b1101110101001111001100000001111 6 -b1101110101001111001100000001111 @ -b1101110101001111001100000001111 F -b10000101010111000100000010110111 ) -#365376000 -0& -#365392000 -b1011001001101 , -#365408000 -1& -#365424000 -b111110101001010010100000011 " -b111110101001010010100000011 4 -b111110101001010010100000011 1 -b111110101001010010100000011 C -19 -b0 2 -b0 = -08 -b1111001101110110111000001101101 < -b11111000001010110100010111011011 : -b1111110011011111101010101101110 $ -b1111110011011111101010101101110 - -b1111110011011111101010101101110 5 -b1111110011011111101010101101110 ? -b1111110011011111101010101101110 D -b1111001101110110111000001101101 % -b1111001101110110111000001101101 . -b1111001101110110111000001101101 6 -b1111001101110110111000001101101 @ -b1111001101110110111000001101101 F -b111110101001010010100000011 ) -#365440000 -0& -#365456000 -b1011001001110 , -#365472000 -1& -#365488000 -b10000110100011100000101100010000 " -b10000110100011100000101100010000 4 -b10000110100011100000101100010000 1 -b10000110100011100000101100010000 C -b1 2 -b1 = -18 -09 -b10101111011100111010010111111010 < -b11011001011100010101010011100100 : -b101001111111011010111011101010 $ -b101001111111011010111011101010 - -b101001111111011010111011101010 5 -b101001111111011010111011101010 ? -b101001111111011010111011101010 D -b10101111011100111010010111111010 % -b10101111011100111010010111111010 . -b10101111011100111010010111111010 6 -b10101111011100111010010111111010 @ -b10101111011100111010010111111010 F -b10000110100011100000101100010000 ) -#365504000 -0& -#365520000 -b1011001001111 , -#365536000 -1& -#365552000 -b10101111010001011110010001110001 " -b10101111010001011110010001110001 4 -b10101111010001011110010001110001 1 -b10101111010001011110010001110001 C -b0 2 -b0 = -08 -b1111001101111111110011000001100 < -b1010000101110011110100010001001 : -b11010110111110100000001001111101 $ -b11010110111110100000001001111101 - -b11010110111110100000001001111101 5 -b11010110111110100000001001111101 ? -b11010110111110100000001001111101 D -b1111001101111111110011000001100 % -b1111001101111111110011000001100 . -b1111001101111111110011000001100 6 -b1111001101111111110011000001100 @ -b1111001101111111110011000001100 F -b10101111010001011110010001110001 ) -#365568000 -0& -#365584000 -b1011001010000 , -#365600000 -1& -#365616000 -b101011100000111000110011010100 " -b101011100000111000110011010100 4 -b101011100000111000110011010100 1 -b101011100000111000110011010100 C -b10001011111111000101101010110 < -b1001100011110111001001011011000 : -b111010111111000000011110000010 $ -b111010111111000000011110000010 - -b111010111111000000011110000010 5 -b111010111111000000011110000010 ? -b111010111111000000011110000010 D -b10001011111111000101101010110 % -b10001011111111000101101010110 . -b10001011111111000101101010110 6 -b10001011111111000101101010110 @ -b10001011111111000101101010110 F -b101011100000111000110011010100 ) -#365632000 -0& -#365648000 -b1011001010001 , -#365664000 -1& -#365680000 -b10111100000000000101010001001111 " -b10111100000000000101010001001111 4 -b10111100000000000101010001001111 1 -b10111100000000000101010001001111 C -b0 2 -b0 = -08 -09 -b1110011111111110100011010111111 < -b1000011111111100101100110101111 : -b11001111111111110001001011110000 $ -b11001111111111110001001011110000 - -b11001111111111110001001011110000 5 -b11001111111111110001001011110000 ? -b11001111111111110001001011110000 D -b1110011111111110100011010111111 % -b1110011111111110100011010111111 . -b1110011111111110100011010111111 6 -b1110011111111110100011010111111 @ -b1110011111111110100011010111111 F -b10111100000000000101010001001111 ) -#365696000 -0& -#365712000 -b1011001010010 , -#365728000 -1& -#365744000 -b10101111000111000001000101110010 " -b10101111000111000001000101110010 4 -b10101111000111000001000101110010 1 -b10101111000111000001000101110010 C -b1010011110010111111111010011100 < -b1010000101000111110111010001010 : -b11111100110101111110111111101110 $ -b11111100110101111110111111101110 - -b11111100110101111110111111101110 5 -b11111100110101111110111111101110 ? -b11111100110101111110111111101110 D -b1010011110010111111111010011100 % -b1010011110010111111111010011100 . -b1010011110010111111111010011100 6 -b1010011110010111111111010011100 @ -b1010011110010111111111010011100 F -b10101111000111000001000101110010 ) -#365760000 -0& -#365776000 -b1011001010011 , -#365792000 -1& -#365808000 -b10101000100100101011101010010110 " -b10101000100100101011101010010110 4 -b10101000100100101011101010010110 1 -b10101000100100101011101010010110 C -b1110111101011011010000101001011 < -b1010110111011001011110100101000 : -b11011111001111110001101111011101 $ -b11011111001111110001101111011101 - -b11011111001111110001101111011101 5 -b11011111001111110001101111011101 ? -b11011111001111110001101111011101 D -b1110111101011011010000101001011 % -b1110111101011011010000101001011 . -b1110111101011011010000101001011 6 -b1110111101011011010000101001011 @ -b1110111101011011010000101001011 F -b10101000100100101011101010010110 ) -#365824000 -0& -#365840000 -b1011001010100 , -#365856000 -1& -#365872000 -b11001000000000011000000111100011 " -b11001000000000011000000111100011 4 -b11001000000000011000000111100011 1 -b11001000000000011000000111100011 C -b10110111111111110101000101000101 < -b110111111111100010000111101011 : -b1111111111111101101000010100110 $ -b1111111111111101101000010100110 - -b1111111111111101101000010100110 5 -b1111111111111101101000010100110 ? -b1111111111111101101000010100110 D -b10110111111111110101000101000101 % -b10110111111111110101000101000101 . -b10110111111111110101000101000101 6 -b10110111111111110101000101000101 @ -b10110111111111110101000101000101 F -b11001000000000011000000111100011 ) -#365888000 -0& -#365904000 -b1011001010101 , -#365920000 -1& -#365936000 -b100100010000000110011001110010 " -b100100010000000110011001110010 4 -b100100010000000110011001110010 1 -b100100010000000110011001110010 C -b1 2 -b1 = -18 -19 -b10000011111111011010010110111010 < -b101011101110110110100110000010 : -b10100111101111011100001111001000 $ -b10100111101111011100001111001000 - -b10100111101111011100001111001000 5 -b10100111101111011100001111001000 ? -b10100111101111011100001111001000 D -b10000011111111011010010110111010 % -b10000011111111011010010110111010 . -b10000011111111011010010110111010 6 -b10000011111111011010010110111010 @ -b10000011111111011010010110111010 F -b100100010000000110011001110010 ) -#365952000 -0& -#365968000 -b1011001010110 , -#365984000 -1& -#366000000 -b10000111000011010001100000111 " -b10000111000011010001100000111 4 -b10000111000011010001100000111 1 -b10000111000011010001100000111 C -b0 2 -b0 = -08 -b1101111001111101010011100000001 < -b11101111000111011010101100000111 : -b1111111110111110000010000000110 $ -b1111111110111110000010000000110 - -b1111111110111110000010000000110 5 -b1111111110111110000010000000110 ? -b1111111110111110000010000000110 D -b1101111001111101010011100000001 % -b1101111001111101010011100000001 . -b1101111001111101010011100000001 6 -b1101111001111101010011100000001 @ -b1101111001111101010011100000001 F -b10000111000011010001100000111 ) -#366016000 -0& -#366032000 -b1011001010111 , -#366048000 -1& -#366064000 -b10011011000110010110000011011001 " -b10011011000110010110000011011001 4 -b10011011000110010110000011011001 1 -b10011011000110010110000011011001 C -b0 2 -b0 = -09 -08 -b11110110111111101101000011010110 < -b1100100111001101000000011100101 : -b1101101111001111011000000001111 $ -b1101101111001111011000000001111 - -b1101101111001111011000000001111 5 -b1101101111001111011000000001111 ? -b1101101111001111011000000001111 D -b11110110111111101101000011010110 % -b11110110111111101101000011010110 . -b11110110111111101101000011010110 6 -b11110110111111101101000011010110 @ -b11110110111111101101000011010110 F -b10011011000110010110000011011001 ) -#366080000 -0& -#366096000 -b1011001011000 , -#366112000 -1& -#366128000 -b11100100000010011100001011101101 " -b11100100000010011100001011101101 4 -b11100100000010011100001011101101 1 -b11100100000010011100001011101101 C -b11011111001100011001111101110 < -b11011110101100010010011110001 : -b11111111111011111111000100000011 $ -b11111111111011111111000100000011 - -b11111111111011111111000100000011 5 -b11111111111011111111000100000011 ? -b11111111111011111111000100000011 D -b11011111001100011001111101110 % -b11011111001100011001111101110 . -b11011111001100011001111101110 6 -b11011111001100011001111101110 @ -b11011111001100011001111101110 F -b11100100000010011100001011101101 ) -#366144000 -0& -#366160000 -b1011001011001 , -#366176000 -1& -#366192000 -b101000010010110001000111001 " -b101000010010110001000111001 4 -b101000010010110001000111001 1 -b101000010010110001000111001 C -19 -b0 2 -b0 = -08 -b1110010111001101111100011100000 < -b11101010110101101001001110111001 : -b1110111111011111001101011011001 $ -b1110111111011111001101011011001 - -b1110111111011111001101011011001 5 -b1110111111011111001101011011001 ? -b1110111111011111001101011011001 D -b1110010111001101111100011100000 % -b1110010111001101111100011100000 . -b1110010111001101111100011100000 6 -b1110010111001101111100011100000 @ -b1110010111001101111100011100000 F -b101000010010110001000111001 ) -#366208000 -0& -#366224000 -b1011001011010 , -#366240000 -1& -#366256000 -b110110010100110110000001000011 " -b110110010100110110000001000011 4 -b110110010100110110000001000011 1 -b110110010100110110000001000011 C -b1111011100111100111000010011110 < -b11001001011010111000000101111011 : -b1001101110011010001000011011101 $ -b1001101110011010001000011011101 - -b1001101110011010001000011011101 5 -b1001101110011010001000011011101 ? -b1001101110011010001000011011101 D -b1111011100111100111000010011110 % -b1111011100111100111000010011110 . -b1111011100111100111000010011110 6 -b1111011100111100111000010011110 @ -b1111011100111100111000010011110 F -b110110010100110110000001000011 ) -#366272000 -0& -#366288000 -b1011001011011 , -#366304000 -1& -#366320000 -b11000100010010100011011000000100 " -b11000100010010100011011000000100 4 -b11000100010010100011011000000100 1 -b11000100010010100011011000000100 C -09 -b0 2 -b0 = -08 -b1111111111111110001010111010101 < -b111011101101000011100110100110 : -b10111011101101010010001111010001 $ -b10111011101101010010001111010001 - -b10111011101101010010001111010001 5 -b10111011101101010010001111010001 ? -b10111011101101010010001111010001 D -b1111111111111110001010111010101 % -b1111111111111110001010111010101 . -b1111111111111110001010111010101 6 -b1111111111111110001010111010101 @ -b1111111111111110001010111010101 F -b11000100010010100011011000000100 ) -#366336000 -0& -#366352000 -b1011001011100 , -#366368000 -1& -#366384000 -b11111000000001001110000110111100 " -b11111000000001001110000110111100 4 -b11111000000001001110000110111100 1 -b11111000000001001110000110111100 C -b10011111111110110001000011110111 < -b111111110110000001001000010 : -b1100111111111111111000101001011 $ -b1100111111111111111000101001011 - -b1100111111111111111000101001011 5 -b1100111111111111111000101001011 ? -b1100111111111111111000101001011 D -b10011111111110110001000011110111 % -b10011111111110110001000011110111 . -b10011111111110110001000011110111 6 -b10011111111110110001000011110111 @ -b10011111111110110001000011110111 F -b11111000000001001110000110111100 ) -#366400000 -0& -#366416000 -b1011001011101 , -#366432000 -1& -#366448000 -b10100000001100001101100010000111 " -b10100000001100001101100010000111 4 -b10100000001100001101100010000111 1 -b10100000001100001101100010000111 C -b1011110111101001111001000101101 < -b1011101101110010001110011010111 : -b11111110110001000010101010101010 $ -b11111110110001000010101010101010 - -b11111110110001000010101010101010 5 -b11111110110001000010101010101010 ? -b11111110110001000010101010101010 D -b1011110111101001111001000101101 % -b1011110111101001111001000101101 . -b1011110111101001111001000101101 6 -b1011110111101001111001000101101 @ -b1011110111101001111001000101101 F -b10100000001100001101100010000111 ) -#366464000 -0& -#366480000 -b1011001011110 , -#366496000 -1& -#366512000 -b10011000010001011000100001101001 " -b10011000010001011000100001101001 4 -b10011000010001011000100001101001 1 -b10011000010001011000100001101001 C -b1101111101111111010001100001111 < -b1100111101110011100111001110101 : -b11110111111110100010101101100110 $ -b11110111111110100010101101100110 - -b11110111111110100010101101100110 5 -b11110111111110100010101101100110 ? -b11110111111110100010101101100110 D -b1101111101111111010001100001111 % -b1101111101111111010001100001111 . -b1101111101111111010001100001111 6 -b1101111101111111010001100001111 @ -b1101111101111111010001100001111 F -b10011000010001011000100001101001 ) -#366528000 -0& -#366544000 -b1011001011111 , -#366560000 -1& -#366576000 -b10110000110001111001011100 " -b10110000110001111001011100 4 -b10110000110001111001011100 1 -b10110000110001111001011100 C -19 -b0 2 -b0 = -08 -b1011111001111100000110010010101 < -b10111101001110110001111101011110 : -b1011101111111010001001011001001 $ -b1011101111111010001001011001001 - -b1011101111111010001001011001001 5 -b1011101111111010001001011001001 ? -b1011101111111010001001011001001 D -b1011111001111100000110010010101 % -b1011111001111100000110010010101 . -b1011111001111100000110010010101 6 -b1011111001111100000110010010101 @ -b1011111001111100000110010010101 F -b10110000110001111001011100 ) -#366592000 -0& -#366608000 -b1011001100000 , -#366624000 -1& -#366640000 -b1001101100101001010001101111000 " -b1001101100101001010001101111000 4 -b1001101100101001010001101111000 1 -b1001101100101001010001101111000 C -b1111011111110101101101111011100 < -b10110010011010010101010010000000 : -b110110011011100111100010100100 $ -b110110011011100111100010100100 - -b110110011011100111100010100100 5 -b110110011011100111100010100100 ? -b110110011011100111100010100100 D -b1111011111110101101101111011100 % -b1111011111110101101101111011100 . -b1111011111110101101101111011100 6 -b1111011111110101101101111011100 @ -b1111011111110101101101111011100 F -b1001101100101001010001101111000 ) -#366656000 -0& -#366672000 -b1011001100001 , -#366688000 -1& -#366704000 -b1110100100011100010000010001 " -b1110100100011100010000010001 4 -b1110100100011100010000010001 1 -b1110100100011100010000010001 C -b1111101110011100010000101100001 < -b11110001001011100000011011010001 : -b1110011010111111110010101110000 $ -b1110011010111111110010101110000 - -b1110011010111111110010101110000 5 -b1110011010111111110010101110000 ? -b1110011010111111110010101110000 D -b1111101110011100010000101100001 % -b1111101110011100010000101100001 . -b1111101110011100010000101100001 6 -b1111101110011100010000101100001 @ -b1111101110011100010000101100001 F -b1110100100011100010000010001 ) -#366720000 -0& -#366736000 -b1011001100010 , -#366752000 -1& -#366768000 -b1000000010000111011100000111011 " -b1000000010000111011100000111011 4 -b1000000010000111011100000111011 1 -b1000000010000111011100000111011 C -b1 2 -b1 = -18 -09 -b11111011111111001100110001010001 < -b10110111101111000100000010111011 : -b10111011101111110111010001101010 $ -b10111011101111110111010001101010 - -b10111011101111110111010001101010 5 -b10111011101111110111010001101010 ? -b10111011101111110111010001101010 D -b11111011111111001100110001010001 % -b11111011111111001100110001010001 . -b11111011111111001100110001010001 6 -b11111011111111001100110001010001 @ -b11111011111111001100110001010001 F -b1000000010000111011100000111011 ) -#366784000 -0& -#366800000 -b1011001100011 , -#366816000 -1& -#366832000 -b10011001001000010010111000001101 " -b10011001001000010010111000001101 4 -b10011001001000010010111000001101 1 -b10011001001000010010111000001101 C -b0 2 -b0 = -08 -b11101111011111111110111111000111 < -b1100101110111101011000110010001 : -b1110110010111101100000111001010 $ -b1110110010111101100000111001010 - -b1110110010111101100000111001010 5 -b1110110010111101100000111001010 ? -b1110110010111101100000111001010 D -b11101111011111111110111111000111 % -b11101111011111111110111111000111 . -b11101111011111111110111111000111 6 -b11101111011111111110111111000111 @ -b11101111011111111110111111000111 F -b10011001001000010010111000001101 ) -#366848000 -0& -#366864000 -b1011001100100 , -#366880000 -1& -#366896000 -b1011110000010000100000111000010 " -b1011110000010000100000111000010 4 -b1011110000010000100000111000010 1 -b1011110000010000100000111000010 C -b0 2 -b0 = -19 -08 -b1111011101101110110001111101010 < -b10100001011101101000011000010010 : -b100101101111110010001000101000 $ -b100101101111110010001000101000 - -b100101101111110010001000101000 5 -b100101101111110010001000101000 ? -b100101101111110010001000101000 D -b1111011101101110110001111101010 % -b1111011101101110110001111101010 . -b1111011101101110110001111101010 6 -b1111011101101110110001111101010 @ -b1111011101101110110001111101010 F -b1011110000010000100000111000010 ) -#366912000 -0& -#366928000 -b1011001100101 , -#366944000 -1& -#366960000 -b10100000001100001010001111100000 " -b10100000001100001010001111100000 4 -b10100000001100001010001111100000 1 -b10100000001100001010001111100000 C -09 -b0 2 -b0 = -08 -b1001110100111110101101000001100 < -b111101010011110101001111111000 : -b11101110101011111111100111101100 $ -b11101110101011111111100111101100 - -b11101110101011111111100111101100 5 -b11101110101011111111100111101100 ? -b11101110101011111111100111101100 D -b1001110100111110101101000001100 % -b1001110100111110101101000001100 . -b1001110100111110101101000001100 6 -b1001110100111110101101000001100 @ -b1001110100111110101101000001100 F -b10100000001100001010001111100000 ) -#366976000 -0& -#366992000 -b1011001100110 , -#367008000 -1& -#367024000 -b10010011101110011000000010110111 " -b10010011101110011000000010110111 4 -b10010011101110011000000010110111 1 -b10010011101110011000000010110111 C -b1111101011101101111100101100111 < -b1101100010001100111001100110111 : -b11101110110011110111100111010000 $ -b11101110110011110111100111010000 - -b11101110110011110111100111010000 5 -b11101110110011110111100111010000 ? -b11101110110011110111100111010000 D -b1111101011101101111100101100111 % -b1111101011101101111100101100111 . -b1111101011101101111100101100111 6 -b1111101011101101111100101100111 @ -b1111101011101101111100101100111 F -b10010011101110011000000010110111 ) -#367040000 -0& -#367056000 -b1011001100111 , -#367072000 -1& -#367088000 -b10100100000111010111100000001011 " -b10100100000111010111100000001011 4 -b10100100000111010111100000001011 1 -b10100100000111010111100000001011 C -b1111111111101101000010100111000 < -b1011011111000101000001001101011 : -b11011011111010111111110100110011 $ -b11011011111010111111110100110011 - -b11011011111010111111110100110011 5 -b11011011111010111111110100110011 ? -b11011011111010111111110100110011 D -b1111111111101101000010100111000 % -b1111111111101101000010100111000 . -b1111111111101101000010100111000 6 -b1111111111101101000010100111000 @ -b1111111111101101000010100111000 F -b10100100000111010111100000001011 ) -#367104000 -0& -#367120000 -b1011001101000 , -#367136000 -1& -#367152000 -b10010011110100100001110110001100 " -b10010011110100100001110110001100 4 -b10010011110100100001110110001100 1 -b10010011110100100001110110001100 C -b11101111001011001110011100011010 < -b1101100001010111110000110110000 : -b1111100111111101111101010010110 $ -b1111100111111101111101010010110 - -b1111100111111101111101010010110 5 -b1111100111111101111101010010110 ? -b1111100111111101111101010010110 D -b11101111001011001110011100011010 % -b11101111001011001110011100011010 . -b11101111001011001110011100011010 6 -b11101111001011001110011100011010 @ -b11101111001011001110011100011010 F -b10010011110100100001110110001100 ) -#367168000 -0& -#367184000 -b1011001101001 , -#367200000 -1& -#367216000 -b10011011100010000110110011000001 " -b10011011100010000110110011000001 4 -b10011011100010000110110011000001 1 -b10011011100010000110110011000001 C -b1100101111101111010100010010010 < -b1100100011101110110110011100101 : -b11111110011111111100010001010011 $ -b11111110011111111100010001010011 - -b11111110011111111100010001010011 5 -b11111110011111111100010001010011 ? -b11111110011111111100010001010011 D -b1100101111101111010100010010010 % -b1100101111101111010100010010010 . -b1100101111101111010100010010010 6 -b1100101111101111010100010010010 @ -b1100101111101111010100010010010 F -b10011011100010000110110011000001 ) -#367232000 -0& -#367248000 -b1011001101010 , -#367264000 -1& -#367280000 -b100010111111010110111111000010 " -b100010111111010110111111000010 4 -b100010111111010110111111000010 1 -b100010111111010110111111000010 C -b101011000000110101110110110001 < -b110101000000011001000000100100 : -b1001111111100011001001110011 $ -b1001111111100011001001110011 - -b1001111111100011001001110011 5 -b1001111111100011001001110011 ? -b1001111111100011001001110011 D -b101011000000110101110110110001 % -b101011000000110101110110110001 . -b101011000000110101110110110001 6 -b101011000000110101110110110001 @ -b101011000000110101110110110001 F -b100010111111010110111111000010 ) -#367296000 -0& -#367312000 -b1011001101011 , -#367328000 -1& -#367344000 -b10011011011101001011111010010001 " -b10011011011101001011111010010001 4 -b10011011011101001011111010010001 1 -b10011011011101001011111010010001 C -b1 2 -b1 = -18 -b10110110111100110000100001010111 < -b11100100011110101011111100011101 : -b101101100001111011011011000110 $ -b101101100001111011011011000110 - -b101101100001111011011011000110 5 -b101101100001111011011011000110 ? -b101101100001111011011011000110 D -b10110110111100110000100001010111 % -b10110110111100110000100001010111 . -b10110110111100110000100001010111 6 -b10110110111100110000100001010111 @ -b10110110111100110000100001010111 F -b10011011011101001011111010010001 ) -#367360000 -0& -#367376000 -b1011001101100 , -#367392000 -1& -#367408000 -b11110000000000001001111010000110 " -b11110000000000001001111010000110 4 -b11110000000000001001111010000110 1 -b11110000000000001001111010000110 C -b0 2 -b0 = -08 -b1101111111111100110100011110010 < -b1111111111010101111101100110 : -b10011111111111101111011001110100 $ -b10011111111111101111011001110100 - -b10011111111111101111011001110100 5 -b10011111111111101111011001110100 ? -b10011111111111101111011001110100 D -b1101111111111100110100011110010 % -b1101111111111100110100011110010 . -b1101111111111100110100011110010 6 -b1101111111111100110100011110010 @ -b1101111111111100110100011110010 F -b11110000000000001001111010000110 ) -#367424000 -0& -#367440000 -b1011001101101 , -#367456000 -1& -#367472000 -b1000001011011000010001010000100 " -b1000001011011000010001010000100 4 -b1000001011011000010001010000100 1 -b1000001011011000010001010000100 C -b1 2 -b1 = -18 -b10111110111101110001001110011101 < -b10111110100100100100010010110110 : -b11111111100110110011000100011001 $ -b11111111100110110011000100011001 - -b11111111100110110011000100011001 5 -b11111111100110110011000100011001 ? -b11111111100110110011000100011001 D -b10111110111101110001001110011101 % -b10111110111101110001001110011101 . -b10111110111101110001001110011101 6 -b10111110111101110001001110011101 @ -b10111110111101110001001110011101 F -b1000001011011000010001010000100 ) -#367488000 -0& -#367504000 -b1011001101110 , -#367520000 -1& -#367536000 -b1101000100010100110110011110011 " -b1101000100010100110110011110011 4 -b1101000100010100110110011110011 1 -b1101000100010100110110011110011 C -b11010111110111011011110000011001 < -b10010111001101011000110100000011 : -b10111111010101111101000011101010 $ -b10111111010101111101000011101010 - -b10111111010101111101000011101010 5 -b10111111010101111101000011101010 ? -b10111111010101111101000011101010 D -b11010111110111011011110000011001 % -b11010111110111011011110000011001 . -b11010111110111011011110000011001 6 -b11010111110111011011110000011001 @ -b11010111110111011011110000011001 F -b1101000100010100110110011110011 ) -#367552000 -0& -#367568000 -b1011001101111 , -#367584000 -1& -#367600000 -b1110000110001101001101110001 " -b1110000110001101001101110001 4 -b1110000110001101001101110001 1 -b1110000110001101001101110001 C -b0 2 -b0 = -08 -19 -b1110111111011110011110101001111 < -b11110001111001110010101110001101 : -b1111001111101111110111000111110 $ -b1111001111101111110111000111110 - -b1111001111101111110111000111110 5 -b1111001111101111110111000111110 ? -b1111001111101111110111000111110 D -b1110111111011110011110101001111 % -b1110111111011110011110101001111 . -b1110111111011110011110101001111 6 -b1110111111011110011110101001111 @ -b1110111111011110011110101001111 F -b1110000110001101001101110001 ) -#367616000 -0& -#367632000 -b1011001110000 , -#367648000 -1& -#367664000 -b11100110011101000000110111101010 " -b11100110011101000000110111101010 4 -b11100110011101000000110111101010 1 -b11100110011101000000110111101010 C -b0 2 -b0 = -09 -08 -b11111110100111010100111111101000 < -b10111100001101001000111101010 : -b11000111010010100001000000010 $ -b11000111010010100001000000010 - -b11000111010010100001000000010 5 -b11000111010010100001000000010 ? -b11000111010010100001000000010 D -b11111110100111010100111111101000 % -b11111110100111010100111111101000 . -b11111110100111010100111111101000 6 -b11111110100111010100111111101000 @ -b11111110100111010100111111101000 F -b11100110011101000000110111101010 ) -#367680000 -0& -#367696000 -b1011001110001 , -#367712000 -1& -#367728000 -b111001010101100000110001111110 " -b111001010101100000110001111110 4 -b111001010101100000110001111110 1 -b111001010101100000110001111110 C -b111101110110110100101110110 < -b1000110101010001100111001111110 : -b111110111011010110010100001000 $ -b111110111011010110010100001000 - -b111110111011010110010100001000 5 -b111110111011010110010100001000 ? -b111110111011010110010100001000 D -b111101110110110100101110110 % -b111101110110110100101110110 . -b111101110110110100101110110 6 -b111101110110110100101110110 @ -b111101110110110100101110110 F -b111001010101100000110001111110 ) -#367744000 -0& -#367760000 -b1011001110010 , -#367776000 -1& -#367792000 -b10000010000010011001011110101111 " -b10000010000010011001011110101111 4 -b10000010000010011001011110101111 1 -b10000010000010011001011110101111 C -b0 2 -b0 = -08 -09 -b1101111111111111011101001100010 < -b1011101111101011110100000101111 : -b11101101111101100010110111001101 $ -b11101101111101100010110111001101 - -b11101101111101100010110111001101 5 -b11101101111101100010110111001101 ? -b11101101111101100010110111001101 D -b1101111111111111011101001100010 % -b1101111111111111011101001100010 . -b1101111111111111011101001100010 6 -b1101111111111111011101001100010 @ -b1101111111111111011101001100010 F -b10000010000010011001011110101111 ) -#367808000 -0& -#367824000 -b1011001110011 , -#367840000 -1& -#367856000 -b1010000000011110111100101 " -b1010000000011110111100101 4 -b1010000000011110111100101 1 -b1010000000011110111100101 C -b1 2 -b1 = -18 -19 -b10111111001111110110110010010101 < -b1111101101111101011111000000101 : -b10111110011111110101000101110000 $ -b10111110011111110101000101110000 - -b10111110011111110101000101110000 5 -b10111110011111110101000101110000 ? -b10111110011111110101000101110000 D -b10111111001111110110110010010101 % -b10111111001111110110110010010101 . -b10111111001111110110110010010101 6 -b10111111001111110110110010010101 @ -b10111111001111110110110010010101 F -b1010000000011110111100101 ) -#367872000 -0& -#367888000 -b1011001110100 , -#367904000 -1& -#367920000 -b1100001001100111100011111011011 " -b1100001001100111100011111011011 4 -b1100001001100111100011111011011 1 -b1100001001100111100011111011011 C -b1 2 -b1 = -09 -18 -b11011110010101111111101000001101 < -b10011101101111000011011111100011 : -b10111111011001000011110111010110 $ -b10111111011001000011110111010110 - -b10111111011001000011110111010110 5 -b10111111011001000011110111010110 ? -b10111111011001000011110111010110 D -b11011110010101111111101000001101 % -b11011110010101111111101000001101 . -b11011110010101111111101000001101 6 -b11011110010101111111101000001101 @ -b11011110010101111111101000001101 F -b1100001001100111100011111011011 ) -#367936000 -0& -#367952000 -b1011001110101 , -#367968000 -1& -#367984000 -b110000100111010110011101110 " -b110000100111010110011101110 4 -b110000100111010110011101110 1 -b110000100111010110011101110 C -b0 2 -b0 = -08 -19 -b1111011111011011100001001011000 < -b11111001111011000011000100001110 : -b1111101111111100110111010110110 $ -b1111101111111100110111010110110 - -b1111101111111100110111010110110 5 -b1111101111111100110111010110110 ? -b1111101111111100110111010110110 D -b1111011111011011100001001011000 % -b1111011111011011100001001011000 . -b1111011111011011100001001011000 6 -b1111011111011011100001001011000 @ -b1111011111011011100001001011000 F -b110000100111010110011101110 ) -#368000000 -0& -#368016000 -b1011001110110 , -#368032000 -1& -#368048000 -b11010001101100101011011 " -b11010001101100101011011 4 -b11010001101100101011011 1 -b11010001101100101011011 C -b1111101100101111100110101011010 < -b11111011100101101110000101011011 : -b1111101111111110001010000000001 $ -b1111101111111110001010000000001 - -b1111101111111110001010000000001 5 -b1111101111111110001010000000001 ? -b1111101111111110001010000000001 D -b1111101100101111100110101011010 % -b1111101100101111100110101011010 . -b1111101100101111100110101011010 6 -b1111101100101111100110101011010 @ -b1111101100101111100110101011010 F -b11010001101100101011011 ) -#368064000 -0& -#368080000 -b1011001110111 , -#368096000 -1& -#368112000 -b11110100010001111010100011000 " -b11110100010001111010100011000 4 -b11110100010001111010100011000 1 -b11110100010001111010100011000 C -b1 2 -b1 = -18 -09 -b11100011111111110000000011100100 < -b11100001011101101111011011100000 : -b11111101011101111111010111111100 $ -b11111101011101111111010111111100 - -b11111101011101111111010111111100 5 -b11111101011101111111010111111100 ? -b11111101011101111111010111111100 D -b11100011111111110000000011100100 % -b11100011111111110000000011100100 . -b11100011111111110000000011100100 6 -b11100011111111110000000011100100 @ -b11100011111111110000000011100100 F -b11110100010001111010100011000 ) -#368128000 -0& -#368144000 -b1011001111000 , -#368160000 -1& -#368176000 -b11011001100001110110100000000010 " -b11011001100001110110100000000010 4 -b11011001100001110110100000000010 1 -b11011001100001110110100000000010 C -b0 2 -b0 = -08 -b1100110011111000110110111000100 < -b100110011101110111001110001010 : -b10111111111110110000010111000110 $ -b10111111111110110000010111000110 - -b10111111111110110000010111000110 5 -b10111111111110110000010111000110 ? -b10111111111110110000010111000110 D -b1100110011111000110110111000100 % -b1100110011111000110110111000100 . -b1100110011111000110110111000100 6 -b1100110011111000110110111000100 @ -b1100110011111000110110111000100 F -b11011001100001110110100000000010 ) -#368192000 -0& -#368208000 -b1011001111001 , -#368224000 -1& -#368240000 -b11100110100110010101101111100000 " -b11100110100110010101101111100000 4 -b11100110100110010101101111100000 1 -b11100110100110010101101111100000 C -b1011101111001011000100110010101 < -b11001011000100101110000001010 : -b10111011011111001101001001110101 $ -b10111011011111001101001001110101 - -b10111011011111001101001001110101 5 -b10111011011111001101001001110101 ? -b10111011011111001101001001110101 D -b1011101111001011000100110010101 % -b1011101111001011000100110010101 . -b1011101111001011000100110010101 6 -b1011101111001011000100110010101 @ -b1011101111001011000100110010101 F -b11100110100110010101101111100000 ) -#368256000 -0& -#368272000 -b1011001111010 , -#368288000 -1& -#368304000 -b10000010001001011011110000 " -b10000010001001011011110000 4 -b10000010001001011011110000 1 -b10000010001001011011110000 C -b1 2 -b1 = -18 -b11011101111101111100100011010100 < -b10111101111101110010011011111000 : -b11011111111111110101111000100100 $ -b11011111111111110101111000100100 - -b11011111111111110101111000100100 5 -b11011111111111110101111000100100 ? -b11011111111111110101111000100100 D -b11011101111101111100100011010100 % -b11011101111101111100100011010100 . -b11011101111101111100100011010100 6 -b11011101111101111100100011010100 @ -b11011101111101111100100011010100 F -b10000010001001011011110000 ) -#368320000 -0& -#368336000 -b1011001111011 , -#368352000 -1& -#368368000 -b1001011100010000001011101011001 " -b1001011100010000001011101011001 4 -b1001011100010000001011101011001 1 -b1001011100010000001011101011001 C -b0 2 -b0 = -08 -b1011101111101110000001110000110 < -b1110100011101100001100001100101 : -b10110011111110001010011011111 $ -b10110011111110001010011011111 - -b10110011111110001010011011111 5 -b10110011111110001010011011111 ? -b10110011111110001010011011111 D -b1011101111101110000001110000110 % -b1011101111101110000001110000110 . -b1011101111101110000001110000110 6 -b1011101111101110000001110000110 @ -b1011101111101110000001110000110 F -b1001011100010000001011101011001 ) -#368384000 -0& -#368400000 -b1011001111100 , -#368416000 -1& -#368432000 -b11101011100001010110000111011011 " -b11101011100001010110000111011011 4 -b11101011100001010110000111011011 1 -b11101011100001010110000111011011 C -b10110100011111111111111001011011 < -b10100011110101001110111011011 : -b1011111111110101001111110000000 $ -b1011111111110101001111110000000 - -b1011111111110101001111110000000 5 -b1011111111110101001111110000000 ? -b1011111111110101001111110000000 D -b10110100011111111111111001011011 % -b10110100011111111111111001011011 . -b10110100011111111111111001011011 6 -b10110100011111111111111001011011 @ -b10110100011111111111111001011011 F -b11101011100001010110000111011011 ) -#368448000 -0& -#368464000 -b1011001111101 , -#368480000 -1& -#368496000 -b10011110000001111001110011111000 " -b10011110000001111001110011111000 4 -b10011110000001111001110011111000 1 -b10011110000001111001110011111000 C -b11101101111110101001101111101100 < -b1100001111101111010001100000000 : -b1110011111111010000011100010100 $ -b1110011111111010000011100010100 - -b1110011111111010000011100010100 5 -b1110011111111010000011100010100 ? -b1110011111111010000011100010100 D -b11101101111110101001101111101100 % -b11101101111110101001101111101100 . -b11101101111110101001101111101100 6 -b11101101111110101001101111101100 @ -b11101101111110101001101111101100 F -b10011110000001111001110011111000 ) -#368512000 -0& -#368528000 -b1011001111110 , -#368544000 -1& -#368560000 -b1101011001111110100100100100110 " -b1101011001111110100100100100110 4 -b1101011001111110100100100100110 1 -b1101011001111110100100100100110 C -b1 2 -b1 = -18 -b11011101110000101110010110000100 < -b10010100110000001001001000100110 : -b10110110111111011010110010100010 $ -b10110110111111011010110010100010 - -b10110110111111011010110010100010 5 -b10110110111111011010110010100010 ? -b10110110111111011010110010100010 D -b11011101110000101110010110000100 % -b11011101110000101110010110000100 . -b11011101110000101110010110000100 6 -b11011101110000101110010110000100 @ -b11011101110000101110010110000100 F -b1101011001111110100100100100110 ) -#368576000 -0& -#368592000 -b1011001111111 , -#368608000 -1& -#368624000 -b10110011001101010101000101101 " -b10110011001101010101000101101 4 -b10110011001101010101000101101 1 -b10110011001101010101000101101 C -b0 2 -b0 = -08 -19 -b1111011011111110111010101100111 < -b11101000100110010101010010110001 : -b1101101000110011101111101001010 $ -b1101101000110011101111101001010 - -b1101101000110011101111101001010 5 -b1101101000110011101111101001010 ? -b1101101000110011101111101001010 D -b1111011011111110111010101100111 % -b1111011011111110111010101100111 . -b1111011011111110111010101100111 6 -b1111011011111110111010101100111 @ -b1111011011111110111010101100111 F -b10110011001101010101000101101 ) -#368640000 -0& -#368656000 -b1011010000000 , -#368672000 -1& -#368688000 -b100000011010110011010110000000 " -b100000011010110011010110000000 4 -b100000011010110011010110000000 1 -b100000011010110011010110000000 C -b1 2 -b1 = -18 -09 -b11111011111111011100010010001101 < -b11010111100101001011010110011010 : -b11011011100101101111000100001101 $ -b11011011100101101111000100001101 - -b11011011100101101111000100001101 5 -b11011011100101101111000100001101 ? -b11011011100101101111000100001101 D -b11111011111111011100010010001101 % -b11111011111111011100010010001101 . -b11111011111111011100010010001101 6 -b11111011111111011100010010001101 @ -b11111011111111011100010010001101 F -b100000011010110011010110000000 ) -#368704000 -0& -#368720000 -b1011010000001 , -#368736000 -1& -#368752000 -b1001000000100101100111011001010 " -b1001000000100101100111011001010 4 -b1001000000100101100111011001010 1 -b1001000000100101100111011001010 C -b0 2 -b0 = -08 -19 -b1100111111111011110000001100111 < -b10010111111011010000111100010100 : -b101111111011110010111010101101 $ -b101111111011110010111010101101 - -b101111111011110010111010101101 5 -b101111111011110010111010101101 ? -b101111111011110010111010101101 D -b1100111111111011110000001100111 % -b1100111111111011110000001100111 . -b1100111111111011110000001100111 6 -b1100111111111011110000001100111 @ -b1100111111111011110000001100111 F -b1001000000100101100111011001010 ) -#368768000 -0& -#368784000 -b1011010000010 , -#368800000 -1& -#368816000 -b1110010101100110001011110010100 " -b1110010101100110001011110010100 4 -b1110010101100110001011110010100 1 -b1110010101100110001011110010100 C -b1 2 -b1 = -18 -09 -b10101101111111101000011011101100 < -b10001101010011000001100001100100 : -b11011111010011011001000101111000 $ -b11011111010011011001000101111000 - -b11011111010011011001000101111000 5 -b11011111010011011001000101111000 ? -b11011111010011011001000101111000 D -b10101101111111101000011011101100 % -b10101101111111101000011011101100 . -b10101101111111101000011011101100 6 -b10101101111111101000011011101100 @ -b10101101111111101000011011101100 F -b1110010101100110001011110010100 ) -#368832000 -0& -#368848000 -b1011010000011 , -#368864000 -1& -#368880000 -b10110010101010011011001000010000 " -b10110010101010011011001000010000 4 -b10110010101010011011001000010000 1 -b10110010101010011011001000010000 C -b1 2 -b1 = -18 -09 -b10101100011111110111011100000000 < -b11001011010101100011110000010000 : -b11110110101101100010100010000 $ -b11110110101101100010100010000 - -b11110110101101100010100010000 5 -b11110110101101100010100010000 ? -b11110110101101100010100010000 D -b10101100011111110111011100000000 % -b10101100011111110111011100000000 . -b10101100011111110111011100000000 6 -b10101100011111110111011100000000 @ -b10101100011111110111011100000000 F -b10110010101010011011001000010000 ) -#368896000 -0& -#368912000 -b1011010000100 , -#368928000 -1& -#368944000 -b1000001001001010010101111010 " -b1000001001001010010101111010 4 -b1000001001001010010101111010 1 -b1000001001001010010101111010 C -19 -b1 2 -b1 = -18 -b10111111100110111100101010100101 < -b1110111010110110011101010000100 : -b10110111101111110110111111011111 $ -b10110111101111110110111111011111 - -b10110111101111110110111111011111 5 -b10110111101111110110111111011111 ? -b10110111101111110110111111011111 D -b10111111100110111100101010100101 % -b10111111100110111100101010100101 . -b10111111100110111100101010100101 6 -b10111111100110111100101010100101 @ -b10111111100110111100101010100101 F -b1000001001001010010101111010 ) -#368960000 -0& -#368976000 -b1011010000101 , -#368992000 -1& -#369008000 -b10011000011000100110001101111110 " -b10011000011000100110001101111110 4 -b10011000011000100110001101111110 1 -b10011000011000100110001101111110 C -09 -b1 2 -b1 = -18 -b10110111100111101000100101111001 < -b11100111100110110111001110000000 : -b101111111111001110101000000111 $ -b101111111111001110101000000111 - -b101111111111001110101000000111 5 -b101111111111001110101000000111 ? -b101111111111001110101000000111 D -b10110111100111101000100101111001 % -b10110111100111101000100101111001 . -b10110111100111101000100101111001 6 -b10110111100111101000100101111001 @ -b10110111100111101000100101111001 F -b10011000011000100110001101111110 ) -#369024000 -0& -#369040000 -b1011010000110 , -#369056000 -1& -#369072000 -b1011100110100010110010000101110 " -b1011100110100010110010000101110 4 -b1011100110100010110010000101110 1 -b1011100110100010110010000101110 C -b11111111111011011010111111101110 < -b10100011001010100111101110101110 : -b10100011001111001100101111000000 $ -b10100011001111001100101111000000 - -b10100011001111001100101111000000 5 -b10100011001111001100101111000000 ? -b10100011001111001100101111000000 D -b11111111111011011010111111101110 % -b11111111111011011010111111101110 . -b11111111111011011010111111101110 6 -b11111111111011011010111111101110 @ -b11111111111011011010111111101110 F -b1011100110100010110010000101110 ) -#369088000 -0& -#369104000 -b1011010000111 , -#369120000 -1& -#369136000 -b10001001110111111011011010 " -b10001001110111111011011010 4 -b10001001110111111011011010 1 -b10001001110111111011011010 C -b0 2 -b0 = -08 -19 -b1101111110111101110111110111001 < -b11011101110110001000000100011100 : -b1101101111110011001000101100011 $ -b1101101111110011001000101100011 - -b1101101111110011001000101100011 5 -b1101101111110011001000101100011 ? -b1101101111110011001000101100011 D -b1101111110111101110111110111001 % -b1101111110111101110111110111001 . -b1101111110111101110111110111001 6 -b1101111110111101110111110111001 @ -b1101111110111101110111110111001 F -b10001001110111111011011010 ) -#369152000 -0& -#369168000 -b1011010001000 , -#369184000 -1& -#369200000 -b11010001001011011110110110101 " -b11010001001011011110110110101 4 -b11010001001011011110110110101 1 -b11010001001011011110110110101 C -b1 2 -b1 = -18 -09 -b11010101110110101111000000011000 < -b10100101110110100011110111000101 : -b11001111111111110100110110101101 $ -b11001111111111110100110110101101 - -b11001111111111110100110110101101 5 -b11001111111111110100110110101101 ? -b11001111111111110100110110101101 D -b11010101110110101111000000011000 % -b11010101110110101111000000011000 . -b11010101110110101111000000011000 6 -b11010101110110101111000000011000 @ -b11010101110110101111000000011000 F -b11010001001011011110110110101 ) -#369216000 -0& -#369232000 -b1011010001001 , -#369248000 -1& -#369264000 -b111000000110110010011001100110 " -b111000000110110010011001100110 4 -b111000000110110010011001100110 1 -b111000000110110010011001100110 C -b11010111111101000000000010010011 < -b11000111111000110010011110001000 : -b11101111111011110010011011110101 $ -b11101111111011110010011011110101 - -b11101111111011110010011011110101 5 -b11101111111011110010011011110101 ? -b11101111111011110010011011110101 D -b11010111111101000000000010010011 % -b11010111111101000000000010010011 . -b11010111111101000000000010010011 6 -b11010111111101000000000010010011 @ -b11010111111101000000000010010011 F -b111000000110110010011001100110 ) -#369280000 -0& -#369296000 -b1011010001010 , -#369312000 -1& -#369328000 -b100110101100011110001001010010 " -b100110101100011110001001010010 4 -b100110101100011110001001010010 1 -b100110101100011110001001010010 C -b11011011110001101011100011110110 < -b11011001001111100001001110011010 : -b11111101011101110101101010100100 $ -b11111101011101110101101010100100 - -b11111101011101110101101010100100 5 -b11111101011101110101101010100100 ? -b11111101011101110101101010100100 D -b11011011110001101011100011110110 % -b11011011110001101011100011110110 . -b11011011110001101011100011110110 6 -b11011011110001101011100011110110 @ -b11011011110001101011100011110110 F -b100110101100011110001001010010 ) -#369344000 -0& -#369360000 -b1011010001011 , -#369376000 -1& -#369392000 -b11100010000000100011011101001 " -b11100010000000100011011101001 4 -b11100010000000100011011101001 1 -b11100010000000100011011101001 C -b0 2 -b0 = -08 -19 -b1101111111111110111010010110001 < -b11100011101111101010011100001001 : -b1110011101111110011001001011000 $ -b1110011101111110011001001011000 - -b1110011101111110011001001011000 5 -b1110011101111110011001001011000 ? -b1110011101111110011001001011000 D -b1101111111111110111010010110001 % -b1101111111111110111010010110001 . -b1101111111111110111010010110001 6 -b1101111111111110111010010110001 @ -b1101111111111110111010010110001 F -b11100010000000100011011101001 ) -#369408000 -0& -#369424000 -b1011010001100 , -#369440000 -1& -#369456000 -b10010100110010110101100001111111 " -b10010100110010110101100001111111 4 -b10010100110010110101100001111111 1 -b10010100110010110101100001111111 C -b0 2 -b0 = -09 -08 -b11101111011111011100111110000010 < -b1101011001101000110011101111111 : -b1111011101101101001011111111101 $ -b1111011101101101001011111111101 - -b1111011101101101001011111111101 5 -b1111011101101101001011111111101 ? -b1111011101101101001011111111101 D -b11101111011111011100111110000010 % -b11101111011111011100111110000010 . -b11101111011111011100111110000010 6 -b11101111011111011100111110000010 @ -b11101111011111011100111110000010 F -b10010100110010110101100001111111 ) -#369472000 -0& -#369488000 -b1011010001101 , -#369504000 -1& -#369520000 -b1000000000010001010001100100110 " -b1000000000010001010001100100110 4 -b1000000000010001010001100100110 1 -b1000000000010001010001100100110 C -b1 2 -b1 = -18 -b11111110110001110100111100011000 < -b10111101100101110011101101010110 : -b10111110110011111110110000111110 $ -b10111110110011111110110000111110 - -b10111110110011111110110000111110 5 -b10111110110011111110110000111110 ? -b10111110110011111110110000111110 D -b11111110110001110100111100011000 % -b11111110110001110100111100011000 . -b11111110110001110100111100011000 6 -b11111110110001110100111100011000 @ -b11111110110001110100111100011000 F -b1000000000010001010001100100110 ) -#369536000 -0& -#369552000 -b1011010001110 , -#369568000 -1& -#369584000 -b111010100001000001101010010 " -b111010100001000001101010010 4 -b111010100001000001101010010 1 -b111010100001000001101010010 C -b11111010101011110010101011110000 < -b11111000101011101101010010010010 : -b11111101111111111010100110100010 $ -b11111101111111111010100110100010 - -b11111101111111111010100110100010 5 -b11111101111111111010100110100010 ? -b11111101111111111010100110100010 D -b11111010101011110010101011110000 % -b11111010101011110010101011110000 . -b11111010101011110010101011110000 6 -b11111010101011110010101011110000 @ -b11111010101011110010101011110000 F -b111010100001000001101010010 ) -#369600000 -0& -#369616000 -b1011010001111 , -#369632000 -1& -#369648000 -b10100011110010110000100101010110 " -b10100011110010110000100101010110 4 -b10100011110010110000100101010110 1 -b10100011110010110000100101010110 C -b0 2 -b0 = -08 -b11011110001111010101101011000100 < -b1011100001100111010111001010110 : -b1111101111101100101001110010010 $ -b1111101111101100101001110010010 - -b1111101111101100101001110010010 5 -b1111101111101100101001110010010 ? -b1111101111101100101001110010010 D -b11011110001111010101101011000100 % -b11011110001111010101101011000100 . -b11011110001111010101101011000100 6 -b11011110001111010101101011000100 @ -b11011110001111010101101011000100 F -b10100011110010110000100101010110 ) -#369664000 -0& -#369680000 -b1011010010000 , -#369696000 -1& -#369712000 -b10111110100000101000101100111001 " -b10111110100000101000101100111001 4 -b10111110100000101000101100111001 1 -b10111110100000101000101100111001 C -b11011111011111111110000000101010 < -b1000001011111010100101100111101 : -b1100001111111010110101100010011 $ -b1100001111111010110101100010011 - -b1100001111111010110101100010011 5 -b1100001111111010110101100010011 ? -b1100001111111010110101100010011 D -b11011111011111111110000000101010 % -b11011111011111111110000000101010 . -b11011111011111111110000000101010 6 -b11011111011111111110000000101010 @ -b11011111011111111110000000101010 F -b10111110100000101000101100111001 ) -#369728000 -0& -#369744000 -b1011010010001 , -#369760000 -1& -#369776000 -b11011001000000000001000000101011 " -b11011001000000000001000000101011 4 -b11011001000000000001000000101011 1 -b11011001000000000001000000101011 C -b110111111111011011110100000011 < -b100110111110110110101000101011 : -b11101110111111011010110100101000 $ -b11101110111111011010110100101000 - -b11101110111111011010110100101000 5 -b11101110111111011010110100101000 ? -b11101110111111011010110100101000 D -b110111111111011011110100000011 % -b110111111111011011110100000011 . -b110111111111011011110100000011 6 -b110111111111011011110100000011 @ -b110111111111011011110100000011 F -b11011001000000000001000000101011 ) -#369792000 -0& -#369808000 -b1011010010010 , -#369824000 -1& -#369840000 -b10001111111000101000000111101 " -b10001111111000101000000111101 4 -b10001111111000101000000111101 1 -b10001111111000101000000111101 C -19 -b0 2 -b0 = -08 -b1111111100011110111111001101110 < -b11101110000000101010110011000001 : -b1101110011100110010111001010011 $ -b1101110011100110010111001010011 - -b1101110011100110010111001010011 5 -b1101110011100110010111001010011 ? -b1101110011100110010111001010011 D -b1111111100011110111111001101110 % -b1111111100011110111111001101110 . -b1111111100011110111111001101110 6 -b1111111100011110111111001101110 @ -b1111111100011110111111001101110 F -b10001111111000101000000111101 ) -#369856000 -0& -#369872000 -b1011010010011 , -#369888000 -1& -#369904000 -b10010100111100110001000100100 " -b10010100111100110001000100100 4 -b10010100111100110001000100100 1 -b10010100111100110001000100100 C -b1101111111011011001100100011000 < -b11101101011000011001010001010100 : -b1111101011100111111101100111100 $ -b1111101011100111111101100111100 - -b1111101011100111111101100111100 5 -b1111101011100111111101100111100 ? -b1111101011100111111101100111100 D -b1101111111011011001100100011000 % -b1101111111011011001100100011000 . -b1101111111011011001100100011000 6 -b1101111111011011001100100011000 @ -b1101111111011011001100100011000 F -b10010100111100110001000100100 ) -#369920000 -0& -#369936000 -b1011010010100 , -#369952000 -1& -#369968000 -b11100001101001010001101000101010 " -b11100001101001010001101000101010 4 -b11100001101001010001101000101010 1 -b11100001101001010001101000101010 C -b0 2 -b0 = -09 -08 -b11111110110111011111000111111010 < -b11110010101101101110111001010 : -b11111011110001110101111010000 $ -b11111011110001110101111010000 - -b11111011110001110101111010000 5 -b11111011110001110101111010000 ? -b11111011110001110101111010000 D -b11111110110111011111000111111010 % -b11111110110111011111000111111010 . -b11111110110111011111000111111010 6 -b11111110110111011111000111111010 @ -b11111110110111011111000111111010 F -b11100001101001010001101000101010 ) -#369984000 -0& -#370000000 -b1011010010101 , -#370016000 -1& -#370032000 -b1010001100001111101101010010010 " -b1010001100001111101101010010010 4 -b1010001100001111101101010010010 1 -b1010001100001111101101010010010 C -b1 2 -b1 = -18 -b11101110111111111100101100101000 < -b10101110011101111101110011100010 : -b10111111011110000001000110111010 $ -b10111111011110000001000110111010 - -b10111111011110000001000110111010 5 -b10111111011110000001000110111010 ? -b10111111011110000001000110111010 D -b11101110111111111100101100101000 % -b11101110111111111100101100101000 . -b11101110111111111100101100101000 6 -b11101110111111111100101100101000 @ -b11101110111111111100101100101000 F -b1010001100001111101101010010010 ) -#370048000 -0& -#370064000 -b1011010010110 , -#370080000 -1& -#370096000 -b100010010101010001001000011 " -b100010010101010001001000011 4 -b100010010101010001001000011 1 -b100010010101010001001000011 C -b11111111111111010110101111000000 < -b11111011101101010011010101000011 : -b11111011101101111100100110000011 $ -b11111011101101111100100110000011 - -b11111011101101111100100110000011 5 -b11111011101101111100100110000011 ? -b11111011101101111100100110000011 D -b11111111111111010110101111000000 % -b11111111111111010110101111000000 . -b11111111111111010110101111000000 6 -b11111111111111010110101111000000 @ -b11111111111111010110101111000000 F -b100010010101010001001000011 ) -#370112000 -0& -#370128000 -b1011010010111 , -#370144000 -1& -#370160000 -b10000000101010011010100011110010 " -b10000000101010011010100011110010 4 -b10000000101010011010100011110010 1 -b10000000101010011010100011110010 C -b0 2 -b0 = -08 -b11111011010111111101000010000000 < -b1110111010101100100100011110010 : -b1111011111101100111100001110010 $ -b1111011111101100111100001110010 - -b1111011111101100111100001110010 5 -b1111011111101100111100001110010 ? -b1111011111101100111100001110010 D -b11111011010111111101000010000000 % -b11111011010111111101000010000000 . -b11111011010111111101000010000000 6 -b11111011010111111101000010000000 @ -b11111011010111111101000010000000 F -b10000000101010011010100011110010 ) -#370176000 -0& -#370192000 -b1011010011000 , -#370208000 -1& -#370224000 -b10111000010001100110101110110111 " -b10111000010001100110101110110111 4 -b10111000010001100110101110110111 1 -b10111000010001100110101110110111 C -b1010111111111010000110101100010 < -b1000111101110000111010000110111 : -b11101111101110110110011011010101 $ -b11101111101110110110011011010101 - -b11101111101110110110011011010101 5 -b11101111101110110110011011010101 ? -b11101111101110110110011011010101 D -b1010111111111010000110101100010 % -b1010111111111010000110101100010 . -b1010111111111010000110101100010 6 -b1010111111111010000110101100010 @ -b1010111111111010000110101100010 F -b10111000010001100110101110110111 ) -#370240000 -0& -#370256000 -b1011010011001 , -#370272000 -1& -#370288000 -b1111101000010001001100001001001 " -b1111101000010001001100001001001 4 -b1111101000010001001100001001001 1 -b1111101000010001001100001001001 C -b1 2 -b1 = -18 -19 -b10010101111111111110110010001011 < -b1111110111101110110000101001101 : -b11101000111101110111010011000010 $ -b11101000111101110111010011000010 - -b11101000111101110111010011000010 5 -b11101000111101110111010011000010 ? -b11101000111101110111010011000010 D -b10010101111111111110110010001011 % -b10010101111111111110110010001011 . -b10010101111111111110110010001011 6 -b10010101111111111110110010001011 @ -b10010101111111111110110010001011 F -b1111101000010001001100001001001 ) -#370304000 -0& -#370320000 -b1011010011010 , -#370336000 -1& -#370352000 -b1000011000100001110000011111101 " -b1000011000100001110000011111101 4 -b1000011000100001110000011111101 1 -b1000011000100001110000011111101 C -b1 2 -b1 = -09 -18 -b11111111111001110111010101101011 < -b10111100110111110000101100000001 : -b10111100111101111001010110010110 $ -b10111100111101111001010110010110 - -b10111100111101111001010110010110 5 -b10111100111101111001010110010110 ? -b10111100111101111001010110010110 D -b11111111111001110111010101101011 % -b11111111111001110111010101101011 . -b11111111111001110111010101101011 6 -b11111111111001110111010101101011 @ -b11111111111001110111010101101011 F -b1000011000100001110000011111101 ) -#370368000 -0& -#370384000 -b1011010011011 , -#370400000 -1& -#370416000 -b11101000000011101100100100111111 " -b11101000000011101100100100111111 4 -b11101000000011101100100100111111 1 -b11101000000011101100100100111111 C -b1111111111011011010001101000 < -b11110111111100010011000110111111 : -b11100111111100110111110101010111 $ -b11100111111100110111110101010111 - -b11100111111100110111110101010111 5 -b11100111111100110111110101010111 ? -b11100111111100110111110101010111 D -b1111111111011011010001101000 % -b1111111111011011010001101000 . -b1111111111011011010001101000 6 -b1111111111011011010001101000 @ -b1111111111011011010001101000 F -b11101000000011101100100100111111 ) -#370432000 -0& -#370448000 -b1011010011100 , -#370464000 -1& -#370480000 -b110100010111010110110111 " -b110100010111010110110111 4 -b110100010111010110110111 1 -b110100010111010110110111 C -b0 2 -b0 = -08 -19 -b1111111101101101101001100001100 < -b11111111000111100111100111000111 : -b1111111011001111010011010111011 $ -b1111111011001111010011010111011 - -b1111111011001111010011010111011 5 -b1111111011001111010011010111011 ? -b1111111011001111010011010111011 D -b1111111101101101101001100001100 % -b1111111101101101101001100001100 . -b1111111101101101101001100001100 6 -b1111111101101101101001100001100 @ -b1111111101101101101001100001100 F -b110100010111010110110111 ) -#370496000 -0& -#370512000 -b1011010011101 , -#370528000 -1& -#370544000 -b10000010001010000101110110011000 " -b10000010001010000101110110011000 4 -b10000010001010000101110110011000 1 -b10000010001010000101110110011000 C -09 -b0 2 -b0 = -08 -b1111100100111111100111100100111 < -b1111011010101110110000111100110 : -b11111110101101111001001010111111 $ -b11111110101101111001001010111111 - -b11111110101101111001001010111111 5 -b11111110101101111001001010111111 ? -b11111110101101111001001010111111 D -b1111100100111111100111100100111 % -b1111100100111111100111100100111 . -b1111100100111111100111100100111 6 -b1111100100111111100111100100111 @ -b1111100100111111100111100100111 F -b10000010001010000101110110011000 ) -#370560000 -0& -#370576000 -b1011010011110 , -#370592000 -1& -#370608000 -b10100101000010001101010000001000 " -b10100101000010001101010000001000 4 -b10100101000010001101010000001000 1 -b10100101000010001101010000001000 C -b11111100111101111110000101001110 < -b1010110111101110001011010010100 : -b1011001111111110011010101000110 $ -b1011001111111110011010101000110 - -b1011001111111110011010101000110 5 -b1011001111111110011010101000110 ? -b1011001111111110011010101000110 D -b11111100111101111110000101001110 % -b11111100111101111110000101001110 . -b11111100111101111110000101001110 6 -b11111100111101111110000101001110 @ -b11111100111101111110000101001110 F -b10100101000010001101010000001000 ) -#370624000 -0& -#370640000 -b1011010011111 , -#370656000 -1& -#370672000 -b11011000000110111000100000 " -b11011000000110111000100000 4 -b11011000000110111000100000 1 -b11011000000110111000100000 C -b1 2 -b1 = -18 -b11111110101011111100011111011100 < -b11111100011111110111000111011000 : -b11111101110011111010100111111100 $ -b11111101110011111010100111111100 - -b11111101110011111010100111111100 5 -b11111101110011111010100111111100 ? -b11111101110011111010100111111100 D -b11111110101011111100011111011100 % -b11111110101011111100011111011100 . -b11111110101011111100011111011100 6 -b11111110101011111100011111011100 @ -b11111110101011111100011111011100 F -b11011000000110111000100000 ) -#370688000 -0& -#370704000 -b1011010100000 , -#370720000 -1& -#370736000 -b10000010101000000110101011000111 " -b10000010101000000110101011000111 4 -b10000010101000000110101011000111 1 -b10000010101000000110101011000111 C -b0 2 -b0 = -08 -b11111001010111110000011100101111 < -b1110101010111100111010100010111 : -b1111011111111110110110111101000 $ -b1111011111111110110110111101000 - -b1111011111111110110110111101000 5 -b1111011111111110110110111101000 ? -b1111011111111110110110111101000 D -b11111001010111110000011100101111 % -b11111001010111110000011100101111 . -b11111001010111110000011100101111 6 -b11111001010111110000011100101111 @ -b11111001010111110000011100101111 F -b10000010101000000110101011000111 ) -#370752000 -0& -#370768000 -b1011010100001 , -#370784000 -1& -#370800000 -b1110010000001000011000110100111 " -b1110010000001000011000110100111 4 -b1110010000001000011000110100111 1 -b1110010000001000011000110100111 C -b1 2 -b1 = -18 -b10101111001110100100000100100100 < -b10001100011110001011000110100111 : -b11011101001111100111000010000011 $ -b11011101001111100111000010000011 - -b11011101001111100111000010000011 5 -b11011101001111100111000010000011 ? -b11011101001111100111000010000011 D -b10101111001110100100000100100100 % -b10101111001110100100000100100100 . -b10101111001110100100000100100100 6 -b10101111001110100100000100100100 @ -b10101111001110100100000100100100 F -b1110010000001000011000110100111 ) -#370816000 -0& -#370832000 -b1011010100010 , -#370848000 -1& -#370864000 -b11100010000101010100000011010 " -b11100010000101010100000011010 4 -b11100010000101010100000011010 1 -b11100010000101010100000011010 C -b11110110111111011010000111111011 < -b11100001101111001010101111011100 : -b11101010101111110000100111100001 $ -b11101010101111110000100111100001 - -b11101010101111110000100111100001 5 -b11101010101111110000100111100001 ? -b11101010101111110000100111100001 D -b11110110111111011010000111111011 % -b11110110111111011010000111111011 . -b11110110111111011010000111111011 6 -b11110110111111011010000111111011 @ -b11110110111111011010000111111011 F -b11100010000101010100000011010 ) -#370880000 -0& -#370896000 -b1011010100011 , -#370912000 -1& -#370928000 -b1111101011110011001011011001001 " -b1111101011110011001011011001001 4 -b1111101011110011001011011001001 1 -b1111101011110011001011011001001 C -b11011111110011111000111110100010 < -b10000010100001011010100100001101 : -b10100010101101100001100101101011 $ -b10100010101101100001100101101011 - -b10100010101101100001100101101011 5 -b10100010101101100001100101101011 ? -b10100010101101100001100101101011 D -b11011111110011111000111110100010 % -b11011111110011111000111110100010 . -b11011111110011111000111110100010 6 -b11011111110011111000111110100010 @ -b11011111110011111000111110100010 F -b1111101011110011001011011001001 ) -#370944000 -0& -#370960000 -b1011010100100 , -#370976000 -1& -#370992000 -b110001010010111010100110010000 " -b110001010010111010100110010000 4 -b110001010010111010100110010000 1 -b110001010010111010100110010000 C -b11001100110101000100001100011000 < -b11001010011101000010110110100000 : -b11111101100111111110101010001000 $ -b11111101100111111110101010001000 - -b11111101100111111110101010001000 5 -b11111101100111111110101010001000 ? -b11111101100111111110101010001000 D -b11001100110101000100001100011000 % -b11001100110101000100001100011000 . -b11001100110101000100001100011000 6 -b11001100110101000100001100011000 @ -b11001100110101000100001100011000 F -b110001010010111010100110010000 ) -#371008000 -0& -#371024000 -b1011010100101 , -#371040000 -1& -#371056000 -b10001000100001100011011001001111 " -b10001000100001100011011001001111 4 -b10001000100001100011011001001111 1 -b10001000100001100011011001001111 C -b0 2 -b0 = -08 -b1111110011110111100000110100110 < -b1110101011110011011100110001111 : -b11110110111111011111011111101001 $ -b11110110111111011111011111101001 - -b11110110111111011111011111101001 5 -b11110110111111011111011111101001 ? -b11110110111111011111011111101001 D -b1111110011110111100000110100110 % -b1111110011110111100000110100110 . -b1111110011110111100000110100110 6 -b1111110011110111100000110100110 @ -b1111110011110111100000110100110 F -b10001000100001100011011001001111 ) -#371072000 -0& -#371088000 -b1011010100110 , -#371104000 -1& -#371120000 -b10000100101111010010101101101000 " -b10000100101111010010101101101000 4 -b10000100101111010010101101101000 1 -b10000100101111010010101101101000 C -b1111111011010110001000110101001 < -b1111011010000010100110001101010 : -b11111011110101100011101011000001 $ -b11111011110101100011101011000001 - -b11111011110101100011101011000001 5 -b11111011110101100011101011000001 ? -b11111011110101100011101011000001 D -b1111111011010110001000110101001 % -b1111111011010110001000110101001 . -b1111111011010110001000110101001 6 -b1111111011010110001000110101001 @ -b1111111011010110001000110101001 F -b10000100101111010010101101101000 ) -#371136000 -0& -#371152000 -b1011010100111 , -#371168000 -1& -#371184000 -b1000110011000011000001111000011 " -b1000110011000011000001111000011 4 -b1000110011000011000001111000011 1 -b1000110011000011000001111000011 C -b1 2 -b1 = -18 -b11111111110011110001111110010110 < -b10111001011111011011101111101011 : -b10111001101011101001110001010101 $ -b10111001101011101001110001010101 - -b10111001101011101001110001010101 5 -b10111001101011101001110001010101 ? -b10111001101011101001110001010101 D -b11111111110011110001111110010110 % -b11111111110011110001111110010110 . -b11111111110011110001111110010110 6 -b11111111110011110001111110010110 @ -b11111111110011110001111110010110 F -b1000110011000011000001111000011 ) -#371200000 -0& -#371216000 -b1011010101000 , -#371232000 -1& -#371248000 -b10100100010000101001010111111 " -b10100100010000101001010111111 4 -b10100100010000101001010111111 1 -b10100100010000101001010111111 C -b11111111111100111011111110101011 < -b11101011011011111010110010111111 : -b11101011011110111110110100010100 $ -b11101011011110111110110100010100 - -b11101011011110111110110100010100 5 -b11101011011110111110110100010100 ? -b11101011011110111110110100010100 D -b11111111111100111011111110101011 % -b11111111111100111011111110101011 . -b11111111111100111011111110101011 6 -b11111111111100111011111110101011 @ -b11111111111100111011111110101011 F -b10100100010000101001010111111 ) -#371264000 -0& -#371280000 -b1011010101001 , -#371296000 -1& -#371312000 -b1011000101010001000011110100111 " -b1011000101010001000011110100111 4 -b1011000101010001000011110100111 1 -b1011000101010001000011110100111 C -b10100111111101110101000010001000 < -b10100111010101110010011110110111 : -b11111111010111111101011100101111 $ -b11111111010111111101011100101111 - -b11111111010111111101011100101111 5 -b11111111010111111101011100101111 ? -b11111111010111111101011100101111 D -b10100111111101110101000010001000 % -b10100111111101110101000010001000 . -b10100111111101110101000010001000 6 -b10100111111101110101000010001000 @ -b10100111111101110101000010001000 F -b1011000101010001000011110100111 ) -#371328000 -0& -#371344000 -b1011010101010 , -#371360000 -1& -#371376000 -b100010010001000001100101100 " -b100010010001000001100101100 4 -b100010010001000001100101100 1 -b100010010001000001100101100 C -b0 2 -b0 = -08 -19 -b1111011101101100110001110011111 < -b11111011101101010100010001010010 : -b1111111111111101110000010110011 $ -b1111111111111101110000010110011 - -b1111111111111101110000010110011 5 -b1111111111111101110000010110011 ? -b1111111111111101110000010110011 D -b1111011101101100110001110011111 % -b1111011101101100110001110011111 . -b1111011101101100110001110011111 6 -b1111011101101100110001110011111 @ -b1111011101101100110001110011111 F -b100010010001000001100101100 ) -#371392000 -0& -#371408000 -b1011010101011 , -#371424000 -1& -#371440000 -b10000000010010010100111110110000 " -b10000000010010010100111110110000 4 -b10000000010010010100111110110000 1 -b10000000010010010100111110110000 C -b0 2 -b0 = -09 -08 -b11111111011101111010101100111000 < -b1111110101101101000111111000000 : -b1111111001111101110010010001000 $ -b1111111001111101110010010001000 - -b1111111001111101110010010001000 5 -b1111111001111101110010010001000 ? -b1111111001111101110010010001000 D -b11111111011101111010101100111000 % -b11111111011101111010101100111000 . -b11111111011101111010101100111000 6 -b11111111011101111010101100111000 @ -b11111111011101111010101100111000 F -b10000000010010010100111110110000 ) -#371456000 -0& -#371472000 -b1011010101100 , -#371488000 -1& -#371504000 -b10010000000011010101001010100011 " -b10010000000011010101001010100011 4 -b10010000000011010101001010100011 1 -b10010000000011010101001010100011 C -b11111111110100100010011001110100 < -b1101111101100011001101101001011 : -b1101111110111110111010011010111 $ -b1101111110111110111010011010111 - -b1101111110111110111010011010111 5 -b1101111110111110111010011010111 ? -b1101111110111110111010011010111 D -b11111111110100100010011001110100 % -b11111111110100100010011001110100 . -b11111111110100100010011001110100 6 -b11111111110100100010011001110100 @ -b11111111110100100010011001110100 F -b10010000000011010101001010100011 ) -#371520000 -0& -#371536000 -b1011010101101 , -#371552000 -1& -#371568000 -b111001001111110001000000101 " -b111001001111110001000000101 4 -b111001001111110001000000101 1 -b111001001111110001000000101 C -b1 2 -b1 = -18 -b11111101001111111000101001001000 < -b11110111010101111111001010010101 : -b11111010000110000110100001001101 $ -b11111010000110000110100001001101 - -b11111010000110000110100001001101 5 -b11111010000110000110100001001101 ? -b11111010000110000110100001001101 D -b11111101001111111000101001001000 % -b11111101001111111000101001001000 . -b11111101001111111000101001001000 6 -b11111101001111111000101001001000 @ -b11111101001111111000101001001000 F -b111001001111110001000000101 ) -#371584000 -0& -#371600000 -b1011010101110 , -#371616000 -1& -#371632000 -b1000101100011000100011111010 " -b1000101100011000100011111010 4 -b1000101100011000100011111010 1 -b1000101100011000100011111010 C -b11110111111001110001101101111010 < -b11110111001111011010111011111010 : -b11111111010101101001001110000000 $ -b11111111010101101001001110000000 - -b11111111010101101001001110000000 5 -b11111111010101101001001110000000 ? -b11111111010101101001001110000000 D -b11110111111001110001101101111010 % -b11110111111001110001101101111010 . -b11110111111001110001101101111010 6 -b11110111111001110001101101111010 @ -b11110111111001110001101101111010 F -b1000101100011000100011111010 ) -#371648000 -0& -#371664000 -b1011010101111 , -#371680000 -1& -#371696000 -b10010010000000000010011011011000 " -b10010010000000000010011011011000 4 -b10010010000000000010011011011000 1 -b10010010000000000010011011011000 C -b0 2 -b0 = -08 -b1101101011111111100011100100011 < -b1101100111111111010100100011110 : -b11111111011111111110000111111011 $ -b11111111011111111110000111111011 - -b11111111011111111110000111111011 5 -b11111111011111111110000111111011 ? -b11111111011111111110000111111011 D -b1101101011111111100011100100011 % -b1101101011111111100011100100011 . -b1101101011111111100011100100011 6 -b1101101011111111100011100100011 @ -b1101101011111111100011100100011 F -b10010010000000000010011011011000 ) -#371712000 -0& -#371728000 -b1011010110000 , -#371744000 -1& -#371760000 -b10111100101001100101100 " -b10111100101001100101100 4 -b10111100101001100101100 1 -b10111100101001100101100 C -b1 2 -b1 = -18 -b11111101101000011110010110110011 < -b11111011101000011001110001010010 : -b11111101111111111011011010011111 $ -b11111101111111111011011010011111 - -b11111101111111111011011010011111 5 -b11111101111111111011011010011111 ? -b11111101111111111011011010011111 D -b11111101101000011110010110110011 % -b11111101101000011110010110110011 . -b11111101101000011110010110110011 6 -b11111101101000011110010110110011 @ -b11111101101000011110010110110011 F -b10111100101001100101100 ) -#371776000 -0& -#371792000 -b1011010110001 , -#371808000 -1& -#371824000 -b1000100100011000000001100000111 " -b1000100100011000000001100000111 4 -b1000100100011000000001100000111 1 -b1000100100011000000001100000111 C -b0 2 -b0 = -08 -19 -b111010111011010101000010001000 < -b10111001010011101010010000010111 : -b1111110011000010101001110001111 $ -b1111110011000010101001110001111 - -b1111110011000010101001110001111 5 -b1111110011000010101001110001111 ? -b1111110011000010101001110001111 D -b111010111011010101000010001000 % -b111010111011010101000010001000 . -b111010111011010101000010001000 6 -b111010111011010101000010001000 @ -b111010111011010101000010001000 F -b1000100100011000000001100000111 ) -#371840000 -0& -#371856000 -b1011010110010 , -#371872000 -1& -#371888000 -b1110010101011100010101100000101 " -b1110010101011100010101100000101 4 -b1110010101011100010101100000101 1 -b1110010101011100010101100000101 C -b1011111011001110101110010100100 < -b10001101001100001101010001000101 : -b101101110010010111011110100001 $ -b101101110010010111011110100001 - -b101101110010010111011110100001 5 -b101101110010010111011110100001 ? -b101101110010010111011110100001 D -b1011111011001110101110010100100 % -b1011111011001110101110010100100 . -b1011111011001110101110010100100 6 -b1011111011001110101110010100100 @ -b1011111011001110101110010100100 F -b1110010101011100010101100000101 ) -#371904000 -0& -#371920000 -b1011010110011 , -#371936000 -1& -#371952000 -b1111110000000011011001111000000 " -b1111110000000011011001111000000 4 -b1111110000000011011001111000000 1 -b1111110000000011011001111000000 C -b111101111111101101101000101100 < -b10000001111111100100010000011000 : -b1000011111111110110100111101100 $ -b1000011111111110110100111101100 - -b1000011111111110110100111101100 5 -b1000011111111110110100111101100 ? -b1000011111111110110100111101100 D -b111101111111101101101000101100 % -b111101111111101101101000101100 . -b111101111111101101101000101100 6 -b111101111111101101101000101100 @ -b111101111111101101101000101100 F -b1111110000000011011001111000000 ) -#371968000 -0& -#371984000 -b1011010110100 , -#372000000 -1& -#372016000 -b11000101011001100011001111010111 " -b11000101011001100011001111010111 4 -b11000101011001100011001111010111 1 -b11000101011001100011001111010111 C -09 -b0 2 -b0 = -08 -b1111000111111111011011100000101 < -b110110100110010011101111010111 : -b10111101100110011000010011010010 $ -b10111101100110011000010011010010 - -b10111101100110011000010011010010 5 -b10111101100110011000010011010010 ? -b10111101100110011000010011010010 D -b1111000111111111011011100000101 % -b1111000111111111011011100000101 . -b1111000111111111011011100000101 6 -b1111000111111111011011100000101 @ -b1111000111111111011011100000101 F -b11000101011001100011001111010111 ) -#372032000 -0& -#372048000 -b1011010110101 , -#372064000 -1& -#372080000 -b11001001000110101011111110101 " -b11001001000110101011111110101 4 -b11001001000110101011111110101 1 -b11001001000110101011111110101 C -b1 2 -b1 = -18 -b11111110110111110101010000111011 < -b11100110110110110101100000001001 : -b11100111111111000000001111001110 $ -b11100111111111000000001111001110 - -b11100111111111000000001111001110 5 -b11100111111111000000001111001110 ? -b11100111111111000000001111001110 D -b11111110110111110101010000111011 % -b11111110110111110101010000111011 . -b11111110110111110101010000111011 6 -b11111110110111110101010000111011 @ -b11111110110111110101010000111011 F -b11001001000110101011111110101 ) -#372096000 -0& -#372112000 -b1011010110110 , -#372128000 -1& -#372144000 -b10000000010101101011110111111111 " -b10000000010101101011110111111111 4 -b10000000010101101011110111111111 1 -b10000000010101101011110111111111 C -b0 2 -b0 = -08 -b11111111110011111000111010000001 < -b1111111011010001100000111111111 : -b1111111100110010011001101111110 $ -b1111111100110010011001101111110 - -b1111111100110010011001101111110 5 -b1111111100110010011001101111110 ? -b1111111100110010011001101111110 D -b11111111110011111000111010000001 % -b11111111110011111000111010000001 . -b11111111110011111000111010000001 6 -b11111111110011111000111010000001 @ -b11111111110011111000111010000001 F -b10000000010101101011110111111111 ) -#372160000 -0& -#372176000 -b1011010110111 , -#372192000 -1& -#372208000 -b10001110110000100001101001100011 " -b10001110110000100001101001100011 4 -b10001110110000100001101001100011 1 -b10001110110000100001101001100011 C -b1111111111111110101010010101011 < -b1110001001111001010001101110011 : -b11110001001111010100111011001000 $ -b11110001001111010100111011001000 - -b11110001001111010100111011001000 5 -b11110001001111010100111011001000 ? -b11110001001111010100111011001000 D -b1111111111111110101010010101011 % -b1111111111111110101010010101011 . -b1111111111111110101010010101011 6 -b1111111111111110101010010101011 @ -b1111111111111110101010010101011 F -b10001110110000100001101001100011 ) -#372224000 -0& -#372240000 -b1011010111000 , -#372256000 -1& -#372272000 -b110101000001001100010111010 " -b110101000001001100010111010 4 -b110101000001001100010111010 1 -b110101000001001100010111010 C -b1 2 -b1 = -18 -b11110011111111101100001111011101 < -b11101001010111010001111101000100 : -b11110101010111100101101101100111 $ -b11110101010111100101101101100111 - -b11110101010111100101101101100111 5 -b11110101010111100101101101100111 ? -b11110101010111100101101101100111 D -b11110011111111101100001111011101 % -b11110011111111101100001111011101 . -b11110011111111101100001111011101 6 -b11110011111111101100001111011101 @ -b11110011111111101100001111011101 F -b110101000001001100010111010 ) -#372288000 -0& -#372304000 -b1011010111001 , -#372320000 -1& -#372336000 -b1000110001010010100101000000001 " -b1000110001010010100101000000001 4 -b1000110001010010100101000000001 1 -b1000110001010010100101000000001 C -b0 2 -b0 = -08 -19 -b1111001110001100110101000100100 < -b10111001101101011000101001001001 : -b111111111011110010000000100101 $ -b111111111011110010000000100101 - -b111111111011110010000000100101 5 -b111111111011110010000000100101 ? -b111111111011110010000000100101 D -b1111001110001100110101000100100 % -b1111001110001100110101000100100 . -b1111001110001100110101000100100 6 -b1111001110001100110101000100100 @ -b1111001110001100110101000100100 F -b1000110001010010100101000000001 ) -#372352000 -0& -#372368000 -b1011010111010 , -#372384000 -1& -#372400000 -b10011000000000111111110111111001 " -b10011000000000111111110111111001 4 -b10011000000000111111110111111001 1 -b10011000000000111111110111111001 C -09 -b0 2 -b0 = -08 -b1111011101111110000101011010010 < -b1011111011111000000000111111101 : -b11100011101111001111011100101011 $ -b11100011101111001111011100101011 - -b11100011101111001111011100101011 5 -b11100011101111001111011100101011 ? -b11100011101111001111011100101011 D -b1111011101111110000101011010010 % -b1111011101111110000101011010010 . -b1111011101111110000101011010010 6 -b1111011101111110000101011010010 @ -b1111011101111110000101011010010 F -b10011000000000111111110111111001 ) -#372416000 -0& -#372432000 -b1011010111011 , -#372448000 -1& -#372464000 -b101001000111110001010000110101 " -b101001000111110001010000110101 4 -b101001000111110001010000110101 1 -b101001000111110001010000110101 C -b1 2 -b1 = -18 -b11111111111100111001010100100100 < -b11010110111000000001011000110101 : -b11010110111011001000000100010001 $ -b11010110111011001000000100010001 - -b11010110111011001000000100010001 5 -b11010110111011001000000100010001 ? -b11010110111011001000000100010001 D -b11111111111100111001010100100100 % -b11111111111100111001010100100100 . -b11111111111100111001010100100100 6 -b11111111111100111001010100100100 @ -b11111111111100111001010100100100 F -b101001000111110001010000110101 ) -#372480000 -0& -#372496000 -b1011010111100 , -#372512000 -1& -#372528000 -b100001011001110100000001001 " -b100001011001110100000001001 4 -b100001011001110100000001001 1 -b100001011001110100000001001 C -b11111111110111111101001111100110 < -b11111011110100110000111111010101 : -b11111011111100110011101111101111 $ -b11111011111100110011101111101111 - -b11111011111100110011101111101111 5 -b11111011111100110011101111101111 ? -b11111011111100110011101111101111 D -b11111111110111111101001111100110 % -b11111111110111111101001111100110 . -b11111111110111111101001111100110 6 -b11111111110111111101001111100110 @ -b11111111110111111101001111100110 F -b100001011001110100000001001 ) -#372544000 -0& -#372560000 -b1011010111101 , -#372576000 -1& -#372592000 -b11001011110101111101100000111000 " -b11001011110101111101100000111000 4 -b11001011110101111101100000111000 1 -b11001011110101111101100000111000 C -b0 2 -b0 = -08 -b1111100101110000111101110111000 < -b110100001010000001111100111000 : -b10110111011011111010001110000000 $ -b10110111011011111010001110000000 - -b10110111011011111010001110000000 5 -b10110111011011111010001110000000 ? -b10110111011011111010001110000000 D -b1111100101110000111101110111000 % -b1111100101110000111101110111000 . -b1111100101110000111101110111000 6 -b1111100101110000111101110111000 @ -b1111100101110000111101110111000 F -b11001011110101111101100000111000 ) -#372608000 -0& -#372624000 -b1011010111110 , -#372640000 -1& -#372656000 -b10010010000110100110001001010 " -b10010010000110100110001001010 4 -b10010010000110100110001001010 1 -b10010010000110100110001001010 C -b1 2 -b1 = -18 -19 -b10101101111111001001110000111110 < -b1101101101111000110110010110010 : -b10111111101111111101000001110100 $ -b10111111101111111101000001110100 - -b10111111101111111101000001110100 5 -b10111111101111111101000001110100 ? -b10111111101111111101000001110100 D -b10101101111111001001110000111110 % -b10101101111111001001110000111110 . -b10101101111111001001110000111110 6 -b10101101111111001001110000111110 @ -b10101101111111001001110000111110 F -b10010010000110100110001001010 ) -#372672000 -0& -#372688000 -b1011010111111 , -#372704000 -1& -#372720000 -b10110100110110001111000001001111 " -b10110100110110001111000001001111 4 -b10110100110110001111000001001111 1 -b10110100110110001111000001001111 C -b0 2 -b0 = -08 -09 -b1111111001101111011001000000101 < -b1001011001001101111010001001111 : -b11001011111011110100001001001010 $ -b11001011111011110100001001001010 - -b11001011111011110100001001001010 5 -b11001011111011110100001001001010 ? -b11001011111011110100001001001010 D -b1111111001101111011001000000101 % -b1111111001101111011001000000101 . -b1111111001101111011001000000101 6 -b1111111001101111011001000000101 @ -b1111111001101111011001000000101 F -b10110100110110001111000001001111 ) -#372736000 -0& -#372752000 -b1011011000000 , -#372768000 -1& -#372784000 -b11001110111101000101001011011110 " -b11001110111101000101001011011110 4 -b11001110111101000101001011011110 1 -b11001110111101000101001011011110 C -b111101001010111100001101111111 < -b110001000010110101010100100000 : -b11110011110111111001000110100001 $ -b11110011110111111001000110100001 - -b11110011110111111001000110100001 5 -b11110011110111111001000110100001 ? -b11110011110111111001000110100001 D -b111101001010111100001101111111 % -b111101001010111100001101111111 . -b111101001010111100001101111111 6 -b111101001010111100001101111111 @ -b111101001010111100001101111111 F -b11001110111101000101001011011110 ) -#372800000 -0& -#372816000 -b1011011000001 , -#372832000 -1& -#372848000 -b10011001111101110011001110101 " -b10011001111101110011001110101 4 -b10011001111101110011001110101 1 -b10011001111101110011001110101 C -b1 2 -b1 = -18 -b11111111100110010000110101101100 < -b11101100010000001111100010000101 : -b11101100101001111110101100011001 $ -b11101100101001111110101100011001 - -b11101100101001111110101100011001 5 -b11101100101001111110101100011001 ? -b11101100101001111110101100011001 D -b11111111100110010000110101101100 % -b11111111100110010000110101101100 . -b11111111100110010000110101101100 6 -b11111111100110010000110101101100 @ -b11111111100110010000110101101100 F -b10011001111101110011001110101 ) -#372864000 -0& -#372880000 -b1011011000010 , -#372896000 -1& -#372912000 -b11110000000011000111011110011110 " -b11110000000011000111011110011110 4 -b11110000000011000111011110011110 1 -b11110000000011000111011110011110 C -b0 2 -b0 = -08 -b10101101111110111011010011001101 < -b1011111100110111100000100000 : -b1011101111101111100001101010011 $ -b1011101111101111100001101010011 - -b1011101111101111100001101010011 5 -b1011101111101111100001101010011 ? -b1011101111101111100001101010011 D -b10101101111110111011010011001101 % -b10101101111110111011010011001101 . -b10101101111110111011010011001101 6 -b10101101111110111011010011001101 @ -b10101101111110111011010011001101 F -b11110000000011000111011110011110 ) -#372928000 -0& -#372944000 -b1011011000011 , -#372960000 -1& -#372976000 -b1011100011101111101001100111101 " -b1011100011101111101001100111101 4 -b1011100011101111101001100111101 1 -b1011100011101111101001100111101 C -19 -b0 2 -b0 = -08 -b1100111111000111001001110001100 < -b10100011011101111101010000111101 : -b111011100101000100000010110001 $ -b111011100101000100000010110001 - -b111011100101000100000010110001 5 -b111011100101000100000010110001 ? -b111011100101000100000010110001 D -b1100111111000111001001110001100 % -b1100111111000111001001110001100 . -b1100111111000111001001110001100 6 -b1100111111000111001001110001100 @ -b1100111111000111001001110001100 F -b1011100011101111101001100111101 ) -#372992000 -0& -#373008000 -b1011011000100 , -#373024000 -1& -#373040000 -b11101110001000110010010000001110 " -b11101110001000110010010000001110 4 -b11101110001000110010010000001110 1 -b11101110001000110010010000001110 C -b1 2 -b1 = -18 -09 -b11101111111111101101010110000001 < -b11110001110111001100011100010000 : -b1110111011111000110001111 $ -b1110111011111000110001111 - -b1110111011111000110001111 5 -b1110111011111000110001111 ? -b1110111011111000110001111 D -b11101111111111101101010110000001 % -b11101111111111101101010110000001 . -b11101111111111101101010110000001 6 -b11101111111111101101010110000001 @ -b11101111111111101101010110000001 F -b11101110001000110010010000001110 ) -#373056000 -0& -#373072000 -b1011011000101 , -#373088000 -1& -#373104000 -b1110000000001100100010101111 " -b1110000000001100100010101111 4 -b1110000000001100100010101111 1 -b1110000000001100100010101111 C -b0 2 -b0 = -08 -19 -b1011111111111110001110101001100 < -b10110001111111101111001100101111 : -b1010001111111111101010111100011 $ -b1010001111111111101010111100011 - -b1010001111111111101010111100011 5 -b1010001111111111101010111100011 ? -b1010001111111111101010111100011 D -b1011111111111110001110101001100 % -b1011111111111110001110101001100 . -b1011111111111110001110101001100 6 -b1011111111111110001110101001100 @ -b1011111111111110001110101001100 F -b1110000000001100100010101111 ) -#373120000 -0& -#373136000 -b1011011000110 , -#373152000 -1& -#373168000 -b11100011010101101011100110100100 " -b11100011010101101011100110100100 4 -b11100011010101101011100110100100 1 -b11100011010101101011100110100100 C -09 -b0 2 -b0 = -08 -b111010111110101111101011111111 < -b10100101001110011111001011010 : -b11011001101011000100001101011011 $ -b11011001101011000100001101011011 - -b11011001101011000100001101011011 5 -b11011001101011000100001101011011 ? -b11011001101011000100001101011011 D -b111010111110101111101011111111 % -b111010111110101111101011111111 . -b111010111110101111101011111111 6 -b111010111110101111101011111111 @ -b111010111110101111101011111111 F -b11100011010101101011100110100100 ) -#373184000 -0& -#373200000 -b1011011000111 , -#373216000 -1& -#373232000 -b101111000110011101001011001000 " -b101111000110011101001011001000 4 -b101111000110011101001011001000 1 -b101111000110011101001011001000 C -b1 2 -b1 = -18 -b11110110111101101010111001100111 < -b11010000111001100010101100010110 : -b11011001111011110111110010101111 $ -b11011001111011110111110010101111 - -b11011001111011110111110010101111 5 -b11011001111011110111110010101111 ? -b11011001111011110111110010101111 D -b11110110111101101010111001100111 % -b11110110111101101010111001100111 . -b11110110111101101010111001100111 6 -b11110110111101101010111001100111 @ -b11110110111101101010111001100111 F -b101111000110011101001011001000 ) -#373248000 -0& -#373264000 -b1011011001000 , -#373280000 -1& -#373296000 -b10111000010110001111000000001 " -b10111000010110001111000000001 4 -b10111000010110001111000000001 1 -b10111000010110001111000000001 C -b0 2 -b0 = -08 -19 -b1111010011110110000101100100100 < -b11100111111010110010000001001001 : -b1101101011100000001010100100101 $ -b1101101011100000001010100100101 - -b1101101011100000001010100100101 5 -b1101101011100000001010100100101 ? -b1101101011100000001010100100101 D -b1111010011110110000101100100100 % -b1111010011110110000101100100100 . -b1111010011110110000101100100100 6 -b1111010011110110000101100100100 @ -b1111010011110110000101100100100 F -b10111000010110001111000000001 ) -#373312000 -0& -#373328000 -b1011011001001 , -#373344000 -1& -#373360000 -b11010101100000000000101001101100 " -b11010101100000000000101001101100 4 -b11010101100000000000101001101100 1 -b11010101100000000000101001101100 C -09 -b0 2 -b0 = -08 -b1100011111111110110010001101001 < -b11010011111101101001001101110 : -b10110110011111110110111000000101 $ -b10110110011111110110111000000101 - -b10110110011111110110111000000101 5 -b10110110011111110110111000000101 ? -b10110110011111110110111000000101 D -b1100011111111110110010001101001 % -b1100011111111110110010001101001 . -b1100011111111110110010001101001 6 -b1100011111111110110010001101001 @ -b1100011111111110110010001101001 F -b11010101100000000000101001101100 ) -#373376000 -0& -#373392000 -b1011011001010 , -#373408000 -1& -#373424000 -b1000100000001100111101101100111 " -b1000100000001100111101101100111 4 -b1000100000001100111101101100111 1 -b1000100000001100111101101100111 C -19 -b0 2 -b0 = -08 -b111111111111011000110100010000 < -b10111011111110011000001110000111 : -b1111011111110111111011001110111 $ -b1111011111110111111011001110111 - -b1111011111110111111011001110111 5 -b1111011111110111111011001110111 ? -b1111011111110111111011001110111 D -b111111111111011000110100010000 % -b111111111111011000110100010000 . -b111111111111011000110100010000 6 -b111111111111011000110100010000 @ -b111111111111011000110100010000 F -b1000100000001100111101101100111 ) -#373440000 -0& -#373456000 -b1011011001011 , -#373472000 -1& -#373488000 -b1011111000101011101100001001010 " -b1011111000101011101100001001010 4 -b1011111000101011101100001001010 1 -b1011111000101011101100001001010 C -b1101001111010111010111001110110 < -b10100000111010100010010010110010 : -b110110111111100111011000111100 $ -b110110111111100111011000111100 - -b110110111111100111011000111100 5 -b110110111111100111011000111100 ? -b110110111111100111011000111100 D -b1101001111010111010111001110110 % -b1101001111010111010111001110110 . -b1101001111010111010111001110110 6 -b1101001111010111010111001110110 @ -b1101001111010111010111001110110 F -b1011111000101011101100001001010 ) -#373504000 -0& -#373520000 -b1011011001100 , -#373536000 -1& -#373552000 -b10010001101011111111001111100010 " -b10010001101011111111001111100010 4 -b10010001101011111111001111100010 1 -b10010001101011111111001111100010 C -09 -b0 2 -b0 = -08 -b1101111111101111111101010011111 < -b1101110010100000000010000011100 : -b11111110010110000000100101111101 $ -b11111110010110000000100101111101 - -b11111110010110000000100101111101 5 -b11111110010110000000100101111101 ? -b11111110010110000000100101111101 D -b1101111111101111111101010011111 % -b1101111111101111111101010011111 . -b1101111111101111111101010011111 6 -b1101111111101111111101010011111 @ -b1101111111101111111101010011111 F -b10010001101011111111001111100010 ) -#373568000 -0& -#373584000 -b1011011001101 , -#373600000 -1& -#373616000 -b1000111010111000011011101101 " -b1000111010111000011011101101 4 -b1000111010111000011011101101 1 -b1000111010111000011011101101 C -19 -b0 2 -b0 = -08 -b1111011010001110101100010110111 < -b11101110111101000011011100010001 : -b1110011101011001101111001011010 $ -b1110011101011001101111001011010 - -b1110011101011001101111001011010 5 -b1110011101011001101111001011010 ? -b1110011101011001101111001011010 D -b1111011010001110101100010110111 % -b1111011010001110101100010110111 . -b1111011010001110101100010110111 6 -b1111011010001110101100010110111 @ -b1111011010001110101100010110111 F -b1000111010111000011011101101 ) -#373632000 -0& -#373648000 -b1011011001110 , -#373664000 -1& -#373680000 -b101010000001001001111111011100 " -b101010000001001001111111011100 4 -b101010000001001001111111011100 1 -b101010000001001001111111011100 C -b1 2 -b1 = -18 -09 -b11111111011111111011110000100000 < -b11010100111110101110000000011100 : -b11010101011110110010001111111100 $ -b11010101011110110010001111111100 - -b11010101011110110010001111111100 5 -b11010101011110110010001111111100 ? -b11010101011110110010001111111100 D -b11111111011111111011110000100000 % -b11111111011111111011110000100000 . -b11111111011111111011110000100000 6 -b11111111011111111011110000100000 @ -b11111111011111111011110000100000 F -b101010000001001001111111011100 ) -#373696000 -0& -#373712000 -b1011011001111 , -#373728000 -1& -#373744000 -b101000011101001100001001001100 " -b101000011101001100001001001100 4 -b101000011101001100001001001100 1 -b101000011101001100001001001100 C -b0 2 -b0 = -08 -19 -b1111111100110100000000111001001 < -b11010111100010001100010101001110 : -b1010111111011101100001110000101 $ -b1010111111011101100001110000101 - -b1010111111011101100001110000101 5 -b1010111111011101100001110000101 ? -b1010111111011101100001110000101 D -b1111111100110100000000111001001 % -b1111111100110100000000111001001 . -b1111111100110100000000111001001 6 -b1111111100110100000000111001001 @ -b1111111100110100000000111001001 F -b101000011101001100001001001100 ) -#373760000 -0& -#373776000 -b1011011010000 , -#373792000 -1& -#373808000 -b110100001011111000100011 " -b110100001011111000100011 4 -b110100001011111000100011 1 -b110100001011111000100011 C -b1111100111111111010011011000011 < -b11111001001011101011111110100011 : -b1111100001011110001100011100000 $ -b1111100001011110001100011100000 - -b1111100001011110001100011100000 5 -b1111100001011110001100011100000 ? -b1111100001011110001100011100000 D -b1111100111111111010011011000011 % -b1111100111111111010011011000011 . -b1111100111111111010011011000011 6 -b1111100111111111010011011000011 @ -b1111100111111111010011011000011 F -b110100001011111000100011 ) -#373824000 -0& -#373840000 -b1011011010001 , -#373856000 -1& -#373872000 -b100010010001110101110011010 " -b100010010001110101110011010 4 -b100010010001110101110011010 1 -b100010010001110101110011010 C -b1 2 -b1 = -18 -09 -b11111111111111110111110101110110 < -b11111011101101110001010001100010 : -b11111011101101111001011011101100 $ -b11111011101101111001011011101100 - -b11111011101101111001011011101100 5 -b11111011101101111001011011101100 ? -b11111011101101111001011011101100 D -b11111111111111110111110101110110 % -b11111111111111110111110101110110 . -b11111111111111110111110101110110 6 -b11111111111111110111110101110110 @ -b11111111111111110111110101110110 F -b100010010001110101110011010 ) -#373888000 -0& -#373904000 -b1011011010010 , -#373920000 -1& -#373936000 -b10000100111001011100010110110111 " -b10000100111001011100010110110111 4 -b10000100111001011100010110110111 1 -b10000100111001011100010110110111 C -b0 2 -b0 = -08 -b11111011000100101111001110010110 < -b1111011000010100010100110110111 : -b1111111111101110011011000100001 $ -b1111111111101110011011000100001 - -b1111111111101110011011000100001 5 -b1111111111101110011011000100001 ? -b1111111111101110011011000100001 D -b11111011000100101111001110010110 % -b11111011000100101111001110010110 . -b11111011000100101111001110010110 6 -b11111011000100101111001110010110 @ -b11111011000100101111001110010110 F -b10000100111001011100010110110111 ) -#373952000 -0& -#373968000 -b1011011010011 , -#373984000 -1& -#374000000 -b1100111001010101100010011110100 " -b1100111001010101100010011110100 4 -b1100111001010101100010011110100 1 -b1100111001010101100010011110100 C -b0 2 -b0 = -19 -08 -b1011001110111100011010011001101 < -b10011000110100110010010100000110 : -b111110111101001111000000111001 $ -b111110111101001111000000111001 - -b111110111101001111000000111001 5 -b111110111101001111000000111001 ? -b111110111101001111000000111001 D -b1011001110111100011010011001101 % -b1011001110111100011010011001101 . -b1011001110111100011010011001101 6 -b1011001110111100011010011001101 @ -b1011001110111100011010011001101 F -b1100111001010101100010011110100 ) -#374016000 -0& -#374032000 -b1011011010100 , -#374048000 -1& -#374064000 -b110000001001101000001011001110 " -b110000001001101000001011001110 4 -b110000001001101000001011001110 1 -b110000001001101000001011001110 C -b1 2 -b1 = -18 -09 -b11110111010111110010000000111000 < -b10111110110110001100001100101110 : -b11000111011110011010001011110110 $ -b11000111011110011010001011110110 - -b11000111011110011010001011110110 5 -b11000111011110011010001011110110 ? -b11000111011110011010001011110110 D -b11110111010111110010000000111000 % -b11110111010111110010000000111000 . -b11110111010111110010000000111000 6 -b11110111010111110010000000111000 @ -b11110111010111110010000000111000 F -b110000001001101000001011001110 ) -#374080000 -0& -#374096000 -b1011011010101 , -#374112000 -1& -#374128000 -b11000000100001100001001100111110 " -b11000000100001100001001100111110 4 -b11000000100001100001001100111110 1 -b11000000100001100001001100111110 C -b0 2 -b0 = -08 -b10110101111110011100001110010101 < -b101011011110011001010001000000 : -b1110101011111111101000010101011 $ -b1110101011111111101000010101011 - -b1110101011111111101000010101011 5 -b1110101011111111101000010101011 ? -b1110101011111111101000010101011 D -b10110101111110011100001110010101 % -b10110101111110011100001110010101 . -b10110101111110011100001110010101 6 -b10110101111110011100001110010101 @ -b10110101111110011100001110010101 F -b11000000100001100001001100111110 ) -#374144000 -0& -#374160000 -b1011011010110 , -#374176000 -1& -#374192000 -b11010000010110111100101011010 " -b11010000010110111100101011010 4 -b11010000010110111100101011010 1 -b11010000010110111100101011010 C -b0 2 -b0 = -19 -08 -b1101111011111001111100011011011 < -b11100100111101000111101001011100 : -b1110101011101111000000110000001 $ -b1110101011101111000000110000001 - -b1110101011101111000000110000001 5 -b1110101011101111000000110000001 ? -b1110101011101111000000110000001 D -b1101111011111001111100011011011 % -b1101111011111001111100011011011 . -b1101111011111001111100011011011 6 -b1101111011111001111100011011011 @ -b1101111011111001111100011011011 F -b11010000010110111100101011010 ) -#374208000 -0& -#374224000 -b1011011010111 , -#374240000 -1& -#374256000 -b1100011011100010010100001101000 " -b1100011011100010010100001101000 4 -b1100011011100010010100001101000 1 -b1100011011100010010100001101000 C -b1011100101111111010101001100101 < -b10011100100011100010110001110010 : -b111111110011101000001000001101 $ -b111111110011101000001000001101 - -b111111110011101000001000001101 5 -b111111110011101000001000001101 ? -b111111110011101000001000001101 D -b1011100101111111010101001100101 % -b1011100101111111010101001100101 . -b1011100101111111010101001100101 6 -b1011100101111111010101001100101 @ -b1011100101111111010101001100101 F -b1100011011100010010100001101000 ) -#374272000 -0& -#374288000 -b1011011011000 , -#374304000 -1& -#374320000 -b10000000000000000011110000110000 " -b10000000000000000011110000110000 4 -b10000000000000000011110000110000 1 -b10000000000000000011110000110000 C -09 -b0 2 -b0 = -08 -b1111111110111110001001011001111 < -b1111111101111100100000111001110 : -b11111111110111110010111011111111 $ -b11111111110111110010111011111111 - -b11111111110111110010111011111111 5 -b11111111110111110010111011111111 ? -b11111111110111110010111011111111 D -b1111111110111110001001011001111 % -b1111111110111110001001011001111 . -b1111111110111110001001011001111 6 -b1111111110111110001001011001111 @ -b1111111110111110001001011001111 F -b10000000000000000011110000110000 ) -#374336000 -0& -#374352000 -b1011011011001 , -#374368000 -1& -#374384000 -b110000100001000010011101101110 " -b110000100001000010011101101110 4 -b110000100001000010011101101110 1 -b110000100001000010011101101110 C -b1 2 -b1 = -18 -b11011111011100111011100010111100 < -b11001111011010110101100010001110 : -b11101111111101111001111111010010 $ -b11101111111101111001111111010010 - -b11101111111101111001111111010010 5 -b11101111111101111001111111010010 ? -b11101111111101111001111111010010 D -b11011111011100111011100010111100 % -b11011111011100111011100010111100 . -b11011111011100111011100010111100 6 -b11011111011100111011100010111100 @ -b11011111011100111011100010111100 F -b110000100001000010011101101110 ) -#374400000 -0& -#374416000 -b1011011011010 , -#374432000 -1& -#374448000 -b101100100100011110000101110 " -b101100100100011110000101110 4 -b101100100100011110000101110 1 -b101100100100011110000101110 C -b0 2 -b0 = -08 -19 -b1111010111111110100110111100010 < -b11111010011011001011111110101110 : -b1111111011011010111000111001100 $ -b1111111011011010111000111001100 - -b1111111011011010111000111001100 5 -b1111111011011010111000111001100 ? -b1111111011011010111000111001100 D -b1111010111111110100110111100010 % -b1111010111111110100110111100010 . -b1111010111111110100110111100010 6 -b1111010111111110100110111100010 @ -b1111010111111110100110111100010 F -b101100100100011110000101110 ) -#374464000 -0& -#374480000 -b1011011011011 , -#374496000 -1& -#374512000 -b10011000110001110110111111010111 " -b10011000110001110110111111010111 4 -b10011000110001110110111111010111 1 -b10011000110001110110111111010111 C -b0 2 -b0 = -09 -08 -b11100111011111111001101100100111 < -b1100111001110001001000000010111 : -b1111111101110001111010011110000 $ -b1111111101110001111010011110000 - -b1111111101110001111010011110000 5 -b1111111101110001111010011110000 ? -b1111111101110001111010011110000 D -b11100111011111111001101100100111 % -b11100111011111111001101100100111 . -b11100111011111111001101100100111 6 -b11100111011111111001101100100111 @ -b11100111011111111001101100100111 F -b10011000110001110110111111010111 ) -#374528000 -0& -#374544000 -b1011011011100 , -#374560000 -1& -#374576000 -b1000010011001011000000000001111 " -b1000010011001011000000000001111 4 -b1000010011001011000000000001111 1 -b1000010011001011000000000001111 C -b0 2 -b0 = -19 -08 -b1110111011110000000101111100010 < -b10101100100101011001011111001111 : -b110101000111011000101111101101 $ -b110101000111011000101111101101 - -b110101000111011000101111101101 5 -b110101000111011000101111101101 ? -b110101000111011000101111101101 D -b1110111011110000000101111100010 % -b1110111011110000000101111100010 . -b1110111011110000000101111100010 6 -b1110111011110000000101111100010 @ -b1110111011110000000101111100010 F -b1000010011001011000000000001111 ) -#374592000 -0& -#374608000 -b1011011011101 , -#374624000 -1& -#374640000 -b10111110100111111111101010010001 " -b10111110100111111111101010010001 4 -b10111110100111111111101010010001 1 -b10111110100111111111101010010001 C -b0 2 -b0 = -09 -08 -b11000101110101010111111011010010 < -b1000001001000000000001100010101 : -b1111011010010101000010001000011 $ -b1111011010010101000010001000011 - -b1111011010010101000010001000011 5 -b1111011010010101000010001000011 ? -b1111011010010101000010001000011 D -b11000101110101010111111011010010 % -b11000101110101010111111011010010 . -b11000101110101010111111011010010 6 -b11000101110101010111111011010010 @ -b11000101110101010111111011010010 F -b10111110100111111111101010010001 ) -#374656000 -0& -#374672000 -b1011011011110 , -#374688000 -1& -#374704000 -b1001110010010111000100101100111 " -b1001110010010111000100101100111 4 -b1001110010010111000100101100111 1 -b1001110010010111000100101100111 C -19 -b0 2 -b0 = -08 -b1111111100111100001111011000101 < -b10110001011100111011011001100111 : -b110001110101011001011110100010 $ -b110001110101011001011110100010 - -b110001110101011001011110100010 5 -b110001110101011001011110100010 ? -b110001110101011001011110100010 D -b1111111100111100001111011000101 % -b1111111100111100001111011000101 . -b1111111100111100001111011000101 6 -b1111111100111100001111011000101 @ -b1111111100111100001111011000101 F -b1001110010010111000100101100111 ) -#374720000 -0& -#374736000 -b1011011011111 , -#374752000 -1& -#374768000 -b10000110100000010000101000111000 " -b10000110100000010000101000111000 4 -b10000110100000010000101000111000 1 -b10000110100000010000101000111000 C -b0 2 -b0 = -09 -08 -b11011001011011111101101101001110 < -b111001010111101010110011000100 : -b1011111111011101101000101110110 $ -b1011111111011101101000101110110 - -b1011111111011101101000101110110 5 -b1011111111011101101000101110110 ? -b1011111111011101101000101110110 D -b11011001011011111101101101001110 % -b11011001011011111101101101001110 . -b11011001011011111101101101001110 6 -b11011001011011111101101101001110 @ -b11011001011011111101101101001110 F -b10000110100000010000101000111000 ) -#374784000 -0& -#374800000 -b1011011100000 , -#374816000 -1& -#374832000 -b11110100010100101010100101100111 " -b11110100010100101010100101100111 4 -b11110100010100101010100101100111 1 -b11110100010100101010100101100111 C -b11111111111111110110010100010111 < -b1011101011010011000110000111 : -b1011101011011100110001110000 $ -b1011101011011100110001110000 - -b1011101011011100110001110000 5 -b1011101011011100110001110000 ? -b1011101011011100110001110000 D -b11111111111111110110010100010111 % -b11111111111111110110010100010111 . -b11111111111111110110010100010111 6 -b11111111111111110110010100010111 @ -b11111111111111110110010100010111 F -b11110100010100101010100101100111 ) -#374848000 -0& -#374864000 -b1011011100001 , -#374880000 -1& -#374896000 -b10000010000010000000100100101011 " -b10000010000010000000100100101011 4 -b10000010000010000000100100101011 1 -b10000010000010000000100100101011 C -b11101101111111101011100111010111 < -b1011101111101010110101011010011 : -b1101111111101101011000011111100 $ -b1101111111101101011000011111100 - -b1101111111101101011000011111100 5 -b1101111111101101011000011111100 ? -b1101111111101101011000011111100 D -b11101101111111101011100111010111 % -b11101101111111101011100111010111 . -b11101101111111101011100111010111 6 -b11101101111111101011100111010111 @ -b11101101111111101011100111010111 F -b10000010000010000000100100101011 ) -#374912000 -0& -#374928000 -b1011011100010 , -#374944000 -1& -#374960000 -b100001101001011001100110 " -b100001101001011001100110 4 -b100001101001011001100110 1 -b100001101001011001100110 C -b1 2 -b1 = -18 -b11111111011101111101011110011100 < -b11111111011010010001100110010110 : -b11111111111100010100000111111010 $ -b11111111111100010100000111111010 - -b11111111111100010100000111111010 5 -b11111111111100010100000111111010 ? -b11111111111100010100000111111010 D -b11111111011101111101011110011100 % -b11111111011101111101011110011100 . -b11111111011101111101011110011100 6 -b11111111011101111101011110011100 @ -b11111111011101111101011110011100 F -b100001101001011001100110 ) -#374976000 -0& -#374992000 -b1011011100011 , -#375008000 -1& -#375024000 -b111101101000001111011100101100 " -b111101101000001111011100101100 4 -b111101101000001111011100101100 1 -b111101101000001111011100101100 C -b11111100011111110110010001000101 < -b10111110010111101111011110101110 : -b11000001110111111001001101101001 $ -b11000001110111111001001101101001 - -b11000001110111111001001101101001 5 -b11000001110111111001001101101001 ? -b11000001110111111001001101101001 D -b11111100011111110110010001000101 % -b11111100011111110110010001000101 . -b11111100011111110110010001000101 6 -b11111100011111110110010001000101 @ -b11111100011111110110010001000101 F -b111101101000001111011100101100 ) -#375040000 -0& -#375056000 -b1011011100100 , -#375072000 -1& -#375088000 -b11100100111101010100011010011011 " -b11100100111101010100011010011011 4 -b11100100111101010100011010011011 1 -b11100100111101010100011010011011 C -b0 2 -b0 = -08 -b11111111101010110001001001100010 < -b11011000010010110011101011011 : -b11011010111100101010011111001 $ -b11011010111100101010011111001 - -b11011010111100101010011111001 5 -b11011010111100101010011111001 ? -b11011010111100101010011111001 D -b11111111101010110001001001100010 % -b11111111101010110001001001100010 . -b11111111101010110001001001100010 6 -b11111111101010110001001001100010 @ -b11111111101010110001001001100010 F -b11100100111101010100011010011011 ) -#375104000 -0& -#375120000 -b1011011100101 , -#375136000 -1& -#375152000 -b10100000000000001011101000011110 " -b10100000000000001011101000011110 4 -b10100000000000001011101000011110 1 -b10100000000000001011101000011110 C -b11111111111111110110101100111011 < -b1011111111111110011110001100000 : -b1011111111111111101000100100101 $ -b1011111111111111101000100100101 - -b1011111111111111101000100100101 5 -b1011111111111111101000100100101 ? -b1011111111111111101000100100101 D -b11111111111111110110101100111011 % -b11111111111111110110101100111011 . -b11111111111111110110101100111011 6 -b11111111111111110110101100111011 @ -b11111111111111110110101100111011 F -b10100000000000001011101000011110 ) -#375168000 -0& -#375184000 -b1011011100110 , -#375200000 -1& -#375216000 -b1110001101100000011010011000001 " -b1110001101100000011010011000001 4 -b1110001101100000011010011000001 1 -b1110001101100000011010011000001 C -b0 2 -b0 = -19 -08 -b1011111011011010101100011001011 < -b10001110010010101100010011010101 : -b101110110111010110110000001010 $ -b101110110111010110110000001010 - -b101110110111010110110000001010 5 -b101110110111010110110000001010 ? -b101110110111010110110000001010 D -b1011111011011010101100011001011 % -b1011111011011010101100011001011 . -b1011111011011010101100011001011 6 -b1011111011011010101100011001011 @ -b1011111011011010101100011001011 F -b1110001101100000011010011000001 ) -#375232000 -0& -#375248000 -b1011011100111 , -#375264000 -1& -#375280000 -b110010000010001010101011010111 " -b110010000010001010101011010111 4 -b110010000010001010101011010111 1 -b110010000010001010101011010111 C -b1 2 -b1 = -18 -b10101101111101110010000100100110 < -b1001101111101101010110100010111 : -b10011111111111111000101111110001 $ -b10011111111111111000101111110001 - -b10011111111111111000101111110001 5 -b10011111111111111000101111110001 ? -b10011111111111111000101111110001 D -b10101101111101110010000100100110 % -b10101101111101110010000100100110 . -b10101101111101110010000100100110 6 -b10101101111101110010000100100110 @ -b10101101111101110010000100100110 F -b110010000010001010101011010111 ) -#375296000 -0& -#375312000 -b1011011101000 , -#375328000 -1& -#375344000 -b10100110000110001100111110000101 " -b10100110000110001100111110000101 4 -b10100110000110001100111110000101 1 -b10100110000110001100111110000101 C -b0 2 -b0 = -08 -09 -b11111001111011111000000111101001 < -b1011001111001101101000001010101 : -b1011111111101110100111001101100 $ -b1011111111101110100111001101100 - -b1011111111101110100111001101100 5 -b1011111111101110100111001101100 ? -b1011111111101110100111001101100 D -b11111001111011111000000111101001 % -b11111001111011111000000111101001 . -b11111001111011111000000111101001 6 -b11111001111011111000000111101001 @ -b11111001111011111000000111101001 F -b10100110000110001100111110000101 ) -#375360000 -0& -#375376000 -b1011011101001 , -#375392000 -1& -#375408000 -b101011111001001111010110110111 " -b101011111001001111010110110111 4 -b101011111001001111010110110111 1 -b101011111001001111010110110111 C -b0 2 -b0 = -19 -08 -b1111101111110110101010010101011 < -b11010100000110101111010111000111 : -b1010110000111111010000100011100 $ -b1010110000111111010000100011100 - -b1010110000111111010000100011100 5 -b1010110000111111010000100011100 ? -b1010110000111111010000100011100 D -b1111101111110110101010010101011 % -b1111101111110110101010010101011 . -b1111101111110110101010010101011 6 -b1111101111110110101010010101011 @ -b1111101111110110101010010101011 F -b101011111001001111010110110111 ) -#375424000 -0& -#375440000 -b1011011101010 , -#375456000 -1& -#375472000 -b100010000000000010000010001001 " -b100010000000000010000010001001 4 -b100010000000000010000010001001 1 -b100010000000000010000010001001 C -b1 2 -b1 = -18 -09 -b11011111111110110110001001110101 < -b11011101111101101010010101110001 : -b11111101111110110100001011111100 $ -b11111101111110110100001011111100 - -b11111101111110110100001011111100 5 -b11111101111110110100001011111100 ? -b11111101111110110100001011111100 D -b11011111111110110110001001110101 % -b11011111111110110110001001110101 . -b11011111111110110110001001110101 6 -b11011111111110110110001001110101 @ -b11011111111110110110001001110101 F -b100010000000000010000010001001 ) -#375488000 -0& -#375504000 -b1011011101011 , -#375520000 -1& -#375536000 -b100110001110001011100000000000 " -b100110001110001011100000000000 4 -b100110001110001011100000000000 1 -b100110001110001011100000000000 C -b11011001100000110010100000010110 < -b11011001001111101011100000101100 : -b11111111101110111001000000010110 $ -b11111111101110111001000000010110 - -b11111111101110111001000000010110 5 -b11111111101110111001000000010110 ? -b11111111101110111001000000010110 D -b11011001100000110010100000010110 % -b11011001100000110010100000010110 . -b11011001100000110010100000010110 6 -b11011001100000110010100000010110 @ -b11011001100000110010100000010110 F -b100110001110001011100000000000 ) -#375552000 -0& -#375568000 -b1011011101100 , -#375584000 -1& -#375600000 -b10111100100000001010001111110110 " -b10111100100000001010001111110110 4 -b10111100100000001010001111110110 1 -b10111100100000001010001111110110 C -b0 2 -b0 = -08 -b1100001111111111100111011100110 < -b111111011111110011101111110110 : -b11011101011111110110110100010000 $ -b11011101011111110110110100010000 - -b11011101011111110110110100010000 5 -b11011101011111110110110100010000 ? -b11011101011111110110110100010000 D -b1100001111111111100111011100110 % -b1100001111111111100111011100110 . -b1100001111111111100111011100110 6 -b1100001111111111100111011100110 @ -b1100001111111111100111011100110 F -b10111100100000001010001111110110 ) -#375616000 -0& -#375632000 -b1011011101101 , -#375648000 -1& -#375664000 -b1010000111001001010111011001111 " -b1010000111001001010111011001111 4 -b1010000111001001010111011001111 1 -b1010000111001001010111011001111 C -b1 2 -b1 = -18 -b11101111000111110000000011000110 < -b10101111000110101010111011001111 : -b10111111111110111010111000001001 $ -b10111111111110111010111000001001 - -b10111111111110111010111000001001 5 -b10111111111110111010111000001001 ? -b10111111111110111010111000001001 D -b11101111000111110000000011000110 % -b11101111000111110000000011000110 . -b11101111000111110000000011000110 6 -b11101111000111110000000011000110 @ -b11101111000111110000000011000110 F -b1010000111001001010111011001111 ) -#375680000 -0& -#375696000 -b1011011101110 , -#375712000 -1& -#375728000 -b11000000010111001101000111001101 " -b11000000010111001101000111001101 4 -b11000000010111001101000111001101 1 -b11000000010111001101000111001101 C -b0 2 -b0 = -08 -b101101111100110001011101001001 < -b11011101000101101110111001101 : -b11101101101011111100011010000100 $ -b11101101101011111100011010000100 - -b11101101101011111100011010000100 5 -b11101101101011111100011010000100 ? -b11101101101011111100011010000100 D -b101101111100110001011101001001 % -b101101111100110001011101001001 . -b101101111100110001011101001001 6 -b101101111100110001011101001001 @ -b101101111100110001011101001001 F -b11000000010111001101000111001101 ) -#375744000 -0& -#375760000 -b1011011101111 , -#375776000 -1& -#375792000 -b10110000101001010000111011000 " -b10110000101001010000111011000 4 -b10110000101001010000111011000 1 -b10110000101001010000111011000 C -b1 2 -b1 = -18 -b11101101111010111001001010110011 < -b11101001111010101100011000011110 : -b11111011111111110011001101101011 $ -b11111011111111110011001101101011 - -b11111011111111110011001101101011 5 -b11111011111111110011001101101011 ? -b11111011111111110011001101101011 D -b11101101111010111001001010110011 % -b11101101111010111001001010110011 . -b11101101111010111001001010110011 6 -b11101101111010111001001010110011 @ -b11101101111010111001001010110011 F -b10110000101001010000111011000 ) -#375808000 -0& -#375824000 -b1011011110000 , -#375840000 -1& -#375856000 -b100010110101101010111010001 " -b100010110101101010111010001 4 -b100010110101101010111010001 1 -b100010110101101010111010001 C -b0 2 -b0 = -08 -19 -b1111010111101110001011101000010 < -b11111001101001001101100111010101 : -b1111110101011011100001010010011 $ -b1111110101011011100001010010011 - -b1111110101011011100001010010011 5 -b1111110101011011100001010010011 ? -b1111110101011011100001010010011 D -b1111010111101110001011101000010 % -b1111010111101110001011101000010 . -b1111010111101110001011101000010 6 -b1111010111101110001011101000010 @ -b1111010111101110001011101000010 F -b100010110101101010111010001 ) -#375872000 -0& -#375888000 -b1011011110001 , -#375904000 -1& -#375920000 -b10000100000000000100000100011101 " -b10000100000000000100000100011101 4 -b10000100000000000100000100011101 1 -b10000100000000000100000100011101 C -09 -b0 2 -b0 = -08 -b1111011111111110000010101001111 < -b1111011111111100100100110100001 : -b11111111111111110100010001010010 $ -b11111111111111110100010001010010 - -b11111111111111110100010001010010 5 -b11111111111111110100010001010010 ? -b11111111111111110100010001010010 D -b1111011111111110000010101001111 % -b1111011111111110000010101001111 . -b1111011111111110000010101001111 6 -b1111011111111110000010101001111 @ -b1111011111111110000010101001111 F -b10000100000000000100000100011101 ) -#375936000 -0& -#375952000 -b1011011110010 , -#375968000 -1& -#375984000 -b11010001100000101111000110001011 " -b11010001100000101111000110001011 4 -b11010001100000101111000110001011 1 -b11010001100000101111000110001011 C -b1101010001111101001111101100100 < -b100101111110110000111001010011 : -b10111011101111000110111011101111 $ -b10111011101111000110111011101111 - -b10111011101111000110111011101111 5 -b10111011101111000110111011101111 ? -b10111011101111000110111011101111 D -b1101010001111101001111101100100 % -b1101010001111101001111101100100 . -b1101010001111101001111101100100 6 -b1101010001111101001111101100100 @ -b1101010001111101001111101100100 F -b11010001100000101111000110001011 ) -#376000000 -0& -#376016000 -b1011011110011 , -#376032000 -1& -#376048000 -b1010010011011000100000010010110 " -b1010010011011000100000010010110 4 -b1010010011011000100000010010110 1 -b1010010011011000100000010010110 C -b1 2 -b1 = -18 -b11111101100111110110001000100111 < -b10101101100100101000010011011000 : -b10101111111100110010001010110001 $ -b10101111111100110010001010110001 - -b10101111111100110010001010110001 5 -b10101111111100110010001010110001 ? -b10101111111100110010001010110001 D -b11111101100111110110001000100111 % -b11111101100111110110001000100111 . -b11111101100111110110001000100111 6 -b11111101100111110110001000100111 @ -b11111101100111110110001000100111 F -b1010010011011000100000010010110 ) -#376064000 -0& -#376080000 -b1011011110100 , -#376096000 -1& -#376112000 -b10100000101000011101000011001110 " -b10100000101000011101000011001110 4 -b10100000101000011101000011001110 1 -b10100000101000011101000011001110 C -b0 2 -b0 = -08 -b11011111111111110110110000011000 < -b1011111010111100010100011101110 : -b1111111010111101011110011010110 $ -b1111111010111101011110011010110 - -b1111111010111101011110011010110 5 -b1111111010111101011110011010110 ? -b1111111010111101011110011010110 D -b11011111111111110110110000011000 % -b11011111111111110110110000011000 . -b11011111111111110110110000011000 6 -b11011111111111110110110000011000 @ -b11011111111111110110110000011000 F -b10100000101000011101000011001110 ) -#376128000 -0& -#376144000 -b1011011110101 , -#376160000 -1& -#376176000 -b10110010001100101011100011101111 " -b10110010001100101011100011101111 4 -b10110010001100101011100011101111 1 -b10110010001100101011100011101111 C -b1111100110111111101011010000100 < -b1001011110011010100010011101111 : -b11001110111011010110111001101011 $ -b11001110111011010110111001101011 - -b11001110111011010110111001101011 5 -b11001110111011010110111001101011 ? -b11001110111011010110111001101011 D -b1111100110111111101011010000100 % -b1111100110111111101011010000100 . -b1111100110111111101011010000100 6 -b1111100110111111101011010000100 @ -b1111100110111111101011010000100 F -b10110010001100101011100011101111 ) -#376192000 -0& -#376208000 -b1011011110110 , -#376224000 -1& -#376240000 -b10001000010001000010000111010101 " -b10001000010001000010000111010101 4 -b10001000010001000010000111010101 1 -b10001000010001000010000111010101 C -b1110111101110111101111010110100 < -b1110111101110111101111000010101 : -b11111111111111111111111101100001 $ -b11111111111111111111111101100001 - -b11111111111111111111111101100001 5 -b11111111111111111111111101100001 ? -b11111111111111111111111101100001 D -b1110111101110111101111010110100 % -b1110111101110111101111010110100 . -b1110111101110111101111010110100 6 -b1110111101110111101111010110100 @ -b1110111101110111101111010110100 F -b10001000010001000010000111010101 ) -#376256000 -0& -#376272000 -b1011011110111 , -#376288000 -1& -#376304000 -b10111000110100110010111101001000 " -b10111000110100110010111101001000 4 -b10111000110100110010111101001000 1 -b10111000110100110010111101001000 C -b11011110101011001101010001000110 < -b1000101001011001100111101010100 : -b1100110011111111111101100001110 $ -b1100110011111111111101100001110 - -b1100110011111111111101100001110 5 -b1100110011111111111101100001110 ? -b1100110011111111111101100001110 D -b11011110101011001101010001000110 % -b11011110101011001101010001000110 . -b11011110101011001101010001000110 6 -b11011110101011001101010001000110 @ -b11011110101011001101010001000110 F -b10111000110100110010111101001000 ) -#376320000 -0& -#376336000 -b1011011111000 , -#376352000 -1& -#376368000 -b1000000000000001101011010011101 " -b1000000000000001101011010011101 4 -b1000000000000001101011010011101 1 -b1000000000000001101011010011101 C -b0 2 -b0 = -19 -08 -b111101111111111101001000100100 < -b10111011111111101101011011011101 : -b1111101111111110000010010111001 $ -b1111101111111110000010010111001 - -b1111101111111110000010010111001 5 -b1111101111111110000010010111001 ? -b1111101111111110000010010111001 D -b111101111111111101001000100100 % -b111101111111111101001000100100 . -b111101111111111101001000100100 6 -b111101111111111101001000100100 @ -b111101111111111101001000100100 F -b1000000000000001101011010011101 ) -#376384000 -0& -#376400000 -b1011011111001 , -#376416000 -1& -#376432000 -b1001101111001000101101101011110 " -b1001101111001000101101101011110 4 -b1001101111001000101101101011110 1 -b1001101111001000101101101011110 C -b1110011110111100010101001000000 < -b10110010000110001001101101011110 : -b111110001110100111000100011110 $ -b111110001110100111000100011110 - -b111110001110100111000100011110 5 -b111110001110100111000100011110 ? -b111110001110100111000100011110 D -b1110011110111100010101001000000 % -b1110011110111100010101001000000 . -b1110011110111100010101001000000 6 -b1110011110111100010101001000000 @ -b1110011110111100010101001000000 F -b1001101111001000101101101011110 ) -#376448000 -0& -#376464000 -b1011011111010 , -#376480000 -1& -#376496000 -b1011110100001100111100100101011 " -b1011110100001100111100100101011 4 -b1011110100001100111100100101011 1 -b1011110100001100111100100101011 C -b1 2 -b1 = -18 -09 -b10101111001110110011000111001111 < -b10100000111110000111101010110011 : -b11110001101111010100100011100100 $ -b11110001101111010100100011100100 - -b11110001101111010100100011100100 5 -b11110001101111010100100011100100 ? -b11110001101111010100100011100100 D -b10101111001110110011000111001111 % -b10101111001110110011000111001111 . -b10101111001110110011000111001111 6 -b10101111001110110011000111001111 @ -b10101111001110110011000111001111 F -b1011110100001100111100100101011 ) -#376512000 -0& -#376528000 -b1011011111011 , -#376544000 -1& -#376560000 -b10010110100001110110000111000 " -b10010110100001110110000111000 4 -b10010110100001110110000111000 1 -b10010110100001110110000111000 C -b0 2 -b0 = -08 -b111101111111110001100001111110 < -b1101101001011110000110011000100 : -b101111001011111111010001000110 $ -b101111001011111111010001000110 - -b101111001011111111010001000110 5 -b101111001011111111010001000110 ? -b101111001011111111010001000110 D -b111101111111110001100001111110 % -b111101111111110001100001111110 . -b111101111111110001100001111110 6 -b111101111111110001100001111110 @ -b111101111111110001100001111110 F -b10010110100001110110000111000 ) -#376576000 -0& -#376592000 -b1011011111100 , -#376608000 -1& -#376624000 -b11010000110110000111010 " -b11010000110110000111010 4 -b11010000110110000111010 1 -b11010000110110000111010 C -b0 2 -b0 = -19 -08 -b1111111100101110100000000101111 < -b11111111100101100110110001000100 : -b1111111111111110010110000010101 $ -b1111111111111110010110000010101 - -b1111111111111110010110000010101 5 -b1111111111111110010110000010101 ? -b1111111111111110010110000010101 D -b1111111100101110100000000101111 % -b1111111100101110100000000101111 . -b1111111100101110100000000101111 6 -b1111111100101110100000000101111 @ -b1111111100101110100000000101111 F -b11010000110110000111010 ) -#376640000 -0& -#376656000 -b1011011111101 , -#376672000 -1& -#376688000 -b1000010111000010010111110110110 " -b1000010111000010010111110110110 4 -b1000010111000010010111110110110 1 -b1000010111000010010111110110110 C -b1101101011111110111110100001011 < -b10011101000111011100111111001000 : -b101111100111100101001010111101 $ -b101111100111100101001010111101 - -b101111100111100101001010111101 5 -b101111100111100101001010111101 ? -b101111100111100101001010111101 D -b1101101011111110111110100001011 % -b1101101011111110111110100001011 . -b1101101011111110111110100001011 6 -b1101101011111110111110100001011 @ -b1101101011111110111110100001011 F -b1000010111000010010111110110110 ) -#376704000 -0& -#376720000 -b1011011111110 , -#376736000 -1& -#376752000 -b1000011001101001110101000010110 " -b1000011001101001110101000010110 4 -b1000011001101001110101000010110 1 -b1000011001101001110101000010110 C -b1 2 -b1 = -18 -b11011111111110111100001000010100 < -b1111100110010101110101000010110 : -b10011100110011110010100000000010 $ -b10011100110011110010100000000010 - -b10011100110011110010100000000010 5 -b10011100110011110010100000000010 ? -b10011100110011110010100000000010 D -b11011111111110111100001000010100 % -b11011111111110111100001000010100 . -b11011111111110111100001000010100 6 -b11011111111110111100001000010100 @ -b11011111111110111100001000010100 F -b1000011001101001110101000010110 ) -#376768000 -0& -#376784000 -b1011011111111 , -#376800000 -1& -#376816000 -b1100100010010010011101110111001 " -b1100100010010010011101110111001 4 -b1100100010010010011101110111001 1 -b1100100010010010011101110111001 C -b1 2 -b1 = -09 -18 -b10111010111111100001101100000011 < -b10011001101101010011101110111101 : -b11011110101101110010000010111010 $ -b11011110101101110010000010111010 - -b11011110101101110010000010111010 5 -b11011110101101110010000010111010 ? -b11011110101101110010000010111010 D -b10111010111111100001101100000011 % -b10111010111111100001101100000011 . -b10111010111111100001101100000011 6 -b10111010111111100001101100000011 @ -b10111010111111100001101100000011 F -b1100100010010010011101110111001 ) -#376832000 -0& -#376848000 -b1011100000000 , -#376864000 -1& -#376880000 -b1011001111100001111011000001101 " -b1011001111100001111011000001101 4 -b1011001111100001111011000001101 1 -b1011001111100001111011000001101 C -b0 2 -b0 = -08 -19 -b110011110011111110100000100000 < -b10011110000011110000011001001101 : -b1101010001111110001111000101101 $ -b1101010001111110001111000101101 - -b1101010001111110001111000101101 5 -b1101010001111110001111000101101 ? -b1101010001111110001111000101101 D -b110011110011111110100000100000 % -b110011110011111110100000100000 . -b110011110011111110100000100000 6 -b110011110011111110100000100000 @ -b110011110011111110100000100000 F -b1011001111100001111011000001101 ) -#376896000 -0& -#376912000 -b1011100000001 , -#376928000 -1& -#376944000 -b1101100101111111101000010001 " -b1101100101111111101000010001 4 -b1101100101111111101000010001 1 -b1101100101111111101000010001 C -b1 2 -b1 = -18 -09 -b11110010111001100101111001001101 < -b11110010010110000000001010101001 : -b11111111011100011010010001011100 $ -b11111111011100011010010001011100 - -b11111111011100011010010001011100 5 -b11111111011100011010010001011100 ? -b11111111011100011010010001011100 D -b11110010111001100101111001001101 % -b11110010111001100101111001001101 . -b11110010111001100101111001001101 6 -b11110010111001100101111001001101 @ -b11110010111001100101111001001101 F -b1101100101111111101000010001 ) -#376960000 -0& -#376976000 -b1011100000010 , -#376992000 -1& -#377008000 -b10000000010110110010001110010110 " -b10000000010110110010001110010110 4 -b10000000010110110010001110010110 1 -b10000000010110110010001110010110 C -b1 2 -b1 = -18 -09 -b10111111111001111111000010101100 < -b11111111101001001100001111100110 : -b111111101111001101001100111010 $ -b111111101111001101001100111010 - -b111111101111001101001100111010 5 -b111111101111001101001100111010 ? -b111111101111001101001100111010 D -b10111111111001111111000010101100 % -b10111111111001111111000010101100 . -b10111111111001111111000010101100 6 -b10111111111001111111000010101100 @ -b10111111111001111111000010101100 F -b10000000010110110010001110010110 ) -#377024000 -0& -#377040000 -b1011100000011 , -#377056000 -1& -#377072000 -b10000001001010011100100001100001 " -b10000001001010011100100001100001 4 -b10000001001010011100100001100001 1 -b10000001001010011100100001100001 C -b0 2 -b0 = -08 -b1111110110101101001001111101001 < -b1111110110101011110111101110001 : -b11111111111111110101101110001000 $ -b11111111111111110101101110001000 - -b11111111111111110101101110001000 5 -b11111111111111110101101110001000 ? -b11111111111111110101101110001000 D -b1111110110101101001001111101001 % -b1111110110101101001001111101001 . -b1111110110101101001001111101001 6 -b1111110110101101001001111101001 @ -b1111110110101101001001111101001 F -b10000001001010011100100001100001 ) -#377088000 -0& -#377104000 -b1011100000100 , -#377120000 -1& -#377136000 -b11101101100000110011011110010001 " -b11101101100000110011011110010001 4 -b11101101100000110011011110010001 1 -b11101101100000110011011110010001 C -b1010010111111110101100010000011 < -b10010011110111100011110010101 : -b10111111011111000110111100010010 $ -b10111111011111000110111100010010 - -b10111111011111000110111100010010 5 -b10111111011111000110111100010010 ? -b10111111011111000110111100010010 D -b1010010111111110101100010000011 % -b1010010111111110101100010000011 . -b1010010111111110101100010000011 6 -b1010010111111110101100010000011 @ -b1010010111111110101100010000011 F -b11101101100000110011011110010001 ) -#377152000 -0& -#377168000 -b1011100000101 , -#377184000 -1& -#377200000 -b11000100000010001111101010001010 " -b11000100000010001111101010001010 4 -b11000100000010001111101010001010 1 -b11000100000010001111101010001010 C -b11110110101101110101001100001100 < -b101001011101101111110010010010 : -b110010101111111010100110000110 $ -b110010101111111010100110000110 - -b110010101111111010100110000110 5 -b110010101111111010100110000110 ? -b110010101111111010100110000110 D -b11110110101101110101001100001100 % -b11110110101101110101001100001100 . -b11110110101101110101001100001100 6 -b11110110101101110101001100001100 @ -b11110110101101110101001100001100 F -b11000100000010001111101010001010 ) -#377216000 -0& -#377232000 -b1011100000110 , -#377248000 -1& -#377264000 -b11000110100010001011011010 " -b11000110100010001011011010 4 -b11000110100010001011011010 1 -b11000110100010001011011010 C -b0 2 -b0 = -19 -08 -b1111111111101110000100100100100 < -b11111100111001000011010100100010 : -b1111100111011010010101111111110 $ -b1111100111011010010101111111110 - -b1111100111011010010101111111110 5 -b1111100111011010010101111111110 ? -b1111100111011010010101111111110 D -b1111111111101110000100100100100 % -b1111111111101110000100100100100 . -b1111111111101110000100100100100 6 -b1111111111101110000100100100100 @ -b1111111111101110000100100100100 F -b11000110100010001011011010 ) -#377280000 -0& -#377296000 -b1011100000111 , -#377312000 -1& -#377328000 -b1001001010000001110101011011000 " -b1001001010000001110101011011000 4 -b1001001010000001110101011011000 1 -b1001001010000001110101011011000 C -b110110001111110111110001001001 < -b10110101101111110001001011011010 : -b1111111011111111001011010010001 $ -b1111111011111111001011010010001 - -b1111111011111111001011010010001 5 -b1111111011111111001011010010001 ? -b1111111011111111001011010010001 D -b110110001111110111110001001001 % -b110110001111110111110001001001 . -b110110001111110111110001001001 6 -b110110001111110111110001001001 @ -b110110001111110111110001001001 F -b1001001010000001110101011011000 ) -#377344000 -0& -#377360000 -b1011100001000 , -#377376000 -1& -#377392000 -b10000011000000001011010011000101 " -b10000011000000001011010011000101 4 -b10000011000000001011010011000101 1 -b10000011000000001011010011000101 C -09 -b0 2 -b0 = -08 -b1111111110111010000101111010000 < -b1111100101110101100101011100101 : -b11111100110111011011111100010101 $ -b11111100110111011011111100010101 - -b11111100110111011011111100010101 5 -b11111100110111011011111100010101 ? -b11111100110111011011111100010101 D -b1111111110111010000101111010000 % -b1111111110111010000101111010000 . -b1111111110111010000101111010000 6 -b1111111110111010000101111010000 @ -b1111111110111010000101111010000 F -b10000011000000001011010011000101 ) -#377408000 -0& -#377424000 -b1011100001001 , -#377440000 -1& -#377456000 -b1001010000011100110000110010 " -b1001010000011100110000110010 4 -b1001010000011100110000110010 1 -b1001010000011100110000110010 C -b1 2 -b1 = -18 -b11110110101111010001011110011000 < -b11110110101110011111001101000010 : -b11111111111111001101101110101010 $ -b11111111111111001101101110101010 - -b11111111111111001101101110101010 5 -b11111111111111001101101110101010 ? -b11111111111111001101101110101010 D -b11110110101111010001011110011000 % -b11110110101111010001011110011000 . -b11110110101111010001011110011000 6 -b11110110101111010001011110011000 @ -b11110110101111010001011110011000 F -b1001010000011100110000110010 ) -#377472000 -0& -#377488000 -b1011100001010 , -#377504000 -1& -#377520000 -b10100001010010010011111001111000 " -b10100001010010010011111001111000 4 -b10100001010010010011111001111000 1 -b10100001010010010011111001111000 C -b0 2 -b0 = -08 -b11111111101101111111001010100001 < -b1011110101101101011111101111010 : -b1011110111111101100110011011001 $ -b1011110111111101100110011011001 - -b1011110111111101100110011011001 5 -b1011110111111101100110011011001 ? -b1011110111111101100110011011001 D -b11111111101101111111001010100001 % -b11111111101101111111001010100001 . -b11111111101101111111001010100001 6 -b11111111101101111111001010100001 @ -b11111111101101111111001010100001 F -b10100001010010010011111001111000 ) -#377536000 -0& -#377552000 -b1011100001011 , -#377568000 -1& -#377584000 -b11100011001010111100101001001100 " -b11100011001010111100101001001100 4 -b11100011001010111100101001001100 1 -b11100011001010111100101001001100 C -b11011111110101000001110000010001 < -b11100110100111111001001101110 : -b111100111111111101011001011101 $ -b111100111111111101011001011101 - -b111100111111111101011001011101 5 -b111100111111111101011001011101 ? -b111100111111111101011001011101 D -b11011111110101000001110000010001 % -b11011111110101000001110000010001 . -b11011111110101000001110000010001 6 -b11011111110101000001110000010001 @ -b11011111110101000001110000010001 F -b11100011001010111100101001001100 ) -#377600000 -0& -#377616000 -b1011100001100 , -#377632000 -1& -#377648000 -b10100101100110101000000101001 " -b10100101100110101000000101001 4 -b10100101100110101000000101001 1 -b10100101100110101000000101001 C -b1 2 -b1 = -18 -b11111011110110010000111110001010 < -b11101011010000110110111100101101 : -b11101111011010100101111110100011 $ -b11101111011010100101111110100011 - -b11101111011010100101111110100011 5 -b11101111011010100101111110100011 ? -b11101111011010100101111110100011 D -b11111011110110010000111110001010 % -b11111011110110010000111110001010 . -b11111011110110010000111110001010 6 -b11111011110110010000111110001010 @ -b11111011110110010000111110001010 F -b10100101100110101000000101001 ) -#377664000 -0& -#377680000 -b1011100001101 , -#377696000 -1& -#377712000 -b110010000001000101111111001000 " -b110010000001000101111111001000 4 -b110010000001000101111111001000 1 -b110010000001000101111111001000 C -b0 2 -b0 = -08 -19 -b1001001101110110110100010111110 < -b11000101011110101010000000110100 : -b1111011101111110011011101110110 $ -b1111011101111110011011101110110 - -b1111011101111110011011101110110 5 -b1111011101111110011011101110110 ? -b1111011101111110011011101110110 D -b1001001101110110110100010111110 % -b1001001101110110110100010111110 . -b1001001101110110110100010111110 6 -b1001001101110110110100010111110 @ -b1001001101110110110100010111110 F -b110010000001000101111111001000 ) -#377728000 -0& -#377744000 -b1011100001110 , -#377760000 -1& -#377776000 -b11101101100010011110000011010100 " -b11101101100010011110000011010100 4 -b11101101100010011110000011010100 1 -b11101101100010011110000011010100 C -09 -b0 2 -b0 = -08 -b11111111101110111110101011010 < -b10010011101100001101011101000 : -b11110010011111101001110110001110 $ -b11110010011111101001110110001110 - -b11110010011111101001110110001110 5 -b11110010011111101001110110001110 ? -b11110010011111101001110110001110 D -b11111111101110111110101011010 % -b11111111101110111110101011010 . -b11111111101110111110101011010 6 -b11111111101110111110101011010 @ -b11111111101110111110101011010 F -b11101101100010011110000011010100 ) -#377792000 -0& -#377808000 -b1011100001111 , -#377824000 -1& -#377840000 -b1011110110001110100101111100011 " -b1011110110001110100101111100011 4 -b1011110110001110100101111100011 1 -b1011110110001110100101111100011 C -b1 2 -b1 = -18 -b10110011101111000011011000011110 < -b10100001001101111011010000011011 : -b11101101011110110111110111111101 $ -b11101101011110110111110111111101 - -b11101101011110110111110111111101 5 -b11101101011110110111110111111101 ? -b11101101011110110111110111111101 D -b10110011101111000011011000011110 % -b10110011101111000011011000011110 . -b10110011101111000011011000011110 6 -b10110011101111000011011000011110 @ -b10110011101111000011011000011110 F -b1011110110001110100101111100011 ) -#377856000 -0& -#377872000 -b1011100010000 , -#377888000 -1& -#377904000 -b11111010011100010101010010101000 " -b11111010011100010101010010101000 4 -b11111010011100010101010010101000 1 -b11111010011100010101010010101000 C -b0 2 -b0 = -08 -b11111111111011100101001001110110 < -b101100011010101100101010100 : -b101100111110000011011011110 $ -b101100111110000011011011110 - -b101100111110000011011011110 5 -b101100111110000011011011110 ? -b101100111110000011011011110 D -b11111111111011100101001001110110 % -b11111111111011100101001001110110 . -b11111111111011100101001001110110 6 -b11111111111011100101001001110110 @ -b11111111111011100101001001110110 F -b11111010011100010101010010101000 ) -#377920000 -0& -#377936000 -b1011100010001 , -#377952000 -1& -#377968000 -b11001001101101001100010001011010 " -b11001001101101001100010001011010 4 -b11001001101101001100010001011010 1 -b11001001101101001100010001011010 C -b110110110011111011000111011101 < -b110110010010110010011101100100 : -b11111111011110110111010110000111 $ -b11111111011110110111010110000111 - -b11111111011110110111010110000111 5 -b11111111011110110111010110000111 ? -b11111111011110110111010110000111 D -b110110110011111011000111011101 % -b110110110011111011000111011101 . -b110110110011111011000111011101 6 -b110110110011111011000111011101 @ -b110110110011111011000111011101 F -b11001001101101001100010001011010 ) -#377984000 -0& -#378000000 -b1011100010010 , -#378016000 -1& -#378032000 -b11000001110001011001111110111111 " -b11000001110001011001111110111111 4 -b11000001110001011001111110111111 1 -b11000001110001011001111110111111 C -b11111111001010101101001011010000 < -b111110000110100010000000111111 : -b111110111011110100110101101111 $ -b111110111011110100110101101111 - -b111110111011110100110101101111 5 -b111110111011110100110101101111 ? -b111110111011110100110101101111 D -b11111111001010101101001011010000 % -b11111111001010101101001011010000 . -b11111111001010101101001011010000 6 -b11111111001010101101001011010000 @ -b11111111001010101101001011010000 F -b11000001110001011001111110111111 ) -#378048000 -0& -#378064000 -b1011100010011 , -#378080000 -1& -#378096000 -b100000100000100011101000111011 " -b100000100000100011101000111011 4 -b100000100000100011101000111011 1 -b100000100000100011101000111011 C -b1 2 -b1 = -18 -b11011111011111011001100110000011 < -b11011111011111010011110100111011 : -b11111111111111111010001110111000 $ -b11111111111111111010001110111000 - -b11111111111111111010001110111000 5 -b11111111111111111010001110111000 ? -b11111111111111111010001110111000 D -b11011111011111011001100110000011 % -b11011111011111011001100110000011 . -b11011111011111011001100110000011 6 -b11011111011111011001100110000011 @ -b11011111011111011001100110000011 F -b100000100000100011101000111011 ) -#378112000 -0& -#378128000 -b1011100010100 , -#378144000 -1& -#378160000 -b10011101100001001101110101101110 " -b10011101100001001101110101101110 4 -b10011101100001001101110101101110 1 -b10011101100001001101110101101110 C -b0 2 -b0 = -08 -b11101011010111111101111001101000 < -b1100010001110101110000101101110 : -b1110110110110110000001100000110 $ -b1110110110110110000001100000110 - -b1110110110110110000001100000110 5 -b1110110110110110000001100000110 ? -b1110110110110110000001100000110 D -b11101011010111111101111001101000 % -b11101011010111111101111001101000 . -b11101011010111111101111001101000 6 -b11101011010111111101111001101000 @ -b11101011010111111101111001101000 F -b10011101100001001101110101101110 ) -#378176000 -0& -#378192000 -b1011100010101 , -#378208000 -1& -#378224000 -b1100000110001010000111000010 " -b1100000110001010000111000010 4 -b1100000110001010000111000010 1 -b1100000110001010000111000010 C -b1 2 -b1 = -18 -b11111111111001111011100010110000 < -b11110011111001101101001000100010 : -b11110011111111110001100101110010 $ -b11110011111111110001100101110010 - -b11110011111111110001100101110010 5 -b11110011111111110001100101110010 ? -b11110011111111110001100101110010 D -b11111111111001111011100010110000 % -b11111111111001111011100010110000 . -b11111111111001111011100010110000 6 -b11111111111001111011100010110000 @ -b11111111111001111011100010110000 F -b1100000110001010000111000010 ) -#378240000 -0& -#378256000 -b1011100010110 , -#378272000 -1& -#378288000 -b10000110011000011101100001000100 " -b10000110011000011101100001000100 4 -b10000110011000011101100001000100 1 -b10000110011000011101100001000100 C -b0 2 -b0 = -08 -b11111101100111110010111001000001 < -b1111001100111100010010001000110 : -b1111011111111101111011000000101 $ -b1111011111111101111011000000101 - -b1111011111111101111011000000101 5 -b1111011111111101111011000000101 ? -b1111011111111101111011000000101 D -b11111101100111110010111001000001 % -b11111101100111110010111001000001 . -b11111101100111110010111001000001 6 -b11111101100111110010111001000001 @ -b11111101100111110010111001000001 F -b10000110011000011101100001000100 ) -#378304000 -0& -#378320000 -b1011100010111 , -#378336000 -1& -#378352000 -b1001000100110100000001111100000 " -b1001000100110100000001111100000 4 -b1001000100110100000001111100000 1 -b1001000100110100000001111100000 C -b1 2 -b1 = -18 -b10110111011011110100000100000100 < -b10110111011001001000001111101000 : -b11111111111101010100001011100100 $ -b11111111111101010100001011100100 - -b11111111111101010100001011100100 5 -b11111111111101010100001011100100 ? -b11111111111101010100001011100100 D -b10110111011011110100000100000100 % -b10110111011011110100000100000100 . -b10110111011011110100000100000100 6 -b10110111011011110100000100000100 @ -b10110111011011110100000100000100 F -b1001000100110100000001111100000 ) -#378368000 -0& -#378384000 -b1011100011000 , -#378400000 -1& -#378416000 -b10100000101111110011100011 " -b10100000101111110011100011 4 -b10100000101111110011100011 1 -b10100000101111110011100011 C -b0 2 -b0 = -08 -19 -b1111101111111010110000111100000 < -b11111101011111001111111011100011 : -b1111111011111111001110100000011 $ -b1111111011111111001110100000011 - -b1111111011111111001110100000011 5 -b1111111011111111001110100000011 ? -b1111111011111111001110100000011 D -b1111101111111010110000111100000 % -b1111101111111010110000111100000 . -b1111101111111010110000111100000 6 -b1111101111111010110000111100000 @ -b1111101111111010110000111100000 F -b10100000101111110011100011 ) -#378432000 -0& -#378448000 -b1011100011001 , -#378464000 -1& -#378480000 -b101100110111110110111000100 " -b101100110111110110111000100 4 -b101100110111110110111000100 1 -b101100110111110110111000100 C -b1 2 -b1 = -18 -09 -b11111010111001110010100010111101 < -b11111010011000111110111000110110 : -b11111111011111001100010101111001 $ -b11111111011111001100010101111001 - -b11111111011111001100010101111001 5 -b11111111011111001100010101111001 ? -b11111111011111001100010101111001 D -b11111010111001110010100010111101 % -b11111010111001110010100010111101 . -b11111010111001110010100010111101 6 -b11111010111001110010100010111101 @ -b11111010111001110010100010111101 F -b101100110111110110111000100 ) -#378496000 -0& -#378512000 -b1011100011010 , -#378528000 -1& -#378544000 -b100000110011001001110110001000 " -b100000110011001001110110001000 4 -b100000110011001001110110001000 1 -b100000110011001001110110001000 C -b11101111011101111100010101111111 < -b10111111001100110001111001110110 : -b11001111101110110101100011110111 $ -b11001111101110110101100011110111 - -b11001111101110110101100011110111 5 -b11001111101110110101100011110111 ? -b11001111101110110101100011110111 D -b11101111011101111100010101111111 % -b11101111011101111100010101111111 . -b11101111011101111100010101111111 6 -b11101111011101111100010101111111 @ -b11101111011101111100010101111111 F -b100000110011001001110110001000 ) -#378560000 -0& -#378576000 -b1011100011011 , -#378592000 -1& -#378608000 -b100000001010000011000010001100 " -b100000001010000011000010001100 4 -b100000001010000011000010001100 1 -b100000001010000011000010001100 C -b0 2 -b0 = -08 -19 -b1011110110111011101100010000111 < -b11011101110100111100000010010010 : -b1111110111101011110100000001011 $ -b1111110111101011110100000001011 - -b1111110111101011110100000001011 5 -b1111110111101011110100000001011 ? -b1111110111101011110100000001011 D -b1011110110111011101100010000111 % -b1011110110111011101100010000111 . -b1011110110111011101100010000111 6 -b1011110110111011101100010000111 @ -b1011110110111011101100010000111 F -b100000001010000011000010001100 ) -#378624000 -0& -#378640000 -b1011100011100 , -#378656000 -1& -#378672000 -b11101011001110001100100010000110 " -b11101011001110001100100010000110 4 -b11101011001110001100100010000110 1 -b11101011001110001100100010000110 C -09 -b0 2 -b0 = -08 -b11100110011110000111110000010 < -b10100110001101101011010000110 : -b11110111111101111100011100000100 $ -b11110111111101111100011100000100 - -b11110111111101111100011100000100 5 -b11110111111101111100011100000100 ? -b11110111111101111100011100000100 D -b11100110011110000111110000010 % -b11100110011110000111110000010 . -b11100110011110000111110000010 6 -b11100110011110000111110000010 @ -b11100110011110000111110000010 F -b11101011001110001100100010000110 ) -#378688000 -0& -#378704000 -b1011100011101 , -#378720000 -1& -#378736000 -b10001000100110010010010110110001 " -b10001000100110010010010110110001 4 -b10001000100110010010010110110001 1 -b10001000100110010010010110110001 C -b11100111111011100000101101101101 < -b1010111011001010011101001001001 : -b1101111011101110010111011011100 $ -b1101111011101110010111011011100 - -b1101111011101110010111011011100 5 -b1101111011101110010111011011100 ? -b1101111011101110010111011011100 D -b11100111111011100000101101101101 % -b11100111111011100000101101101101 . -b11100111111011100000101101101101 6 -b11100111111011100000101101101101 @ -b11100111111011100000101101101101 F -b10001000100110010010010110110001 ) -#378752000 -0& -#378768000 -b1011100011110 , -#378784000 -1& -#378800000 -b10011100100110010110111010 " -b10011100100110010110111010 4 -b10011100100110010110111010 1 -b10011100100110010110111010 C -b0 2 -b0 = -19 -08 -b1110111100011010000110101000100 < -b11101101100011000111011001000010 : -b1110101111111110110100011111110 $ -b1110101111111110110100011111110 - -b1110101111111110110100011111110 5 -b1110101111111110110100011111110 ? -b1110101111111110110100011111110 D -b1110111100011010000110101000100 % -b1110111100011010000110101000100 . -b1110111100011010000110101000100 6 -b1110111100011010000110101000100 @ -b1110111100011010000110101000100 F -b10011100100110010110111010 ) -#378816000 -0& -#378832000 -b1011100011111 , -#378848000 -1& -#378864000 -b1110000000011101101111001100011 " -b1110000000011101101111001100011 4 -b1110000000011101101111001100011 1 -b1110000000011101101111001100011 C -b0 2 -b0 = -09 -08 -b1000111011110010101011100011111 < -b1111110111100001110000010011011 : -b110111011101111000100101111100 $ -b110111011101111000100101111100 - -b110111011101111000100101111100 5 -b110111011101111000100101111100 ? -b110111011101111000100101111100 D -b1000111011110010101011100011111 % -b1000111011110010101011100011111 . -b1000111011110010101011100011111 6 -b1000111011110010101011100011111 @ -b1000111011110010101011100011111 F -b1110000000011101101111001100011 ) -#378880000 -0& -#378896000 -b1011100100000 , -#378912000 -1& -#378928000 -b100111000101100001000111010110 " -b100111000101100001000111010110 4 -b100111000101100001000111010110 1 -b100111000101100001000111010110 C -b1 2 -b1 = -18 -b11111111110101110111100001101010 < -b11011000100110001110001000100110 : -b11011000110000010110100110111100 $ -b11011000110000010110100110111100 - -b11011000110000010110100110111100 5 -b11011000110000010110100110111100 ? -b11011000110000010110100110111100 D -b11111111110101110111100001101010 % -b11111111110101110111100001101010 . -b11111111110101110111100001101010 6 -b11111111110101110111100001101010 @ -b11111111110101110111100001101010 F -b100111000101100001000111010110 ) -#378944000 -0& -#378960000 -b1011100100001 , -#378976000 -1& -#378992000 -b10001100101000010101000111000011 " -b10001100101000010101000111000011 4 -b10001100101000010101000111000011 1 -b10001100101000010101000111000011 C -b0 2 -b0 = -08 -b1111011111111111000000001110000 < -b1110011010111100101001000100011 : -b11110111010111101101000110110011 $ -b11110111010111101101000110110011 - -b11110111010111101101000110110011 5 -b11110111010111101101000110110011 ? -b11110111010111101101000110110011 D -b1111011111111111000000001110000 % -b1111011111111111000000001110000 . -b1111011111111111000000001110000 6 -b1111011111111111000000001110000 @ -b1111011111111111000000001110000 F -b10001100101000010101000111000011 ) -#379008000 -0& -#379024000 -b1011100100010 , -#379040000 -1& -#379056000 -b10110001001110001010000001111011 " -b10110001001110001010000001111011 4 -b10110001001110001010000001111011 1 -b10110001001110001010000001111011 C -b11101100111101111110001101010101 < -b1001010110001110010011010000011 : -b1011101110011110100001100101110 $ -b1011101110011110100001100101110 - -b1011101110011110100001100101110 5 -b1011101110011110100001100101110 ? -b1011101110011110100001100101110 D -b11101100111101111110001101010101 % -b11101100111101111110001101010101 . -b11101100111101111110001101010101 6 -b11101100111101111110001101010101 @ -b11101100111101111110001101010101 F -b10110001001110001010000001111011 ) -#379072000 -0& -#379088000 -b1011100100011 , -#379104000 -1& -#379120000 -b1100010110110001100110100011001 " -b1100010110110001100110100011001 4 -b1100010110110001100110100011001 1 -b1100010110110001100110100011001 C -b0 2 -b0 = -19 -08 -b1011111011011010000011011001011 < -b10011101001000101101001010011101 : -b111101101101011100101111010010 $ -b111101101101011100101111010010 - -b111101101101011100101111010010 5 -b111101101101011100101111010010 ? -b111101101101011100101111010010 D -b1011111011011010000011011001011 % -b1011111011011010000011011001011 . -b1011111011011010000011011001011 6 -b1011111011011010000011011001011 @ -b1011111011011010000011011001011 F -b1100010110110001100110100011001 ) -#379136000 -0& -#379152000 -b1011100100100 , -#379168000 -1& -#379184000 -b1000100011000010010101000101111 " -b1000100011000010010101000101111 4 -b1000100011000010010101000101111 1 -b1000100011000010010101000101111 C -b0 2 -b0 = -09 -08 -b1001010100111110010111100001000 < -b1011001100111010011010000101111 : -b1110111111100000010100100111 $ -b1110111111100000010100100111 - -b1110111111100000010100100111 5 -b1110111111100000010100100111 ? -b1110111111100000010100100111 D -b1001010100111110010111100001000 % -b1001010100111110010111100001000 . -b1001010100111110010111100001000 6 -b1001010100111110010111100001000 @ -b1001010100111110010111100001000 F -b1000100011000010010101000101111 ) -#379200000 -0& -#379216000 -b1011100100101 , -#379232000 -1& -#379248000 -b11000111000010100101100010011110 " -b11000111000010100101100010011110 4 -b11000111000010100101100010011110 1 -b11000111000010100101100010011110 C -b0 2 -b0 = -08 -09 -b1111101111110010111111110110101 < -b111000111011001010011011100000 : -b10111010111100110010011100101011 $ -b10111010111100110010011100101011 - -b10111010111100110010011100101011 5 -b10111010111100110010011100101011 ? -b10111010111100110010011100101011 D -b1111101111110010111111110110101 % -b1111101111110010111111110110101 . -b1111101111110010111111110110101 6 -b1111101111110010111111110110101 @ -b1111101111110010111111110110101 F -b11000111000010100101100010011110 ) -#379264000 -0& -#379280000 -b1011100100110 , -#379296000 -1& -#379312000 -b10101101010000001001000111001010 " -b10101101010000001001000111001010 4 -b10101101010000001001000111001010 1 -b10101101010000001001000111001010 C -b11011110101111011111011101101011 < -b1010010101110110101111000001100 : -b1110011111111010110011010100001 $ -b1110011111111010110011010100001 - -b1110011111111010110011010100001 5 -b1110011111111010110011010100001 ? -b1110011111111010110011010100001 D -b11011110101111011111011101101011 % -b11011110101111011111011101101011 . -b11011110101111011111011101101011 6 -b11011110101111011111011101101011 @ -b11011110101111011111011101101011 F -b10101101010000001001000111001010 ) -#379328000 -0& -#379344000 -b1011100100111 , -#379360000 -1& -#379376000 -b10110010111110011001011011110010 " -b10110010111110011001011011110010 4 -b10110010111110011001011011110010 1 -b10110010111110011001011011110010 C -b1011111100011111110011000111101 < -b1001101000001100101011100001100 : -b11101101011101100111000011001111 $ -b11101101011101100111000011001111 - -b11101101011101100111000011001111 5 -b11101101011101100111000011001111 ? -b11101101011101100111000011001111 D -b1011111100011111110011000111101 % -b1011111100011111110011000111101 . -b1011111100011111110011000111101 6 -b1011111100011111110011000111101 @ -b1011111100011111110011000111101 F -b10110010111110011001011011110010 ) -#379392000 -0& -#379408000 -b1011100101000 , -#379424000 -1& -#379440000 -b11010000010001000100000010111111 " -b11010000010001000100000010111111 4 -b11010000010001000100000010111111 1 -b11010000010001000100000010111111 C -b1101111101010111101100101001011 < -b101111100110110111001100111111 : -b10111111111011111001100111110100 $ -b10111111111011111001100111110100 - -b10111111111011111001100111110100 5 -b10111111111011111001100111110100 ? -b10111111111011111001100111110100 D -b1101111101010111101100101001011 % -b1101111101010111101100101001011 . -b1101111101010111101100101001011 6 -b1101111101010111101100101001011 @ -b1101111101010111101100101001011 F -b11010000010001000100000010111111 ) -#379456000 -0& -#379472000 -b1011100101001 , -#379488000 -1& -#379504000 -b10111010110011010011110110000010 " -b10111010110011010011110110000010 4 -b10111010110011010011110110000010 1 -b10111010110011010011110110000010 C -b11111101001111110001110011011111 < -b1000101001100010011111000111100 : -b1000111111100100010000101011101 $ -b1000111111100100010000101011101 - -b1000111111100100010000101011101 5 -b1000111111100100010000101011101 ? -b1000111111100100010000101011101 D -b11111101001111110001110011011111 % -b11111101001111110001110011011111 . -b11111101001111110001110011011111 6 -b11111101001111110001110011011111 @ -b11111101001111110001110011011111 F -b10111010110011010011110110000010 ) -#379520000 -0& -#379536000 -b1011100101010 , -#379552000 -1& -#379568000 -b11011011010011110011011111111 " -b11011011010011110011011111111 4 -b11011011010011110011011111111 1 -b11011011010011110011011111111 C -b1 2 -b1 = -18 -b11101101111111110000101110110101 < -b11100100100101011111100011111111 : -b11110110100101101110110101001010 $ -b11110110100101101110110101001010 - -b11110110100101101110110101001010 5 -b11110110100101101110110101001010 ? -b11110110100101101110110101001010 D -b11101101111111110000101110110101 % -b11101101111111110000101110110101 . -b11101101111111110000101110110101 6 -b11101101111111110000101110110101 @ -b11101101111111110000101110110101 F -b11011011010011110011011111111 ) -#379584000 -0& -#379600000 -b1011100101011 , -#379616000 -1& -#379632000 -b10010010101000100001011110101111 " -b10010010101000100001011110101111 4 -b10010010101000100001011110101111 1 -b10010010101000100001011110101111 C -b0 2 -b0 = -08 -b1111101011101110011101110011110 < -b1101101010011000110011111001111 : -b11101111110101010010110000110001 $ -b11101111110101010010110000110001 - -b11101111110101010010110000110001 5 -b11101111110101010010110000110001 ? -b11101111110101010010110000110001 D -b1111101011101110011101110011110 % -b1111101011101110011101110011110 . -b1111101011101110011101110011110 6 -b1111101011101110011101110011110 @ -b1111101011101110011101110011110 F -b10010010101000100001011110101111 ) -#379648000 -0& -#379664000 -b1011100101100 , -#379680000 -1& -#379696000 -b11010101110100000100100110110110 " -b11010101110100000100100110110110 4 -b11010101110100000100100110110110 1 -b11010101110100000100100110110110 C -b11101010111111110011101111110101 < -b101010001011101010111000111000 : -b111111001011110111001001000011 $ -b111111001011110111001001000011 - -b111111001011110111001001000011 5 -b111111001011110111001001000011 ? -b111111001011110111001001000011 D -b11101010111111110011101111110101 % -b11101010111111110011101111110101 . -b11101010111111110011101111110101 6 -b11101010111111110011101111110101 @ -b11101010111111110011101111110101 F -b11010101110100000100100110110110 ) -#379712000 -0& -#379728000 -b1011100101101 , -#379744000 -1& -#379760000 -b10011010000100001111001110000111 " -b10011010000100001111001110000111 4 -b10011010000100001111001110000111 1 -b10011010000100001111001110000111 C -b11101111001011110110001000011111 < -b1100100011011101111001110110111 : -b1110101001111111001000110011000 $ -b1110101001111111001000110011000 - -b1110101001111111001000110011000 5 -b1110101001111111001000110011000 ? -b1110101001111111001000110011000 D -b11101111001011110110001000011111 % -b11101111001011110110001000011111 . -b11101111001011110110001000011111 6 -b11101111001011110110001000011111 @ -b11101111001011110110001000011111 F -b10011010000100001111001110000111 ) -#379776000 -0& -#379792000 -b1011100101110 , -#379808000 -1& -#379824000 -b11100001001110010000100100 " -b11100001001110010000100100 4 -b11100001001110010000100100 1 -b11100001001110010000100100 C -b0 2 -b0 = -19 -08 -b1011001011111100000010110110110 < -b10110100011110001110011101001000 : -b1011010111110101110000110010010 $ -b1011010111110101110000110010010 - -b1011010111110101110000110010010 5 -b1011010111110101110000110010010 ? -b1011010111110101110000110010010 D -b1011001011111100000010110110110 % -b1011001011111100000010110110110 . -b1011001011111100000010110110110 6 -b1011001011111100000010110110110 @ -b1011001011111100000010110110110 F -b11100001001110010000100100 ) -#379840000 -0& -#379856000 -b1011100101111 , -#379872000 -1& -#379888000 -b10100100001000111001101001001001 " -b10100100001000111001101001001001 4 -b10100100001000111001101001001001 1 -b10100100001000111001101001001001 C -09 -b0 2 -b0 = -08 -b1011110111111111011011111111011 < -b1011001110110111110010110101101 : -b11111010110111000010110110110010 $ -b11111010110111000010110110110010 - -b11111010110111000010110110110010 5 -b11111010110111000010110110110010 ? -b11111010110111000010110110110010 D -b1011110111111111011011111111011 % -b1011110111111111011011111111011 . -b1011110111111111011011111111011 6 -b1011110111111111011011111111011 @ -b1011110111111111011011111111011 F -b10100100001000111001101001001001 ) -#379904000 -0& -#379920000 -b1011100110000 , -#379936000 -1& -#379952000 -b10110001101101110010001101000011 " -b10110001101101110010001101000011 4 -b10110001101101110010001101000011 1 -b10110001101101110010001101000011 C -b1011111011111110110000001101110 < -b1001110010001111010001110011011 : -b11101110110010000100001100101101 $ -b11101110110010000100001100101101 - -b11101110110010000100001100101101 5 -b11101110110010000100001100101101 ? -b11101110110010000100001100101101 D -b1011111011111110110000001101110 % -b1011111011111110110000001101110 . -b1011111011111110110000001101110 6 -b1011111011111110110000001101110 @ -b1011111011111110110000001101110 F -b10110001101101110010001101000011 ) -#379968000 -0& -#379984000 -b1011100110001 , -#380000000 -1& -#380016000 -b11111001010000100001010100110111 " -b11111001010000100001010100110111 4 -b11111001010000100001010100110111 1 -b11111001010000100001010100110111 C -b11111111111111011010111110000110 < -b110101111010110101000110111 : -b110101111111011101010110001 $ -b110101111111011101010110001 - -b110101111111011101010110001 5 -b110101111111011101010110001 ? -b110101111111011101010110001 D -b11111111111111011010111110000110 % -b11111111111111011010111110000110 . -b11111111111111011010111110000110 6 -b11111111111111011010111110000110 @ -b11111111111111011010111110000110 F -b11111001010000100001010100110111 ) -#380032000 -0& -#380048000 -b1011100110010 , -#380064000 -1& -#380080000 -b10000101110000101110011011110111 " -b10000101110000101110011011110111 4 -b10000101110000101110011011110111 1 -b10000101110000101110011011110111 C -b1110011011111101100100011100000 < -b1101010001110101111011011110111 : -b11110110101111000010111000010111 $ -b11110110101111000010111000010111 - -b11110110101111000010111000010111 5 -b11110110101111000010111000010111 ? -b11110110101111000010111000010111 D -b1110011011111101100100011100000 % -b1110011011111101100100011100000 . -b1110011011111101100100011100000 6 -b1110011011111101100100011100000 @ -b1110011011111101100100011100000 F -b10000101110000101110011011110111 ) -#380096000 -0& -#380112000 -b1011100110011 , -#380128000 -1& -#380144000 -b11100110111000011100101010110110 " -b11100110111000011100101010110110 4 -b11100110111000011100101010110110 1 -b11100110111000011100101010110110 C -b11111011110111100000101001101011 < -b11001000111011100101101001000 : -b11101001111111100000011011101 $ -b11101001111111100000011011101 - -b11101001111111100000011011101 5 -b11101001111111100000011011101 ? -b11101001111111100000011011101 D -b11111011110111100000101001101011 % -b11111011110111100000101001101011 . -b11111011110111100000101001101011 6 -b11111011110111100000101001101011 @ -b11111011110111100000101001101011 F -b11100110111000011100101010110110 ) -#380160000 -0& -#380176000 -b1011100110100 , -#380192000 -1& -#380208000 -b100000001110111100111 " -b100000001110111100111 4 -b100000001110111100111 1 -b100000001110111100111 C -b0 2 -b0 = -19 -08 -b1101110111111110101000011111000 < -b11011101111011101001111000010111 : -b1101110111011110100110100011111 $ -b1101110111011110100110100011111 - -b1101110111011110100110100011111 5 -b1101110111011110100110100011111 ? -b1101110111011110100110100011111 D -b1101110111111110101000011111000 % -b1101110111111110101000011111000 . -b1101110111111110101000011111000 6 -b1101110111111110101000011111000 @ -b1101110111111110101000011111000 F -b100000001110111100111 ) -#380224000 -0& -#380240000 -b1011100110101 , -#380256000 -1& -#380272000 -b10101110100100001111101011110010 " -b10101110100100001111101011110010 4 -b10101110100100001111101011110010 1 -b10101110100100001111101011110010 C -09 -b0 2 -b0 = -08 -b1111100111111110111101010101011 < -b1001111011011101111101100000100 : -b11010010011011111000000001011001 $ -b11010010011011111000000001011001 - -b11010010011011111000000001011001 5 -b11010010011011111000000001011001 ? -b11010010011011111000000001011001 D -b1111100111111110111101010101011 % -b1111100111111110111101010101011 . -b1111100111111110111101010101011 6 -b1111100111111110111101010101011 @ -b1111100111111110111101010101011 F -b10101110100100001111101011110010 ) -#380288000 -0& -#380304000 -b1011100110110 , -#380320000 -1& -#380336000 -b100010111001110100111001000 " -b100010111001110100111001000 4 -b100010111001110100111001000 1 -b100010111001110100111001000 C -b1 2 -b1 = -18 -b11111101111001011011010011001000 < -b11110111100111110001000111001000 : -b11111001101110010101110100000000 $ -b11111001101110010101110100000000 - -b11111001101110010101110100000000 5 -b11111001101110010101110100000000 ? -b11111001101110010101110100000000 D -b11111101111001011011010011001000 % -b11111101111001011011010011001000 . -b11111101111001011011010011001000 6 -b11111101111001011011010011001000 @ -b11111101111001011011010011001000 F -b100010111001110100111001000 ) -#380352000 -0& -#380368000 -b1011100110111 , -#380384000 -1& -#380400000 -b101100111100010000000111100100 " -b101100111100010000000111100100 4 -b101100111100010000000111100100 1 -b101100111100010000000111100100 C -b11000011100111110000100111101001 < -b10110011000011010001000111110110 : -b11101111011011100000100000001101 $ -b11101111011011100000100000001101 - -b11101111011011100000100000001101 5 -b11101111011011100000100000001101 ? -b11101111011011100000100000001101 D -b11000011100111110000100111101001 % -b11000011100111110000100111101001 . -b11000011100111110000100111101001 6 -b11000011100111110000100111101001 @ -b11000011100111110000100111101001 F -b101100111100010000000111100100 ) -#380416000 -0& -#380432000 -b1011100111000 , -#380448000 -1& -#380464000 -b10000100000010001001110101110010 " -b10000100000010001001110101110010 4 -b10000100000010001001110101110010 1 -b10000100000010001001110101110010 C -b111011011111101101110001110001 < -b11111010111101010001110101110100 : -b10111111011101100100000100000011 $ -b10111111011101100100000100000011 - -b10111111011101100100000100000011 5 -b10111111011101100100000100000011 ? -b10111111011101100100000100000011 D -b111011011111101101110001110001 % -b111011011111101101110001110001 . -b111011011111101101110001110001 6 -b111011011111101101110001110001 @ -b111011011111101101110001110001 F -b10000100000010001001110101110010 ) -#380480000 -0& -#380496000 -b1011100111001 , -#380512000 -1& -#380528000 -b10111011100010010011110111011100 " -b10111011100010010011110111011100 4 -b10111011100010010011110111011100 1 -b10111011100010010011110111011100 C -b0 2 -b0 = -08 -b1101100011111111110001111001000 < -b1000100011101101100000111011100 : -b11010111111101101101111000010100 $ -b11010111111101101101111000010100 - -b11010111111101101101111000010100 5 -b11010111111101101101111000010100 ? -b11010111111101101101111000010100 D -b1101100011111111110001111001000 % -b1101100011111111110001111001000 . -b1101100011111111110001111001000 6 -b1101100011111111110001111001000 @ -b1101100011111111110001111001000 F -b10111011100010010011110111011100 ) -#380544000 -0& -#380560000 -b1011100111010 , -#380576000 -1& -#380592000 -b10010111100011000000101100010000 " -b10010111100011000000101100010000 4 -b10010111100011000000101100010000 1 -b10010111100011000000101100010000 C -b1111001011010111110110111001110 < -b1101000010100111101010010101100 : -b11101110111001111110011011011110 $ -b11101110111001111110011011011110 - -b11101110111001111110011011011110 5 -b11101110111001111110011011011110 ? -b11101110111001111110011011011110 D -b1111001011010111110110111001110 % -b1111001011010111110110111001110 . -b1111001011010111110110111001110 6 -b1111001011010111110110111001110 @ -b1111001011010111110110111001110 F -b10010111100011000000101100010000 ) -#380608000 -0& -#380624000 -b1011100111011 , -#380640000 -1& -#380656000 -b1101001000110001000011011010100 " -b1101001000110001000011011010100 4 -b1101001000110001000011011010100 1 -b1101001000110001000011011010100 C -b1 2 -b1 = -18 -b10111101111011111011101000001100 < -b10010010111001101111011011100100 : -b11010100111101110011110011011000 $ -b11010100111101110011110011011000 - -b11010100111101110011110011011000 5 -b11010100111101110011110011011000 ? -b11010100111101110011110011011000 D -b10111101111011111011101000001100 % -b10111101111011111011101000001100 . -b10111101111011111011101000001100 6 -b10111101111011111011101000001100 @ -b10111101111011111011101000001100 F -b1101001000110001000011011010100 ) -#380672000 -0& -#380688000 -b1011100111100 , -#380704000 -1& -#380720000 -b1011111110101000001100010100 " -b1011111110101000001100010100 4 -b1011111110101000001100010100 1 -b1011111110101000001100010100 C -b0 2 -b0 = -08 -19 -b1110100100110010111101011100101 < -b11110011111111010111010011010110 : -b1111111011000111111100111110001 $ -b1111111011000111111100111110001 - -b1111111011000111111100111110001 5 -b1111111011000111111100111110001 ? -b1111111011000111111100111110001 D -b1110100100110010111101011100101 % -b1110100100110010111101011100101 . -b1110100100110010111101011100101 6 -b1110100100110010111101011100101 @ -b1110100100110010111101011100101 F -b1011111110101000001100010100 ) -#380736000 -0& -#380752000 -b1011100111101 , -#380768000 -1& -#380784000 -b10010000101000001101011110110101 " -b10010000101000001101011110110101 4 -b10010000101000001101011110110101 1 -b10010000101000001101011110110101 C -b0 2 -b0 = -09 -08 -b11111111101111110010001000111101 < -b1101110110111110001011111000101 : -b1101111000111111111010110001000 $ -b1101111000111111111010110001000 - -b1101111000111111111010110001000 5 -b1101111000111111111010110001000 ? -b1101111000111111111010110001000 D -b11111111101111110010001000111101 % -b11111111101111110010001000111101 . -b11111111101111110010001000111101 6 -b11111111101111110010001000111101 @ -b11111111101111110010001000111101 F -b10010000101000001101011110110101 ) -#380800000 -0& -#380816000 -b1011100111110 , -#380832000 -1& -#380848000 -b11000001100010100011011001100111 " -b11000001100010100011011001100111 4 -b11000001100010100011011001100111 1 -b11000001100010100011011001100111 C -b111110110111010101010001101111 < -b111110001101001011011001110111 : -b11111111010101110110001000001000 $ -b11111111010101110110001000001000 - -b11111111010101110110001000001000 5 -b11111111010101110110001000001000 ? -b11111111010101110110001000001000 D -b111110110111010101010001101111 % -b111110110111010101010001101111 . -b111110110111010101010001101111 6 -b111110110111010101010001101111 @ -b111110110111010101010001101111 F -b11000001100010100011011001100111 ) -#380864000 -0& -#380880000 -b1011100111111 , -#380896000 -1& -#380912000 -b10011100010000001101001100100011 " -b10011100010000001101001100100011 4 -b10011100010000001101001100100011 1 -b10011100010000001101001100100011 C -b11110011101111110010111010100100 < -b1100011101111110010110000101011 : -b1101111111111111111110110000111 $ -b1101111111111111111110110000111 - -b1101111111111111111110110000111 5 -b1101111111111111111110110000111 ? -b1101111111111111111110110000111 D -b11110011101111110010111010100100 % -b11110011101111110010111010100100 . -b11110011101111110010111010100100 6 -b11110011101111110010111010100100 @ -b11110011101111110010111010100100 F -b10011100010000001101001100100011 ) -#380928000 -0& -#380944000 -b1011101000000 , -#380960000 -1& -#380976000 -b10000110011010101010011000100101 " -b10000110011010101010011000100101 4 -b10000110011010101010011000100101 1 -b10000110011010101010011000100101 C -b11111101011100110010011000100101 < -b1111000100011001010011000100101 : -b1111011000110011000000000000000 $ -b1111011000110011000000000000000 - -b1111011000110011000000000000000 5 -b1111011000110011000000000000000 ? -b1111011000110011000000000000000 D -b11111101011100110010011000100101 % -b11111101011100110010011000100101 . -b11111101011100110010011000100101 6 -b11111101011100110010011000100101 @ -b11111101011100110010011000100101 F -b10000110011010101010011000100101 ) -#380992000 -0& -#381008000 -b1011101000001 , -#381024000 -1& -#381040000 -b1001100010101101101101100110101 " -b1001100010101101101101100110101 4 -b1001100010101101101101100110101 1 -b1001100010101101101101100110101 C -b0 2 -b0 = -19 -08 -b110011111010010010000111101111 < -b10110011101010010001110011001001 : -b1111111101111111111101011011010 $ -b1111111101111111111101011011010 - -b1111111101111111111101011011010 5 -b1111111101111111111101011011010 ? -b1111111101111111111101011011010 D -b110011111010010010000111101111 % -b110011111010010010000111101111 . -b110011111010010010000111101111 6 -b110011111010010010000111101111 @ -b110011111010010010000111101111 F -b1001100010101101101101100110101 ) -#381056000 -0& -#381072000 -b1011101000010 , -#381088000 -1& -#381104000 -b11000000100111100001101001100100 " -b11000000100111100001101001100100 4 -b11000000100111100001101001100100 1 -b11000000100111100001101001100100 C -09 -b0 2 -b0 = -08 -b111111001110110110101100010111 < -b111110111000001101110010001010 : -b11111111101001010111000101110011 $ -b11111111101001010111000101110011 - -b11111111101001010111000101110011 5 -b11111111101001010111000101110011 ? -b11111111101001010111000101110011 D -b111111001110110110101100010111 % -b111111001110110110101100010111 . -b111111001110110110101100010111 6 -b111111001110110110101100010111 @ -b111111001110110110101100010111 F -b11000000100111100001101001100100 ) -#381120000 -0& -#381136000 -b1011101000011 , -#381152000 -1& -#381168000 -b10001000000111000000111111101000 " -b10001000000111000000111111101000 4 -b10001000000111000000111111101000 1 -b10001000000111000000111111101000 C -b1111111111110111100110111111110 < -b1110111111000111001000000010100 : -b11110111111001111100001000010110 $ -b11110111111001111100001000010110 - -b11110111111001111100001000010110 5 -b11110111111001111100001000010110 ? -b11110111111001111100001000010110 D -b1111111111110111100110111111110 % -b1111111111110111100110111111110 . -b1111111111110111100110111111110 6 -b1111111111110111100110111111110 @ -b1111111111110111100110111111110 F -b10001000000111000000111111101000 ) -#381184000 -0& -#381200000 -b1011101000100 , -#381216000 -1& -#381232000 -b101010001010000110101101010 " -b101010001010000110101101010 4 -b101010001010000110101101010 1 -b101010001010000110101101010 C -19 -b0 2 -b0 = -08 -b1111011101110011110011110110010 < -b11111010101101101101001010001010 : -b1111110111111001110101011011000 $ -b1111110111111001110101011011000 - -b1111110111111001110101011011000 5 -b1111110111111001110101011011000 ? -b1111110111111001110101011011000 D -b1111011101110011110011110110010 % -b1111011101110011110011110110010 . -b1111011101110011110011110110010 6 -b1111011101110011110011110110010 @ -b1111011101110011110011110110010 F -b101010001010000110101101010 ) -#381248000 -0& -#381264000 -b1011101000101 , -#381280000 -1& -#381296000 -b11010000100001001011001011111 " -b11010000100001001011001011111 4 -b11010000100001001011001011111 1 -b11010000100001001011001011111 C -b1101011111011010110100101101001 < -b11011101111010110110100010011111 : -b1110001111111011111111100110110 $ -b1110001111111011111111100110110 - -b1110001111111011111111100110110 5 -b1110001111111011111111100110110 ? -b1110001111111011111111100110110 D -b1101011111011010110100101101001 % -b1101011111011010110100101101001 . -b1101011111011010110100101101001 6 -b1101011111011010110100101101001 @ -b1101011111011010110100101101001 F -b11010000100001001011001011111 ) -#381312000 -0& -#381328000 -b1011101000110 , -#381344000 -1& -#381360000 -b100101010000011011111101100 " -b100101010000011011111101100 4 -b100101010000011011111101100 1 -b100101010000011011111101100 C -b1111111011011010111001101010110 < -b11111011001100101011100000010000 : -b1111011110001010100010010111010 $ -b1111011110001010100010010111010 - -b1111011110001010100010010111010 5 -b1111011110001010100010010111010 ? -b1111011110001010100010010111010 D -b1111111011011010111001101010110 % -b1111111011011010111001101010110 . -b1111111011011010111001101010110 6 -b1111111011011010111001101010110 @ -b1111111011011010111001101010110 F -b100101010000011011111101100 ) -#381376000 -0& -#381392000 -b1011101000111 , -#381408000 -1& -#381424000 -b1001001000011111101110110100111 " -b1001001000011111101110110100111 4 -b1001001000011111101110110100111 1 -b1001001000011111101110110100111 C -b111110111100001110111100111001 < -b10110110111100000010000111010111 : -b1110111111111110011001010011110 $ -b1110111111111110011001010011110 - -b1110111111111110011001010011110 5 -b1110111111111110011001010011110 ? -b1110111111111110011001010011110 D -b111110111100001110111100111001 % -b111110111100001110111100111001 . -b111110111100001110111100111001 6 -b111110111100001110111100111001 @ -b111110111100001110111100111001 F -b1001001000011111101110110100111 ) -#381440000 -0& -#381456000 -b1011101001000 , -#381472000 -1& -#381488000 -b10011001111010001011000110000100 " -b10011001111010001011000110000100 4 -b10011001111010001011000110000100 1 -b10011001111010001011000110000100 C -09 -b0 2 -b0 = -08 -b1110101000111111000110110010111 < -b1100010000101101100100110101010 : -b11101100111101110011110000010011 $ -b11101100111101110011110000010011 - -b11101100111101110011110000010011 5 -b11101100111101110011110000010011 ? -b11101100111101110011110000010011 D -b1110101000111111000110110010111 % -b1110101000111111000110110010111 . -b1110101000111111000110110010111 6 -b1110101000111111000110110010111 @ -b1110101000111111000110110010111 F -b10011001111010001011000110000100 ) -#381504000 -0& -#381520000 -b1011101001001 , -#381536000 -1& -#381552000 -b10001100101100000110000000010 " -b10001100101100000110000000010 4 -b10001100101100000110000000010 1 -b10001100101100000110000000010 C -b1 2 -b1 = -18 -b11111111111110000011101001001011 < -b11101110011001100111000010010100 : -b11101110011011100011011001001001 $ -b11101110011011100011011001001001 - -b11101110011011100011011001001001 5 -b11101110011011100011011001001001 ? -b11101110011011100011011001001001 D -b11111111111110000011101001001011 % -b11111111111110000011101001001011 . -b11111111111110000011101001001011 6 -b11111111111110000011101001001011 @ -b11111111111110000011101001001011 F -b10001100101100000110000000010 ) -#381568000 -0& -#381584000 -b1011101001010 , -#381600000 -1& -#381616000 -b11001010100000010100101100100100 " -b11001010100000010100101100100100 4 -b11001010100000010100101100100100 1 -b11001010100000010100101100100100 C -b0 2 -b0 = -08 -b11100011011101101011010100111111 < -b1101011011101011001101011010 : -b101001111101111111111000011011 $ -b101001111101111111111000011011 - -b101001111101111111111000011011 5 -b101001111101111111111000011011 ? -b101001111101111111111000011011 D -b11100011011101101011010100111111 % -b11100011011101101011010100111111 . -b11100011011101101011010100111111 6 -b11100011011101101011010100111111 @ -b11100011011101101011010100111111 F -b11001010100000010100101100100100 ) -#381632000 -0& -#381648000 -b1011101001011 , -#381664000 -1& -#381680000 -b10001001010110011111001010100100 " -b10001001010110011111001010100100 4 -b10001001010110011111001010100100 1 -b10001001010110011111001010100100 C -b11110110001111101101001010101010 < -b1110101101001011111001010111000 : -b1111111011001110010000000001110 $ -b1111111011001110010000000001110 - -b1111111011001110010000000001110 5 -b1111111011001110010000000001110 ? -b1111111011001110010000000001110 D -b11110110001111101101001010101010 % -b11110110001111101101001010101010 . -b11110110001111101101001010101010 6 -b11110110001111101101001010101010 @ -b11110110001111101101001010101010 F -b10001001010110011111001010100100 ) -#381696000 -0& -#381712000 -b1011101001100 , -#381728000 -1& -#381744000 -b10000000010100111111001101 " -b10000000010100111111001101 4 -b10000000010100111111001101 1 -b10000000010100111111001101 C -b1 2 -b1 = -18 -b11111101111111111100001011011010 < -b11111101111111100100111111110001 : -b11111111111111101000110100010111 $ -b11111111111111101000110100010111 - -b11111111111111101000110100010111 5 -b11111111111111101000110100010111 ? -b11111111111111101000110100010111 D -b11111101111111111100001011011010 % -b11111101111111111100001011011010 . -b11111101111111111100001011011010 6 -b11111101111111111100001011011010 @ -b11111101111111111100001011011010 F -b10000000010100111111001101 ) -#381760000 -0& -#381776000 -b1011101001101 , -#381792000 -1& -#381808000 -b10000110001110000001010011101111 " -b10000110001110000001010011101111 4 -b10000110001110000001010011101111 1 -b10000110001110000001010011101111 C -b0 2 -b0 = -08 -b11111111000001110000110101011110 < -b1111000010001100010011100001111 : -b1111001001111110001100110110001 $ -b1111001001111110001100110110001 - -b1111001001111110001100110110001 5 -b1111001001111110001100110110001 ? -b1111001001111110001100110110001 D -b11111111000001110000110101011110 % -b11111111000001110000110101011110 . -b11111111000001110000110101011110 6 -b11111111000001110000110101011110 @ -b11111111000001110000110101011110 F -b10000110001110000001010011101111 ) -#381824000 -0& -#381840000 -b1011101001110 , -#381856000 -1& -#381872000 -b11000010011110110000110010110 " -b11000010011110110000110010110 4 -b11000010011110110000110010110 1 -b11000010011110110000110010110 C -b1 2 -b1 = -18 -b11110110111100010010000011010110 < -b11100101101011110110001000010110 : -b11101110101111100100000101000000 $ -b11101110101111100100000101000000 - -b11101110101111100100000101000000 5 -b11101110101111100100000101000000 ? -b11101110101111100100000101000000 D -b11110110111100010010000011010110 % -b11110110111100010010000011010110 . -b11110110111100010010000011010110 6 -b11110110111100010010000011010110 @ -b11110110111100010010000011010110 F -b11000010011110110000110010110 ) -#381888000 -0& -#381904000 -b1011101001111 , -#381920000 -1& -#381936000 -b10100011100110110001111011111110 " -b10100011100110110001111011111110 4 -b10100011100110110001111011111110 1 -b10100011100110110001111011111110 C -b0 2 -b0 = -08 -b1001110110011011100101010000010 < -b111100001001001001111011111110 : -b11101101010101101101010001111100 $ -b11101101010101101101010001111100 - -b11101101010101101101010001111100 5 -b11101101010101101101010001111100 ? -b11101101010101101101010001111100 D -b1001110110011011100101010000010 % -b1001110110011011100101010000010 . -b1001110110011011100101010000010 6 -b1001110110011011100101010000010 @ -b1001110110011011100101010000010 F -b10100011100110110001111011111110 ) -#381952000 -0& -#381968000 -b1011101010000 , -#381984000 -1& -#382000000 -b10010011101000100001000011110110 " -b10010011101000100001000011110110 4 -b10010011101000100001000011110110 1 -b10010011101000100001000011110110 C -b11110100011111010100110011110001 < -b1011100010111001010100011111000 : -b1100111110111110101110000000111 $ -b1100111110111110101110000000111 - -b1100111110111110101110000000111 5 -b1100111110111110101110000000111 ? -b1100111110111110101110000000111 D -b11110100011111010100110011110001 % -b11110100011111010100110011110001 . -b11110100011111010100110011110001 6 -b11110100011111010100110011110001 @ -b11110100011111010100110011110001 F -b10010011101000100001000011110110 ) -#382016000 -0& -#382032000 -b1011101010001 , -#382048000 -1& -#382064000 -b101000111011000111 " -b101000111011000111 4 -b101000111011000111 1 -b101000111011000111 C -b1 2 -b1 = -18 -b11111111111111100101100011011100 < -b11111111111110110010111011110111 : -b11111111111111001101011000011011 $ -b11111111111111001101011000011011 - -b11111111111111001101011000011011 5 -b11111111111111001101011000011011 ? -b11111111111111001101011000011011 D -b11111111111111100101100011011100 % -b11111111111111100101100011011100 . -b11111111111111100101100011011100 6 -b11111111111111100101100011011100 @ -b11111111111111100101100011011100 F -b101000111011000111 ) -#382080000 -0& -#382096000 -b1011101010010 , -#382112000 -1& -#382128000 -b110000000001010001011111001001 " -b110000000001010001011111001001 4 -b110000000001010001011111001001 1 -b110000000001010001011111001001 C -b0 2 -b0 = -08 -19 -b1001111111111101001101011111010 < -b11001111111110100010100000101101 : -b1111111111110111000110100110011 $ -b1111111111110111000110100110011 - -b1111111111110111000110100110011 5 -b1111111111110111000110100110011 ? -b1111111111110111000110100110011 D -b1001111111111101001101011111010 % -b1001111111111101001101011111010 . -b1001111111111101001101011111010 6 -b1001111111111101001101011111010 @ -b1001111111111101001101011111010 F -b110000000001010001011111001001 ) -#382144000 -0& -#382160000 -b1011101010011 , -#382176000 -1& -#382192000 -b10100111001010011101011000110001 " -b10100111001010011101011000110001 4 -b10100111001010011101011000110001 1 -b10100111001010011101011000110001 C -b1 2 -b1 = -18 -09 -b111100011101110100110100100001 < -b11010111110101011110100000110001 : -b10011011010111101001101100010000 $ -b10011011010111101001101100010000 - -b10011011010111101001101100010000 5 -b10011011010111101001101100010000 ? -b10011011010111101001101100010000 D -b111100011101110100110100100001 % -b111100011101110100110100100001 . -b111100011101110100110100100001 6 -b111100011101110100110100100001 @ -b111100011101110100110100100001 F -b10100111001010011101011000110001 ) -#382208000 -0& -#382224000 -b1011101010100 , -#382240000 -1& -#382256000 -b111110111011100010000110000001 " -b111110111011100010000110000001 4 -b111110111011100010000110000001 1 -b111110111011100010000110000001 C -b11011111110100111000010111000100 < -b11000001000100010010101000001001 : -b11100001001111011010010001000101 $ -b11100001001111011010010001000101 - -b11100001001111011010010001000101 5 -b11100001001111011010010001000101 ? -b11100001001111011010010001000101 D -b11011111110100111000010111000100 % -b11011111110100111000010111000100 . -b11011111110100111000010111000100 6 -b11011111110100111000010111000100 @ -b11011111110100111000010111000100 F -b111110111011100010000110000001 ) -#382272000 -0& -#382288000 -b1011101010101 , -#382304000 -1& -#382320000 -b1000010000110010011110111011111 " -b1000010000110010011110111011111 4 -b1000010000110010011110111011111 1 -b1000010000110010011110111011111 C -b0 2 -b0 = -08 -19 -b110111111011101100011100111001 < -b10101101111001101100001000011111 : -b1110101111101111111101011100110 $ -b1110101111101111111101011100110 - -b1110101111101111111101011100110 5 -b1110101111101111111101011100110 ? -b1110101111101111111101011100110 D -b110111111011101100011100111001 % -b110111111011101100011100111001 . -b110111111011101100011100111001 6 -b110111111011101100011100111001 @ -b110111111011101100011100111001 F -b1000010000110010011110111011111 ) -#382336000 -0& -#382352000 -b1011101010110 , -#382368000 -1& -#382384000 -b101000000010010110011011000110 " -b101000000010010110011011000110 4 -b101000000010010110011011000110 1 -b101000000010010110011011000110 C -b1010111111111110111110010110111 < -b11010111111101011001011100101000 : -b1111111111101100001101001110001 $ -b1111111111101100001101001110001 - -b1111111111101100001101001110001 5 -b1111111111101100001101001110001 ? -b1111111111101100001101001110001 D -b1010111111111110111110010110111 % -b1010111111111110111110010110111 . -b1010111111111110111110010110111 6 -b1010111111111110111110010110111 @ -b1010111111111110111110010110111 F -b101000000010010110011011000110 ) -#382400000 -0& -#382416000 -b1011101010111 , -#382432000 -1& -#382448000 -b10110101001101001011111000 " -b10110101001101001011111000 4 -b10110101001101001011111000 1 -b10110101001101001011111000 C -b1 2 -b1 = -18 -09 -b11111101011010011001000011100010 < -b11111101001001101101001011111100 : -b11111111101111010100001000011010 $ -b11111111101111010100001000011010 - -b11111111101111010100001000011010 5 -b11111111101111010100001000011010 ? -b11111111101111010100001000011010 D -b11111101011010011001000011100010 % -b11111101011010011001000011100010 . -b11111101011010011001000011100010 6 -b11111101011010011001000011100010 @ -b11111101011010011001000011100010 F -b10110101001101001011111000 ) -#382464000 -0& -#382480000 -b1011101011000 , -#382496000 -1& -#382512000 -b11000000011001100100100011000111 " -b11000000011001100100100011000111 4 -b11000000011001100100100011000111 1 -b11000000011001100100100011000111 C -b0 2 -b0 = -08 -b11111111010110111101000101001110 < -b111110100110010110101011010111 : -b111111001111011001100110001001 $ -b111111001111011001100110001001 - -b111111001111011001100110001001 5 -b111111001111011001100110001001 ? -b111111001111011001100110001001 D -b11111111010110111101000101001110 % -b11111111010110111101000101001110 . -b11111111010110111101000101001110 6 -b11111111010110111101000101001110 @ -b11111111010110111101000101001110 F -b11000000011001100100100011000111 ) -#382528000 -0& -#382544000 -b1011101011001 , -#382560000 -1& -#382576000 -b10001110000111101010100111001110 " -b10001110000111101010100111001110 4 -b10001110000111101010100111001110 1 -b10001110000111101010100111001110 C -b11110001111010011100101110100011 < -b1110001111000010010111000010000 : -b1111111111101110110001001101101 $ -b1111111111101110110001001101101 - -b1111111111101110110001001101101 5 -b1111111111101110110001001101101 ? -b1111111111101110110001001101101 D -b11110001111010011100101110100011 % -b11110001111010011100101110100011 . -b11110001111010011100101110100011 6 -b11110001111010011100101110100011 @ -b11110001111010011100101110100011 F -b10001110000111101010100111001110 ) -#382592000 -0& -#382608000 -b1011101011010 , -#382624000 -1& -#382640000 -b1010000010001111101111010010011 " -b1010000010001111101111010010011 4 -b1010000010001111101111010010011 1 -b1010000010001111101111010010011 C -b0 2 -b0 = -19 -08 -b101011111110000000010010001001 < -b10100111101101111101111010100011 : -b1111011101111111101101000011010 $ -b1111011101111111101101000011010 - -b1111011101111111101101000011010 5 -b1111011101111111101101000011010 ? -b1111011101111111101101000011010 D -b101011111110000000010010001001 % -b101011111110000000010010001001 . -b101011111110000000010010001001 6 -b101011111110000000010010001001 @ -b101011111110000000010010001001 F -b1010000010001111101111010010011 ) -#382656000 -0& -#382672000 -b1011101011011 , -#382688000 -1& -#382704000 -b11001001100001010110011010010 " -b11001001100001010110011010010 4 -b11001001100001010110011010010 1 -b11001001100001010110011010010 C -b1 2 -b1 = -18 -09 -b11001110111101101000111010110101 < -b10100110101111001011000100011100 : -b11010111110001100010001001100111 $ -b11010111110001100010001001100111 - -b11010111110001100010001001100111 5 -b11010111110001100010001001100111 ? -b11010111110001100010001001100111 D -b11001110111101101000111010110101 % -b11001110111101101000111010110101 . -b11001110111101101000111010110101 6 -b11001110111101101000111010110101 @ -b11001110111101101000111010110101 F -b11001001100001010110011010010 ) -#382720000 -0& -#382736000 -b1011101011100 , -#382752000 -1& -#382768000 -b110110011000010010101110000000 " -b110110011000010010101110000000 4 -b110110011000010010101110000000 1 -b110110011000010010101110000000 C -b0 2 -b0 = -08 -19 -b1001101100111011001101001110100 < -b11001001100110100100110001101000 : -b1111011111111001011000111110100 $ -b1111011111111001011000111110100 - -b1111011111111001011000111110100 5 -b1111011111111001011000111110100 ? -b1111011111111001011000111110100 D -b1001101100111011001101001110100 % -b1001101100111011001101001110100 . -b1001101100111011001101001110100 6 -b1001101100111011001101001110100 @ -b1001101100111011001101001110100 F -b110110011000010010101110000000 ) -#382784000 -0& -#382800000 -b1011101011101 , -#382816000 -1& -#382832000 -b11100011110111101111011101011011 " -b11100011110111101111011101011011 4 -b11100011110111101111011101011011 1 -b11100011110111101111011101011011 C -b0 2 -b0 = -09 -08 -b11011101011100011111110111000010 < -b11100001000010000100001011011 : -b111110101011110000101010011001 $ -b111110101011110000101010011001 - -b111110101011110000101010011001 5 -b111110101011110000101010011001 ? -b111110101011110000101010011001 D -b11011101011100011111110111000010 % -b11011101011100011111110111000010 . -b11011101011100011111110111000010 6 -b11011101011100011111110111000010 @ -b11011101011100011111110111000010 F -b11100011110111101111011101011011 ) -#382848000 -0& -#382864000 -b1011101011110 , -#382880000 -1& -#382896000 -b1100010000000101000100100011110 " -b1100010000000101000100100011110 4 -b1100010000000101000100100011110 1 -b1100010000000101000100100011110 C -b1 2 -b1 = -18 -b10111101111111001110011000011010 < -b10011101111110110101010100011110 : -b11011111111111100110111100000100 $ -b11011111111111100110111100000100 - -b11011111111111100110111100000100 5 -b11011111111111100110111100000100 ? -b11011111111111100110111100000100 D -b10111101111111001110011000011010 % -b10111101111111001110011000011010 . -b10111101111111001110011000011010 6 -b10111101111111001110011000011010 @ -b10111101111111001110011000011010 F -b1100010000000101000100100011110 ) -#382912000 -0& -#382928000 -b1011101011111 , -#382944000 -1& -#382960000 -b10100010000010001111010001 " -b10100010000010001111010001 4 -b10100010000010001111010001 1 -b10100010000010001111010001 C -b11111111011101010110111001000111 < -b11111101011100101011101111011101 : -b11111101111111010100110110010110 $ -b11111101111111010100110110010110 - -b11111101111111010100110110010110 5 -b11111101111111010100110110010110 ? -b11111101111111010100110110010110 D -b11111111011101010110111001000111 % -b11111111011101010110111001000111 . -b11111111011101010110111001000111 6 -b11111111011101010110111001000111 @ -b11111111011101010110111001000111 F -b10100010000010001111010001 ) -#382976000 -0& -#382992000 -b1011101100000 , -#383008000 -1& -#383024000 -b1010011011001000001100001011 " -b1010011011001000001100001011 4 -b1010011011001000001100001011 1 -b1010011011001000001100001011 C -b0 2 -b0 = -08 -19 -b1111111101101111000110110110100 < -b11110101100100101001110001110011 : -b1110101110110110000111010111111 $ -b1110101110110110000111010111111 - -b1110101110110110000111010111111 5 -b1110101110110110000111010111111 ? -b1110101110110110000111010111111 D -b1111111101101111000110110110100 % -b1111111101101111000110110110100 . -b1111111101101111000110110110100 6 -b1111111101101111000110110110100 @ -b1111111101101111000110110110100 F -b1010011011001000001100001011 ) -#383040000 -0& -#383056000 -b1011101100001 , -#383072000 -1& -#383088000 -b1010010000010010010111010001 " -b1010010000010010010111010001 4 -b1010010000010010010111010001 1 -b1010010000010010010111010001 C -b1111110101011101010110100111110 < -b11110011100111100011011000101101 : -b1110100111011111000100011101111 $ -b1110100111011111000100011101111 - -b1110100111011111000100011101111 5 -b1110100111011111000100011101111 ? -b1110100111011111000100011101111 D -b1111110101011101010110100111110 % -b1111110101011101010110100111110 . -b1111110101011101010110100111110 6 -b1111110101011101010110100111110 @ -b1111110101011101010110100111110 F -b1010010000010010010111010001 ) -#383104000 -0& -#383120000 -b1011101100010 , -#383136000 -1& -#383152000 -b10000100000011111110101100011010 " -b10000100000011111110101100011010 4 -b10000100000011111110101100011010 1 -b10000100000011111110101100011010 C -09 -b0 2 -b0 = -08 -b1111111111111110011010000000001 < -b1111011111100000001001100011100 : -b11111011111100001101111100011011 $ -b11111011111100001101111100011011 - -b11111011111100001101111100011011 5 -b11111011111100001101111100011011 ? -b11111011111100001101111100011011 D -b1111111111111110011010000000001 % -b1111111111111110011010000000001 . -b1111111111111110011010000000001 6 -b1111111111111110011010000000001 @ -b1111111111111110011010000000001 F -b10000100000011111110101100011010 ) -#383168000 -0& -#383184000 -b1011101100011 , -#383200000 -1& -#383216000 -b100000100100011100101010100001 " -b100000100100011100101010100001 4 -b100000100100011100101010100001 1 -b100000100100011100101010100001 C -19 -b0 2 -b0 = -08 -b1111111101011101110011011101000 < -b11011110111011100001001100110001 : -b1011111001111110010110001001001 $ -b1011111001111110010110001001001 - -b1011111001111110010110001001001 5 -b1011111001111110010110001001001 ? -b1011111001111110010110001001001 D -b1111111101011101110011011101000 % -b1111111101011101110011011101000 . -b1111111101011101110011011101000 6 -b1111111101011101110011011101000 @ -b1111111101011101110011011101000 F -b100000100100011100101010100001 ) -#383232000 -0& -#383248000 -b1011101100100 , -#383264000 -1& -#383280000 -b100000011000110010011101110111 " -b100000011000110010011101110111 4 -b100000011000110010011101110111 1 -b100000011000110010011101110111 C -b1 2 -b1 = -18 -b10111111101111011001101101011100 < -b1011111100111000101011110000111 : -b10011111110111101011110000101011 $ -b10011111110111101011110000101011 - -b10011111110111101011110000101011 5 -b10011111110111101011110000101011 ? -b10011111110111101011110000101011 D -b10111111101111011001101101011100 % -b10111111101111011001101101011100 . -b10111111101111011001101101011100 6 -b10111111101111011001101101011100 @ -b10111111101111011001101101011100 F -b100000011000110010011101110111 ) -#383296000 -0& -#383312000 -b1011101100101 , -#383328000 -1& -#383344000 -b111101000001101010110101011 " -b111101000001101010110101011 4 -b111101000001101010110101011 1 -b111101000001101010110101011 C -b1 2 -b1 = -09 -18 -b11111101111110110000101110010001 < -b11111000010101101110100111001011 : -b11111010010110111101111000111010 $ -b11111010010110111101111000111010 - -b11111010010110111101111000111010 5 -b11111010010110111101111000111010 ? -b11111010010110111101111000111010 D -b11111101111110110000101110010001 % -b11111101111110110000101110010001 . -b11111101111110110000101110010001 6 -b11111101111110110000101110010001 @ -b11111101111110110000101110010001 F -b111101000001101010110101011 ) -#383360000 -0& -#383376000 -b1011101100110 , -#383392000 -1& -#383408000 -b100110100010100010111101110101 " -b100110100010100010111101110101 4 -b100110100010100010111101110101 1 -b100110100010100010111101110101 C -b1 2 -b1 = -19 -18 -b10111101011111110100000100110111 < -b1011001011101001010111101111001 : -b10011011111101010110111001000010 $ -b10011011111101010110111001000010 - -b10011011111101010110111001000010 5 -b10011011111101010110111001000010 ? -b10011011111101010110111001000010 D -b10111101011111110100000100110111 % -b10111101011111110100000100110111 . -b10111101011111110100000100110111 6 -b10111101011111110100000100110111 @ -b10111101011111110100000100110111 F -b100110100010100010111101110101 ) -#383424000 -0& -#383440000 -b1011101100111 , -#383456000 -1& -#383472000 -b10011110000111000111000011001 " -b10011110000111000111000011001 4 -b10011110000111000111000011001 1 -b10011110000111000111000011001 C -b1 2 -b1 = -09 -18 -b11111100011011010100100000010000 < -b11101100000111000000111000011001 : -b11101111101011101100011000001001 $ -b11101111101011101100011000001001 - -b11101111101011101100011000001001 5 -b11101111101011101100011000001001 ? -b11101111101011101100011000001001 D -b11111100011011010100100000010000 % -b11111100011011010100100000010000 . -b11111100011011010100100000010000 6 -b11111100011011010100100000010000 @ -b11111100011011010100100000010000 F -b10011110000111000111000011001 ) -#383488000 -0& -#383504000 -b1011101101000 , -#383520000 -1& -#383536000 -b10101101001000110001110110 " -b10101101001000110001110110 4 -b10101101001000110001110110 1 -b10101101001000110001110110 C -b0 2 -b0 = -08 -19 -b1111101101011111001111001110100 < -b11111100110010101011000001110110 : -b1111111000110110001001000000010 $ -b1111111000110110001001000000010 - -b1111111000110110001001000000010 5 -b1111111000110110001001000000010 ? -b1111111000110110001001000000010 D -b1111101101011111001111001110100 % -b1111101101011111001111001110100 . -b1111101101011111001111001110100 6 -b1111101101011111001111001110100 @ -b1111101101011111001111001110100 F -b10101101001000110001110110 ) -#383552000 -0& -#383568000 -b1011101101001 , -#383584000 -1& -#383600000 -b10001000000101101100011 " -b10001000000101101100011 4 -b10001000000101101100011 1 -b10001000000101101100011 C -b1110011111111111100100100011100 < -b11100111101110111000101110011011 : -b1110011101110111100001001111111 $ -b1110011101110111100001001111111 - -b1110011101110111100001001111111 5 -b1110011101110111100001001111111 ? -b1110011101110111100001001111111 D -b1110011111111111100100100011100 % -b1110011111111111100100100011100 . -b1110011111111111100100100011100 6 -b1110011111111111100100100011100 @ -b1110011111111111100100100011100 F -b10001000000101101100011 ) -#383616000 -0& -#383632000 -b1011101101010 , -#383648000 -1& -#383664000 -b111011001100111001011111010111 " -b111011001100111001011111010111 4 -b111011001100111001011111010111 1 -b111011001100111001011111010111 C -b1 2 -b1 = -18 -09 -b11111011111011000010111110001111 < -b10111100110010111110011111100111 : -b11000000110111111011100001011000 $ -b11000000110111111011100001011000 - -b11000000110111111011100001011000 5 -b11000000110111111011100001011000 ? -b11000000110111111011100001011000 D -b11111011111011000010111110001111 % -b11111011111011000010111110001111 . -b11111011111011000010111110001111 6 -b11111011111011000010111110001111 @ -b11111011111011000010111110001111 F -b111011001100111001011111010111 ) -#383680000 -0& -#383696000 -b1011101101011 , -#383712000 -1& -#383728000 -b10100011010110001001110011100111 " -b10100011010110001001110011100111 4 -b10100011010110001001110011100111 1 -b10100011010110001001110011100111 C -b0 2 -b0 = -08 -b1011111111011110101011110010111 < -b1011100101001110010001100000111 : -b11111100101101111100101101110000 $ -b11111100101101111100101101110000 - -b11111100101101111100101101110000 5 -b11111100101101111100101101110000 ? -b11111100101101111100101101110000 D -b1011111111011110101011110010111 % -b1011111111011110101011110010111 . -b1011111111011110101011110010111 6 -b1011111111011110101011110010111 @ -b1011111111011110101011110010111 F -b10100011010110001001110011100111 ) -#383744000 -0& -#383760000 -b1011101101100 , -#383776000 -1& -#383792000 -b11100010100010000011001111011001 " -b11100010100010000011001111011001 4 -b11100010100010000011001111011001 1 -b11100010100010000011001111011001 C -b11100011101110100110010101000 < -b11011011101101100110000011001 : -b11111110111111110111111101110001 $ -b11111110111111110111111101110001 - -b11111110111111110111111101110001 5 -b11111110111111110111111101110001 ? -b11111110111111110111111101110001 D -b11100011101110100110010101000 % -b11100011101110100110010101000 . -b11100011101110100110010101000 6 -b11100011101110100110010101000 @ -b11100011101110100110010101000 F -b11100010100010000011001111011001 ) -#383808000 -0& -#383824000 -b1011101101101 , -#383840000 -1& -#383856000 -b10100000101000100110100110011100 " -b10100000101000100110100110011100 4 -b10100000101000100110100110011100 1 -b10100000101000100110100110011100 C -b1011111101011011100001011001011 < -b1011110101111010110111000100010 : -b11111111000011111010101101010111 $ -b11111111000011111010101101010111 - -b11111111000011111010101101010111 5 -b11111111000011111010101101010111 ? -b11111111000011111010101101010111 D -b1011111101011011100001011001011 % -b1011111101011011100001011001011 . -b1011111101011011100001011001011 6 -b1011111101011011100001011001011 @ -b1011111101011011100001011001011 F -b10100000101000100110100110011100 ) -#383872000 -0& -#383888000 -b1011101101110 , -#383904000 -1& -#383920000 -b1110100001100110010101100000100 " -b1110100001100110010101100000100 4 -b1110100001100110010101100000100 1 -b1110100001100110010101100000100 C -b1 2 -b1 = -18 -b10001011110011110111001100000100 < -b10001011110010111100101100000100 : -b11111111111111000101100000000000 $ -b11111111111111000101100000000000 - -b11111111111111000101100000000000 5 -b11111111111111000101100000000000 ? -b11111111111111000101100000000000 D -b10001011110011110111001100000100 % -b10001011110011110111001100000100 . -b10001011110011110111001100000100 6 -b10001011110011110111001100000100 @ -b10001011110011110111001100000100 F -b1110100001100110010101100000100 ) -#383936000 -0& -#383952000 -b1011101101111 , -#383968000 -1& -#383984000 -b10001110000000001100011100111100 " -b10001110000000001100011100111100 4 -b10001110000000001100011100111100 1 -b10001110000000001100011100111100 C -b0 2 -b0 = -08 -b11111111011101111101100001001010 < -b1110000111011101111011111000000 : -b1110001011101110001111101110110 $ -b1110001011101110001111101110110 - -b1110001011101110001111101110110 5 -b1110001011101110001111101110110 ? -b1110001011101110001111101110110 D -b11111111011101111101100001001010 % -b11111111011101111101100001001010 . -b11111111011101111101100001001010 6 -b11111111011101111101100001001010 @ -b11111111011101111101100001001010 F -b10001110000000001100011100111100 ) -#384000000 -0& -#384016000 -b1011101110000 , -#384032000 -1& -#384048000 -b1001000011010100101001001 " -b1001000011010100101001001 4 -b1001000011010100101001001 1 -b1001000011010100101001001 C -b100110001110100001111110111110 < -b1001101010101011101011010110101 : -b100111000110111011011011110111 $ -b100111000110111011011011110111 - -b100111000110111011011011110111 5 -b100111000110111011011011110111 ? -b100111000110111011011011110111 D -b100110001110100001111110111110 % -b100110001110100001111110111110 . -b100110001110100001111110111110 6 -b100110001110100001111110111110 @ -b100110001110100001111110111110 F -b1001000011010100101001001 ) -#384064000 -0& -#384080000 -b1011101110001 , -#384096000 -1& -#384112000 -b10000110011110000001011101110100 " -b10000110011110000001011101110100 4 -b10000110011110000001011101110100 1 -b10000110011110000001011101110100 C -b0 2 -b0 = -08 -09 -b1111101110011110110111100100010 < -b1111001100001101110011101111000 : -b11111011101101110111100001010110 $ -b11111011101101110111100001010110 - -b11111011101101110111100001010110 5 -b11111011101101110111100001010110 ? -b11111011101101110111100001010110 D -b1111101110011110110111100100010 % -b1111101110011110110111100100010 . -b1111101110011110110111100100010 6 -b1111101110011110110111100100010 @ -b1111101110011110110111100100010 F -b10000110011110000001011101110100 ) -#384128000 -0& -#384144000 -b1011101110010 , -#384160000 -1& -#384176000 -b11000000001100001010010010100 " -b11000000001100001010010010100 4 -b11000000001100001010010010100 1 -b11000000001100001010010010100 C -19 -b0 2 -b0 = -08 -b1111111111110111100010100110111 < -b11100111111110011001011011011010 : -b1100111111111011101000110100011 $ -b1100111111111011101000110100011 - -b1100111111111011101000110100011 5 -b1100111111111011101000110100011 ? -b1100111111111011101000110100011 D -b1111111111110111100010100110111 % -b1111111111110111100010100110111 . -b1111111111110111100010100110111 6 -b1111111111110111100010100110111 @ -b1111111111110111100010100110111 F -b11000000001100001010010010100 ) -#384192000 -0& -#384208000 -b1011101110011 , -#384224000 -1& -#384240000 -b110111100110001000011110100 " -b110111100110001000011110100 4 -b110111100110001000011110100 1 -b110111100110001000011110100 C -b1 2 -b1 = -18 -09 -b11011001111011101111001101010110 < -b10111001000011001101011011111000 : -b11011111000111011110001110100010 $ -b11011111000111011110001110100010 - -b11011111000111011110001110100010 5 -b11011111000111011110001110100010 ? -b11011111000111011110001110100010 D -b11011001111011101111001101010110 % -b11011001111011101111001101010110 . -b11011001111011101111001101010110 6 -b11011001111011101111001101010110 @ -b11011001111011101111001101010110 F -b110111100110001000011110100 ) -#384256000 -0& -#384272000 -b1011101110100 , -#384288000 -1& -#384304000 -b1000101001011011110101101010101 " -b1000101001011011110101101010101 4 -b1000101001011011110101101010101 1 -b1000101001011011110101101010101 C -b11111111111111101110111111011000 < -b10111010110100011111010001100101 : -b10111010110100110000010010001101 $ -b10111010110100110000010010001101 - -b10111010110100110000010010001101 5 -b10111010110100110000010010001101 ? -b10111010110100110000010010001101 D -b11111111111111101110111111011000 % -b11111111111111101110111111011000 . -b11111111111111101110111111011000 6 -b11111111111111101110111111011000 @ -b11111111111111101110111111011000 F -b1000101001011011110101101010101 ) -#384320000 -0& -#384336000 -b1011101110101 , -#384352000 -1& -#384368000 -b11000010100001001100010110000110 " -b11000010100001001100010110000110 4 -b11000010100001001100010110000110 1 -b11000010100001001100010110000110 C -b0 2 -b0 = -08 -b10111101011101110110100100100111 < -b111101011010110001010111001000 : -b1111111111100111010110010100001 $ -b1111111111100111010110010100001 - -b1111111111100111010110010100001 5 -b1111111111100111010110010100001 ? -b1111111111100111010110010100001 D -b10111101011101110110100100100111 % -b10111101011101110110100100100111 . -b10111101011101110110100100100111 6 -b10111101011101110110100100100111 @ -b10111101011101110110100100100111 F -b11000010100001001100010110000110 ) -#384384000 -0& -#384400000 -b1011101110110 , -#384416000 -1& -#384432000 -b1010010001110000000100111010100 " -b1010010001110000000100111010100 4 -b1010010001110000000100111010100 1 -b1010010001110000000100111010100 C -b1 2 -b1 = -18 -b10111111110001011111101001001100 < -b10101101110000111110110111100100 : -b11101101111111011111001110011000 $ -b11101101111111011111001110011000 - -b11101101111111011111001110011000 5 -b11101101111111011111001110011000 ? -b11101101111111011111001110011000 D -b10111111110001011111101001001100 % -b10111111110001011111101001001100 . -b10111111110001011111101001001100 6 -b10111111110001011111101001001100 @ -b10111111110001011111101001001100 F -b1010010001110000000100111010100 ) -#384448000 -0& -#384464000 -b1011101110111 , -#384480000 -1& -#384496000 -b1001000010111101110110011100 " -b1001000010111101110110011100 4 -b1001000010111101110110011100 1 -b1001000010111101110110011100 C -b11100110101000111001101010000101 < -b11010110010010111110000110011110 : -b11101111101010000100011100011001 $ -b11101111101010000100011100011001 - -b11101111101010000100011100011001 5 -b11101111101010000100011100011001 ? -b11101111101010000100011100011001 D -b11100110101000111001101010000101 % -b11100110101000111001101010000101 . -b11100110101000111001101010000101 6 -b11100110101000111001101010000101 @ -b11100110101000111001101010000101 F -b1001000010111101110110011100 ) -#384512000 -0& -#384528000 -b1011101111000 , -#384544000 -1& -#384560000 -b1011000010001100010000000010011 " -b1011000010001100010000000010011 4 -b1011000010001100010000000010011 1 -b1011000010001100010000000010011 C -b11101111101110011100110110110100 < -b10100111101110011011101101011011 : -b10110111111111111110110110100111 $ -b10110111111111111110110110100111 - -b10110111111111111110110110100111 5 -b10110111111111111110110110100111 ? -b10110111111111111110110110100111 D -b11101111101110011100110110110100 % -b11101111101110011100110110110100 . -b11101111101110011100110110110100 6 -b11101111101110011100110110110100 @ -b11101111101110011100110110110100 F -b1011000010001100010000000010011 ) -#384576000 -0& -#384592000 -b1011101111001 , -#384608000 -1& -#384624000 -b11000011110110001100101001100111 " -b11000011110110001100101001100111 4 -b11000011110110001100101001100111 1 -b11000011110110001100101001100111 C -b0 2 -b0 = -08 -b11111100011100111011101011010101 < -b111100000111110010101110000111 : -b111111101010110111000010110010 $ -b111111101010110111000010110010 - -b111111101010110111000010110010 5 -b111111101010110111000010110010 ? -b111111101010110111000010110010 D -b11111100011100111011101011010101 % -b11111100011100111011101011010101 . -b11111100011100111011101011010101 6 -b11111100011100111011101011010101 @ -b11111100011100111011101011010101 F -b11000011110110001100101001100111 ) -#384640000 -0& -#384656000 -b1011101111010 , -#384672000 -1& -#384688000 -b10001011001101110111100111000 " -b10001011001101110111100111000 4 -b10001011001101110111100111000 1 -b10001011001101110111100111000 C -b0 2 -b0 = -19 -08 -b1111110100111010111010010101101 < -b11101110100110010001000001000010 : -b1101111111110111001101110010101 $ -b1101111111110111001101110010101 - -b1101111111110111001101110010101 5 -b1101111111110111001101110010101 ? -b1101111111110111001101110010101 D -b1111110100111010111010010101101 % -b1111110100111010111010010101101 . -b1111110100111010111010010101101 6 -b1111110100111010111010010101101 @ -b1111110100111010111010010101101 F -b10001011001101110111100111000 ) -#384704000 -0& -#384720000 -b1011101111011 , -#384736000 -1& -#384752000 -b10100000111001001011000101011111 " -b10100000111001001011000101011111 4 -b10100000111001001011000101011111 1 -b10100000111001001011000101011111 C -b0 2 -b0 = -09 -08 -b11011111011111110001110001101110 < -b1011111000110101100100110011111 : -b1111111100110111010110100110001 $ -b1111111100110111010110100110001 - -b1111111100110111010110100110001 5 -b1111111100110111010110100110001 ? -b1111111100110111010110100110001 D -b11011111011111110001110001101110 % -b11011111011111110001110001101110 . -b11011111011111110001110001101110 6 -b11011111011111110001110001101110 @ -b11011111011111110001110001101110 F -b10100000111001001011000101011111 ) -#384768000 -0& -#384784000 -b1011101111100 , -#384800000 -1& -#384816000 -b11110001111001110110101111001111 " -b11110001111001110110101111001111 4 -b11110001111001110110101111001111 1 -b11110001111001110110101111001111 C -b1001111010110110010011100011110 < -b1110000101110111001111101111 : -b10111110101111000100110011010001 $ -b10111110101111000100110011010001 - -b10111110101111000100110011010001 5 -b10111110101111000100110011010001 ? -b10111110101111000100110011010001 D -b1001111010110110010011100011110 % -b1001111010110110010011100011110 . -b1001111010110110010011100011110 6 -b1001111010110110010011100011110 @ -b1001111010110110010011100011110 F -b11110001111001110110101111001111 ) -#384832000 -0& -#384848000 -b1011101111101 , -#384864000 -1& -#384880000 -b101100000000011001000000001 " -b101100000000011001000000001 4 -b101100000000011001000000001 1 -b101100000000011001000000001 C -19 -b0 2 -b0 = -08 -b1111011111111010111111001111010 < -b11111010011110101100101011110101 : -b1111110011111010100110001111011 $ -b1111110011111010100110001111011 - -b1111110011111010100110001111011 5 -b1111110011111010100110001111011 ? -b1111110011111010100110001111011 D -b1111011111111010111111001111010 % -b1111011111111010111111001111010 . -b1111011111111010111111001111010 6 -b1111011111111010111111001111010 @ -b1111011111111010111111001111010 F -b101100000000011001000000001 ) -#384896000 -0& -#384912000 -b1011101111110 , -#384928000 -1& -#384944000 -b100101111000011111100011011000 " -b100101111000011111100011011000 4 -b100101111000011111100011011000 1 -b100101111000011111100011011000 C -b1 2 -b1 = -18 -b10011111011110000010001111001110 < -b1011010000100011111111011100100 : -b10111010100110011101101100010110 $ -b10111010100110011101101100010110 - -b10111010100110011101101100010110 5 -b10111010100110011101101100010110 ? -b10111010100110011101101100010110 D -b10011111011110000010001111001110 % -b10011111011110000010001111001110 . -b10011111011110000010001111001110 6 -b10011111011110000010001111001110 @ -b10011111011110000010001111001110 F -b100101111000011111100011011000 ) -#384960000 -0& -#384976000 -b1011101111111 , -#384992000 -1& -#385008000 -1! -b0 " -b0 4 -b0 1 -b0 C -b0 2 -b0 = -08 -09 -b0 < -1# -b0 : -b0 $ -b0 - -b0 5 -b0 ? -b0 D -b0 % -b0 . -b0 6 -b0 @ -b0 F -b0 ) -b1 ( -#385024000 -0& -#385040000 -b1011110000000 , -#385056000 -1& -#385072000 -0! -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b1 2 -b1 = -18 -0# -b11111111111111111111111111111111 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b11111111111111111111111111111111 ) -b0 ( -#385088000 -0& -#385104000 -b1011110000001 , -#385120000 -1& -#385136000 -b11111111111111111111111111111111 < -b11111111111111111111111111111111 : -b0 $ -b0 - -b0 5 -b0 ? -b0 D -b11111111111111111111111111111111 % -b11111111111111111111111111111111 . -b11111111111111111111111111111111 6 -b11111111111111111111111111111111 @ -b11111111111111111111111111111111 F -#385152000 -0& -#385168000 -b1011110000010 , -#385184000 -1& -#385200000 -1! -b0 " -b0 4 -b0 1 -b0 C -1# -b11111111111111111111111111111110 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b0 ) -b1 ( -#385216000 -0& -#385232000 -b1011110000011 , -#385248000 -1& -#385264000 -b11110101011011000000000000000000 0 -b11110101011011000000000000000000 H -0! -b11110101011011000000000000000000 " -b11110101011011000000000000000000 4 -b10111010111111000010010000000 1 -b10111010111111000010010000000 C -b11010111010111111000010000111110 2 -b11010111010111111000010000111110 = -b0 7 -b0 A -b0 E -b11111111111111010111010001110 < -0# -b1000 * -b1000 / -b11010111010111111000010000111110 : -b10110111010111111101010110110000 $ -b10110111010111111101010110110000 - -b10110111010111111101010110110000 5 -b10110111010111111101010110110000 ? -b10110111010111111101010110110000 D -b11111111111111010111010001110 % -b11111111111111010111010001110 . -b11111111111111010111010001110 6 -b11111111111111010111010001110 @ -b11111111111111010111010001110 F -b11110101011011000000000000000000 ) -b0 ( -#385280000 -0& -#385296000 -b1011110000100 , -#385312000 -1& -#385328000 -b11111001001001000100000000000000 " -b11111001001001000100000000000000 4 -b11111000101101011010000010000000 1 -b11111000101101011010000010000000 C -b11111001001001000100000000000000 0 -b11111001001001000100000000000000 H -b11110100101101011001111001011111 2 -b11110100101101011001111001011111 = -b11111010111101011011100111001110 < -b11110100101101011001111001011111 : -b11111001101111111110010010010001 $ -b11111001101111111110010010010001 - -b11111001101111111110010010010001 5 -b11111001101111111110010010010001 ? -b11111001101111111110010010010001 D -b11111010111101011011100111001110 % -b11111010111101011011100111001110 . -b11111010111101011011100111001110 6 -b11111010111101011011100111001110 @ -b11111010111101011011100111001110 F -b11111001001001000100000000000000 ) -#385344000 -0& -#385360000 -b1011110000101 , -#385376000 -1& -#385392000 -b11111100001000000000000000000000 " -b11111100001000000000000000000000 4 -b11000111111101101110000000000000 1 -b11000111111101101110000000000000 C -b11111100001000000000000000000000 0 -b11111100001000000000000000000000 H -b11000111111101101101110100110000 2 -b11000111111101101101110100110000 = -b11011111111101101110000100010000 < -b11000111111101101101110100110000 : -b11100111111111111111110000100000 $ -b11100111111111111111110000100000 - -b11100111111111111111110000100000 5 -b11100111111111111111110000100000 ? -b11100111111111111111110000100000 D -b11011111111101101110000100010000 % -b11011111111101101110000100010000 . -b11011111111101101110000100010000 6 -b11011111111101101110000100010000 @ -b11011111111101101110000100010000 F -b11111100001000000000000000000000 ) -#385408000 -0& -#385424000 -b1011110000110 , -#385440000 -1& -#385456000 -b11101111000000000000000000000000 " -b11101111000000000000000000000000 4 -b111101111010110011000101100000 1 -b111101111010110011000101100000 C -b11101111000000000000000000000000 0 -b11101111000000000000000000000000 H -08 -b1111101110110101010111101010011 2 -b1111101110110101010111101010011 = -b111101111010110011000101110011 < -b1111101110110101010111101010011 : -b111111111011110111110111100000 $ -b111111111011110111110111100000 - -b111111111011110111110111100000 5 -b111111111011110111110111100000 ? -b111111111011110111110111100000 D -b111101111010110011000101110011 % -b111101111010110011000101110011 . -b111101111010110011000101110011 6 -b111101111010110011000101110011 @ -b111101111010110011000101110011 F -b11101111000000000000000000000000 ) -#385472000 -0& -#385488000 -b1011110000111 , -#385504000 -1& -#385520000 -b10010000011010100001010000000000 " -b10010000011010100001010000000000 4 -b10011111001000000001000000000 1 -b10011111001000000001000000000 C -b10010000011010100001010000000000 0 -b10010000011010100001010000000000 H -08 -09 -b10011111000110000000110001111 2 -b10011111000110000000110001111 = -b1111111111111101110011100001010 < -b10011111000110000000110001111 : -b10010011111001000001101010000101 $ -b10010011111001000001101010000101 - -b10010011111001000001101010000101 5 -b10010011111001000001101010000101 ? -b10010011111001000001101010000101 D -b1111111111111101110011100001010 % -b1111111111111101110011100001010 . -b1111111111111101110011100001010 6 -b1111111111111101110011100001010 @ -b1111111111111101110011100001010 F -b10010000011010100001010000000000 ) -#385536000 -0& -#385552000 -b1011110001000 , -#385568000 -1& -#385584000 -b11111011011000101000100011100000 " -b11111011011000101000100011100000 4 -b1111010010100110001000001000101 1 -b1111010010100110001000001000101 C -b11111011011000101000100011100000 0 -b11111011011000101000100011100000 H -19 -08 -b11111010010100110000010010101100 2 -b11111010010100110000010010101100 = -b1111010011101111111000001100101 < -b11111010010100110000010010101100 : -b1111111110110110001010001000111 $ -b1111111110110110001010001000111 - -b1111111110110110001010001000111 5 -b1111111110110110001010001000111 ? -b1111111110110110001010001000111 D -b1111010011101111111000001100101 % -b1111010011101111111000001100101 . -b1111010011101111111000001100101 6 -b1111010011101111111000001100101 @ -b1111010011101111111000001100101 F -b11111011011000101000100011100000 ) -#385600000 -0& -#385616000 -b1011110001001 , -#385632000 -1& -#385648000 -b11001101100000000000000000000000 " -b11001101100000000000000000000000 4 -b100100100100111001001000000100 1 -b100100100100111001001000000100 C -b11001101100000000000000000000000 0 -b11001101100000000000000000000000 H -09 -08 -b100100100011110111001000000001 2 -b100100100011110111001000000001 = -b1101110110100111001101110010101 < -b100100100011110111001000000001 : -b10110101101110111101011001101100 $ -b10110101101110111101011001101100 - -b10110101101110111101011001101100 5 -b10110101101110111101011001101100 ? -b10110101101110111101011001101100 D -b1101110110100111001101110010101 % -b1101110110100111001101110010101 . -b1101110110100111001101110010101 6 -b1101110110100111001101110010101 @ -b1101110110100111001101110010101 F -b11001101100000000000000000000000 ) -#385664000 -0& -#385680000 -b1011110001010 , -#385696000 -1& -#385712000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b110101100111100010001000001111 1 -b110101100111100010001000001111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b110101100111100010001000001110 2 -b110101100111100010001000001110 = -b1110111110111110011101111011111 < -b110101100111100010001000001110 : -b10111101101111101110011000101111 $ -b10111101101111101110011000101111 - -b10111101101111101110011000101111 5 -b10111101101111101110011000101111 ? -b10111101101111101110011000101111 D -b1110111110111110011101111011111 % -b1110111110111110011101111011111 . -b1110111110111110011101111011111 6 -b1110111110111110011101111011111 @ -b1110111110111110011101111011111 F -b10000000000000000000000000000000 ) -#385728000 -0& -#385744000 -b1011110001011 , -#385760000 -1& -#385776000 -b11001101111001101111010111000000 " -b11001101111001101111010111000000 4 -b11011110011011100011001100000100 1 -b11011110011011100011001100000100 C -b11001101111001101111010111000000 0 -b11001101111001101111010111000000 H -18 -b11011110011011011111001010110011 2 -b11011110011011011111001010110011 = -b11011111111111101011101100000101 < -b11011110011011011111001010110011 : -b11111110011011110011011110101110 $ -b11111110011011110011011110101110 - -b11111110011011110011011110101110 5 -b11111110011011110011011110101110 ? -b11111110011011110011011110101110 D -b11011111111111101011101100000101 % -b11011111111111101011101100000101 . -b11011111111111101011101100000101 6 -b11011111111111101011101100000101 @ -b11011111111111101011101100000101 F -b11001101111001101111010111000000 ) -#385792000 -0& -#385808000 -b1011110001100 , -#385824000 -1& -#385840000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b1100011111000100011011010111100 1 -b1100011111000100011011010111100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -08 -b1100011110011100011011010111010 2 -b1100011110011100011011010111010 = -b11101111111010110011011111111100 < -b1100011110011100011011010111010 : -b1110011111000101111111010111110 $ -b1110011111000101111111010111110 - -b1110011111000101111111010111110 5 -b1110011111000101111111010111110 ? -b1110011111000101111111010111110 D -b11101111111010110011011111111100 % -b11101111111010110011011111111100 . -b11101111111010110011011111111100 6 -b11101111111010110011011111111100 @ -b11101111111010110011011111111100 F -b11100000000000000000000000000000 ) -#385856000 -0& -#385872000 -b1011110001101 , -#385888000 -1& -#385904000 -b1110010100000000000000000000000 " -b1110010100000000000000000000000 4 -b10011100101100011000110000000000 1 -b10011100101100011000110000000000 C -b1110010100000000000000000000000 0 -b1110010100000000000000000000000 H -18 -b10011100101100010010100011110010 2 -b10011100101100010010100011110010 = -b11011100101100111000110001010010 < -b10011100101100010010100011110010 : -b10111111111111011001110010100000 $ -b10111111111111011001110010100000 - -b10111111111111011001110010100000 5 -b10111111111111011001110010100000 ? -b10111111111111011001110010100000 D -b11011100101100111000110001010010 % -b11011100101100111000110001010010 . -b11011100101100111000110001010010 6 -b11011100101100111000110001010010 @ -b11011100101100111000110001010010 F -b1110010100000000000000000000000 ) -#385920000 -0& -#385936000 -b1011110001110 , -#385952000 -1& -#385968000 -b11111111111011100011101110010000 " -b11111111111011100011101110010000 4 -b111111011110111000001010100000 1 -b111111011110111000001010100000 C -b11111111111011100011101110010000 0 -b11111111111011100011101110010000 H -08 -19 -b10111111011101110110001010000110 2 -b10111111011101110110001010000110 = -b111111011110111101001110100010 < -b10111111011101110110001010000110 : -b1111111111110111000111011100100 $ -b1111111111110111000111011100100 - -b1111111111110111000111011100100 5 -b1111111111110111000111011100100 ? -b1111111111110111000111011100100 D -b111111011110111101001110100010 % -b111111011110111101001110100010 . -b111111011110111101001110100010 6 -b111111011110111101001110100010 @ -b111111011110111101001110100010 F -b11111111111011100011101110010000 ) -#385984000 -0& -#386000000 -b1011110001111 , -#386016000 -1& -#386032000 -b1101011100000000000000000000000 " -b1101011100000000000000000000000 4 -b111001001110110000010000010111 1 -b111001001110110000010000010111 C -b1101011100000000000000000000000 0 -b1101011100000000000000000000000 H -09 -08 -b111001001110101110010000001110 2 -b111001001110101110010000001110 = -b10111001111111110000111100110111 < -b111001001110101110010000001110 : -b1111111001110111101010011010111 $ -b1111111001110111101010011010111 - -b1111111001110111101010011010111 5 -b1111111001110111101010011010111 ? -b1111111001110111101010011010111 D -b10111001111111110000111100110111 % -b10111001111111110000111100110111 . -b10111001111111110000111100110111 6 -b10111001111111110000111100110111 @ -b10111001111111110000111100110111 F -b1101011100000000000000000000000 ) -#386048000 -0& -#386064000 -b1011110010000 , -#386080000 -1& -#386096000 -b1101101100000000000000000000000 " -b1101101100000000000000000000000 4 -b10100010000100000010000010011 1 -b10100010000100000010000010011 C -b1101101100000000000000000000000 0 -b1101101100000000000000000000000 H -b110011110000011110001100010010 2 -b110011110000011110001100010010 = -b11110010010111101110000110111 < -b110011110000011110001100010010 : -b10101011101100000011011011011 $ -b10101011101100000011011011011 - -b10101011101100000011011011011 5 -b10101011101100000011011011011 ? -b10101011101100000011011011011 D -b11110010010111101110000110111 % -b11110010010111101110000110111 . -b11110010010111101110000110111 6 -b11110010010111101110000110111 @ -b11110010010111101110000110111 F -b1101101100000000000000000000000 ) -#386112000 -0& -#386128000 -b1011110010001 , -#386144000 -1& -#386160000 -b11111110111011001000101001110100 " -b11111110111011001000101001110100 4 -b11100111101100010000000000000000 1 -b11100111101100010000000000000000 C -b11111110111011001000101001110100 0 -b11111110111011001000101001110100 H -18 -b11100111101011001110001111111111 2 -b11100111101011001110001111111111 = -b11100111111100011100000101100010 < -b11100111101011001110001111111111 : -b11111111101110110010001010011101 $ -b11111111101110110010001010011101 - -b11111111101110110010001010011101 5 -b11111111101110110010001010011101 ? -b11111111101110110010001010011101 D -b11100111111100011100000101100010 % -b11100111111100011100000101100010 . -b11100111111100011100000101100010 6 -b11100111111100011100000101100010 @ -b11100111111100011100000101100010 F -b11111110111011001000101001110100 ) -#386176000 -0& -#386192000 -b1011110010010 , -#386208000 -1& -#386224000 -b1011011011011000001100011000000 " -b1011011011011000001100011000000 4 -b1111101011011011000000000100010 1 -b1111101011011011000000000100010 C -b1011011011011000001100011000000 0 -b1011011011011000001100011000000 H -08 -19 -b11111101011011010011010110001001 2 -b11111101011011010011010110001001 = -b1111111111111111000010100100110 < -b11111101011011010011010110001001 : -b1111101011011011011000001100011 $ -b1111101011011011011000001100011 - -b1111101011011011011000001100011 5 -b1111101011011011011000001100011 ? -b1111101011011011011000001100011 D -b1111111111111111000010100100110 % -b1111111111111111000010100100110 . -b1111111111111111000010100100110 6 -b1111111111111111000010100100110 @ -b1111111111111111000010100100110 F -b1011011011011000001100011000000 ) -#386240000 -0& -#386256000 -b1011110010011 , -#386272000 -1& -#386288000 -b1100110010110000000000000000000 " -b1100110010110000000000000000000 4 -b111100111001110010001000000000 1 -b111100111001110010001000000000 C -b1100110010110000000000000000000 0 -b1100110010110000000000000000000 H -09 -08 -b111100110101110001010100111101 2 -b111100110101110001010100111101 = -b1111100111001110110001000010001 < -b111100110101110001010100111101 : -b10111111111011111011001100101100 $ -b10111111111011111011001100101100 - -b10111111111011111011001100101100 5 -b10111111111011111011001100101100 ? -b10111111111011111011001100101100 D -b1111100111001110110001000010001 % -b1111100111001110110001000010001 . -b1111100111001110110001000010001 6 -b1111100111001110110001000010001 @ -b1111100111001110110001000010001 F -b1100110010110000000000000000000 ) -#386304000 -0& -#386320000 -b1011110010100 , -#386336000 -1& -#386352000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1110001001110000000000100100010 1 -b1110001001110000000000100100010 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b11110001001101011011100100100000 2 -b11110001001101011011100100100000 = -b1110111001110000010001100111110 < -b11110001001101011011100100100000 : -b1111001111111011001010111100010 $ -b1111001111111011001010111100010 - -b1111001111111011001010111100010 5 -b1111001111111011001010111100010 ? -b1111001111111011001010111100010 D -b1110111001110000010001100111110 % -b1110111001110000010001100111110 . -b1110111001110000010001100111110 6 -b1110111001110000010001100111110 @ -b1110111001110000010001100111110 F -b10000000000000000000000000000000 ) -#386368000 -0& -#386384000 -b1011110010101 , -#386400000 -1& -#386416000 -b101111100000000000000000000000 " -b101111100000000000000000000000 4 -b1111111100001001000100001010111 1 -b1111111100001001000100001010111 C -b101111100000000000000000000000 0 -b101111100000000000000000000000 H -09 -08 -b1111111011100010100011101010110 2 -b1111111011100010100011101010110 = -b1111111111011001001100011110111 < -b1111111011100010100011101010110 : -b11111111100001001010111001011111 $ -b11111111100001001010111001011111 - -b11111111100001001010111001011111 5 -b11111111100001001010111001011111 ? -b11111111100001001010111001011111 D -b1111111111011001001100011110111 % -b1111111111011001001100011110111 . -b1111111111011001001100011110111 6 -b1111111111011001001100011110111 @ -b1111111111011001001100011110111 F -b101111100000000000000000000000 ) -#386432000 -0& -#386448000 -b1011110010110 , -#386464000 -1& -#386480000 -b11111101011101011110111100000000 " -b11111101011101011110111100000000 4 -b1001110011010000000001000000110 1 -b1001110011010000000001000000110 C -b11111101011101011110111100000000 0 -b11111101011101011110111100000000 H -b1001110011001111111001000000101 2 -b1001110011001111111001000000101 = -b1101110011011010000011000100111 < -b1001110011001111111001000000101 : -b11011111111110101110101111011110 $ -b11011111111110101110101111011110 - -b11011111111110101110101111011110 5 -b11011111111110101110101111011110 ? -b11011111111110101110101111011110 D -b1101110011011010000011000100111 % -b1101110011011010000011000100111 . -b1101110011011010000011000100111 6 -b1101110011011010000011000100111 @ -b1101110011011010000011000100111 F -b11111101011101011110111100000000 ) -#386496000 -0& -#386512000 -b1011110010111 , -#386528000 -1& -#386544000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b100111100100110100100110100100 1 -b100111100100110100100110100100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b100111001100110100010110100011 2 -b100111001100110100010110100011 = -b100111100100111110100110111110 < -b100111001100110100010110100011 : -b11111111100111110101101111100101 $ -b11111111100111110101101111100101 - -b11111111100111110101101111100101 5 -b11111111100111110101101111100101 ? -b11111111100111110101101111100101 D -b100111100100111110100110111110 % -b100111100100111110100110111110 . -b100111100100111110100110111110 6 -b100111100100111110100110111110 @ -b100111100100111110100110111110 F -b1000000000000000000000000000000 ) -#386560000 -0& -#386576000 -b1011110011000 , -#386592000 -1& -#386608000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b1111000111101101100001010000010 1 -b1111000111101101100001010000010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b1110111111101011010110110000001 2 -b1110111111101011010110110000001 = -b1111010111101101100001010011110 < -b1110111111101011010110110000001 : -b11111100111111101110101011100011 $ -b11111100111111101110101011100011 - -b11111100111111101110101011100011 5 -b11111100111111101110101011100011 ? -b11111100111111101110101011100011 D -b1111010111101101100001010011110 % -b1111010111101101100001010011110 . -b1111010111101101100001010011110 6 -b1111010111101101100001010011110 @ -b1111010111101101100001010011110 F -b11000000000000000000000000000000 ) -#386624000 -0& -#386640000 -b1011110011001 , -#386656000 -1& -#386672000 -b11110100100101011011000000000000 " -b11110100100101011011000000000000 4 -b1101111101011010000000001001000 1 -b1101111101011010000000001001000 C -b11110100100101011011000000000000 0 -b11110100100101011011000000000000 H -19 -08 -b11011111101010100011100000110110 2 -b11011111101010100011100000110110 = -b1101111111011010001001011001010 < -b11011111101010100011100000110110 : -b1101111101111010010010101101100 $ -b1101111101111010010010101101100 - -b1101111101111010010010101101100 5 -b1101111101111010010010101101100 ? -b1101111101111010010010101101100 D -b1101111111011010001001011001010 % -b1101111111011010001001011001010 . -b1101111111011010001001011001010 6 -b1101111111011010001001011001010 @ -b1101111111011010001001011001010 F -b11110100100101011011000000000000 ) -#386688000 -0& -#386704000 -b1011110011010 , -#386720000 -1& -#386736000 -b11100011001100111000000000000000 " -b11100011001100111000000000000000 4 -b11101010101001111100011001100111 1 -b11101010101001111100011001100111 C -b11100011001100111000000000000000 0 -b11100011001100111000000000000000 H -18 -09 -b11101010101001111001010101010110 2 -b11101010101001111001010101010110 = -b11101010101101111100111011101111 < -b11101010101001111001010101010110 : -b11111111111011111100011001100111 $ -b11111111111011111100011001100111 - -b11111111111011111100011001100111 5 -b11111111111011111100011001100111 ? -b11111111111011111100011001100111 D -b11101010101101111100111011101111 % -b11101010101101111100111011101111 . -b11101010101101111100111011101111 6 -b11101010101101111100111011101111 @ -b11101010101101111100111011101111 F -b11100011001100111000000000000000 ) -#386752000 -0& -#386768000 -b1011110011011 , -#386784000 -1& -#386800000 -b101010110011000000000000000000 " -b101010110011000000000000000000 4 -b11101110010110001000001010010010 1 -b11101110010110001000001010010010 C -b101010110011000000000000000000 0 -b101010110011000000000000000000 H -b11101101110110000101001001000101 2 -b11101101110110000101001001000101 = -b11101110011110001000011110010010 < -b11101101110110000101001001000101 : -b11111111010111111100101010110011 $ -b11111111010111111100101010110011 - -b11111111010111111100101010110011 5 -b11111111010111111100101010110011 ? -b11111111010111111100101010110011 D -b11101110011110001000011110010010 % -b11101110011110001000011110010010 . -b11101110011110001000011110010010 6 -b11101110011110001000011110010010 @ -b11101110011110001000011110010010 F -b101010110011000000000000000000 ) -#386816000 -0& -#386832000 -b1011110011100 , -#386848000 -1& -#386864000 -b111101111110010000100001100000 " -b111101111110010000100001100000 4 -b11111000111010110000100000000001 1 -b11111000111010110000100000000001 C -b111101111110010000100001100000 0 -b111101111110010000100001100000 H -b11111000111010110000011111001000 2 -b11111000111010110000011111001000 = -b11111110111110110011111110000101 < -b11111000111010110000011111001000 : -b11111001111011111100100001000011 $ -b11111001111011111100100001000011 - -b11111001111011111100100001000011 5 -b11111001111011111100100001000011 ? -b11111001111011111100100001000011 D -b11111110111110110011111110000101 % -b11111110111110110011111110000101 . -b11111110111110110011111110000101 6 -b11111110111110110011111110000101 @ -b11111110111110110011111110000101 F -b111101111110010000100001100000 ) -#386880000 -0& -#386896000 -b1011110011101 , -#386912000 -1& -#386928000 -b10110111111110011111001100000000 " -b10110111111110011111001100000000 4 -b1111101001100011100000010000000 1 -b1111101001100011100000010000000 C -b10110111111110011111001100000000 0 -b10110111111110011111001100000000 H -08 -b1111101001100011011101001111011 2 -b1111101001100011011101001111011 = -b11111101011110011100000010001000 < -b1111101001100011011101001111011 : -b1111111101101111111100111110011 $ -b1111111101101111111100111110011 - -b1111111101101111111100111110011 5 -b1111111101101111111100111110011 ? -b1111111101101111111100111110011 D -b11111101011110011100000010001000 % -b11111101011110011100000010001000 . -b11111101011110011100000010001000 6 -b11111101011110011100000010001000 @ -b11111101011110011100000010001000 F -b10110111111110011111001100000000 ) -#386944000 -0& -#386960000 -b1011110011110 , -#386976000 -1& -#386992000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b1000101001111010100010011011100 1 -b1000101001111010100010011011100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -b1000101001111001001001111011010 2 -b1000101001111001001001111011010 = -b11110101001111110100110011111100 < -b1000101001111001001001111011010 : -b1001111111111010100011011011110 $ -b1001111111111010100011011011110 - -b1001111111111010100011011011110 5 -b1001111111111010100011011011110 ? -b1001111111111010100011011011110 D -b11110101001111110100110011111100 % -b11110101001111110100110011111100 . -b11110101001111110100110011111100 6 -b11110101001111110100110011111100 @ -b11110101001111110100110011111100 F -b11100000000000000000000000000000 ) -#387008000 -0& -#387024000 -b1011110011111 , -#387040000 -1& -#387056000 -b110010010000000000000000000000 " -b110010010000000000000000000000 4 -b1101111100000000111010000000000 1 -b1101111100000000111010000000000 C -b110010010000000000000000000000 0 -b110010010000000000000000000000 H -b1101111011111010110100011011111 2 -b1101111011111010110100011011111 = -b11101111111001000111010000010110 < -b1101111011111010110100011011111 : -b1111111100110001111010011001001 $ -b1111111100110001111010011001001 - -b1111111100110001111010011001001 5 -b1111111100110001111010011001001 ? -b1111111100110001111010011001001 D -b11101111111001000111010000010110 % -b11101111111001000111010000010110 . -b11101111111001000111010000010110 6 -b11101111111001000111010000010110 @ -b11101111111001000111010000010110 F -b110010010000000000000000000000 ) -#387072000 -0& -#387088000 -b1011110100000 , -#387104000 -1& -#387120000 -b11000011100001110111000000000000 " -b11000011100001110111000000000000 4 -b1101110001011000000100001100100 1 -b1101110001011000000100001100100 C -b11000011100001110111000000000000 0 -b11000011100001110111000000000000 H -19 -08 -b11101110001010100000011011100011 2 -b11101110001010100000011011100011 = -b1101111101011011100111001101100 < -b11101110001010100000011011100011 : -b1111110011111000011100001110111 $ -b1111110011111000011100001110111 - -b1111110011111000011100001110111 5 -b1111110011111000011100001110111 ? -b1111110011111000011100001110111 D -b1101111101011011100111001101100 % -b1101111101011011100111001101100 . -b1101111101011011100111001101100 6 -b1101111101011011100111001101100 @ -b1101111101011011100111001101100 F -b11000011100001110111000000000000 ) -#387136000 -0& -#387152000 -b1011110100001 , -#387168000 -1& -#387184000 -b11110111111100101000001011100000 " -b11110111111100101000001011100000 4 -b1001111001111110010000000000100 1 -b1001111001111110010000000000100 C -b11110111111100101000001011100000 0 -b11110111111100101000001011100000 H -09 -08 -b111111001111110001110010110010 2 -b111111001111110001110010110010 = -b11101111101111111111010010000100 < -b111111001111110001110010110010 : -b1001111011111110010100000101110 $ -b1001111011111110010100000101110 - -b1001111011111110010100000101110 5 -b1001111011111110010100000101110 ? -b1001111011111110010100000101110 D -b11101111101111111111010010000100 % -b11101111101111111111010010000100 . -b11101111101111111111010010000100 6 -b11101111101111111111010010000100 @ -b11101111101111111111010010000100 F -b11110111111100101000001011100000 ) -#387200000 -0& -#387216000 -b1011110100010 , -#387232000 -1& -#387248000 -b11111110000011110011011000000000 " -b11111110000011110011011000000000 4 -b100000011001110000001110001001 1 -b100000011001110000001110001001 C -b11111110000011110011011000000000 0 -b11111110000011110011011000000000 H -19 -08 -b10100000011001101001101100100100 2 -b10100000011001101001101100100100 = -b1110011011001111001001110001001 < -b10100000011001101001101100100100 : -b101100111111110000011110011011 $ -b101100111111110000011110011011 - -b101100111111110000011110011011 5 -b101100111111110000011110011011 ? -b101100111111110000011110011011 D -b1110011011001111001001110001001 % -b1110011011001111001001110001001 . -b1110011011001111001001110001001 6 -b1110011011001111001001110001001 @ -b1110011011001111001001110001001 F -b11111110000011110011011000000000 ) -#387264000 -0& -#387280000 -b1011110100011 , -#387296000 -1& -#387312000 -b11001000011000010011000000000000 " -b11001000011000010011000000000000 4 -b1111111110100100001000000001000 1 -b1111111110100100001000000001000 C -b11001000011000010011000000000000 0 -b11001000011000010011000000000000 H -b11111111110100001000110111010110 2 -b11111111110100001000110111010110 = -b1111111110111100111010110001010 < -b11111111110100001000110111010110 : -b1111111111100100001100001001100 $ -b1111111111100100001100001001100 - -b1111111111100100001100001001100 5 -b1111111111100100001100001001100 ? -b1111111111100100001100001001100 D -b1111111110111100111010110001010 % -b1111111110111100111010110001010 . -b1111111110111100111010110001010 6 -b1111111110111100111010110001010 @ -b1111111110111100111010110001010 F -b11001000011000010011000000000000 ) -#387328000 -0& -#387344000 -b1011110100100 , -#387360000 -1& -#387376000 -b11000100000000000000000000000000 " -b11000100000000000000000000000000 4 -b1111000011111001000010000000000 1 -b1111000011111001000010000000000 C -b11000100000000000000000000000000 0 -b11000100000000000000000000000000 H -09 -08 -b1110100011111000010001111111011 2 -b1110100011111000010001111111011 = -b1111001111111001001110100011001 < -b1110100011111000010001111111011 : -b11111010011111111000011011100010 $ -b11111010011111111000011011100010 - -b11111010011111111000011011100010 5 -b11111010011111111000011011100010 ? -b11111010011111111000011011100010 D -b1111001111111001001110100011001 % -b1111001111111001001110100011001 . -b1111001111111001001110100011001 6 -b1111001111111001001110100011001 @ -b1111001111111001001110100011001 F -b11000100000000000000000000000000 ) -#387392000 -0& -#387408000 -b1011110100101 , -#387424000 -1& -#387440000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b110111010000010000100000001001 1 -b110111010000010000100000001001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b110110110000001111010101101000 2 -b110110110000001111010101101000 = -b111111011100011000110101011111 < -b110110110000001111010101101000 : -b11110111010011110110100000001001 $ -b11110111010011110110100000001001 - -b11110111010011110110100000001001 5 -b11110111010011110110100000001001 ? -b11110111010011110110100000001001 D -b111111011100011000110101011111 % -b111111011100011000110101011111 . -b111111011100011000110101011111 6 -b111111011100011000110101011111 @ -b111111011100011000110101011111 F -b10000000000000000000000000000000 ) -#387456000 -0& -#387472000 -b1011110100110 , -#387488000 -1& -#387504000 -b11111111111101101000101011010000 " -b11111111111101101000101011010000 4 -b1111000111110011000000000100000 1 -b1111000111110011000000000100000 C -b11111111111101101000101011010000 0 -b11111111111101101000101011010000 H -b1111000111110010011101111010110 2 -b1111000111110010011101111010110 = -b11111000111110111001100100100010 < -b1111000111110010011101111010110 : -b1111111111111011010001010110100 $ -b1111111111111011010001010110100 - -b1111111111111011010001010110100 5 -b1111111111111011010001010110100 ? -b1111111111111011010001010110100 D -b11111000111110111001100100100010 % -b11111000111110111001100100100010 . -b11111000111110111001100100100010 6 -b11111000111110111001100100100010 @ -b11111000111110111001100100100010 F -b11111111111101101000101011010000 ) -#387520000 -0& -#387536000 -b1011110100111 , -#387552000 -1& -#387568000 -b1000000001100000000000000000000 " -b1000000001100000000000000000000 4 -b1101011110110000000000000000 1 -b1101011110110000000000000000 C -b1000000001100000000000000000000 0 -b1000000001100000000000000000000 H -b1101011110101001110000111110 2 -b1101011110101001110000111110 = -b11111011110110000110000110010 < -b1101011110101001110000111110 : -b11101101111111111001000000001100 $ -b11101101111111111001000000001100 - -b11101101111111111001000000001100 5 -b11101101111111111001000000001100 ? -b11101101111111111001000000001100 D -b11111011110110000110000110010 % -b11111011110110000110000110010 . -b11111011110110000110000110010 6 -b11111011110110000110000110010 @ -b11111011110110000110000110010 F -b1000000001100000000000000000000 ) -#387584000 -0& -#387600000 -b1011110101000 , -#387616000 -1& -#387632000 -b11111110111011000011011101100000 " -b11111110111011000011011101100000 4 -b101111111101110000000110000001 1 -b101111111101110000000110000001 C -b11111110111011000011011101100000 0 -b11111110111011000011011101100000 H -b101111111011100111111110000000 2 -b101111111011100111111110000000 = -b1111111111101110001110111000101 < -b101111111011100111111110000000 : -b10101111111101110110000110111011 $ -b10101111111101110110000110111011 - -b10101111111101110110000110111011 5 -b10101111111101110110000110111011 ? -b10101111111101110110000110111011 D -b1111111111101110001110111000101 % -b1111111111101110001110111000101 . -b1111111111101110001110111000101 6 -b1111111111101110001110111000101 @ -b1111111111101110001110111000101 F -b11111110111011000011011101100000 ) -#387648000 -0& -#387664000 -b1011110101001 , -#387680000 -1& -#387696000 -b10101111001101101000100011110000 " -b10101111001101101000100011110000 4 -b1000011010011010010001000000000 1 -b1000011010011010010001000000000 C -b10101111001101101000100011110000 0 -b10101111001101101000100011110000 H -b111111000111001100010110111110 2 -b111111000111001100010110111110 = -b1010011010011110010001110000010 < -b111111000111001100010110111110 : -b11101011110011011010001000111100 $ -b11101011110011011010001000111100 - -b11101011110011011010001000111100 5 -b11101011110011011010001000111100 ? -b11101011110011011010001000111100 D -b1010011010011110010001110000010 % -b1010011010011110010001110000010 . -b1010011010011110010001110000010 6 -b1010011010011110010001110000010 @ -b1010011010011110010001110000010 F -b10101111001101101000100011110000 ) -#387712000 -0& -#387728000 -b1011110101010 , -#387744000 -1& -#387760000 -b11110000101110000011101010000000 " -b11110000101110000011101010000000 4 -b10110111000010110000000000101 1 -b10110111000010110000000000101 C -b11110000101110000011101010000000 0 -b11110000101110000011101010000000 H -19 -08 -b10010110111000001101111011111100 2 -b10010110111000001101111011111100 = -b111110111111110110111010000111 < -b10010110111000001101111011111100 : -b1010111111000010111000001110101 $ -b1010111111000010111000001110101 - -b1010111111000010111000001110101 5 -b1010111111000010111000001110101 ? -b1010111111000010111000001110101 D -b111110111111110110111010000111 % -b111110111111110110111010000111 . -b111110111111110110111010000111 6 -b111110111111110110111010000111 @ -b111110111111110110111010000111 F -b11110000101110000011101010000000 ) -#387776000 -0& -#387792000 -b1011110101011 , -#387808000 -1& -#387824000 -b11111101111111000011000100101100 " -b11111101111111000011000100101100 4 -b1011110111101100000000000000000 1 -b1011110111101100000000000000000 C -b11111101111111000011000100101100 0 -b11111101111111000011000100101100 H -b11011110111101010001110011010111 2 -b11011110111101010001110011010111 = -b1011111111101110000010001000001 < -b11011110111101010001110011010111 : -b1111110111111100001100010010110 $ -b1111110111111100001100010010110 - -b1111110111111100001100010010110 5 -b1111110111111100001100010010110 ? -b1111110111111100001100010010110 D -b1011111111101110000010001000001 % -b1011111111101110000010001000001 . -b1011111111101110000010001000001 6 -b1011111111101110000010001000001 @ -b1011111111101110000010001000001 F -b11111101111111000011000100101100 ) -#387840000 -0& -#387856000 -b1011110101100 , -#387872000 -1& -#387888000 -b111100000000000000000000000000 " -b111100000000000000000000000000 4 -b1010111001011111010010100011000 1 -b1010111001011111010010100011000 C -b111100000000000000000000000000 0 -b111100000000000000000000000000 H -b11001111000111110110010010010100 2 -b11001111000111110110010010010100 = -b1110111011011111011110101011000 < -b11001111000111110110010010010100 : -b1010111101011111010011100111100 $ -b1010111101011111010011100111100 - -b1010111101011111010011100111100 5 -b1010111101011111010011100111100 ? -b1010111101011111010011100111100 D -b1110111011011111011110101011000 % -b1110111011011111011110101011000 . -b1110111011011111011110101011000 6 -b1110111011011111011110101011000 @ -b1110111011011111011110101011000 F -b111100000000000000000000000000 ) -#387904000 -0& -#387920000 -b1011110101101 , -#387936000 -1& -#387952000 -b10010111111011110111111001010000 " -b10010111111011110111111001010000 4 -b1001011111001010000011100100 1 -b1001011111001010000011100100 C -b10010111111011110111111001010000 0 -b10010111111011110111111001010000 H -18 -09 -b11101001011111001010000011001001 2 -b11101001011111001010000011001001 = -b11011111111111011010100011100100 < -b11101001011111001010000011001001 : -b1001011111101111011111100101 $ -b1001011111101111011111100101 - -b1001011111101111011111100101 5 -b1001011111101111011111100101 ? -b1001011111101111011111100101 D -b11011111111111011010100011100100 % -b11011111111111011010100011100100 . -b11011111111111011010100011100100 6 -b11011111111111011010100011100100 @ -b11011111111111011010100011100100 F -b10010111111011110111111001010000 ) -#387968000 -0& -#387984000 -b1011110101110 , -#388000000 -1& -#388016000 -b100000000000000000000000000000 " -b100000000000000000000000000000 4 -b111011110111100000010000000000 1 -b111011110111100000010000000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -19 -b10111011110111011110001010111111 2 -b10111011110111011110001010111111 = -b1111011111111101100011000011011 < -b10111011110111011110001010111111 : -b111111110111110001110010100100 $ -b111111110111110001110010100100 - -b111111110111110001110010100100 5 -b111111110111110001110010100100 ? -b111111110111110001110010100100 D -b1111011111111101100011000011011 % -b1111011111111101100011000011011 . -b1111011111111101100011000011011 6 -b1111011111111101100011000011011 @ -b1111011111111101100011000011011 F -b100000000000000000000000000000 ) -#388032000 -0& -#388048000 -b1011110101111 , -#388064000 -1& -#388080000 -b1110000010011110110000000000000 " -b1110000010011110110000000000000 4 -b10000011100101000000001101001 1 -b10000011100101000000001101001 C -b1110000010011110110000000000000 0 -b1110000010011110110000000000000 H -b10001011111100100110011111101000 2 -b10001011111100100110011111101000 = -b1011011011111101110010101101101 < -b10001011111100100110011111101000 : -b110000011100111000001001111011 $ -b110000011100111000001001111011 - -b110000011100111000001001111011 5 -b110000011100111000001001111011 ? -b110000011100111000001001111011 D -b1011011011111101110010101101101 % -b1011011011111101110010101101101 . -b1011011011111101110010101101101 6 -b1011011011111101110010101101101 @ -b1011011011111101110010101101101 F -b1110000010011110110000000000000 ) -#388096000 -0& -#388112000 -b1011110110000 , -#388128000 -1& -#388144000 -b10011010101111000000000000000000 " -b10011010101111000000000000000000 4 -b10011001111101010010000010000010 1 -b10011001111101010010000010000010 C -b10011010101111000000000000000000 0 -b10011010101111000000000000000000 H -18 -b1011001111101010001111110000001 2 -b1011001111101010001111110000001 = -b10111011111101011111100011010010 < -b1011001111101010001111110000001 : -b10011101111111110010011010101111 $ -b10011101111111110010011010101111 - -b10011101111111110010011010101111 5 -b10011101111111110010011010101111 ? -b10011101111111110010011010101111 D -b10111011111101011111100011010010 % -b10111011111101011111100011010010 . -b10111011111101011111100011010010 6 -b10111011111101011111100011010010 @ -b10111011111101011111100011010010 F -b10011010101111000000000000000000 ) -#388160000 -0& -#388176000 -b1011110110001 , -#388192000 -1& -#388208000 -b110011011001010000000000000000 " -b110011011001010000000000000000 4 -b100010000000010001001000000 1 -b100010000000010001001000000 C -b110011011001010000000000000000 0 -b110011011001010000000000000000 H -08 -09 -b100001111110101010110110101 2 -b100001111110101010110110101 = -b110111010100000010001001010000 < -b100001111110101010110110101 : -b11001100111011110011001101100101 $ -b11001100111011110011001101100101 - -b11001100111011110011001101100101 5 -b11001100111011110011001101100101 ? -b11001100111011110011001101100101 D -b110111010100000010001001010000 % -b110111010100000010001001010000 . -b110111010100000010001001010000 6 -b110111010100000010001001010000 @ -b110111010100000010001001010000 F -b110011011001010000000000000000 ) -#388224000 -0& -#388240000 -b1011110110010 , -#388256000 -1& -#388272000 -b11111111110010011000111011000000 " -b11111111110010011000111011000000 4 -b11101111110011110010011000100010 1 -b11101111110011110010011000100010 C -b11111111110010011000111011000000 0 -b11111111110010011000111011000000 H -18 -b11101111110011101000111000100001 2 -b11101111110011101000111000100001 = -b11111111110011110110011111100110 < -b11101111110011101000111000100001 : -b11101111111111110010011000111011 $ -b11101111111111110010011000111011 - -b11101111111111110010011000111011 5 -b11101111111111110010011000111011 ? -b11101111111111110010011000111011 D -b11111111110011110110011111100110 % -b11111111110011110110011111100110 . -b11111111110011110110011111100110 6 -b11111111110011110110011111100110 @ -b11111111110011110110011111100110 F -b11111111110010011000111011000000 ) -#388288000 -0& -#388304000 -b1011110110011 , -#388320000 -1& -#388336000 -b11110011001001101000000000000000 " -b11110011001001101000000000000000 4 -b1001110111000111100100010001010 1 -b1001110111000111100100010001010 C -b11110011001001101000000000000000 0 -b11110011001001101000000000000000 H -08 -b1001101110100111001010101101000 2 -b1001101110100111001010101101000 = -b11101110111000111100100011001110 < -b1001101110100111001010101101000 : -b1011110111011111100110010011010 $ -b1011110111011111100110010011010 - -b1011110111011111100110010011010 5 -b1011110111011111100110010011010 ? -b1011110111011111100110010011010 D -b11101110111000111100100011001110 % -b11101110111000111100100011001110 . -b11101110111000111100100011001110 6 -b11101110111000111100100011001110 @ -b11101110111000111100100011001110 F -b11110011001001101000000000000000 ) -#388352000 -0& -#388368000 -b1011110110100 , -#388384000 -1& -#388400000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b1111111110111011000001101001000 1 -b1111111110111011000001101001000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -19 -08 -b11111111101111010100001101000111 2 -b11111111101111010100001101000111 = -b1111111110111111010011101011101 < -b11111111101111010100001101000111 : -b1111111110111011001101111101010 $ -b1111111110111011001101111101010 - -b1111111110111011001101111101010 5 -b1111111110111011001101111101010 ? -b1111111110111011001101111101010 D -b1111111110111111010011101011101 % -b1111111110111111010011101011101 . -b1111111110111111010011101011101 6 -b1111111110111111010011101011101 @ -b1111111110111111010011101011101 F -b1000000000000000000000000000000 ) -#388416000 -0& -#388432000 -b1011110110101 , -#388448000 -1& -#388464000 -b101011111111011100111011011011 " -b101011111111011100111011011011 4 -b1001110111011000111001000000 1 -b1001110111011000111001000000 C -b101011111111011100111011011011 0 -b101011111111011100111011011011 H -09 -08 -b1001110111011000111000011011 2 -b1001110111011000111000011011 = -b11011101110111111011111101000000 < -b1001110111011000111000011011 : -b101011111111011100111011011011 $ -b101011111111011100111011011011 - -b101011111111011100111011011011 5 -b101011111111011100111011011011 ? -b101011111111011100111011011011 D -b11011101110111111011111101000000 % -b11011101110111111011111101000000 . -b11011101110111111011111101000000 6 -b11011101110111111011111101000000 @ -b11011101110111111011111101000000 F -b101011111111011100111011011011 ) -#388480000 -0& -#388496000 -b1011110110110 , -#388512000 -1& -#388528000 -b11111101100001010100001000000000 " -b11111101100001010100001000000000 4 -b1110011101110110000101010000100 1 -b1110011101110110000101010000100 C -b11111101100001010100001000000000 0 -b11111101100001010100001000000000 H -b1110011101110110000100101101011 2 -b1110011101110110000100101101011 = -b11111011101111111111111011100111 < -b1110011101110110000100101101011 : -b1110111111110110000101010000100 $ -b1110111111110110000101010000100 - -b1110111111110110000101010000100 5 -b1110111111110110000101010000100 ? -b1110111111110110000101010000100 D -b11111011101111111111111011100111 % -b11111011101111111111111011100111 . -b11111011101111111111111011100111 6 -b11111011101111111111111011100111 @ -b11111011101111111111111011100111 F -b11111101100001010100001000000000 ) -#388544000 -0& -#388560000 -b1011110110111 , -#388576000 -1& -#388592000 -b1111110000101101010000000000000 " -b1111110000101101010000000000000 4 -b111100001000100110000000000101 1 -b111100001000100110000000000101 C -b1111110000101101010000000000000 0 -b1111110000101101010000000000000 H -19 -08 -b10111100001000100101010111000010 2 -b10111100001000100101010111000010 = -b111100011101100110010100001101 < -b10111100001000100101010111000010 : -b1111111101010111111000010110101 $ -b1111111101010111111000010110101 - -b1111111101010111111000010110101 5 -b1111111101010111111000010110101 ? -b1111111101010111111000010110101 D -b111100011101100110010100001101 % -b111100011101100110010100001101 . -b111100011101100110010100001101 6 -b111100011101100110010100001101 @ -b111100011101100110010100001101 F -b1111110000101101010000000000000 ) -#388608000 -0& -#388624000 -b1011110111000 , -#388640000 -1& -#388656000 -b11101100100111010001100000000000 " -b11101100100111010001100000000000 4 -b1010011011010100010010000000010 1 -b1010011011010100010010000000010 C -b11101100100111010001100000000000 0 -b11101100100111010001100000000000 H -b11001111011010010101101111110000 2 -b11001111011010010101101111110000 = -b1110011111011100011010010101010 < -b11001111011010010101101111110000 : -b1011011011110110010011101000110 $ -b1011011011110110010011101000110 - -b1011011011110110010011101000110 5 -b1011011011110110010011101000110 ? -b1011011011110110010011101000110 D -b1110011111011100011010010101010 % -b1110011111011100011010010101010 . -b1110011111011100011010010101010 6 -b1110011111011100011010010101010 @ -b1110011111011100011010010101010 F -b11101100100111010001100000000000 ) -#388672000 -0& -#388688000 -b1011110111001 , -#388704000 -1& -#388720000 -b10101110110010100010000000000000 " -b10101110110010100010000000000000 4 -b1111001011100010100100000000000 1 -b1111001011100010100100000000000 C -b10101110110010100010000000000000 0 -b10101110110010100010000000000000 H -09 -08 -b1110111011100010100010111001111 2 -b1110111011100010100010111001111 = -b1111101111110110110110010001011 < -b1110111011100010100010111001111 : -b11111001011101011101100101000100 $ -b11111001011101011101100101000100 - -b11111001011101011101100101000100 5 -b11111001011101011101100101000100 ? -b11111001011101011101100101000100 D -b1111101111110110110110010001011 % -b1111101111110110110110010001011 . -b1111101111110110110110010001011 6 -b1111101111110110110110010001011 @ -b1111101111110110110110010001011 F -b10101110110010100010000000000000 ) -#388736000 -0& -#388752000 -b1011110111010 , -#388768000 -1& -#388784000 -b11001100000000000000000000000000 " -b11001100000000000000000000000000 4 -b1000101100101100010010000110000 1 -b1000101100101100010010000110000 C -b11001100000000000000000000000000 0 -b11001100000000000000000000000000 H -b1000011100011011010010000100110 2 -b1000011100011011010010000100110 = -b11100101101101100111110011110110 < -b1000011100011011010010000100110 : -b1011101110101110010011100110000 $ -b1011101110101110010011100110000 - -b1011101110101110010011100110000 5 -b1011101110101110010011100110000 ? -b1011101110101110010011100110000 D -b11100101101101100111110011110110 % -b11100101101101100111110011110110 . -b11100101101101100111110011110110 6 -b11100101101101100111110011110110 @ -b11100101101101100111110011110110 F -b11001100000000000000000000000000 ) -#388800000 -0& -#388816000 -b1011110111011 , -#388832000 -1& -#388848000 -1! -b0 " -b0 4 -b1001101000001000000001000000 1 -b1001101000001000000001000000 C -b0 0 -b0 H -b1001001010111000100101010011100 2 -b1001001010111000100101010011100 = -b111101101000001100100001011100 < -1# -b1001001010111000100101010011100 : -b1011101110111000001001000000 $ -b1011101110111000001001000000 - -b1011101110111000001001000000 5 -b1011101110111000001001000000 ? -b1011101110111000001001000000 D -b111101101000001100100001011100 % -b111101101000001100100001011100 . -b111101101000001100100001011100 6 -b111101101000001100100001011100 @ -b111101101000001100100001011100 F -b0 ) -b1 ( -#388864000 -0& -#388880000 -b1011110111100 , -#388896000 -1& -#388912000 -0! -b1110101010111101011110100000000 " -b1110101010111101011110100000000 4 -b111000101010101000010001000 1 -b111000101010101000010001000 C -b1110101010111101011110100000000 0 -b1110101010111101011110100000000 H -18 -b11100111000011001010111101000101 2 -b11100111000011001010111101000101 = -b11001111100101110101000010001000 < -0# -b11100111000011001010111101000101 : -b10111011101010101111010111101 $ -b10111011101010101111010111101 - -b10111011101010101111010111101 5 -b10111011101010101111010111101 ? -b10111011101010101111010111101 D -b11001111100101110101000010001000 % -b11001111100101110101000010001000 . -b11001111100101110101000010001000 6 -b11001111100101110101000010001000 @ -b11001111100101110101000010001000 F -b1110101010111101011110100000000 ) -b0 ( -#388928000 -0& -#388944000 -b1011110111101 , -#388960000 -1& -#388976000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1111100000111110100000010001000 1 -b1111100000111110100000010001000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b11111100000111110011011100100100 2 -b11111100000111110011011100100100 = -b1111110100111110111000010011100 < -b11111100000111110011011100100100 : -b1111101011111111100011010001000 $ -b1111101011111111100011010001000 - -b1111101011111111100011010001000 5 -b1111101011111111100011010001000 ? -b1111101011111111100011010001000 D -b1111110100111110111000010011100 % -b1111110100111110111000010011100 . -b1111110100111110111000010011100 6 -b1111110100111110111000010011100 @ -b1111110100111110111000010011100 F -b10000000000000000000000000000000 ) -#388992000 -0& -#389008000 -b1011110111110 , -#389024000 -1& -#389040000 -b11111010100100011001110000000000 " -b11111010100100011001110000000000 4 -b1010111111000010000000000010 1 -b1010111111000010000000000010 C -b11111010100100011001110000000000 0 -b11111010100100011001110000000000 H -09 -08 -b1010111110101101110001110001 2 -b1010111110101101110001110001 = -b10001011111111000011100000001010 < -b1010111110101101110001110001 : -b1111110111111101010010001100111 $ -b1111110111111101010010001100111 - -b1111110111111101010010001100111 5 -b1111110111111101010010001100111 ? -b1111110111111101010010001100111 D -b10001011111111000011100000001010 % -b10001011111111000011100000001010 . -b10001011111111000011100000001010 6 -b10001011111111000011100000001010 @ -b10001011111111000011100000001010 F -b11111010100100011001110000000000 ) -#389056000 -0& -#389072000 -b1011110111111 , -#389088000 -1& -#389104000 -b11010011100110010100011010000000 " -b11010011100110010100011010000000 4 -b11011101001110001001010000101 1 -b11011101001110001001010000101 C -b11010011100110010100011010000000 0 -b11010011100110010100011010000000 H -18 -b11111011100101101000101001010100 2 -b11111011100101101000101001010100 = -b11011111111011110101011111000111 < -b11111011100101101000101001010100 : -b11011101001110011001010001101 $ -b11011101001110011001010001101 - -b11011101001110011001010001101 5 -b11011101001110011001010001101 ? -b11011101001110011001010001101 D -b11011111111011110101011111000111 % -b11011111111011110101011111000111 . -b11011111111011110101011111000111 6 -b11011111111011110101011111000111 @ -b11011111111011110101011111000111 F -b11010011100110010100011010000000 ) -#389120000 -0& -#389136000 -b1011111000000 , -#389152000 -1& -#389168000 -b11111111110111100001011001001100 " -b11111111110111100001011001001100 4 -b11110111110101010000010010000010 1 -b11110111110101010000010010000010 C -b11111111110111100001011001001100 0 -b11111111110111100001011001001100 H -b11110111110011001011001001110101 2 -b11110111110011001011001001110101 = -b11110111110101010010110011100010 < -b11110111110011001011001001110101 : -b11111111111101111000010110010011 $ -b11111111111101111000010110010011 - -b11111111111101111000010110010011 5 -b11111111111101111000010110010011 ? -b11111111111101111000010110010011 D -b11110111110101010010110011100010 % -b11110111110101010010110011100010 . -b11110111110101010010110011100010 6 -b11110111110101010010110011100010 @ -b11110111110101010010110011100010 F -b11111111110111100001011001001100 ) -#389184000 -0& -#389200000 -b1011111000001 , -#389216000 -1& -#389232000 -b11110111010011000010010010110000 " -b11110111010011000010010010110000 4 -b11001111011100001100000000000000 1 -b11001111011100001100000000000000 C -b11110111010011000010010010110000 0 -b11110111010011000010010010110000 H -b11001111011100001011011101001111 2 -b11001111011100001011011101001111 = -b11101111111110111111010100000100 < -b11001111011100001011011101001111 : -b11011111011101001100001001001011 $ -b11011111011101001100001001001011 - -b11011111011101001100001001001011 5 -b11011111011101001100001001001011 ? -b11011111011101001100001001001011 D -b11101111111110111111010100000100 % -b11101111111110111111010100000100 . -b11101111111110111111010100000100 6 -b11101111111110111111010100000100 @ -b11101111111110111111010100000100 F -b11110111010011000010010010110000 ) -#389248000 -0& -#389264000 -b1011111000010 , -#389280000 -1& -#389296000 -b101000000000000000000000000000 " -b101000000000000000000000000000 4 -b11100110110001110000101000010000 1 -b11100110110001110000101000010000 C -b101000000000000000000000000000 0 -b101000000000000000000000000000 H -b11100110110001110000100110001101 2 -b11100110110001110000100110001101 = -b11110110111001110100101001111001 < -b11100110110001110000100110001101 : -b11101111110111111011111100010100 $ -b11101111110111111011111100010100 - -b11101111110111111011111100010100 5 -b11101111110111111011111100010100 ? -b11101111110111111011111100010100 D -b11110110111001110100101001111001 % -b11110110111001110100101001111001 . -b11110110111001110100101001111001 6 -b11110110111001110100101001111001 @ -b11110110111001110100101001111001 F -b101000000000000000000000000000 ) -#389312000 -0& -#389328000 -b1011111000011 , -#389344000 -1& -#389360000 -b11111011000110011101110000000000 " -b11111011000110011101110000000000 4 -b10001100010101100001000100100000 1 -b10001100010101100001000100100000 C -b11111011000110011101110000000000 0 -b11111011000110011101110000000000 H -b10001010010101010100110011011111 2 -b10001010010101010100110011011111 = -b10111100010111110001100100100111 < -b10001010010101010100110011011111 : -b11001101111101100011001110111000 $ -b11001101111101100011001110111000 - -b11001101111101100011001110111000 5 -b11001101111101100011001110111000 ? -b11001101111101100011001110111000 D -b10111100010111110001100100100111 % -b10111100010111110001100100100111 . -b10111100010111110001100100100111 6 -b10111100010111110001100100100111 @ -b10111100010111110001100100100111 F -b11111011000110011101110000000000 ) -#389376000 -0& -#389392000 -b1011111000100 , -#389408000 -1& -#389424000 -b11111011010011110001110110000000 " -b11111011010011110001110110000000 4 -b1011111111101100001001000100011 1 -b1011111111101100001001000100011 C -b11111011010011110001110110000000 0 -b11111011010011110001110110000000 H -08 -19 -b11011111111011011101000011100010 2 -b11011111111011011101000011100010 = -b1011111111101110011001010100111 < -b11011111111011011101000011100010 : -b1111111111101101001111000111011 $ -b1111111111101101001111000111011 - -b1111111111101101001111000111011 5 -b1111111111101101001111000111011 ? -b1111111111101101001111000111011 D -b1011111111101110011001010100111 % -b1011111111101110011001010100111 . -b1011111111101110011001010100111 6 -b1011111111101110011001010100111 @ -b1011111111101110011001010100111 F -b11111011010011110001110110000000 ) -#389440000 -0& -#389456000 -b1011111000101 , -#389472000 -1& -#389488000 -1! -b0 " -b0 4 -b10100010111011101000000000010100 1 -b10100010111011101000000000010100 C -b0 0 -b0 H -18 -09 -b10010001111011100110110011110011 2 -b10010001111011100110110011110011 = -b11101110111111111110100010011111 < -1# -b10010001111011100110110011110011 : -b10100010111011101000010001010100 $ -b10100010111011101000010001010100 - -b10100010111011101000010001010100 5 -b10100010111011101000010001010100 ? -b10100010111011101000010001010100 D -b11101110111111111110100010011111 % -b11101110111111111110100010011111 . -b11101110111111111110100010011111 6 -b11101110111111111110100010011111 @ -b11101110111111111110100010011111 F -b0 ) -b1 ( -#389504000 -0& -#389520000 -b1011111000110 , -#389536000 -1& -#389552000 -0! -b10011000110000000000000000000000 " -b10011000110000000000000000000000 4 -b1110111100101110000000001000100 1 -b1110111100101110000000001000100 C -b10011000110000000000000000000000 0 -b10011000110000000000000000000000 H -08 -b1110111100101101100000000011011 2 -b1110111100101101100000000011011 = -b11111111100111110011101101010101 < -0# -b1110111100101101100000000011011 : -b1110111111101111000010011000110 $ -b1110111111101111000010011000110 - -b1110111111101111000010011000110 5 -b1110111111101111000010011000110 ? -b1110111111101111000010011000110 D -b11111111100111110011101101010101 % -b11111111100111110011101101010101 . -b11111111100111110011101101010101 6 -b11111111100111110011101101010101 @ -b11111111100111110011101101010101 F -b10011000110000000000000000000000 ) -b0 ( -#389568000 -0& -#389584000 -b1011111000111 , -#389600000 -1& -#389616000 -b10111000000000000000000000000000 " -b10111000000000000000000000000000 4 -b1101110111000010100100010101010 1 -b1101110111000010100100010101010 C -b10111000000000000000000000000000 0 -b10111000000000000000000000000000 H -b1101110110110010001100010101000 2 -b1101110110110010001100010101000 = -b1111111111100011100101111111010 < -b1101110110110010001100010101000 : -b11101110111001110100110010101110 $ -b11101110111001110100110010101110 - -b11101110111001110100110010101110 5 -b11101110111001110100110010101110 ? -b11101110111001110100110010101110 D -b1111111111100011100101111111010 % -b1111111111100011100101111111010 . -b1111111111100011100101111111010 6 -b1111111111100011100101111111010 @ -b1111111111100011100101111111010 F -b10111000000000000000000000000000 ) -#389632000 -0& -#389648000 -b1011111001000 , -#389664000 -1& -#389680000 -b10110111011001101100111001100000 " -b10110111011001101100111001100000 4 -b10111100101110010010001000100001 1 -b10111100101110010010001000100001 C -b10110111011001101100111001100000 0 -b10110111011001101100111001100000 H -18 -b10111100101110001010000010011000 2 -b10111100101110001010000010011000 = -b11111110111111010110101000100101 < -b10111100101110001010000010011000 : -b10111101101110110011011001110011 $ -b10111101101110110011011001110011 - -b10111101101110110011011001110011 5 -b10111101101110110011011001110011 ? -b10111101101110110011011001110011 D -b11111110111111010110101000100101 % -b11111110111111010110101000100101 . -b11111110111111010110101000100101 6 -b11111110111111010110101000100101 @ -b11111110111111010110101000100101 F -b10110111011001101100111001100000 ) -#389696000 -0& -#389712000 -b1011111001001 , -#389728000 -1& -#389744000 -b1110000111011001010011100000000 " -b1110000111011001010011100000000 4 -b1111011100001010110010000000000 1 -b1111011100001010110010000000000 C -b1110000111011001010011100000000 0 -b1110000111011001010011100000000 H -08 -b1111011011101001100101110111101 2 -b1111011011101001100101110111101 = -b1111111111011010110011010000101 < -b1111011011101001100101110111101 : -b11111011100001110110010100111000 $ -b11111011100001110110010100111000 - -b11111011100001110110010100111000 5 -b11111011100001110110010100111000 ? -b11111011100001110110010100111000 D -b1111111111011010110011010000101 % -b1111111111011010110011010000101 . -b1111111111011010110011010000101 6 -b1111111111011010110011010000101 @ -b1111111111011010110011010000101 F -b1110000111011001010011100000000 ) -#389760000 -0& -#389776000 -b1011111001010 , -#389792000 -1& -#389808000 -b11001000000000000000000000000000 " -b11001000000000000000000000000000 4 -b11101111110010000100000010111001 1 -b11101111110010000100000010111001 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -18 -b11101111101101111010001110110100 2 -b11101111101101111010001110110100 = -b11111111111010100110000010111011 < -b11101111101101111010001110110100 : -b11101111110011010100001011111001 $ -b11101111110011010100001011111001 - -b11101111110011010100001011111001 5 -b11101111110011010100001011111001 ? -b11101111110011010100001011111001 D -b11111111111010100110000010111011 % -b11111111111010100110000010111011 . -b11111111111010100110000010111011 6 -b11111111111010100110000010111011 @ -b11111111111010100110000010111011 F -b11001000000000000000000000000000 ) -#389824000 -0& -#389840000 -b1011111001011 , -#389856000 -1& -#389872000 -1! -b0 " -b0 4 -b11000101110011011101000000001100 1 -b11000101110011011101000000001100 C -b0 0 -b0 H -b10100101101111011100111110001010 2 -b10100101101111011100111110001010 = -b11001101110011111101101100111110 < -1# -b10100101101111011100111110001010 : -b11010111111011011111010001001100 $ -b11010111111011011111010001001100 - -b11010111111011011111010001001100 5 -b11010111111011011111010001001100 ? -b11010111111011011111010001001100 D -b11001101110011111101101100111110 % -b11001101110011111101101100111110 . -b11001101110011111101101100111110 6 -b11001101110011111101101100111110 @ -b11001101110011111101101100111110 F -b0 ) -b1 ( -#389888000 -0& -#389904000 -b1011111001100 , -#389920000 -1& -#389936000 -b11001101110011110000001101000010 1 -b11001101110011110000001101000010 C -b10111101101011101001001011000001 2 -b10111101101011101001001011000001 = -b11101111110111111000001101011111 < -b10111101101011101001001011000001 : -b11001101110011110000111101100010 $ -b11001101110011110000111101100010 - -b11001101110011110000111101100010 5 -b11001101110011110000111101100010 ? -b11001101110011110000111101100010 D -b11101111110111111000001101011111 % -b11101111110111111000001101011111 . -b11101111110111111000001101011111 6 -b11101111110111111000001101011111 @ -b11101111110111111000001101011111 F -#389952000 -0& -#389968000 -b1011111001101 , -#389984000 -1& -#390000000 -0! -b11001110010101110111100000000000 " -b11001110010101110111100000000000 4 -b1011001100110011000001010001011 1 -b1011001100110011000001010001011 C -b11001110010101110111100000000000 0 -b11001110010101110111100000000000 H -08 -b1011001100110010101000101111010 2 -b1011001100110010101000101111010 = -b1011011111111111000011010001011 < -0# -b1011001100110010101000101111010 : -b11111101100110011100101011101111 $ -b11111101100110011100101011101111 - -b11111101100110011100101011101111 5 -b11111101100110011100101011101111 ? -b11111101100110011100101011101111 D -b1011011111111111000011010001011 % -b1011011111111111000011010001011 . -b1011011111111111000011010001011 6 -b1011011111111111000011010001011 @ -b1011011111111111000011010001011 F -b11001110010101110111100000000000 ) -b0 ( -#390016000 -0& -#390032000 -b1011111001110 , -#390048000 -1& -#390064000 -b11011111110101111111111100010000 " -b11011111110101111111111100010000 4 -b1111101111011010110111000100000 1 -b1111101111011010110111000100000 C -b11011111110101111111111100010000 0 -b11011111110101111111111100010000 H -b1111101111011010110111000010101 2 -b1111101111011010110111000010101 = -b11111111111011111110111000100100 < -b1111101111011010110111000010101 : -b1111101111111010111111111110001 $ -b1111101111111010111111111110001 - -b1111101111111010111111111110001 5 -b1111101111111010111111111110001 ? -b1111101111111010111111111110001 D -b11111111111011111110111000100100 % -b11111111111011111110111000100100 . -b11111111111011111110111000100100 6 -b11111111111011111110111000100100 @ -b11111111111011111110111000100100 F -b11011111110101111111111100010000 ) -#390080000 -0& -#390096000 -b1011111001111 , -#390112000 -1& -#390128000 -b11101101100110100000000000000000 " -b11101101100110100000000000000000 4 -b111001110101011011010001000001 1 -b111001110101011011010001000001 C -b11101101100110100000000000000000 0 -b11101101100110100000000000000000 H -b111001110101011011010000011110 2 -b111001110101011011010000011110 = -b1111011110101111011110101010001 < -b111001110101011011010000011110 : -b10111101111111011111011011001101 $ -b10111101111111011111011011001101 - -b10111101111111011111011011001101 5 -b10111101111111011111011011001101 ? -b10111101111111011111011011001101 D -b1111011110101111011110101010001 % -b1111011110101111011110101010001 . -b1111011110101111011110101010001 6 -b1111011110101111011110101010001 @ -b1111011110101111011110101010001 F -b11101101100110100000000000000000 ) -#390144000 -0& -#390160000 -b1011111010000 , -#390176000 -1& -#390192000 -b1101111111011110100101010100111 " -b1101111111011110100101010100111 4 -b101101011010100000101000000000 1 -b101101011010100000101000000000 C -b1101111111011110100101010100111 0 -b1101111111011110100101010100111 H -19 -08 -b10101101011010011111100011100111 2 -b10101101011010011111100011100111 = -b111101011110101010111001000000 < -b10101101011010011111100011100111 : -b1101111111011110100101010100111 $ -b1101111111011110100101010100111 - -b1101111111011110100101010100111 5 -b1101111111011110100101010100111 ? -b1101111111011110100101010100111 D -b111101011110101010111001000000 % -b111101011110101010111001000000 . -b111101011110101010111001000000 6 -b111101011110101010111001000000 @ -b111101011110101010111001000000 F -b1101111111011110100101010100111 ) -#390208000 -0& -#390224000 -b1011111010001 , -#390240000 -1& -#390256000 -b110100000000000000000000000000 " -b110100000000000000000000000000 4 -b10110100101110100000011001100000 1 -b10110100101110100000011001100000 C -b110100000000000000000000000000 0 -b110100000000000000000000000000 H -18 -09 -b10110011101110011001011001011111 2 -b10110011101110011001011001011111 = -b10110110111110101000111111110111 < -b10110011101110011001011001011111 : -b11111100101111110000011001101000 $ -b11111100101111110000011001101000 - -b11111100101111110000011001101000 5 -b11111100101111110000011001101000 ? -b11111100101111110000011001101000 D -b10110110111110101000111111110111 % -b10110110111110101000111111110111 . -b10110110111110101000111111110111 6 -b10110110111110101000111111110111 @ -b10110110111110101000111111110111 F -b110100000000000000000000000000 ) -#390272000 -0& -#390288000 -b1011111010010 , -#390304000 -1& -#390320000 -b10101011100110111000110000000000 " -b10101011100110111000110000000000 4 -b100101110010101110001011000010 1 -b100101110010101110001011000010 C -b10101011100110111000110000000000 0 -b10101011100110111000110000000000 H -09 -08 -b100101101110101110000110101101 2 -b100101101110101110000110101101 = -b1110111110011111111101011001010 < -b100101101110101110000110101101 : -b10101101111010101110011011100011 $ -b10101101111010101110011011100011 - -b10101101111010101110011011100011 5 -b10101101111010101110011011100011 ? -b10101101111010101110011011100011 D -b1110111110011111111101011001010 % -b1110111110011111111101011001010 . -b1110111110011111111101011001010 6 -b1110111110011111111101011001010 @ -b1110111110011111111101011001010 F -b10101011100110111000110000000000 ) -#390336000 -0& -#390352000 -b1011111010011 , -#390368000 -1& -#390384000 -b11101111011101000100001000000000 " -b11101111011101000100001000000000 4 -b1011111110011101100000010000100 1 -b1011111110011101100000010000100 C -b11101111011101000100001000000000 0 -b11101111011101000100001000000000 H -19 -08 -b11011111101011101010110100101011 2 -b11011111101011101010110100101011 = -b1111111110011111100010010100111 < -b11011111101011101010110100101011 : -b1011111110111101110100010000100 $ -b1011111110111101110100010000100 - -b1011111110111101110100010000100 5 -b1011111110111101110100010000100 ? -b1011111110111101110100010000100 D -b1111111110011111100010010100111 % -b1111111110011111100010010100111 . -b1111111110011111100010010100111 6 -b1111111110011111100010010100111 @ -b1111111110011111100010010100111 F -b11101111011101000100001000000000 ) -#390400000 -0& -#390416000 -b1011111010100 , -#390432000 -1& -#390448000 -b1111000000000000000000000000000 " -b1111000000000000000000000000000 4 -b100111010111011001000101000000 1 -b100111010111011001000101000000 C -b1111000000000000000000000000000 0 -b1111000000000000000000000000000 H -09 -08 -b100111010111011001000100110110 2 -b100111010111011001000100110110 = -b110111011111111101011101010110 < -b100111010111011001000100110110 : -b11101111110111011011100111100000 $ -b11101111110111011011100111100000 - -b11101111110111011011100111100000 5 -b11101111110111011011100111100000 ? -b11101111110111011011100111100000 D -b110111011111111101011101010110 % -b110111011111111101011101010110 . -b110111011111111101011101010110 6 -b110111011111111101011101010110 @ -b110111011111111101011101010110 F -b1111000000000000000000000000000 ) -#390464000 -0& -#390480000 -b1011111010101 , -#390496000 -1& -#390512000 -b10010000100000000000000000000000 " -b10010000100000000000000000000000 4 -b1011101011101110010010000100001 1 -b1011101011101110010010000100001 C -b10010000100000000000000000000000 0 -b10010000100000000000000000000000 H -b1011101011101101001100101011000 2 -b1011101011101101001100101011000 = -b11111111011111110111010000110111 < -b1011101011101101001100101011000 : -b1011101111101110010010100100001 $ -b1011101111101110010010100100001 - -b1011101111101110010010100100001 5 -b1011101111101110010010100100001 ? -b1011101111101110010010100100001 D -b11111111011111110111010000110111 % -b11111111011111110111010000110111 . -b11111111011111110111010000110111 6 -b11111111011111110111010000110111 @ -b11111111011111110111010000110111 F -b10010000100000000000000000000000 ) -#390528000 -0& -#390544000 -b1011111010110 , -#390560000 -1& -#390576000 -b1011101101011111001110000000000 " -b1011101101011111001110000000000 4 -b1101001110001110010100000100010 1 -b1101001110001110010100000100010 C -b1011101101011111001110000000000 0 -b1011101101011111001110000000000 H -b1100111110001110001100000010001 2 -b1100111110001110001100000010001 = -b1111001111011111010110000101010 < -b1100111110001110001100000010001 : -b11101101110101110110101111100111 $ -b11101101110101110110101111100111 - -b11101101110101110110101111100111 5 -b11101101110101110110101111100111 ? -b11101101110101110110101111100111 D -b1111001111011111010110000101010 % -b1111001111011111010110000101010 . -b1111001111011111010110000101010 6 -b1111001111011111010110000101010 @ -b1111001111011111010110000101010 F -b1011101101011111001110000000000 ) -#390592000 -0& -#390608000 -b1011111010111 , -#390624000 -1& -#390640000 -b11011011110101011011110110000000 " -b11011011110101011011110110000000 4 -b1111101001111000001100100011 1 -b1111101001111000001100100011 C -b11011011110101011011110110000000 0 -b11011011110101011011110110000000 H -b1001111011001110011001010100010 2 -b1001111011001110011001010100010 = -b11111101011111000011100100111 < -b1001111011001110011001010100010 : -b101111101101111010101101111011 $ -b101111101101111010101101111011 - -b101111101101111010101101111011 5 -b101111101101111010101101111011 ? -b101111101101111010101101111011 D -b11111101011111000011100100111 % -b11111101011111000011100100111 . -b11111101011111000011100100111 6 -b11111101011111000011100100111 @ -b11111101011111000011100100111 F -b11011011110101011011110110000000 ) -#390656000 -0& -#390672000 -b1011111011000 , -#390688000 -1& -#390704000 -b11001100110010010110000000000000 " -b11001100110010010110000000000000 4 -b1100000111100100100011001001001 1 -b1100000111100100100011001001001 C -b11001100110010010110000000000000 0 -b11001100110010010110000000000000 H -b1011111111100100010110010011000 2 -b1011111111100100010110010011000 = -b11111100111100111100011001001101 < -b1011111111100100010110010011000 : -b1100010111111100110011001001011 $ -b1100010111111100110011001001011 - -b1100010111111100110011001001011 5 -b1100010111111100110011001001011 ? -b1100010111111100110011001001011 D -b11111100111100111100011001001101 % -b11111100111100111100011001001101 . -b11111100111100111100011001001101 6 -b11111100111100111100011001001101 @ -b11111100111100111100011001001101 F -b11001100110010010110000000000000 ) -#390720000 -0& -#390736000 -b1011111011001 , -#390752000 -1& -#390768000 -b10011010000000000000000000000000 " -b10011010000000000000000000000000 4 -b101001111111111001010000001001 1 -b101001111111111001010000001001 C -b10011010000000000000000000000000 0 -b10011010000000000000000000000000 H -b100101111111110101001111100110 2 -b100101111111110101001111100110 = -b101001111111111011110000011001 < -b100101111111110101001111100110 : -b11111011111111111001011111001101 $ -b11111011111111111001011111001101 - -b11111011111111111001011111001101 5 -b11111011111111111001011111001101 ? -b11111011111111111001011111001101 D -b101001111111111011110000011001 % -b101001111111111011110000011001 . -b101001111111111011110000011001 6 -b101001111111111011110000011001 @ -b101001111111111011110000011001 F -b10011010000000000000000000000000 ) -#390784000 -0& -#390800000 -b1011111011010 , -#390816000 -1& -#390832000 -b110001000000100000000000000000 " -b110001000000100000000000000000 4 -b10011111010000000000000000 1 -b10011111010000000000000000 C -b110001000000100000000000000000 0 -b110001000000100000000000000000 H -18 -b10101110011111000111110111110010 2 -b10101110011111000111110111110010 = -b10001011111111110100110011110000 < -b10101110011111000111110111110010 : -b100010011111010011000100000010 $ -b100010011111010011000100000010 - -b100010011111010011000100000010 5 -b100010011111010011000100000010 ? -b100010011111010011000100000010 D -b10001011111111110100110011110000 % -b10001011111111110100110011110000 . -b10001011111111110100110011110000 6 -b10001011111111110100110011110000 @ -b10001011111111110100110011110000 F -b110001000000100000000000000000 ) -#390848000 -0& -#390864000 -b1011111011011 , -#390880000 -1& -#390896000 -b100000000000000000000000000000 " -b100000000000000000000000000000 4 -b10101011010001000100000000000 1 -b10101011010001000100000000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -08 -b10101011010000110011111011110 2 -b10101011010000110011111011110 = -b11011111111010011001101100011100 < -b10101011010000110011111011110 : -b110101011111101100110011000010 $ -b110101011111101100110011000010 - -b110101011111101100110011000010 5 -b110101011111101100110011000010 ? -b110101011111101100110011000010 D -b11011111111010011001101100011100 % -b11011111111010011001101100011100 . -b11011111111010011001101100011100 6 -b11011111111010011001101100011100 @ -b11011111111010011001101100011100 F -b100000000000000000000000000000 ) -#390912000 -0& -#390928000 -b1011111011100 , -#390944000 -1& -#390960000 -b11000011110101101110001000000000 " -b11000011110101101110001000000000 4 -b1111101000011110100100100000000 1 -b1111101000011110100100100000000 C -b11000011110101101110001000000000 0 -b11000011110101101110001000000000 H -19 -08 -b11111101000011110100100010101110 2 -b11111101000011110100100010101110 = -b1111101111111111110110100100110 < -b11111101000011110100100010101110 : -b1111111000011110101101110001000 $ -b1111111000011110101101110001000 - -b1111111000011110101101110001000 5 -b1111111000011110101101110001000 ? -b1111111000011110101101110001000 D -b1111101111111111110110100100110 % -b1111101111111111110110100100110 . -b1111101111111111110110100100110 6 -b1111101111111111110110100100110 @ -b1111101111111111110110100100110 F -b11000011110101101110001000000000 ) -#390976000 -0& -#390992000 -b1011111011101 , -#391008000 -1& -#391024000 -b11110111100100111100000000000000 " -b11110111100100111100000000000000 4 -b1011011101011100000011100000 1 -b1011011101011100000011100000 C -b11110111100100111100000000000000 0 -b11110111100100111100000000000000 H -09 -08 -b111011101011100000011011010 2 -b111011101011100000011011010 = -b1011011011101111101101111101010 < -b111011101011100000011011010 : -b10101011111111011110010011110000 $ -b10101011111111011110010011110000 - -b10101011111111011110010011110000 5 -b10101011111111011110010011110000 ? -b10101011111111011110010011110000 D -b1011011011101111101101111101010 % -b1011011011101111101101111101010 . -b1011011011101111101101111101010 6 -b1011011011101111101101111101010 @ -b1011011011101111101101111101010 F -b11110111100100111100000000000000 ) -#391040000 -0& -#391056000 -b1011111011110 , -#391072000 -1& -#391088000 -b1010100111001101101100100000000 " -b1010100111001101101100100000000 4 -b1100010100000110010001010000000 1 -b1100010100000110010001010000000 C -b1010100111001101101100100000000 0 -b1010100111001101101100100000000 H -b1100001011100101010001001001101 2 -b1100001011100101010001001001101 = -b11111110110010110110101110000101 < -b1100001011100101010001001001101 : -b1100010101001110011011011001000 $ -b1100010101001110011011011001000 - -b1100010101001110011011011001000 5 -b1100010101001110011011011001000 ? -b1100010101001110011011011001000 D -b11111110110010110110101110000101 % -b11111110110010110110101110000101 . -b11111110110010110110101110000101 6 -b11111110110010110110101110000101 @ -b11111110110010110110101110000101 F -b1010100111001101101100100000000 ) -#391104000 -0& -#391120000 -b1011111011111 , -#391136000 -1& -#391152000 -b11011011000000000000000000000000 " -b11011011000000000000000000000000 4 -b1101000110100100010000100011000 1 -b1101000110100100010000100011000 C -b11011011000000000000000000000000 0 -b11011011000000000000000000000000 H -b1101000101100100000011100010011 2 -b1101000101100100000011100010011 = -b11101010110110111010010100111000 < -b1101000101100100000011100010011 : -b1111101110101100110000111011011 $ -b1111101110101100110000111011011 - -b1111101110101100110000111011011 5 -b1111101110101100110000111011011 ? -b1111101110101100110000111011011 D -b11101010110110111010010100111000 % -b11101010110110111010010100111000 . -b11101010110110111010010100111000 6 -b11101010110110111010010100111000 @ -b11101010110110111010010100111000 F -b11011011000000000000000000000000 ) -#391168000 -0& -#391184000 -b1011111100000 , -#391200000 -1& -#391216000 -b11110000000000101000000000000000 " -b11110000000000101000000000000000 4 -b1111011011110000000000001000 1 -b1111011011110000000000001000 C -b11110000000000101000000000000000 0 -b11110000000000101000000000000000 H -19 -08 -b10001111011011101111100101110100 2 -b10001111011011101111100101110100 = -b1011111011011111111100101001100 < -b10001111011011101111100101110100 : -b101111111111110000000000101000 $ -b101111111111110000000000101000 - -b101111111111110000000000101000 5 -b101111111111110000000000101000 ? -b101111111111110000000000101000 D -b1011111011011111111100101001100 % -b1011111011011111111100101001100 . -b1011111011011111111100101001100 6 -b1011111011011111111100101001100 @ -b1011111011011111111100101001100 F -b11110000000000101000000000000000 ) -#391232000 -0& -#391248000 -b1011111100001 , -#391264000 -1& -#391280000 -b11010100100110011101000000000000 " -b11010100100110011101000000000000 4 -b11110011100100101001000000001010 1 -b11110011100100101001000000001010 C -b11010100100110011101000000000000 0 -b11010100100110011101000000000000 H -18 -09 -b11101011011011100110101111000101 2 -b11101011011011100110101111000101 = -b11110011110100111101100010001011 < -b11101011011011100110101111000101 : -b11110111100110101001001100111010 $ -b11110111100110101001001100111010 - -b11110111100110101001001100111010 5 -b11110111100110101001001100111010 ? -b11110111100110101001001100111010 D -b11110011110100111101100010001011 % -b11110011110100111101100010001011 . -b11110011110100111101100010001011 6 -b11110011110100111101100010001011 @ -b11110011110100111101100010001011 F -b11010100100110011101000000000000 ) -#391296000 -0& -#391312000 -b1011111100010 , -#391328000 -1& -#391344000 -b10100111011000111100001010100000 " -b10100111011000111100001010100000 4 -b101100001100110000001000000101 1 -b101100001100110000001000000101 C -b10100111011000111100001010100000 0 -b10100111011000111100001010100000 H -08 -b101001111100110000000110111010 2 -b101001111100110000000110111010 = -b101100101101111110001110100101 < -b101001111100110000000110111010 : -b11111101001110110001111000010101 $ -b11111101001110110001111000010101 - -b11111101001110110001111000010101 5 -b11111101001110110001111000010101 ? -b11111101001110110001111000010101 D -b101100101101111110001110100101 % -b101100101101111110001110100101 . -b101100101101111110001110100101 6 -b101100101101111110001110100101 @ -b101100101101111110001110100101 F -b10100111011000111100001010100000 ) -#391360000 -0& -#391376000 -b1011111100011 , -#391392000 -1& -#391408000 -b11101101010011001111000000000000 " -b11101101010011001111000000000000 4 -b100101100111101000000001001100 1 -b100101100111101000000001001100 C -b11101101010011001111000000000000 0 -b11101101010011001111000000000000 H -b100011100111100101011100011011 2 -b100011100111100101011100011011 = -b10110101111111111000001001001100 < -b100011100111100101011100011011 : -b1101101100111101101010011001111 $ -b1101101100111101101010011001111 - -b1101101100111101101010011001111 5 -b1101101100111101101010011001111 ? -b1101101100111101101010011001111 D -b10110101111111111000001001001100 % -b10110101111111111000001001001100 . -b10110101111111111000001001001100 6 -b10110101111111111000001001001100 @ -b10110101111111111000001001001100 F -b11101101010011001111000000000000 ) -#391424000 -0& -#391440000 -b1011111100100 , -#391456000 -1& -#391472000 -b11001000000000000000000000000000 " -b11001000000000000000000000000000 4 -b1100111101100100011100011011001 1 -b1100111101100100011100011011001 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -b1100111101100100011100011010100 2 -b1100111101100100011100011010100 = -b11101111111100110111111111011011 < -b1100111101100100011100011010100 : -b1110111101111101011100011111001 $ -b1110111101111101011100011111001 - -b1110111101111101011100011111001 5 -b1110111101111101011100011111001 ? -b1110111101111101011100011111001 D -b11101111111100110111111111011011 % -b11101111111100110111111111011011 . -b11101111111100110111111111011011 6 -b11101111111100110111111111011011 @ -b11101111111100110111111111011011 F -b11001000000000000000000000000000 ) -#391488000 -0& -#391504000 -b1011111100101 , -#391520000 -1& -#391536000 -b1101001010100000000000000000000 " -b1101001010100000000000000000000 4 -b10101110101010000100001010000 1 -b10101110101010000100001010000 C -b1101001010100000000000000000000 0 -b1101001010100000000000000000000 H -b10101110101010000001111000110 2 -b10101110101010000001111000110 = -b11110101111111110010100101110010 < -b10101110101010000001111000110 : -b11111110101011101101001010100 $ -b11111110101011101101001010100 - -b11111110101011101101001010100 5 -b11111110101011101101001010100 ? -b11111110101011101101001010100 D -b11110101111111110010100101110010 % -b11110101111111110010100101110010 . -b11110101111111110010100101110010 6 -b11110101111111110010100101110010 @ -b11110101111111110010100101110010 F -b1101001010100000000000000000000 ) -#391552000 -0& -#391568000 -b1011111100110 , -#391584000 -1& -#391600000 -b11111111010010101011001110100000 " -b11111111010010101011001110100000 4 -b11111111010100001000000000101 1 -b11111111010100001000000000101 C -b11111111010010101011001110100000 0 -b11111111010010101011001110100000 H -b11111111001001110100000000010 2 -b11111111001001110100000000010 = -b11011111111010101001001001100101 < -b11111111001001110100000000010 : -b111111111110100101010110011101 $ -b111111111110100101010110011101 - -b111111111110100101010110011101 5 -b111111111110100101010110011101 ? -b111111111110100101010110011101 D -b11011111111010101001001001100101 % -b11011111111010101001001001100101 . -b11011111111010101001001001100101 6 -b11011111111010101001001001100101 @ -b11011111111010101001001001100101 F -b11111111010010101011001110100000 ) -#391616000 -0& -#391632000 -b1011111100111 , -#391648000 -1& -#391664000 -b11011000110100110000000000000000 " -b11011000110100110000000000000000 4 -b10100110100101100010000001000 1 -b10100110100101100010000001000 C -b11011000110100110000000000000000 0 -b11011000110100110000000000000000 H -19 -08 -b10010100110010101000101111100101 2 -b10010100110010101000101111100101 = -b1011110110100111100010101001101 < -b10010100110010101000101111100101 : -b110101111101101100011010011000 $ -b110101111101101100011010011000 - -b110101111101101100011010011000 5 -b110101111101101100011010011000 ? -b110101111101101100011010011000 D -b1011110110100111100010101001101 % -b1011110110100111100010101001101 . -b1011110110100111100010101001101 6 -b1011110110100111100010101001101 @ -b1011110110100111100010101001101 F -b11011000110100110000000000000000 ) -#391680000 -0& -#391696000 -b1011111101000 , -#391712000 -1& -#391728000 -b10100011010100001100000000000000 " -b10100011010100001100000000000000 4 -b1010110100110000000000010000100 1 -b1010110100110000000000010000100 C -b10100011010100001100000000000000 0 -b10100011010100001100000000000000 H -b11010110100101110100000000110011 2 -b11010110100101110100000000110011 = -b1011110101110100010010110101101 < -b11010110100101110100000000110011 : -b1110111110111010001101010000110 $ -b1110111110111010001101010000110 - -b1110111110111010001101010000110 5 -b1110111110111010001101010000110 ? -b1110111110111010001101010000110 D -b1011110101110100010010110101101 % -b1011110101110100010010110101101 . -b1011110101110100010010110101101 6 -b1011110101110100010010110101101 @ -b1011110101110100010010110101101 F -b10100011010100001100000000000000 ) -#391744000 -0& -#391760000 -b1011111101001 , -#391776000 -1& -#391792000 -b1111111101011010011011100000000 " -b1111111101011010011011100000000 4 -b10010011110110000000000100000 1 -b10010011110110000000000100000 C -b1111111101011010011011100000000 0 -b1111111101011010011011100000000 H -09 -08 -b1001111110101011111111011111 2 -b1001111110101011111111011111 = -b110010011110110001001010101000 < -b1001111110101011111111011111 : -b11010111011111111010110100110111 $ -b11010111011111111010110100110111 - -b11010111011111111010110100110111 5 -b11010111011111111010110100110111 ? -b11010111011111111010110100110111 D -b110010011110110001001010101000 % -b110010011110110001001010101000 . -b110010011110110001001010101000 6 -b110010011110110001001010101000 @ -b110010011110110001001010101000 F -b1111111101011010011011100000000 ) -#391808000 -0& -#391824000 -b1011111101010 , -#391840000 -1& -#391856000 -b110001011000000000000000000000 " -b110001011000000000000000000000 4 -b11001010011101100000000000000001 1 -b11001010011101100000000000000001 C -b110001011000000000000000000000 0 -b110001011000000000000000000000 H -18 -b11001010011011011101000111000000 2 -b11001010011011011101000111000000 = -b11101010011101111100000000110101 < -b11001010011011011101000111000000 : -b11011111111101100001000110001011 $ -b11011111111101100001000110001011 - -b11011111111101100001000110001011 5 -b11011111111101100001000110001011 ? -b11011111111101100001000110001011 D -b11101010011101111100000000110101 % -b11101010011101111100000000110101 . -b11101010011101111100000000110101 6 -b11101010011101111100000000110101 @ -b11101010011101111100000000110101 F -b110001011000000000000000000000 ) -#391872000 -0& -#391888000 -b1011111101011 , -#391904000 -1& -#391920000 -b11111000111111000001010000000000 " -b11111000111111000001010000000000 4 -b1110101001101000001010100000000 1 -b1110101001101000001010100000000 C -b11111000111111000001010000000000 0 -b11111000111111000001010000000000 H -08 -b1110011001100100101010010001111 2 -b1110011001100100101010010001111 = -b1110101011101000001010110001010 < -b1110011001100100101010010001111 : -b11111101101111100011111100000101 $ -b11111101101111100011111100000101 - -b11111101101111100011111100000101 5 -b11111101101111100011111100000101 ? -b11111101101111100011111100000101 D -b1110101011101000001010110001010 % -b1110101011101000001010110001010 . -b1110101011101000001010110001010 6 -b1110101011101000001010110001010 @ -b1110101011101000001010110001010 F -b11111000111111000001010000000000 ) -#391936000 -0& -#391952000 -b1011111101100 , -#391968000 -1& -#391984000 -b11101111111110110000100010011000 " -b11101111111110110000100010011000 4 -b1110111011001010000010000000000 1 -b1110111011001010000010000000000 C -b11101111111110110000100010011000 0 -b11101111111110110000100010011000 H -b1110111011001001011100111101101 2 -b1110111011001001011100111101101 = -b11111111011001110011010110100001 < -b1110111011001001011100111101101 : -b1110111111111011000010001001100 $ -b1110111111111011000010001001100 - -b1110111111111011000010001001100 5 -b1110111111111011000010001001100 ? -b1110111111111011000010001001100 D -b11111111011001110011010110100001 % -b11111111011001110011010110100001 . -b11111111011001110011010110100001 6 -b11111111011001110011010110100001 @ -b11111111011001110011010110100001 F -b11101111111110110000100010011000 ) -#392000000 -0& -#392016000 -b1011111101101 , -#392032000 -1& -#392048000 -1! -b0 " -b0 4 -b1000001101010001001100100010100 1 -b1000001101010001001100100010100 C -b0 0 -b0 H -19 -08 -b11000001101000110111011010010011 2 -b11000001101000110111011010010011 = -b1111011101010101101110100111111 < -1# -b11000001101000110111011010010011 : -b1000101111110001001100101010100 $ -b1000101111110001001100101010100 - -b1000101111110001001100101010100 5 -b1000101111110001001100101010100 ? -b1000101111110001001100101010100 D -b1111011101010101101110100111111 % -b1111011101010101101110100111111 . -b1111011101010101101110100111111 6 -b1111011101010101101110100111111 @ -b1111011101010101101110100111111 F -b0 ) -b1 ( -#392064000 -0& -#392080000 -b1011111101110 , -#392096000 -1& -#392112000 -0! -b11010001000000000000000000000000 " -b11010001000000000000000000000000 4 -b10001001101111110000100100010000 1 -b10001001101111110000100100010000 C -b11010001000000000000000000000000 0 -b11010001000000000000000000000000 H -18 -b101001101111100100100010100100 2 -b101001101111100100100010100100 = -b10011011111111110011101110010100 < -0# -b101001101111100100100010100100 : -b10001101101111110000110100010000 $ -b10001101101111110000110100010000 - -b10001101101111110000110100010000 5 -b10001101101111110000110100010000 ? -b10001101101111110000110100010000 D -b10011011111111110011101110010100 % -b10011011111111110011101110010100 . -b10011011111111110011101110010100 6 -b10011011111111110011101110010100 @ -b10011011111111110011101110010100 F -b11010001000000000000000000000000 ) -b0 ( -#392128000 -0& -#392144000 -b1011111101111 , -#392160000 -1& -#392176000 -b11010010100001000101000000000000 " -b11010010100001000101000000000000 4 -b1111111111011010000000000000100 1 -b1111111111011010000000000000100 C -b11010010100001000101000000000000 0 -b11010010100001000101000000000000 H -08 -09 -b1111111111011000011110111010001 2 -b1111111111011000011110111010001 = -b11111111111011110001010110001100 < -b1111111111011000011110111010001 : -b1111111111111010010100001000101 $ -b1111111111111010010100001000101 - -b1111111111111010010100001000101 5 -b1111111111111010010100001000101 ? -b1111111111111010010100001000101 D -b11111111111011110001010110001100 % -b11111111111011110001010110001100 . -b11111111111011110001010110001100 6 -b11111111111011110001010110001100 @ -b11111111111011110001010110001100 F -b11010010100001000101000000000000 ) -#392192000 -0& -#392208000 -b1011111110000 , -#392224000 -1& -#392240000 -b11111111011001111111000000000000 " -b11111111011001111111000000000000 4 -b10111011010110100000100000000000 1 -b10111011010110100000100000000000 C -b11111111011001111111000000000000 0 -b11111111011001111111000000000000 H -18 -b10110111010110001110011111100111 2 -b10110111010110001110011111100111 = -b11111011010110100001100000000111 < -b10110111010110001110011111100111 : -b10111011111111101100111111100000 $ -b10111011111111101100111111100000 - -b10111011111111101100111111100000 5 -b10111011111111101100111111100000 ? -b10111011111111101100111111100000 D -b11111011010110100001100000000111 % -b11111011010110100001100000000111 . -b11111011010110100001100000000111 6 -b11111011010110100001100000000111 @ -b11111011010110100001100000000111 F -b11111111011001111111000000000000 ) -#392256000 -0& -#392272000 -b1011111110001 , -#392288000 -1& -#392304000 -b1110101110111001100010000000000 " -b1110101110111001100010000000000 4 -b101110000000000000010100000000 1 -b101110000000000000010100000000 C -b1110101110111001100010000000000 0 -b1110101110111001100010000000000 H -08 -b101101111111111111110010111011 2 -b101101111111111111110010111011 = -b1101111111000101000010110001010 < -b101101111111111111110010111011 : -b10111110000111010111011100110001 $ -b10111110000111010111011100110001 - -b10111110000111010111011100110001 5 -b10111110000111010111011100110001 ? -b10111110000111010111011100110001 D -b1101111111000101000010110001010 % -b1101111111000101000010110001010 . -b1101111111000101000010110001010 6 -b1101111111000101000010110001010 @ -b1101111111000101000010110001010 F -b1110101110111001100010000000000 ) -#392320000 -0& -#392336000 -b1011111110010 , -#392352000 -1& -#392368000 -b10101110100000000000000000000 " -b10101110100000000000000000000 4 -b1111010000011000010001010010010 1 -b1111010000011000010001010010010 C -b10101110100000000000000000000 0 -b10101110100000000000000000000 H -b1111010000010100000111001001101 2 -b1111010000010100000111001001101 = -b11111111101111011110101110010011 < -b1111010000010100000111001001101 : -b1111010010011000010001010111010 $ -b1111010010011000010001010111010 - -b1111010010011000010001010111010 5 -b1111010010011000010001010111010 ? -b1111010010011000010001010111010 D -b11111111101111011110101110010011 % -b11111111101111011110101110010011 . -b11111111101111011110101110010011 6 -b11111111101111011110101110010011 @ -b11111111101111011110101110010011 F -b10101110100000000000000000000 ) -#392384000 -0& -#392400000 -b1011111110011 , -#392416000 -1& -#392432000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b101111000011010100110000010011 1 -b101111000011010100110000010011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b101111000010101010101110010010 2 -b101111000010101010101110010010 = -b10111111101011010100110000011111 < -b101111000010101010101110010010 : -b1101111010111010101111101110011 $ -b1101111010111010101111101110011 - -b1101111010111010101111101110011 5 -b1101111010111010101111101110011 ? -b1101111010111010101111101110011 D -b10111111101011010100110000011111 % -b10111111101011010100110000011111 . -b10111111101011010100110000011111 6 -b10111111101011010100110000011111 @ -b10111111101011010100110000011111 F -b10000000000000000000000000000000 ) -#392448000 -0& -#392464000 -b1011111110100 , -#392480000 -1& -#392496000 -b100010000100000101010010100100 1 -b100010000100000101010010100100 C -18 -b11100001110100000100110001100001 2 -b11100001110100000100110001100001 = -b100111000101111101010110111101 < -b11100001110100000100110001100001 : -b10111010101110000111011010100100 $ -b10111010101110000111011010100100 - -b10111010101110000111011010100100 5 -b10111010101110000111011010100100 ? -b10111010101110000111011010100100 D -b100111000101111101010110111101 % -b100111000101111101010110111101 . -b100111000101111101010110111101 6 -b100111000101111101010110111101 @ -b100111000101111101010110111101 F -#392512000 -0& -#392528000 -b1011111110101 , -#392544000 -1& -#392560000 -b10101111011011100110000000000000 " -b10101111011011100110000000000000 4 -b101011010110101011001010100100 1 -b101011010110101011001010100100 C -b10101111011011100110000000000000 0 -b10101111011011100110000000000000 H -08 -b101011010110101011001010010010 2 -b101011010110101011001010010010 = -b10101011011111111011101110101100 < -b101011010110101011001010010010 : -b1111111110110101111011011100110 $ -b1111111110110101111011011100110 - -b1111111110110101111011011100110 5 -b1111111110110101111011011100110 ? -b1111111110110101111011011100110 D -b10101011011111111011101110101100 % -b10101011011111111011101110101100 . -b10101011011111111011101110101100 6 -b10101011011111111011101110101100 @ -b10101011011111111011101110101100 F -b10101111011011100110000000000000 ) -#392576000 -0& -#392592000 -b1011111110110 , -#392608000 -1& -#392624000 -b101110011101101110100011100000 " -b101110011101101110100011100000 4 -b101010011001100110100011100000 1 -b101010011001100110100011100000 C -b101110011101101110100011100000 0 -b101110011101101110100011100000 H -b101010011001100101010011000000 2 -b101010011001100101010011000000 = -b11111011111011110110101111100000 < -b101010011001100101010011000000 : -b101110011101101110100011100000 $ -b101110011101101110100011100000 - -b101110011101101110100011100000 5 -b101110011101101110100011100000 ? -b101110011101101110100011100000 D -b11111011111011110110101111100000 % -b11111011111011110110101111100000 . -b11111011111011110110101111100000 6 -b11111011111011110110101111100000 @ -b11111011111011110110101111100000 F -b101110011101101110100011100000 ) -#392640000 -0& -#392656000 -b1011111110111 , -#392672000 -1& -#392688000 -b11111111000011110000000000000000 " -b11111111000011110000000000000000 4 -b1111111011111110000100100000000 1 -b1111111011111110000100100000000 C -b11111111000011110000000000000000 0 -b11111111000011110000000000000000 H -19 -08 -b11111111011111110000100010011111 2 -b11111111011111110000100010011111 = -b1111111011111110000100110010000 < -b11111111011111110000100010011111 : -b1111111111111111111111100001111 $ -b1111111111111111111111100001111 - -b1111111111111111111111100001111 5 -b1111111111111111111111100001111 ? -b1111111111111111111111100001111 D -b1111111011111110000100110010000 % -b1111111011111110000100110010000 . -b1111111011111110000100110010000 6 -b1111111011111110000100110010000 @ -b1111111011111110000100110010000 F -b11111111000011110000000000000000 ) -#392704000 -0& -#392720000 -b1011111111000 , -#392736000 -1& -#392752000 -b10111001010111101000011000001000 " -b10111001010111101000011000001000 4 -b1100110000101110000000010000010 1 -b1100110000101110000000010000010 C -b10111001010111101000011000001000 0 -b10111001010111101000011000001000 H -09 -08 -b1100101100101101110111001100100 2 -b1100101100101101110111001100100 = -b11110111001111110100110011100010 < -b1100101100101101110111001100100 : -b1101110010101111010000110000010 $ -b1101110010101111010000110000010 - -b1101110010101111010000110000010 5 -b1101110010101111010000110000010 ? -b1101110010101111010000110000010 D -b11110111001111110100110011100010 % -b11110111001111110100110011100010 . -b11110111001111110100110011100010 6 -b11110111001111110100110011100010 @ -b11110111001111110100110011100010 F -b10111001010111101000011000001000 ) -#392768000 -0& -#392784000 -b1011111111001 , -#392800000 -1& -#392816000 -b1100101110000000000000000000000 " -b1100101110000000000000000000000 4 -b110101110110100000011001010100 1 -b110101110110100000011001010100 C -b1100101110000000000000000000000 0 -b1100101110000000000000000000000 H -b110101101110011111010101010000 2 -b110101101110011111010101010000 = -b1110111110110111010111011110100 < -b110101101110011111010101010000 : -b10111101110111100100011001011100 $ -b10111101110111100100011001011100 - -b10111101110111100100011001011100 5 -b10111101110111100100011001011100 ? -b10111101110111100100011001011100 D -b1110111110110111010111011110100 % -b1110111110110111010111011110100 . -b1110111110110111010111011110100 6 -b1110111110110111010111011110100 @ -b1110111110110111010111011110100 F -b1100101110000000000000000000000 ) -#392832000 -0& -#392848000 -b1011111111010 , -#392864000 -1& -#392880000 -b11011000100000000000000000000000 " -b11011000100000000000000000000000 4 -b101100101110110010001010110001 1 -b101100101110110010001010110001 C -b11011000100000000000000000000000 0 -b11011000100000000000000000000000 H -b101100011101101101111010101000 2 -b101100011101101101111010101000 = -b10101110101110110010001011110111 < -b101100011101101101111010101000 : -b1111101101110111011101110110001 $ -b1111101101110111011101110110001 - -b1111101101110111011101110110001 5 -b1111101101110111011101110110001 ? -b1111101101110111011101110110001 D -b10101110101110110010001011110111 % -b10101110101110110010001011110111 . -b10101110101110110010001011110111 6 -b10101110101110110010001011110111 @ -b10101110101110110010001011110111 F -b11011000100000000000000000000000 ) -#392896000 -0& -#392912000 -b1011111111011 , -#392928000 -1& -#392944000 -b10110011111111011110101111011010 " -b10110011111111011110101111011010 4 -b11010000100100100110010101000001 1 -b11010000100100100110010101000001 C -b10110011111111011110101111011010 0 -b10110011111111011110101111011010 H -18 -b10101110100100100110010100101110 2 -b10101110100100100110010100101110 = -b11010100100100110110111101000001 < -b10101110100100100110010100101110 : -b11011001111111101111010111101101 $ -b11011001111111101111010111101101 - -b11011001111111101111010111101101 5 -b11011001111111101111010111101101 ? -b11011001111111101111010111101101 D -b11010100100100110110111101000001 % -b11010100100100110110111101000001 . -b11010100100100110110111101000001 6 -b11010100100100110110111101000001 @ -b11010100100100110110111101000001 F -b10110011111111011110101111011010 ) -#392960000 -0& -#392976000 -b1011111111100 , -#392992000 -1& -#393008000 -b10001001000000000000000000000000 " -b10001001000000000000000000000000 4 -b111011101011100011000110001000 1 -b111011101011100011000110001000 C -b10001001000000000000000000000000 0 -b10001001000000000000000000000000 H -08 -b111011101011010010110100100001 2 -b111011101011010010110100100001 = -b11111011101011101011101110011000 < -b111011101011010010110100100001 : -b111111111111100111000110001001 $ -b111111111111100111000110001001 - -b111111111111100111000110001001 5 -b111111111111100111000110001001 ? -b111111111111100111000110001001 D -b11111011101011101011101110011000 % -b11111011101011101011101110011000 . -b11111011101011101011101110011000 6 -b11111011101011101011101110011000 @ -b11111011101011101011101110011000 F -b10001001000000000000000000000000 ) -#393024000 -0& -#393040000 -b1011111111101 , -#393056000 -1& -#393072000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b101011111100110000000010010100 1 -b101011111100110000000010010100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b11011111100100001110100110011 2 -b11011111100100001110100110011 = -b10101111111100110001000010011101 < -b11011111100100001110100110011 : -b1101011111111110000110010010110 $ -b1101011111111110000110010010110 - -b1101011111111110000110010010110 5 -b1101011111111110000110010010110 ? -b1101011111111110000110010010110 D -b10101111111100110001000010011101 % -b10101111111100110001000010011101 . -b10101111111100110001000010011101 6 -b10101111111100110001000010011101 @ -b10101111111100110001000010011101 F -b11000000000000000000000000000000 ) -#393088000 -0& -#393104000 -b1011111111110 , -#393120000 -1& -#393136000 -b1011111001101110101110111010000 " -b1011111001101110101110111010000 4 -b1000001011000000100100010100010 1 -b1000001011000000100100010100010 C -b1011111001101110101110111010000 0 -b1011111001101110101110111010000 H -b1000001011000000011010001011101 2 -b1000001011000000011010001011101 = -b1110101011110010100100010100011 < -b1000001011000000011010001011101 : -b11001011111001101110101110111010 $ -b11001011111001101110101110111010 - -b11001011111001101110101110111010 5 -b11001011111001101110101110111010 ? -b11001011111001101110101110111010 D -b1110101011110010100100010100011 % -b1110101011110010100100010100011 . -b1110101011110010100100010100011 6 -b1110101011110010100100010100011 @ -b1110101011110010100100010100011 F -b1011111001101110101110111010000 ) -#393152000 -0& -#393168000 -b1011111111111 , -#393184000 -1& -#393200000 -b1011110101101110001011000000000 " -b1011110101101110001011000000000 4 -b1000001101011010001001010001001 1 -b1000001101011010001001010001001 C -b1011110101101110001011000000000 0 -b1011110101101110001011000000000 H -19 -08 -b10101101101011001111001001110100 2 -b10101101101011001111001001110100 = -b1101011111111011001011011101001 < -b10101101101011001111001001110100 : -b1000001101011110101101110001011 $ -b1000001101011110101101110001011 - -b1000001101011110101101110001011 5 -b1000001101011110101101110001011 ? -b1000001101011110101101110001011 D -b1101011111111011001011011101001 % -b1101011111111011001011011101001 . -b1101011111111011001011011101001 6 -b1101011111111011001011011101001 @ -b1101011111111011001011011101001 F -b1011110101101110001011000000000 ) -#393216000 -0& -#393232000 -b1100000000000 , -#393248000 -1& -#393264000 -b1111100100011001001000000000000 " -b1111100100011001001000000000000 4 -b10001100011110000000100000010 1 -b10001100011110000000100000010 C -b1111100100011001001000000000000 0 -b1111100100011001001000000000000 H -18 -09 -b11110001011111101101011011011101 2 -b11110001011111101101011011011101 = -b11111110011110100010101001011 < -b11110001011111101101011011011101 : -b11010001101011111001000110010010 $ -b11010001101011111001000110010010 - -b11010001101011111001000110010010 5 -b11010001101011111001000110010010 ? -b11010001101011111001000110010010 D -b11111110011110100010101001011 % -b11111110011110100010101001011 . -b11111110011110100010101001011 6 -b11111110011110100010101001011 @ -b11111110011110100010101001011 F -b1111100100011001001000000000000 ) -#393280000 -0& -#393296000 -b1100000000001 , -#393312000 -1& -#393328000 -b10111001111000000000000000000000 " -b10111001111000000000000000000000 4 -b1000100111100101100000000010100 1 -b1000100111100101100000000010100 C -b10111001111000000000000000000000 0 -b10111001111000000000000000000000 H -08 -b1000100111010101010101111010010 2 -b1000100111010101010101111010010 = -b11111100111100101100000000110100 < -b1000100111010101010101111010010 : -b1000111111101111110101110011110 $ -b1000111111101111110101110011110 - -b1000111111101111110101110011110 5 -b1000111111101111110101110011110 ? -b1000111111101111110101110011110 D -b11111100111100101100000000110100 % -b11111100111100101100000000110100 . -b11111100111100101100000000110100 6 -b11111100111100101100000000110100 @ -b11111100111100101100000000110100 F -b10111001111000000000000000000000 ) -#393344000 -0& -#393360000 -b1100000000010 , -#393376000 -1& -#393392000 -b10001011000111000000000000000000 " -b10001011000111000000000000000000 4 -b1101011001110010010000011000010 1 -b1101011001110010010000011000010 C -b10001011000111000000000000000000 0 -b10001011000111000000000000000000 H -b1101011001110000100100010111001 2 -b1101011001110000100100010111001 = -b1111111001111110010010111110010 < -b1101011001110000100100010111001 : -b11101011111110010010001011000111 $ -b11101011111110010010001011000111 - -b11101011111110010010001011000111 5 -b11101011111110010010001011000111 ? -b11101011111110010010001011000111 D -b1111111001111110010010111110010 % -b1111111001111110010010111110010 . -b1111111001111110010010111110010 6 -b1111111001111110010010111110010 @ -b1111111001111110010010111110010 F -b10001011000111000000000000000000 ) -#393408000 -0& -#393424000 -b1100000000011 , -#393440000 -1& -#393456000 -b10111010010110000000010110000000 " -b10111010010110000000010110000000 4 -b1001101010101001001000000000011 1 -b1001101010101001001000000000011 C -b10111010010110000000010110000000 0 -b10111010010110000000010110000000 H -b111100110010111000010101110010 2 -b111100110010111000010101110010 = -b11101111010101101101010101100111 < -b111100110010111000010101110010 : -b1001101011101001011000000001011 $ -b1001101011101001011000000001011 - -b1001101011101001011000000001011 5 -b1001101011101001011000000001011 ? -b1001101011101001011000000001011 D -b11101111010101101101010101100111 % -b11101111010101101101010101100111 . -b11101111010101101101010101100111 6 -b11101111010101101101010101100111 @ -b11101111010101101101010101100111 F -b10111010010110000000010110000000 ) -#393472000 -0& -#393488000 -b1100000000100 , -#393504000 -1& -#393520000 -b10110110011110110011000000000000 " -b10110110011110110011000000000000 4 -b1011111011000100000000100100000 1 -b1011111011000100000000100100000 C -b10110110011110110011000000000000 0 -b10110110011110110011000000000000 H -b1011110111000011111100100011111 2 -b1011110111000011111100100011111 = -b1111111011101101001000101101100 < -b1011110111000011111100100011111 : -b11011111011010110110011110110011 $ -b11011111011010110110011110110011 - -b11011111011010110110011110110011 5 -b11011111011010110110011110110011 ? -b11011111011010110110011110110011 D -b1111111011101101001000101101100 % -b1111111011101101001000101101100 . -b1111111011101101001000101101100 6 -b1111111011101101001000101101100 @ -b1111111011101101001000101101100 F -b10110110011110110011000000000000 ) -#393536000 -0& -#393552000 -b1100000000101 , -#393568000 -1& -#393584000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b1111111010011001000000000010000 1 -b1111111010011001000000000010000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b1111110110010100101111011101111 2 -b1111110110010100101111011101111 = -b11111111011111001000101001011101 < -b1111110110010100101111011101111 : -b1111111010011011101010010010010 $ -b1111111010011011101010010010010 - -b1111111010011011101010010010010 5 -b1111111010011011101010010010010 ? -b1111111010011011101010010010010 D -b11111111011111001000101001011101 % -b11111111011111001000101001011101 . -b11111111011111001000101001011101 6 -b11111111011111001000101001011101 @ -b11111111011111001000101001011101 F -b1000000000000000000000000000000 ) -#393600000 -0& -#393616000 -b1100000000110 , -#393632000 -1& -#393648000 -b10111101000000000000000000000 " -b10111101000000000000000000000 4 -b11110001010101001000010010101 1 -b11110001010101001000010010101 C -b10111101000000000000000000000 0 -b10111101000000000000000000000 H -b1011110001001101000110110010010 2 -b1011110001001101000110110010010 = -b111110011110111001110011010101 < -b1011110001001101000110110010010 : -b11111101010101111000010111101 $ -b11111101010101111000010111101 - -b11111101010101111000010111101 5 -b11111101010101111000010111101 ? -b11111101010101111000010111101 D -b111110011110111001110011010101 % -b111110011110111001110011010101 . -b111110011110111001110011010101 6 -b111110011110111001110011010101 @ -b111110011110111001110011010101 F -b10111101000000000000000000000 ) -#393664000 -0& -#393680000 -b1100000000111 , -#393696000 -1& -#393712000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b1000111111001110000100010001110 1 -b1000111111001110000100010001110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -09 -b110111111001110000100001001101 2 -b110111111001110000100001001101 = -b1101111111001110001101010111110 < -b110111111001110000100001001101 : -b11000111111111111110110110001111 $ -b11000111111111111110110110001111 - -b11000111111111111110110110001111 5 -b11000111111111111110110110001111 ? -b11000111111111111110110110001111 D -b1101111111001110001101010111110 % -b1101111111001110001101010111110 . -b1101111111001110001101010111110 6 -b1101111111001110001101010111110 @ -b1101111111001110001101010111110 F -b11000000000000000000000000000000 ) -#393728000 -0& -#393744000 -b1100000001000 , -#393760000 -1& -#393776000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b11011011101011000100001100001100 1 -b11011011101011000100001100001100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -18 -b11011011101010100011111100001010 2 -b11011011101010100011111100001010 = -b11111011101111001111001110111100 < -b11011011101010100011111100001010 : -b11011111111011010100101101001110 $ -b11011111111011010100101101001110 - -b11011111111011010100101101001110 5 -b11011111111011010100101101001110 ? -b11011111111011010100101101001110 D -b11111011101111001111001110111100 % -b11111011101111001111001110111100 . -b11111011101111001111001110111100 6 -b11111011101111001111001110111100 @ -b11111011101111001111001110111100 F -b11100000000000000000000000000000 ) -#393792000 -0& -#393808000 -b1100000001001 , -#393824000 -1& -#393840000 -b11110001111100010110010000000000 " -b11110001111100010110010000000000 4 -b11101001011111000110000001001000 1 -b11101001011111000110000001001000 C -b11110001111100010110010000000000 0 -b11110001111100010110010000000000 H -b11101001011110011101110100100011 2 -b11101001011110011101110100100011 = -b11111001011111010110000011001010 < -b11101001011110011101110100100011 : -b11101111111111000111110001011001 $ -b11101111111111000111110001011001 - -b11101111111111000111110001011001 5 -b11101111111111000111110001011001 ? -b11101111111111000111110001011001 D -b11111001011111010110000011001010 % -b11111001011111010110000011001010 . -b11111001011111010110000011001010 6 -b11111001011111010110000011001010 @ -b11111001011111010110000011001010 F -b11110001111100010110010000000000 ) -#393856000 -0& -#393872000 -b1100000001010 , -#393888000 -1& -#393904000 -1! -b0 " -b0 4 -b1101011001111100010001100010010 1 -b1101011001111100010001100010010 C -b0 0 -b0 H -08 -b1100111001111011100111010110001 2 -b1100111001111011100111010110001 = -b1111011101111100010001100011111 < -1# -b1100111001111011100111010110001 : -b11101011011111111010101110010010 $ -b11101011011111111010101110010010 - -b11101011011111111010101110010010 5 -b11101011011111111010101110010010 ? -b11101011011111111010101110010010 D -b1111011101111100010001100011111 % -b1111011101111100010001100011111 . -b1111011101111100010001100011111 6 -b1111011101111100010001100011111 @ -b1111011101111100010001100011111 F -b0 ) -b1 ( -#393920000 -0& -#393936000 -b1100000001011 , -#393952000 -1& -#393968000 -b1111111000111110010000000000100 1 -b1111111000111110010000000000100 C -b1111111000111110000001111100010 2 -b1111111000111110000001111100010 = -b1111111110111110110000110011110 < -b1111111000111110000001111100010 : -b11111111001111111010001001000100 $ -b11111111001111111010001001000100 - -b11111111001111111010001001000100 5 -b11111111001111111010001001000100 ? -b11111111001111111010001001000100 D -b1111111110111110110000110011110 % -b1111111110111110110000110011110 . -b1111111110111110110000110011110 6 -b1111111110111110110000110011110 @ -b1111111110111110110000110011110 F -#393984000 -0& -#394000000 -b1100000001100 , -#394016000 -1& -#394032000 -0! -b110101111111110001010110100000 " -b110101111111110001010110100000 4 -b1010111011001000101000000000 1 -b1010111011001000101000000000 C -b110101111111110001010110100000 0 -b110101111111110001010110100000 H -19 -08 -b10001010111011000010100011010001 2 -b10001010111011000010100011010001 = -b1101111111011001001111000000001 < -0# -b10001010111011000010100011010001 : -b11010111111111000101011010000 $ -b11010111111111000101011010000 - -b11010111111111000101011010000 5 -b11010111111111000101011010000 ? -b11010111111111000101011010000 D -b1101111111011001001111000000001 % -b1101111111011001001111000000001 . -b1101111111011001001111000000001 6 -b1101111111011001001111000000001 @ -b1101111111011001001111000000001 F -b110101111111110001010110100000 ) -b0 ( -#394048000 -0& -#394064000 -b1100000001101 , -#394080000 -1& -#394096000 -b11110101000001001001010000000000 " -b11110101000001001001010000000000 4 -b1101011111010101000000000001000 1 -b1101011111010101000000000001000 C -b11110101000001001001010000000000 0 -b11110101000001001001010000000000 H -09 -08 -b1100111111010100001001011110011 2 -b1100111111010100001001011110011 = -b11101011111011111001000010101001 < -b1100111111010100001001011110011 : -b1111011111110101000001001001010 $ -b1111011111110101000001001001010 - -b1111011111110101000001001001010 5 -b1111011111110101000001001001010 ? -b1111011111110101000001001001010 D -b11101011111011111001000010101001 % -b11101011111011111001000010101001 . -b11101011111011111001000010101001 6 -b11101011111011111001000010101001 @ -b11101011111011111001000010101001 F -b11110101000001001001010000000000 ) -#394112000 -0& -#394128000 -b1100000001110 , -#394144000 -1& -#394160000 -b10001111110001000000000000000000 " -b10001111110001000000000000000000 4 -b11110010110100000000010010000 1 -b11110010110100000000010010000 C -b10001111110001000000000000000000 0 -b10001111110001000000000000000000 H -19 -08 -b10011110010110011110110010000011 2 -b10011110010110011110110010000011 = -b11110111110100000100010010010 < -b10011110010110011110110010000011 : -b1111111010111111110001111110001 $ -b1111111010111111110001111110001 - -b1111111010111111110001111110001 5 -b1111111010111111110001111110001 ? -b1111111010111111110001111110001 D -b11110111110100000100010010010 % -b11110111110100000100010010010 . -b11110111110100000100010010010 6 -b11110111110100000100010010010 @ -b11110111110100000100010010010 F -b10001111110001000000000000000000 ) -#394176000 -0& -#394192000 -b1100000001111 , -#394208000 -1& -#394224000 -b11101110011000101000000000000000 " -b11101110011000101000000000000000 4 -b110101000101110100010011000101 1 -b110101000101110100010011000101 C -b11101110011000101000000000000000 0 -b11101110011000101000000000000000 H -18 -09 -b11110100110101110010000110010100 2 -b11110100110101110010000110010100 = -b110101001111110100010011001111 < -b11110100110101110010000110010100 : -b10111111100101111101110011000101 $ -b10111111100101111101110011000101 - -b10111111100101111101110011000101 5 -b10111111100101111101110011000101 ? -b10111111100101111101110011000101 D -b110101001111110100010011001111 % -b110101001111110100010011001111 . -b110101001111110100010011001111 6 -b110101001111110100010011001111 @ -b110101001111110100010011001111 F -b11101110011000101000000000000000 ) -#394240000 -0& -#394256000 -b1100000010000 , -#394272000 -1& -#394288000 -b10110111011010110001100101110000 " -b10110111011010110001100101110000 4 -b100011001101100000000100000100 1 -b100011001101100000000100000100 C -b10110111011010110001100101110000 0 -b10110111011010110001100101110000 H -08 -b10011001011001111111011111011 2 -b10011001011001111111011111011 = -b1100111101101100100110101100100 < -b10011001011001111111011111011 : -b10101011011101101011000110010111 $ -b10101011011101101011000110010111 - -b10101011011101101011000110010111 5 -b10101011011101101011000110010111 ? -b10101011011101101011000110010111 D -b1100111101101100100110101100100 % -b1100111101101100100110101100100 . -b1100111101101100100110101100100 6 -b1100111101101100100110101100100 @ -b1100111101101100100110101100100 F -b10110111011010110001100101110000 ) -#394304000 -0& -#394320000 -b1100000010001 , -#394336000 -1& -#394352000 -b11010011011111111111110101011100 " -b11010011011111111111110101011100 4 -b110100000110101001011101000010 1 -b110100000110101001011101000010 C -b11010011011111111111110101011100 0 -b11010011011111111111110101011100 H -b110100000110101001011100011001 2 -b110100000110101001011100011001 = -b11111111001110101001011111000010 < -b110100000110101001011100011001 : -b110100110111111111111101010111 $ -b110100110111111111111101010111 - -b110100110111111111111101010111 5 -b110100110111111111111101010111 ? -b110100110111111111111101010111 D -b11111111001110101001011111000010 % -b11111111001110101001011111000010 . -b11111111001110101001011111000010 6 -b11111111001110101001011111000010 @ -b11111111001110101001011111000010 F -b11010011011111111111110101011100 ) -#394368000 -0& -#394384000 -b1100000010010 , -#394400000 -1& -#394416000 -b1111010101000111111110101110001 " -b1111010101000111111110101110001 4 -b1101000101000111101100000100000 1 -b1101000101000111101100000100000 C -b1111010101000111111110101110001 0 -b1111010101000111111110101110001 H -19 -08 -b11101000100111111101011110010001 2 -b11101000100111111101011110010001 = -b1101101111110111101101000100000 < -b11101000100111111101011110010001 : -b1111010101000111111110101110001 $ -b1111010101000111111110101110001 - -b1111010101000111111110101110001 5 -b1111010101000111111110101110001 ? -b1111010101000111111110101110001 D -b1101101111110111101101000100000 % -b1101101111110111101101000100000 . -b1101101111110111101101000100000 6 -b1101101111110111101101000100000 @ -b1101101111110111101101000100000 F -b1111010101000111111110101110001 ) -#394432000 -0& -#394448000 -b1100000010011 , -#394464000 -1& -#394480000 -b1110000110100000000000000000 " -b1110000110100000000000000000 4 -b10100000011001100000010000000001 1 -b10100000011001100000010000000001 C -b1110000110100000000000000000 0 -b1110000110100000000000000000 H -18 -09 -b10100000011001010011101100011110 2 -b10100000011001010011101100011110 = -b11111011111001100011010000010001 < -b10100000011001010011101100011110 : -b10100100011111110000011100001101 $ -b10100100011111110000011100001101 - -b10100100011111110000011100001101 5 -b10100100011111110000011100001101 ? -b10100100011111110000011100001101 D -b11111011111001100011010000010001 % -b11111011111001100011010000010001 . -b11111011111001100011010000010001 6 -b11111011111001100011010000010001 @ -b11111011111001100011010000010001 F -b1110000110100000000000000000 ) -#394496000 -0& -#394512000 -b1100000010100 , -#394528000 -1& -#394544000 -b11101111100111001111101111100000 " -b11101111100111001111101111100000 4 -b1111010111110010000100000000100 1 -b1111010111110010000100000000100 C -b11101111100111001111101111100000 0 -b11101111100111001111101111100000 H -08 -b1111010111101001110100000000010 2 -b1111010111101001110100000000010 = -b11111011111110110001100001000100 < -b1111010111101001110100000000010 : -b1111110111110011100111110111110 $ -b1111110111110011100111110111110 - -b1111110111110011100111110111110 5 -b1111110111110011100111110111110 ? -b1111110111110011100111110111110 D -b11111011111110110001100001000100 % -b11111011111110110001100001000100 . -b11111011111110110001100001000100 6 -b11111011111110110001100001000100 @ -b11111011111110110001100001000100 F -b11101111100111001111101111100000 ) -#394560000 -0& -#394576000 -b1100000010101 , -#394592000 -1& -#394608000 -b10111111011001110110010000000000 " -b10111111011001110110010000000000 4 -b1001100101100001001100100000 1 -b1001100101100001001100100000 C -b10111111011001110110010000000000 0 -b10111111011001110110010000000000 H -18 -b11000111100101100000101011011011 2 -b11000111100101100000101011011011 = -b10011001101101100101011100101001 < -b11000111100101100000101011011011 : -b101101110111111011001110110010 $ -b101101110111111011001110110010 - -b101101110111111011001110110010 5 -b101101110111111011001110110010 ? -b101101110111111011001110110010 D -b10011001101101100101011100101001 % -b10011001101101100101011100101001 . -b10011001101101100101011100101001 6 -b10011001101101100101011100101001 @ -b10011001101101100101011100101001 F -b10111111011001110110010000000000 ) -#394624000 -0& -#394640000 -b1100000010110 , -#394656000 -1& -#394672000 -b11101011110111100101011001011100 " -b11101011110111100101011001011100 4 -b110101110010010010001000000000 1 -b110101110010010010001000000000 C -b11101011110111100101011001011100 0 -b11101011110111100101011001011100 H -08 -19 -b10110011101110000110000110101111 2 -b10110011101110000110000110101111 = -b111101110010010011011010000001 < -b10110011101110000110000110101111 : -b1110101111011110010101100101110 $ -b1110101111011110010101100101110 - -b1110101111011110010101100101110 5 -b1110101111011110010101100101110 ? -b1110101111011110010101100101110 D -b111101110010010011011010000001 % -b111101110010010011011010000001 . -b111101110010010011011010000001 6 -b111101110010010011011010000001 @ -b111101110010010011011010000001 F -b11101011110111100101011001011100 ) -#394688000 -0& -#394704000 -b1100000010111 , -#394720000 -1& -#394736000 -b11001011100000000000000000000000 " -b11001011100000000000000000000000 4 -b1101001010011100100000000010111 1 -b1101001010011100100000000010111 C -b11001011100000000000000000000000 0 -b11001011100000000000000000000000 H -09 -08 -b1101001010011011011011000001110 2 -b1101001010011011011011000001110 = -b11111011011111110111000001110111 < -b1101001010011011011011000001110 : -b1101101110011100100010110010111 $ -b1101101110011100100010110010111 - -b1101101110011100100010110010111 5 -b1101101110011100100010110010111 ? -b1101101110011100100010110010111 D -b11111011011111110111000001110111 % -b11111011011111110111000001110111 . -b11111011011111110111000001110111 6 -b11111011011111110111000001110111 @ -b11111011011111110111000001110111 F -b11001011100000000000000000000000 ) -#394752000 -0& -#394768000 -b1100000011000 , -#394784000 -1& -#394800000 -b10100101101101000000000000000000 " -b10100101101101000000000000000000 4 -b110010101100110000001001010000 1 -b110010101100110000001001010000 C -b10100101101101000000000000000000 0 -b10100101101101000000000000000000 H -b110010101100101101100100101011 2 -b110010101100101101100100101011 = -b1111111101111111000011001010001 < -b110010101100101101100100101011 : -b10110010111100110101001011011010 $ -b10110010111100110101001011011010 - -b10110010111100110101001011011010 5 -b10110010111100110101001011011010 ? -b10110010111100110101001011011010 D -b1111111101111111000011001010001 % -b1111111101111111000011001010001 . -b1111111101111111000011001010001 6 -b1111111101111111000011001010001 @ -b1111111101111111000011001010001 F -b10100101101101000000000000000000 ) -#394816000 -0& -#394832000 -b1100000011001 , -#394848000 -1& -#394864000 -b111111111111111001010111110000 " -b111111111111111001010111110000 4 -b101110111111111000010000100000 1 -b101110111111111000010000100000 C -b111111111111111001010111110000 0 -b111111111111111001010111110000 H -b101110111111110011110000010000 2 -b101110111111110011110000010000 = -b11101110111111111010011000100000 < -b101110111111110011110000010000 : -b111111111111111001010111110000 $ -b111111111111111001010111110000 - -b111111111111111001010111110000 5 -b111111111111111001010111110000 ? -b111111111111111001010111110000 D -b11101110111111111010011000100000 % -b11101110111111111010011000100000 . -b11101110111111111010011000100000 6 -b11101110111111111010011000100000 @ -b11101110111111111010011000100000 F -b111111111111111001010111110000 ) -#394880000 -0& -#394896000 -b1100000011010 , -#394912000 -1& -#394928000 -b111111011110110001100000000000 " -b111111011110110001100000000000 4 -b11010001000001010100010011000010 1 -b11010001000001010100010011000010 C -b111111011110110001100000000000 0 -b111111011110110001100000000000 H -18 -b11001111000001010010001110110000 2 -b11001111000001010010001110110000 = -b11010001001101010100010011101010 < -b11001111000001010010001110110000 : -b11111101110011111101111011000110 $ -b11111101110011111101111011000110 - -b11111101110011111101111011000110 5 -b11111101110011111101111011000110 ? -b11111101110011111101111011000110 D -b11010001001101010100010011101010 % -b11010001001101010100010011101010 . -b11010001001101010100010011101010 6 -b11010001001101010100010011101010 @ -b11010001001101010100010011101010 F -b111111011110110001100000000000 ) -#394944000 -0& -#394960000 -b1100000011011 , -#394976000 -1& -#394992000 -b11111100011011000000000000000000 " -b11111100011011000000000000000000 4 -b1110100111100010010100100010010 1 -b1110100111100010010100100010010 C -b11111100011011000000000000000000 0 -b11111100011011000000000000000000 H -08 -19 -b11101100111100001010100100001101 2 -b11101100111100001010100100001101 = -b1110100111111110110100111110010 < -b11101100111100001010100100001101 : -b1110111111100010011111100011011 $ -b1110111111100010011111100011011 - -b1110111111100010011111100011011 5 -b1110111111100010011111100011011 ? -b1110111111100010011111100011011 D -b1110100111111110110100111110010 % -b1110100111111110110100111110010 . -b1110100111111110110100111110010 6 -b1110100111111110110100111110010 @ -b1110100111111110110100111110010 F -b11111100011011000000000000000000 ) -#395008000 -0& -#395024000 -b1100000011100 , -#395040000 -1& -#395056000 -1! -b0 " -b0 4 -b1111011010010010000000001110000 1 -b1111011010010010000000001110000 C -b0 0 -b0 H -09 -08 -b1111010101010000111111101101101 2 -b1111010101010000111111101101101 = -b1111111010111010101001001111101 < -1# -b1111010101010000111111101101101 : -b11111011010010110010110011110000 $ -b11111011010010110010110011110000 - -b11111011010010110010110011110000 5 -b11111011010010110010110011110000 ? -b11111011010010110010110011110000 D -b1111111010111010101001001111101 % -b1111111010111010101001001111101 . -b1111111010111010101001001111101 6 -b1111111010111010101001001111101 @ -b1111111010111010101001001111101 F -b0 ) -b1 ( -#395072000 -0& -#395088000 -b1100000011101 , -#395104000 -1& -#395120000 -0! -b11100000000000110001110000000000 " -b11100000000000110001110000000000 4 -b101101101010000000000001000010 1 -b101101101010000000000001000010 C -b11100000000000110001110000000000 0 -b11100000000000110001110000000000 H -18 -b11101101101001101001011000110001 2 -b11101101101001101001011000110001 = -b101111111011101001010101101010 < -0# -b11101101101001101001011000110001 : -b10111101101110000000000011000111 $ -b10111101101110000000000011000111 - -b10111101101110000000000011000111 5 -b10111101101110000000000011000111 ? -b10111101101110000000000011000111 D -b101111111011101001010101101010 % -b101111111011101001010101101010 . -b101111111011101001010101101010 6 -b101111111011101001010101101010 @ -b101111111011101001010101101010 F -b11100000000000110001110000000000 ) -b0 ( -#395136000 -0& -#395152000 -b1100000011110 , -#395168000 -1& -#395184000 -b11000011101000010000000000000000 " -b11000011101000010000000000000000 4 -b111000101110110100001000000000 1 -b111000101110110100001000000000 C -b11000011101000010000000000000000 0 -b11000011101000010000000000000000 H -08 -b111000101101110010010110110001 2 -b111000101101110010010110110001 = -b10111111111110110110001000010000 < -b111000101101110010010110110001 : -b1111000101110111100001110100001 $ -b1111000101110111100001110100001 - -b1111000101110111100001110100001 5 -b1111000101110111100001110100001 ? -b1111000101110111100001110100001 D -b10111111111110110110001000010000 % -b10111111111110110110001000010000 . -b10111111111110110110001000010000 6 -b10111111111110110110001000010000 @ -b10111111111110110110001000010000 F -b11000011101000010000000000000000 ) -#395200000 -0& -#395216000 -b1100000011111 , -#395232000 -1& -#395248000 -b11101011111111011000111101000000 " -b11101011111111011000111101000000 4 -b1010001110111101000001000000000 1 -b1010001110111101000001000000000 C -b11101011111111011000111101000000 0 -b11101011111111011000111101000000 H -19 -08 -b11010001110111011000000111100001 2 -b11010001110111011000000111100001 = -b1011011110111101011101001000001 < -b11010001110111011000000111100001 : -b1110101111111101100011110100000 $ -b1110101111111101100011110100000 - -b1110101111111101100011110100000 5 -b1110101111111101100011110100000 ? -b1110101111111101100011110100000 D -b1011011110111101011101001000001 % -b1011011110111101011101001000001 . -b1011011110111101011101001000001 6 -b1011011110111101011101001000001 @ -b1011011110111101011101001000001 F -b11101011111111011000111101000000 ) -#395264000 -0& -#395280000 -b1100000100000 , -#395296000 -1& -#395312000 -1! -b0 " -b0 4 -b1101010011010010100011000110010 1 -b1101010011010010100011000110010 C -b0 0 -b0 H -b11101010011010001010010111110001 2 -b11101010011010001010010111110001 = -b1111110011111010101011000111111 < -1# -b11101010011010001010010111110001 : -b1101011111010110100111110110010 $ -b1101011111010110100111110110010 - -b1101011111010110100111110110010 5 -b1101011111010110100111110110010 ? -b1101011111010110100111110110010 D -b1111110011111010101011000111111 % -b1111110011111010101011000111111 . -b1111110011111010101011000111111 6 -b1111110011111010101011000111111 @ -b1111110011111010101011000111111 F -b0 ) -b1 ( -#395328000 -0& -#395344000 -b1100000100001 , -#395360000 -1& -#395376000 -0! -b1000001010000000000000000000000 " -b1000001010000000000000000000000 4 -b1101001010111010000010100000000 1 -b1101001010111010000010100000000 C -b1000001010000000000000000000000 0 -b1000001010000000000000000000000 H -b11100100110111000101110010001110 2 -b11100100110111000101110010001110 = -b1101001011111110101011110001110 < -0# -b11100100110111000101110010001110 : -b1111011010111010000010100000000 $ -b1111011010111010000010100000000 - -b1111011010111010000010100000000 5 -b1111011010111010000010100000000 ? -b1111011010111010000010100000000 D -b1101001011111110101011110001110 % -b1101001011111110101011110001110 . -b1101001011111110101011110001110 6 -b1101001011111110101011110001110 @ -b1101001011111110101011110001110 F -b1000001010000000000000000000000 ) -b0 ( -#395392000 -0& -#395408000 -b1100000100010 , -#395424000 -1& -#395440000 -b1111001111111110001101101000000 " -b1111001111111110001101101000000 4 -b111001111111010001001100000000 1 -b111001111111010001001100000000 C -b1111001111111110001101101000000 0 -b1111001111111110001101101000000 H -b10110111111111000110111011000000 2 -b10110111111111000110111011000000 = -b111101111111010101001110000000 < -b10110111111111000110111011000000 : -b1111001111111110001101101000000 $ -b1111001111111110001101101000000 - -b1111001111111110001101101000000 5 -b1111001111111110001101101000000 ? -b1111001111111110001101101000000 D -b111101111111010101001110000000 % -b111101111111010101001110000000 . -b111101111111010101001110000000 6 -b111101111111010101001110000000 @ -b111101111111010101001110000000 F -b1111001111111110001101101000000 ) -#395456000 -0& -#395472000 -b1100000100011 , -#395488000 -1& -#395504000 -b1111111101011000110100100000100 " -b1111111101011000110100100000100 4 -b1011110101010010001100001000000 1 -b1011110101010010001100001000000 C -b1111111101011000110100100000100 0 -b1111111101011000110100100000100 H -09 -08 -b111110100110001001011100000011 2 -b111110100110001001011100000011 = -b11011110101011010111110011000010 < -b111110100110001001011100000011 : -b1011111111010110001101001000001 $ -b1011111111010110001101001000001 - -b1011111111010110001101001000001 5 -b1011111111010110001101001000001 ? -b1011111111010110001101001000001 D -b11011110101011010111110011000010 % -b11011110101011010111110011000010 . -b11011110101011010111110011000010 6 -b11011110101011010111110011000010 @ -b11011110101011010111110011000010 F -b1111111101011000110100100000100 ) -#395520000 -0& -#395536000 -b1100000100100 , -#395552000 -1& -#395568000 -b11010011001011101000000000000000 " -b11010011001011101000000000000000 4 -b1110110010010010000100000101010 1 -b1110110010010010000100000101010 C -b11010011001011101000000000000000 0 -b11010011001011101000000000000000 H -b1110110010001000110011111101000 2 -b1110110010001000110011111101000 = -b1111111011010010001101100101110 < -b1110110010001000110011111101000 : -b11110110110110110100110010111010 $ -b11110110110110110100110010111010 - -b11110110110110110100110010111010 5 -b11110110110110110100110010111010 ? -b11110110110110110100110010111010 D -b1111111011010010001101100101110 % -b1111111011010010001101100101110 . -b1111111011010010001101100101110 6 -b1111111011010010001101100101110 @ -b1111111011010010001101100101110 F -b11010011001011101000000000000000 ) -#395584000 -0& -#395600000 -b1100000100101 , -#395616000 -1& -#395632000 -b111000001011010111100000000000 " -b111000001011010111100000000000 4 -b10000110000100000000101001010 1 -b10000110000100000000101001010 C -b111000001011010111100000000000 0 -b111000001011010111100000000000 H -18 -b11110000101100010110110010101000 2 -b11110000101100010110110010101000 = -b1011011111000110110000101001010 < -b11110000101100010110110010101000 : -b10010100110011100000101101011110 $ -b10010100110011100000101101011110 - -b10010100110011100000101101011110 5 -b10010100110011100000101101011110 ? -b10010100110011100000101101011110 D -b1011011111000110110000101001010 % -b1011011111000110110000101001010 . -b1011011111000110110000101001010 6 -b1011011111000110110000101001010 @ -b1011011111000110110000101001010 F -b111000001011010111100000000000 ) -#395648000 -0& -#395664000 -b1100000100110 , -#395680000 -1& -#395696000 -b10000011100001011110000000000000 " -b10000011100001011110000000000000 4 -b101111011010000001100001001100 1 -b101111011010000001100001001100 C -b10000011100001011110000000000000 0 -b10000011100001011110000000000000 H -08 -b101111010100011101001010101010 2 -b101111010100011101001010101010 = -b10111111011010011001101001001100 < -b101111010100011101001010101010 : -b1101111111010000011100001011110 $ -b1101111111010000011100001011110 - -b1101111111010000011100001011110 5 -b1101111111010000011100001011110 ? -b1101111111010000011100001011110 D -b10111111011010011001101001001100 % -b10111111011010011001101001001100 . -b10111111011010011001101001001100 6 -b10111111011010011001101001001100 @ -b10111111011010011001101001001100 F -b10000011100001011110000000000000 ) -#395712000 -0& -#395728000 -b1100000100111 , -#395744000 -1& -#395760000 -b10010000000000000000000000000000 " -b10010000000000000000000000000000 4 -b1001110001011011001001000000000 1 -b1001110001011011001001000000000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -b1001110001011010101000111111110 2 -b1001110001011010101000111111110 = -b11111110001111011011111010011010 < -b1001110001011010101000111111110 : -b1001111111011111001001101100100 $ -b1001111111011111001001101100100 - -b1001111111011111001001101100100 5 -b1001111111011111001001101100100 ? -b1001111111011111001001101100100 D -b11111110001111011011111010011010 % -b11111110001111011011111010011010 . -b11111110001111011011111010011010 6 -b11111110001111011011111010011010 @ -b11111110001111011011111010011010 F -b10010000000000000000000000000000 ) -#395776000 -0& -#395792000 -b1100000101000 , -#395808000 -1& -#395824000 -b1111001101011111111110000011110 " -b1111001101011111111110000011110 4 -b10011100110101010010001000000001 1 -b10011100110101010010001000000001 C -b1111001101011111111110000011110 0 -b1111001101011111111110000011110 H -18 -19 -b1011010110101010010000001110000 2 -b1011010110101010010000001110000 = -b10011101111111010010001001100001 < -b1011010110101010010000001110000 : -b10111100110101111111111000001111 $ -b10111100110101111111111000001111 - -b10111100110101111111111000001111 5 -b10111100110101111111111000001111 ? -b10111100110101111111111000001111 D -b10011101111111010010001001100001 % -b10011101111111010010001001100001 . -b10011101111111010010001001100001 6 -b10011101111111010010001001100001 @ -b10011101111111010010001001100001 F -b1111001101011111111110000011110 ) -#395840000 -0& -#395856000 -b1100000101001 , -#395872000 -1& -#395888000 -b1101111101010001000000000000000 " -b1101111101010001000000000000000 4 -b10101010011010000001000100010 1 -b10101010011010000001000100010 C -b1101111101010001000000000000000 0 -b1101111101010001000000000000000 H -09 -18 -b11010011001011001100001000010000 2 -b11010011001011001100001000010000 = -b10111101110111110000001101101110 < -b11010011001011001100001000010000 : -b10101010011011011111010100010 $ -b10101010011011011111010100010 - -b10101010011011011111010100010 5 -b10101010011011011111010100010 ? -b10101010011011011111010100010 D -b10111101110111110000001101101110 % -b10111101110111110000001101101110 . -b10111101110111110000001101101110 6 -b10111101110111110000001101101110 @ -b10111101110111110000001101101110 F -b1101111101010001000000000000000 ) -#395904000 -0& -#395920000 -b1100000101010 , -#395936000 -1& -#395952000 -b10000000000000000000000000000 " -b10000000000000000000000000000 4 -b101010011010100010000000000010 1 -b101010011010100010000000000010 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -08 -19 -b10101001111010011000110101011101 2 -b10101001111010011000110101011101 = -b101110011010100010100000011011 < -b10101001111010011000110101011101 : -b1111011011111110110010101000010 $ -b1111011011111110110010101000010 - -b1111011011111110110010101000010 5 -b1111011011111110110010101000010 ? -b1111011011111110110010101000010 D -b101110011010100010100000011011 % -b101110011010100010100000011011 . -b101110011010100010100000011011 6 -b101110011010100010100000011011 @ -b101110011010100010100000011011 F -b10000000000000000000000000000 ) -#395968000 -0& -#395984000 -b1100000101011 , -#396000000 -1& -#396016000 -b1111110011110100110100100000000 " -b1111110011110100110100100000000 4 -b101110010101100011000000101000 1 -b101110010101100011000000101000 C -b1111110011110100110100100000000 0 -b1111110011110100110100100000000 H -18 -09 -b11101110010101100010111110010001 2 -b11101110010101100010111110010001 = -b10101111110101111011010100101000 < -b11101110010101100010111110010001 : -b111110011111100111101001101001 $ -b111110011111100111101001101001 - -b111110011111100111101001101001 5 -b111110011111100111101001101001 ? -b111110011111100111101001101001 D -b10101111110101111011010100101000 % -b10101111110101111011010100101000 . -b10101111110101111011010100101000 6 -b10101111110101111011010100101000 @ -b10101111110101111011010100101000 F -b1111110011110100110100100000000 ) -#396032000 -0& -#396048000 -b1100000101100 , -#396064000 -1& -#396080000 -b11111101101111111001110001110000 " -b11111101101111111001110001110000 4 -b1110111001000110100000110000010 1 -b1110111001000110100000110000010 C -b11111101101111111001110001110000 0 -b11111101101111111001110001110000 H -08 -19 -b11110111000110110011110101010001 2 -b11110111000110110011110101010001 = -b1110111011000110100100111000011 < -b11110111000110110011110101010001 : -b1111111101101111111001110001110 $ -b1111111101101111111001110001110 - -b1111111101101111111001110001110 5 -b1111111101101111111001110001110 ? -b1111111101101111111001110001110 D -b1110111011000110100100111000011 % -b1110111011000110100100111000011 . -b1110111011000110100100111000011 6 -b1110111011000110100100111000011 @ -b1110111011000110100100111000011 F -b11111101101111111001110001110000 ) -#396096000 -0& -#396112000 -b1100000101101 , -#396128000 -1& -#396144000 -b11101011110110110100000000011000 " -b11101011110110110100000000011000 4 -b11001000011010110010100000000011 1 -b11001000011010110010100000000011 C -b11101011110110110100000000011000 0 -b11101011110110110100000000011000 H -18 -09 -b10101000011010110010011011000110 2 -b10101000011010110010011011000110 = -b11001010111011111011111011000011 < -b10101000011010110010011011000110 : -b11011101011110110110100000000011 $ -b11011101011110110110100000000011 - -b11011101011110110110100000000011 5 -b11011101011110110110100000000011 ? -b11011101011110110110100000000011 D -b11001010111011111011111011000011 % -b11001010111011111011111011000011 . -b11001010111011111011111011000011 6 -b11001010111011111011111011000011 @ -b11001010111011111011111011000011 F -b11101011110110110100000000011000 ) -#396160000 -0& -#396176000 -b1100000101110 , -#396192000 -1& -#396208000 -b1111011100000101000100110000000 " -b1111011100000101000100110000000 4 -b1001000111100110000000100000011 1 -b1001000111100110000000100000011 C -b1111011100000101000100110000000 0 -b1111011100000101000100110000000 H -08 -19 -b11000111111100101010000000111010 2 -b11000111111100101010000000111010 = -b1101100111110111001101100100111 < -b11000111111100101010000000111010 : -b1011010111101110000010100010011 $ -b1011010111101110000010100010011 - -b1011010111101110000010100010011 5 -b1011010111101110000010100010011 ? -b1011010111101110000010100010011 D -b1101100111110111001101100100111 % -b1101100111110111001101100100111 . -b1101100111110111001101100100111 6 -b1101100111110111001101100100111 @ -b1101100111110111001101100100111 F -b1111011100000101000100110000000 ) -#396224000 -0& -#396240000 -b1100000101111 , -#396256000 -1& -#396272000 -b110101111100010101001101010111 " -b110101111100010101001101010111 4 -b10100111000010001000100000000 1 -b10100111000010001000100000000 C -b110101111100010101001101010111 0 -b110101111100010101001101010111 H -18 -09 -b11001100110111001111000011110111 2 -b11001100110111001111000011110111 = -b10010110111010111001110110100000 < -b11001100110111001111000011110111 : -b110101111100010101001101010111 $ -b110101111100010101001101010111 - -b110101111100010101001101010111 5 -b110101111100010101001101010111 ? -b110101111100010101001101010111 D -b10010110111010111001110110100000 % -b10010110111010111001110110100000 . -b10010110111010111001110110100000 6 -b10010110111010111001110110100000 @ -b10010110111010111001110110100000 F -b110101111100010101001101010111 ) -#396288000 -0& -#396304000 -b1100000110000 , -#396320000 -1& -#396336000 -b10011010010000000000000000000000 " -b10011010010000000000000000000000 4 -b101011010111000100011000100000 1 -b101011010111000100011000100000 C -b10011010010000000000000000000000 0 -b10011010010000000000000000000000 H -08 -b101011010111000100011000011111 2 -b101011010111000100011000011111 = -b10101011011111101101111110110110 < -b101011010111000100011000011111 : -b1111111110111010110011001101001 $ -b1111111110111010110011001101001 - -b1111111110111010110011001101001 5 -b1111111110111010110011001101001 ? -b1111111110111010110011001101001 D -b10101011011111101101111110110110 % -b10101011011111101101111110110110 . -b10101011011111101101111110110110 6 -b10101011011111101101111110110110 @ -b10101011011111101101111110110110 F -b10011010010000000000000000000000 ) -#396352000 -0& -#396368000 -b1100000110001 , -#396384000 -1& -#396400000 -b11100000110100101000101000000000 " -b11100000110100101000101000000000 4 -b1101110110100000110000100000001 1 -b1101110110100000110000100000001 C -b11100000110100101000101000000000 0 -b11100000110100101000101000000000 H -19 -08 -b11101101110010110101000011101110 2 -b11101101110010110101000011101110 = -b1101110110110101110011110101001 < -b11101101110010110101000011101110 : -b1111110111100000110100101000101 $ -b1111110111100000110100101000101 - -b1111110111100000110100101000101 5 -b1111110111100000110100101000101 ? -b1111110111100000110100101000101 D -b1101110110110101110011110101001 % -b1101110110110101110011110101001 . -b1101110110110101110011110101001 6 -b1101110110110101110011110101001 @ -b1101110110110101110011110101001 F -b11100000110100101000101000000000 ) -#396416000 -0& -#396432000 -b1100000110010 , -#396448000 -1& -#396464000 -b10110100001000100000000000000000 " -b10110100001000100000000000000000 4 -b1000011110110010100101000010001 1 -b1000011110110010100101000010001 C -b10110100001000100000000000000000 0 -b10110100001000100000000000000000 H -09 -08 -b1000011110110010100010011000010 2 -b1000011110110010100010011000010 = -b11010111111111110110101010110001 < -b1000011110110010100010011000010 : -b1101011110110011101101000010001 $ -b1101011110110011101101000010001 - -b1101011110110011101101000010001 5 -b1101011110110011101101000010001 ? -b1101011110110011101101000010001 D -b11010111111111110110101010110001 % -b11010111111111110110101010110001 . -b11010111111111110110101010110001 6 -b11010111111111110110101010110001 @ -b11010111111111110110101010110001 F -b10110100001000100000000000000000 ) -#396480000 -0& -#396496000 -b1100000110011 , -#396512000 -1& -#396528000 -b11100110111000101100000000000000 " -b11100110111000101100000000000000 4 -b11111111101110111000101000001010 1 -b11111111101110111000101000001010 C -b11100110111000101100000000000000 0 -b11100110111000101100000000000000 H -18 -b11111111011110111000100111011001 2 -b11111111011110111000100111011001 = -b11111111101111111110111001001110 < -b11111111011110111000100111011001 : -b11111111101110111001101110001011 $ -b11111111101110111001101110001011 - -b11111111101110111001101110001011 5 -b11111111101110111001101110001011 ? -b11111111101110111001101110001011 D -b11111111101111111110111001001110 % -b11111111101111111110111001001110 . -b11111111101111111110111001001110 6 -b11111111101111111110111001001110 @ -b11111111101111111110111001001110 F -b11100110111000101100000000000000 ) -#396544000 -0& -#396560000 -b1100000110100 , -#396576000 -1& -#396592000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b1111010110110100000001101100000 1 -b1111010110110100000001101100000 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -19 -b11111010110110010011011101011001 2 -b11111010110110010011011101011001 = -b1111010111110100000001111111001 < -b11111010110110010011011101011001 : -b1111111110111110011001101100000 $ -b1111111110111110011001101100000 - -b1111111110111110011001101100000 5 -b1111111110111110011001101100000 ? -b1111111110111110011001101100000 D -b1111010111110100000001111111001 % -b1111010111110100000001111111001 . -b1111010111110100000001111111001 6 -b1111010111110100000001111111001 @ -b1111010111110100000001111111001 F -b11000000000000000000000000000000 ) -#396608000 -0& -#396624000 -b1100000110101 , -#396640000 -1& -#396656000 -b10000010000000000000000000000 " -b10000010000000000000000000000 4 -b11100111010100111001000000000100 1 -b11100111010100111001000000000100 C -b10000010000000000000000000000 0 -b10000010000000000000000000000 H -18 -09 -b11100110110100111000010100111000 2 -b11100110110100111000010100111000 = -b11100111011100111111010000110100 < -b11100110110100111000010100111000 : -b11111111010111111001000100000100 $ -b11111111010111111001000100000100 - -b11111111010111111001000100000100 5 -b11111111010111111001000100000100 ? -b11111111010111111001000100000100 D -b11100111011100111111010000110100 % -b11100111011100111111010000110100 . -b11100111011100111111010000110100 6 -b11100111011100111111010000110100 @ -b11100111011100111111010000110100 F -b10000010000000000000000000000 ) -#396672000 -0& -#396688000 -b1100000110110 , -#396704000 -1& -#396720000 -b1100000000000000000000000000000 " -b1100000000000000000000000000000 4 -b110101111010000001001001000001 1 -b110101111010000001001001000001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -08 -b110101111000111100111001000000 2 -b110101111000111100111001000000 = -b1111111111010001001101001111101 < -b110101111000111100111001000000 : -b10110101111110110011001111000011 $ -b10110101111110110011001111000011 - -b10110101111110110011001111000011 5 -b10110101111110110011001111000011 ? -b10110101111110110011001111000011 D -b1111111111010001001101001111101 % -b1111111111010001001101001111101 . -b1111111111010001001101001111101 6 -b1111111111010001001101001111101 @ -b1111111111010001001101001111101 F -b1100000000000000000000000000000 ) -#396736000 -0& -#396752000 -b1100000110111 , -#396768000 -1& -#396784000 -b11111101100100100011110100000000 " -b11111101100100100011110100000000 4 -b10010111111110100000010001000010 1 -b10010111111110100000010001000010 C -b11111101100100100011110100000000 0 -b11111101100100100011110100000000 H -18 -b10010111111110011011100111000001 2 -b10010111111110011011100111000001 = -b11010111111111101001010101000111 < -b10010111111110011011100111000001 : -b10111111111110110010010001111010 $ -b10111111111110110010010001111010 - -b10111111111110110010010001111010 5 -b10111111111110110010010001111010 ? -b10111111111110110010010001111010 D -b11010111111111101001010101000111 % -b11010111111111101001010101000111 . -b11010111111111101001010101000111 6 -b11010111111111101001010101000111 @ -b11010111111111101001010101000111 F -b11111101100100100011110100000000 ) -#396800000 -0& -#396816000 -b1100000111000 , -#396832000 -1& -#396848000 -b1001101001001000000000000000000 " -b1001101001001000000000000000000 4 -b11100001011100000000000010000 1 -b11100001011100000000000010000 C -b1001101001001000000000000000000 0 -b1001101001001000000000000000000 H -b11011100001011001111111111000011 2 -b11011100001011001111111111000011 = -b111111011111101101100100110001 < -b11011100001011001111111111000011 : -b10011100101011100010011010010010 $ -b10011100101011100010011010010010 - -b10011100101011100010011010010010 5 -b10011100101011100010011010010010 ? -b10011100101011100010011010010010 D -b111111011111101101100100110001 % -b111111011111101101100100110001 . -b111111011111101101100100110001 6 -b111111011111101101100100110001 @ -b111111011111101101100100110001 F -b1001101001001000000000000000000 ) -#396864000 -0& -#396880000 -b1100000111001 , -#396896000 -1& -#396912000 -b11111111111101001110111011100000 " -b11111111111101001110111011100000 4 -b110110000111101010011000100101 1 -b110110000111101010011000100101 C -b11111111111101001110111011100000 0 -b11111111111101001110111011100000 H -08 -b110110000111101010011000011100 2 -b110110000111101010011000011100 = -b10110110000111101111111010100101 < -b110110000111101010011000011100 : -b1111111111111111010011101110111 $ -b1111111111111111010011101110111 - -b1111111111111111010011101110111 5 -b1111111111111111010011101110111 ? -b1111111111111111010011101110111 D -b10110110000111101111111010100101 % -b10110110000111101111111010100101 . -b10110110000111101111111010100101 6 -b10110110000111101111111010100101 @ -b10110110000111101111111010100101 F -b11111111111101001110111011100000 ) -#396928000 -0& -#396944000 -b1100000111010 , -#396960000 -1& -#396976000 -b10100001011111110100000000000000 " -b10100001011111110100000000000000 4 -b1001011111010010000000100101000 1 -b1001011111010010000000100101000 C -b10100001011111110100000000000000 0 -b10100001011111110100000000000000 H -19 -08 -b11001011110110000101000100100111 2 -b11001011110110000101000100100111 = -b1111011111010110100010100101101 < -b11001011110110000101000100100111 : -b1001111111011010000101111111010 $ -b1001111111011010000101111111010 - -b1001111111011010000101111111010 5 -b1001111111011010000101111111010 ? -b1001111111011010000101111111010 D -b1111011111010110100010100101101 % -b1111011111010110100010100101101 . -b1111011111010110100010100101101 6 -b1111011111010110100010100101101 @ -b1111011111010110100010100101101 F -b10100001011111110100000000000000 ) -#396992000 -0& -#397008000 -b1100000111011 , -#397024000 -1& -#397040000 -b10111011010000000000000000000000 " -b10111011010000000000000000000000 4 -b11000111000101010100000000000100 1 -b11000111000101010100000000000100 C -b10111011010000000000000000000000 0 -b10111011010000000000000000000000 H -18 -09 -b11000111000101010001110000000011 2 -b11000111000101010001110000000011 = -b11000111100111010101100100010110 < -b11000111000101010001110000000011 : -b11111111011101111100001011101101 $ -b11111111011101111100001011101101 - -b11111111011101111100001011101101 5 -b11111111011101111100001011101101 ? -b11111111011101111100001011101101 D -b11000111100111010101100100010110 % -b11000111100111010101100100010110 . -b11000111100111010101100100010110 6 -b11000111100111010101100100010110 @ -b11000111100111010101100100010110 F -b10111011010000000000000000000000 ) -#397056000 -0& -#397072000 -b1100000111100 , -#397088000 -1& -#397104000 -b10001000000000000000000000000000 " -b10001000000000000000000000000000 4 -b1011100000001010100000000100000 1 -b1011100000001010100000000100000 C -b10001000000000000000000000000000 0 -b10001000000000000000000000000000 H -08 -b1011011111111010001011011010110 2 -b1011011111111010001011011010110 = -b1111110101001111100000010110110 < -b1011011111111010001011011010110 : -b11011101010101010101011000100000 $ -b11011101010101010101011000100000 - -b11011101010101010101011000100000 5 -b11011101010101010101011000100000 ? -b11011101010101010101011000100000 D -b1111110101001111100000010110110 % -b1111110101001111100000010110110 . -b1111110101001111100000010110110 6 -b1111110101001111100000010110110 @ -b1111110101001111100000010110110 F -b10001000000000000000000000000000 ) -#397120000 -0& -#397136000 -b1100000111101 , -#397152000 -1& -#397168000 -b10100010000110011000000000000000 " -b10100010000110011000000000000000 4 -b1001011011010010100000000000011 1 -b1001011011010010100000000000011 C -b10100010000110011000000000000000 0 -b10100010000110011000000000000000 H -b1001011011010001000111010000010 2 -b1001011011010001000111010000010 = -b11111011011110110100101001001111 < -b1001011011010001000111010000010 : -b1001111111011010100010000110011 $ -b1001111111011010100010000110011 - -b1001111111011010100010000110011 5 -b1001111111011010100010000110011 ? -b1001111111011010100010000110011 D -b11111011011110110100101001001111 % -b11111011011110110100101001001111 . -b11111011011110110100101001001111 6 -b11111011011110110100101001001111 @ -b11111011011110110100101001001111 F -b10100010000110011000000000000000 ) -#397184000 -0& -#397200000 -b1100000111110 , -#397216000 -1& -#397232000 -b1111110000000000000000000000000 " -b1111110000000000000000000000000 4 -b10011010101011101000000000011001 1 -b10011010101011101000000000011001 C -b1111110000000000000000000000000 0 -b1111110000000000000000000000000 H -18 -b10011010101011010000110111011000 2 -b10011010101011010000110111011000 = -b11011110111111101000110110011001 < -b10011010101011010000110111011000 : -b10111011101011101000000000111111 $ -b10111011101011101000000000111111 - -b10111011101011101000000000111111 5 -b10111011101011101000000000111111 ? -b10111011101011101000000000111111 D -b11011110111111101000110110011001 % -b11011110111111101000110110011001 . -b11011110111111101000110110011001 6 -b11011110111111101000110110011001 @ -b11011110111111101000110110011001 F -b1111110000000000000000000000000 ) -#397248000 -0& -#397264000 -b1100000111111 , -#397280000 -1& -#397296000 -b10111110101110000000000000000000 " -b10111110101110000000000000000000 4 -b110101101000110010111000000010 1 -b110101101000110010111000000010 C -b10111110101110000000000000000000 0 -b10111110101110000000000000000000 H -08 -b110101100100110010110111000000 2 -b110101100100110010110111000000 = -b10111101111001111111111000010010 < -b110101100100110010110111000000 : -b1110111101010110010111110101110 $ -b1110111101010110010111110101110 - -b1110111101010110010111110101110 5 -b1110111101010110010111110101110 ? -b1110111101010110010111110101110 D -b10111101111001111111111000010010 % -b10111101111001111111111000010010 . -b10111101111001111111111000010010 6 -b10111101111001111111111000010010 @ -b10111101111001111111111000010010 F -b10111110101110000000000000000000 ) -#397312000 -0& -#397328000 -b1100001000000 , -#397344000 -1& -#397360000 -b1100111110011000000000000000000 " -b1100111110011000000000000000000 4 -b100100110100100011010001000 1 -b100100110100100011010001000 C -b1100111110011000000000000000000 0 -b1100111110011000000000000000000 H -b1110100100110100001011010000111 2 -b1110100100110100001011010000111 = -b100110111110100011011101111 < -b1110100100110100001011010000111 : -b1101111101110101100111110011000 $ -b1101111101110101100111110011000 - -b1101111101110101100111110011000 5 -b1101111101110101100111110011000 ? -b1101111101110101100111110011000 D -b100110111110100011011101111 % -b100110111110100011011101111 . -b100110111110100011011101111 6 -b100110111110100011011101111 @ -b100110111110100011011101111 F -b1100111110011000000000000000000 ) -#397376000 -0& -#397392000 -b1100001000001 , -#397408000 -1& -#397424000 -b11101101111100100100100100000000 " -b11101101111100100100100100000000 4 -b11001011001011111000000000000000 1 -b11001011001011111000000000000000 C -b11101101111100100100100100000000 0 -b11101101111100100100100100000000 H -18 -b11001011001011110001111101001101 2 -b11001011001011110001111101001101 = -b11001011101111111000110100000101 < -b11001011001011110001111101001101 : -b11111111011011111001001001001000 $ -b11111111011011111001001001001000 - -b11111111011011111001001001001000 5 -b11111111011011111001001001001000 ? -b11111111011011111001001001001000 D -b11001011101111111000110100000101 % -b11001011101111111000110100000101 . -b11001011101111111000110100000101 6 -b11001011101111111000110100000101 @ -b11001011101111111000110100000101 F -b11101101111100100100100100000000 ) -#397440000 -0& -#397456000 -b1100001000010 , -#397472000 -1& -#397488000 -b11010000000000000000000000000000 " -b11010000000000000000000000000000 4 -b1000110011101000000000100011010 1 -b1000110011101000000000100011010 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -08 -19 -b11000110011100110001100010110101 2 -b11000110011100110001100010110101 = -b1110110011111010001000100011011 < -b11000110011100110001100010110101 : -b1001111111101100000011110011010 $ -b1001111111101100000011110011010 - -b1001111111101100000011110011010 5 -b1001111111101100000011110011010 ? -b1001111111101100000011110011010 D -b1110110011111010001000100011011 % -b1110110011111010001000100011011 . -b1110110011111010001000100011011 6 -b1110110011111010001000100011011 @ -b1110110011111010001000100011011 F -b11010000000000000000000000000000 ) -#397504000 -0& -#397520000 -b1100001000011 , -#397536000 -1& -#397552000 -b1111011000100100000111100000000 " -b1111011000100100000111100000000 4 -b1011111011110110000001000001000 1 -b1011111011110110000001000001000 C -b1111011000100100000111100000000 0 -b1111011000100100000111100000000 H -09 -08 -b1011111011110100100000001110111 2 -b1011111011110100100000001110111 = -b11011111111111110010111001101000 < -b1011111011110100100000001110111 : -b1111111011110110001001000001111 $ -b1111111011110110001001000001111 - -b1111111011110110001001000001111 5 -b1111111011110110001001000001111 ? -b1111111011110110001001000001111 D -b11011111111111110010111001101000 % -b11011111111111110010111001101000 . -b11011111111111110010111001101000 6 -b11011111111111110010111001101000 @ -b11011111111111110010111001101000 F -b1111011000100100000111100000000 ) -#397568000 -0& -#397584000 -b1100001000100 , -#397600000 -1& -#397616000 -b11111111110101111111110010001001 " -b11111111110101111111110010001001 4 -b11011111100101111100110010000000 1 -b11011111100101111100110010000000 C -b11111111110101111111110010001001 0 -b11111111110101111111110010001001 H -18 -b11011111100101111100101000001001 2 -b11011111100101111100101000001001 = -b11011111101111111100110110000000 < -b11011111100101111100101000001001 : -b11111111110101111111110010001001 $ -b11111111110101111111110010001001 - -b11111111110101111111110010001001 5 -b11111111110101111111110010001001 ? -b11111111110101111111110010001001 D -b11011111101111111100110110000000 % -b11011111101111111100110110000000 . -b11011111101111111100110110000000 6 -b11011111101111111100110110000000 @ -b11011111101111111100110110000000 F -b11111111110101111111110010001001 ) -#397632000 -0& -#397648000 -b1100001000101 , -#397664000 -1& -#397680000 -b110011000000000000000000000 " -b110011000000000000000000000 4 -b10011010110101101000000000000000 1 -b10011010110101101000000000000000 C -b110011000000000000000000000 0 -b110011000000000000000000000 H -b10011001110101100000010111111111 2 -b10011001110101100000010111111111 = -b11011010110111101000010100110011 < -b10011001110101100000010111111111 : -b10111110111101111000000011001100 $ -b10111110111101111000000011001100 - -b10111110111101111000000011001100 5 -b10111110111101111000000011001100 ? -b10111110111101111000000011001100 D -b11011010110111101000010100110011 % -b11011010110111101000010100110011 . -b11011010110111101000010100110011 6 -b11011010110111101000010100110011 @ -b11011010110111101000010100110011 F -b110011000000000000000000000 ) -#397696000 -0& -#397712000 -b1100001000110 , -#397728000 -1& -#397744000 -1! -b0 " -b0 4 -b1111100010000000001111001010100 1 -b1111100010000000001111001010100 C -b0 0 -b0 H -08 -b1111010101111111001110111010011 2 -b1111010101111111001110111010011 = -b1111110011101010001111001111111 < -1# -b1111010101111111001110111010011 : -b11111100010010100111111101010100 $ -b11111100010010100111111101010100 - -b11111100010010100111111101010100 5 -b11111100010010100111111101010100 ? -b11111100010010100111111101010100 D -b1111110011101010001111001111111 % -b1111110011101010001111001111111 . -b1111110011101010001111001111111 6 -b1111110011101010001111001111111 @ -b1111110011101010001111001111111 F -b0 ) -b1 ( -#397760000 -0& -#397776000 -b1100001000111 , -#397792000 -1& -#397808000 -0! -b11011110000000000000000000000000 " -b11011110000000000000000000000000 4 -b1000000100011011000000100101001 1 -b1000000100011011000000100101001 C -b11011110000000000000000000000000 0 -b11011110000000000000000000000000 H -b111111011111010100000010101000 2 -b111111011111010100000010101000 = -b11100110110011011010001100111001 < -0# -b111111011111010100000010101000 : -b1011000101011111001110101101111 $ -b1011000101011111001110101101111 - -b1011000101011111001110101101111 5 -b1011000101011111001110101101111 ? -b1011000101011111001110101101111 D -b11100110110011011010001100111001 % -b11100110110011011010001100111001 . -b11100110110011011010001100111001 6 -b11100110110011011010001100111001 @ -b11100110110011011010001100111001 F -b11011110000000000000000000000000 ) -b0 ( -#397824000 -0& -#397840000 -b1100001001000 , -#397856000 -1& -#397872000 -b11001011101001101110111000100000 " -b11001011101001101110111000100000 4 -b11010010111010010010000000001 1 -b11010010111010010010000000001 C -b11001011101001101110111000100000 0 -b11001011101001101110111000100000 H -b11001010110101001101111110110 2 -b11001010110101001101111110110 = -b11111010111111010110010010000101 < -b11001010110101001101111110110 : -b11110010111010011011101110001 $ -b11110010111010011011101110001 - -b11110010111010011011101110001 5 -b11110010111010011011101110001 ? -b11110010111010011011101110001 D -b11111010111111010110010010000101 % -b11111010111111010110010010000101 . -b11111010111111010110010010000101 6 -b11111010111111010110010010000101 @ -b11111010111111010110010010000101 F -b11001011101001101110111000100000 ) -#397888000 -0& -#397904000 -b1100001001001 , -#397920000 -1& -#397936000 -b10011111010101000001100000000000 " -b10011111010101000001100000000000 4 -b1111001000011000001000000011 1 -b1111001000011000001000000011 C -b10011111010101000001100000000000 0 -b10011111010101000001100000000000 H -b1111000110010111110110001110 2 -b1111000110010111110110001110 = -b1011111111001011001001100001011 < -b1111000110010111110110001110 : -b10101111001100111110101010000011 $ -b10101111001100111110101010000011 - -b10101111001100111110101010000011 5 -b10101111001100111110101010000011 ? -b10101111001100111110101010000011 D -b1011111111001011001001100001011 % -b1011111111001011001001100001011 . -b1011111111001011001001100001011 6 -b1011111111001011001001100001011 @ -b1011111111001011001001100001011 F -b10011111010101000001100000000000 ) -#397952000 -0& -#397968000 -b1100001001010 , -#397984000 -1& -#398000000 -b11011101001100011000100000000000 " -b11011101001100011000100000000000 4 -b11111100110111010010000000001 1 -b11111100110111010010000000001 C -b11011101001100011000100000000000 0 -b11011101001100011000100000000000 H -b11111100110110101101111111100 2 -b11111100110110101101111111100 = -b11111101111111011010111001011 < -b11111100110110101101111111100 : -b11111111110110111010011000110001 $ -b11111111110110111010011000110001 - -b11111111110110111010011000110001 5 -b11111111110110111010011000110001 ? -b11111111110110111010011000110001 D -b11111101111111011010111001011 % -b11111101111111011010111001011 . -b11111101111111011010111001011 6 -b11111101111111011010111001011 @ -b11111101111111011010111001011 F -b11011101001100011000100000000000 ) -#398016000 -0& -#398032000 -b1100001001011 , -#398048000 -1& -#398064000 -b1110010100000000000000000000000 " -b1110010100000000000000000000000 4 -b1000011011100110000000001100101 1 -b1000011011100110000000001100101 C -b1110010100000000000000000000000 0 -b1110010100000000000000000000000 H -b1000011011010101110101101011100 2 -b1000011011010101110101101011100 = -b11000011111101111000001001110111 < -b1000011011010101110101101011100 : -b1111111011100110110100011100101 $ -b1111111011100110110100011100101 - -b1111111011100110110100011100101 5 -b1111111011100110110100011100101 ? -b1111111011100110110100011100101 D -b11000011111101111000001001110111 % -b11000011111101111000001001110111 . -b11000011111101111000001001110111 6 -b11000011111101111000001001110111 @ -b11000011111101111000001001110111 F -b1110010100000000000000000000000 ) -#398080000 -0& -#398096000 -b1100001001100 , -#398112000 -1& -#398128000 -b1100110101111101000000000000000 " -b1100110101111101000000000000000 4 -b1011101001100000000110100001101 1 -b1011101001100000000110100001101 C -b1100110101111101000000000000000 0 -b1100110101111101000000000000000 H -b111101001100000000101100001100 2 -b111101001100000000101100001100 = -b11011101011101010011110110001111 < -b111101001100000000101100001100 : -b1011111101110101100110101111101 $ -b1011111101110101100110101111101 - -b1011111101110101100110101111101 5 -b1011111101110101100110101111101 ? -b1011111101110101100110101111101 D -b11011101011101010011110110001111 % -b11011101011101010011110110001111 . -b11011101011101010011110110001111 6 -b11011101011101010011110110001111 @ -b11011101011101010011110110001111 F -b1100110101111101000000000000000 ) -#398144000 -0& -#398160000 -b1100001001101 , -#398176000 -1& -#398192000 -b1100000001100001000000000000000 " -b1100000001100001000000000000000 4 -b101111010001000000001000010 1 -b101111010001000000001000010 C -b1100000001100001000000000000000 0 -b1100000001100001000000000000000 H -18 -b11100101111010000011100100110000 2 -b11100101111010000011100100110000 = -b1010111111111101011100001101110 < -b11100101111010000011100100110000 : -b10001101111010011000000011000010 $ -b10001101111010011000000011000010 - -b10001101111010011000000011000010 5 -b10001101111010011000000011000010 ? -b10001101111010011000000011000010 D -b1010111111111101011100001101110 % -b1010111111111101011100001101110 . -b1010111111111101011100001101110 6 -b1010111111111101011100001101110 @ -b1010111111111101011100001101110 F -b1100000001100001000000000000000 ) -#398208000 -0& -#398224000 -b1100001001110 , -#398240000 -1& -#398256000 -b11001101000101000100000000000000 " -b11001101000101000100000000000000 4 -b1011011110111000001000001000100 1 -b1011011110111000001000001000100 C -b11001101000101000100000000000000 0 -b11001101000101000100000000000000 H -08 -b1011011110110111110111110110000 2 -b1011011110110111110111110110000 = -b11111111111111110001111001101100 < -b1011011110110111110111110110000 : -b1011011110111001101000101000100 $ -b1011011110111001101000101000100 - -b1011011110111001101000101000100 5 -b1011011110111001101000101000100 ? -b1011011110111001101000101000100 D -b11111111111111110001111001101100 % -b11111111111111110001111001101100 . -b11111111111111110001111001101100 6 -b11111111111111110001111001101100 @ -b11111111111111110001111001101100 F -b11001101000101000100000000000000 ) -#398272000 -0& -#398288000 -b1100001001111 , -#398304000 -1& -#398320000 -b100000000000000000000000000000 " -b100000000000000000000000000000 4 -b11100111010101110000001000100001 1 -b11100111010101110000001000100001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -18 -b11100111010101101100100101011110 2 -b11100111010101101100100101011110 = -b11111111010111111000001000111101 < -b11100111010101101100100101011110 : -b11100111111101110100011100100001 $ -b11100111111101110100011100100001 - -b11100111111101110100011100100001 5 -b11100111111101110100011100100001 ? -b11100111111101110100011100100001 D -b11111111010111111000001000111101 % -b11111111010111111000001000111101 . -b11111111010111111000001000111101 6 -b11111111010111111000001000111101 @ -b11111111010111111000001000111101 F -b100000000000000000000000000000 ) -#398336000 -0& -#398352000 -b1100001010000 , -#398368000 -1& -#398384000 -b10000111110000000000000000000000 " -b10000111110000000000000000000000 4 -b11100101010001110000001000010110 1 -b11100101010001110000001000010110 C -b10000111110000000000000000000000 0 -b10000111110000000000000000000000 H -b11010101010001101100010001110101 2 -b11010101010001101100010001110101 = -b11100101011011110100001001010110 < -b11010101010001101100010001110101 : -b11101111110101111000001000011111 $ -b11101111110101111000001000011111 - -b11101111110101111000001000011111 5 -b11101111110101111000001000011111 ? -b11101111110101111000001000011111 D -b11100101011011110100001001010110 % -b11100101011011110100001001010110 . -b11100101011011110100001001010110 6 -b11100101011011110100001001010110 @ -b11100101011011110100001001010110 F -b10000111110000000000000000000000 ) -#398400000 -0& -#398416000 -b1100001010001 , -#398432000 -1& -#398448000 -b11010111110111100011001111000000 " -b11010111110111100011001111000000 4 -b1110010111100101100000000110 1 -b1110010111100101100000000110 C -b11010111110111100011001111000000 0 -b11010111110111100011001111000000 H -08 -19 -b10001110010111100101011111010101 2 -b10001110010111100101011111010101 = -b1011110111111101101111100000110 < -b10001110010111100101011111010101 : -b101111010111110111100011001111 $ -b101111010111110111100011001111 - -b101111010111110111100011001111 5 -b101111010111110111100011001111 ? -b101111010111110111100011001111 D -b1011110111111101101111100000110 % -b1011110111111101101111100000110 . -b1011110111111101101111100000110 6 -b1011110111111101101111100000110 @ -b1011110111111101101111100000110 F -b11010111110111100011001111000000 ) -#398464000 -0& -#398480000 -b1100001010010 , -#398496000 -1& -#398512000 -b110000000000000000000000000000 " -b110000000000000000000000000000 4 -b1010000101110010001100010011000 1 -b1010000101110010001100010011000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -09 -08 -b1010000101110010001100001010001 2 -b1010000101110010001100001010001 = -b11011111111111110101111010111001 < -b1010000101110010001100001010001 : -b1110000101110011011100110011000 $ -b1110000101110011011100110011000 - -b1110000101110011011100110011000 5 -b1110000101110011011100110011000 ? -b1110000101110011011100110011000 D -b11011111111111110101111010111001 % -b11011111111111110101111010111001 . -b11011111111111110101111010111001 6 -b11011111111111110101111010111001 @ -b11011111111111110101111010111001 F -b110000000000000000000000000000 ) -#398528000 -0& -#398544000 -b1100001010011 , -#398560000 -1& -#398576000 -b11111010000000000000000000000000 " -b11111010000000000000000000000000 4 -b10100111001101100010001000011001 1 -b10100111001101100010001000011001 C -b11111010000000000000000000000000 0 -b11111010000000000000000000000000 H -18 -b10100111001101011010000010010110 2 -b10100111001101011010000010010110 = -b10101111101111100111011000011001 < -b10100111001101011010000010010110 : -b11110111011101110010101001111101 $ -b11110111011101110010101001111101 - -b11110111011101110010101001111101 5 -b11110111011101110010101001111101 ? -b11110111011101110010101001111101 D -b10101111101111100111011000011001 % -b10101111101111100111011000011001 . -b10101111101111100111011000011001 6 -b10101111101111100111011000011001 @ -b10101111101111100111011000011001 F -b11111010000000000000000000000000 ) -#398592000 -0& -#398608000 -b1100001010100 , -#398624000 -1& -#398640000 -b10111111011000000000000000000000 " -b10111111011000000000000000000000 4 -b101101001001010000000000110001 1 -b101101001001010000000000110001 C -b10111111011000000000000000000000 0 -b10111111011000000000000000000000 H -08 -b101100101001001011011000110000 2 -b101100101001001011011000110000 = -b10111101011001011011000000110101 < -b101100101001001011011000110000 : -b1101111001111110000010111111011 $ -b1101111001111110000010111111011 - -b1101111001111110000010111111011 5 -b1101111001111110000010111111011 ? -b1101111001111110000010111111011 D -b10111101011001011011000000110101 % -b10111101011001011011000000110101 . -b10111101011001011011000000110101 6 -b10111101011001011011000000110101 @ -b10111101011001011011000000110101 F -b10111111011000000000000000000000 ) -#398656000 -0& -#398672000 -b1100001010101 , -#398688000 -1& -#398704000 -b1000000101011010000000000000000 " -b1000000101011010000000000000000 4 -b1101111100111010000000000100000 1 -b1101111100111010000000000100000 C -b1000000101011010000000000000000 0 -b1000000101011010000000000000000 H -19 -08 -b11101111100111000111110111011101 2 -b11101111100111000111110111011101 = -b1101111111111110011110100110000 < -b11101111100111000111110111011101 : -b1111111100111010100000010101101 $ -b1111111100111010100000010101101 - -b1111111100111010100000010101101 5 -b1111111100111010100000010101101 ? -b1111111100111010100000010101101 D -b1101111111111110011110100110000 % -b1101111111111110011110100110000 . -b1101111111111110011110100110000 6 -b1101111111111110011110100110000 @ -b1101111111111110011110100110000 F -b1000000101011010000000000000000 ) -#398720000 -0& -#398736000 -b1100001010110 , -#398752000 -1& -#398768000 -b11111010111110110000101111011000 " -b11111010111110110000101111011000 4 -b111111010011110110000101100011 1 -b111111010011110110000101100011 C -b11111010111110110000101111011000 0 -b11111010111110110000101111011000 H -b10111111010011101101111101011110 2 -b10111111010011101101111101011110 = -b111111111011110111110111100011 < -b10111111010011101101111101011110 : -b1111111010111110110000101111011 $ -b1111111010111110110000101111011 - -b1111111010111110110000101111011 5 -b1111111010111110110000101111011 ? -b1111111010111110110000101111011 D -b111111111011110111110111100011 % -b111111111011110111110111100011 . -b111111111011110111110111100011 6 -b111111111011110111110111100011 @ -b111111111011110111110111100011 F -b11111010111110110000101111011000 ) -#398784000 -0& -#398800000 -b1100001010111 , -#398816000 -1& -#398832000 -b11110000000000000000000000000000 " -b11110000000000000000000000000000 4 -b1011111111110100000000110111010 1 -b1011111111110100000000110111010 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -09 -08 -b1011111111110010110100101111001 2 -b1011111111110010110100101111001 = -b1011111111110100010000110111011 < -b1011111111110010110100101111001 : -b11111111111111110100011110111110 $ -b11111111111111110100011110111110 - -b11111111111111110100011110111110 5 -b11111111111111110100011110111110 ? -b11111111111111110100011110111110 D -b1011111111110100010000110111011 % -b1011111111110100010000110111011 . -b1011111111110100010000110111011 6 -b1011111111110100010000110111011 @ -b1011111111110100010000110111011 F -b11110000000000000000000000000000 ) -#398848000 -0& -#398864000 -b1100001011000 , -#398880000 -1& -#398896000 -b1111111111101010001010000000000 " -b1111111111101010001010000000000 4 -b11101001111111110000010001000000 1 -b11101001111111110000010001000000 C -b1111111111101010001010000000000 0 -b1111111111101010001010000000000 H -18 -b11101001111111110000001110010110 2 -b11101001111111110000001110010110 = -b11111111111111110010111101000110 < -b11101001111111110000001110010110 : -b11101001111111111101010001010000 $ -b11101001111111111101010001010000 - -b11101001111111111101010001010000 5 -b11101001111111111101010001010000 ? -b11101001111111111101010001010000 D -b11111111111111110010111101000110 % -b11111111111111110010111101000110 . -b11111111111111110010111101000110 6 -b11111111111111110010111101000110 @ -b11111111111111110010111101000110 F -b1111111111101010001010000000000 ) -#398912000 -0& -#398928000 -b1100001011001 , -#398944000 -1& -#398960000 -b1111001011110010000000000000000 " -b1111001011110010000000000000000 4 -b11101100110001111001011010000000 1 -b11101100110001111001011010000000 C -b1111001011110010000000000000000 0 -b1111001011110010000000000000000 H -b11101100101111110011011001011100 2 -b11101100101111110011011001011100 = -b11101101111001111001111011001100 < -b11101100101111110011011001011100 : -b11111110110101111001011110010000 $ -b11111110110101111001011110010000 - -b11111110110101111001011110010000 5 -b11111110110101111001011110010000 ? -b11111110110101111001011110010000 D -b11101101111001111001111011001100 % -b11101101111001111001111011001100 . -b11101101111001111001111011001100 6 -b11101101111001111001111011001100 @ -b11101101111001111001111011001100 F -b1111001011110010000000000000000 ) -#398976000 -0& -#398992000 -b1100001011010 , -#399008000 -1& -#399024000 -b11101101011111011001101001011000 " -b11101101011111011001101001011000 4 -b1110011010110010110001000000010 1 -b1110011010110010110001000000010 C -b11101101011111011001101001011000 0 -b11101101011111011001101001011000 H -08 -b1101110110110010101000011111000 2 -b1101110110110010101000011111000 = -b1110011011110011110101001100010 < -b1101110110110010101000011111000 : -b11111011010111110110011010010110 $ -b11111011010111110110011010010110 - -b11111011010111110110011010010110 5 -b11111011010111110110011010010110 ? -b11111011010111110110011010010110 D -b1110011011110011110101001100010 % -b1110011011110011110101001100010 . -b1110011011110011110101001100010 6 -b1110011011110011110101001100010 @ -b1110011011110011110101001100010 F -b11101101011111011001101001011000 ) -#399040000 -0& -#399056000 -b1100001011011 , -#399072000 -1& -#399088000 -b11000000010001001000000000000000 " -b11000000010001001000000000000000 4 -b1101001010000110000000000000010 1 -b1101001010000110000000000000010 C -b11000000010001001000000000000000 0 -b11000000010001001000000000000000 H -b1100101010000100111110111100000 2 -b1100101010000100111110111100000 = -b1111011111110110111110011001110 < -b1100101010000100111110111100000 : -b11101001010001110000000100010010 $ -b11101001010001110000000100010010 - -b11101001010001110000000100010010 5 -b11101001010001110000000100010010 ? -b11101001010001110000000100010010 D -b1111011111110110111110011001110 % -b1111011111110110111110011001110 . -b1111011111110110111110011001110 6 -b1111011111110110111110011001110 @ -b1111011111110110111110011001110 F -b11000000010001001000000000000000 ) -#399104000 -0& -#399120000 -b1100001011100 , -#399136000 -1& -#399152000 -1! -b0 " -b0 4 -b10100011011000110000011111000000 1 -b10100011011000110000011111000000 C -b0 0 -b0 H -18 -b10011011010001101111011110011100 2 -b10011011010001101111011110011100 = -b10100011111000110100011111011100 < -1# -b10011011010001101111011110011100 : -b11110111011000111010111111000000 $ -b11110111011000111010111111000000 - -b11110111011000111010111111000000 5 -b11110111011000111010111111000000 ? -b11110111011000111010111111000000 D -b10100011111000110100011111011100 % -b10100011111000110100011111011100 . -b10100011111000110100011111011100 6 -b10100011111000110100011111011100 @ -b10100011111000110100011111011100 F -b0 ) -b1 ( -#399168000 -0& -#399184000 -b1100001011101 , -#399200000 -1& -#399216000 -0! -b11111011000011111110111000000000 " -b11111011000011111110111000000000 4 -b101111011000010011100111000000 1 -b101111011000010011100111000000 C -b11111011000011111110111000000000 0 -b11111011000011111110111000000000 H -09 -08 -b101111011000010011100110100011 2 -b101111011000010011100110100011 = -b1101111111111110011101111100011 < -0# -b101111011000010011100110100011 : -b10111111011000011111110111000000 $ -b10111111011000011111110111000000 - -b10111111011000011111110111000000 5 -b10111111011000011111110111000000 ? -b10111111011000011111110111000000 D -b1101111111111110011101111100011 % -b1101111111111110011101111100011 . -b1101111111111110011101111100011 6 -b1101111111111110011101111100011 @ -b1101111111111110011101111100011 F -b11111011000011111110111000000000 ) -b0 ( -#399232000 -0& -#399248000 -b1100001011110 , -#399264000 -1& -#399280000 -b10111011100000000000000000000000 " -b10111011100000000000000000000000 4 -b1101011101011000010010001110111 1 -b1101011101011000010010001110111 C -b10111011100000000000000000000000 0 -b10111011100000000000000000000000 H -b1100111101010111010010001101110 2 -b1100111101010111010010001101110 = -b1111011111011100011111011110111 < -b1100111101010111010010001101110 : -b11101011101111010110010101110111 $ -b11101011101111010110010101110111 - -b11101011101111010110010101110111 5 -b11101011101111010110010101110111 ? -b11101011101111010110010101110111 D -b1111011111011100011111011110111 % -b1111011111011100011111011110111 . -b1111011111011100011111011110111 6 -b1111011111011100011111011110111 @ -b1111011111011100011111011110111 F -b10111011100000000000000000000000 ) -#399296000 -0& -#399312000 -b1100001011111 , -#399328000 -1& -#399344000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b1110000100010100000000001001100 1 -b1110000100010100000000001001100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b1101000010010001010100001001011 2 -b1101000010010001010100001001011 = -b1110010100010100010010011111110 < -b1101000010010001010100001001011 : -b11110101101111101000001101001101 $ -b11110101101111101000001101001101 - -b11110101101111101000001101001101 5 -b11110101101111101000001101001101 ? -b11110101101111101000001101001101 D -b1110010100010100010010011111110 % -b1110010100010100010010011111110 . -b1110010100010100010010011111110 6 -b1110010100010100010010011111110 @ -b1110010100010100010010011111110 F -b1000000000000000000000000000000 ) -#399360000 -0& -#399376000 -b1100001100000 , -#399392000 -1& -#399408000 -b1100111101100000000000000000000 " -b1100111101100000000000000000000 4 -b100101110100100101011000110000 1 -b100101110100100101011000110000 C -b1100111101100000000000000000000 0 -b1100111101100000000000000000000 H -18 -b11100101110100100101010100101111 2 -b11100101110100100101010100101111 = -b111111110100100111111010110100 < -b11100101110100100101010100101111 : -b10100101111111111101011001111011 $ -b10100101111111111101011001111011 - -b10100101111111111101011001111011 5 -b10100101111111111101011001111011 ? -b10100101111111111101011001111011 D -b111111110100100111111010110100 % -b111111110100100111111010110100 . -b111111110100100111111010110100 6 -b111111110100100111111010110100 @ -b111111110100100111111010110100 F -b1100111101100000000000000000000 ) -#399424000 -0& -#399440000 -b1100001100001 , -#399456000 -1& -#399472000 -b11101011011100110011101010000000 " -b11101011011100110011101010000000 4 -b1111111101011011000110011100010 1 -b1111111101011011000110011100010 C -b11101011011100110011101010000000 0 -b11101011011100110011101010000000 H -08 -19 -b11111111101011010101110011010000 2 -b11111111101011010101110011010000 = -b1111111111111111000111111100110 < -b11111111101011010101110011010000 : -b1111111101011011100110011101010 $ -b1111111101011011100110011101010 - -b1111111101011011100110011101010 5 -b1111111101011011100110011101010 ? -b1111111101011011100110011101010 D -b1111111111111111000111111100110 % -b1111111111111111000111111100110 . -b1111111111111111000111111100110 6 -b1111111111111111000111111100110 @ -b1111111111111111000111111100110 F -b11101011011100110011101010000000 ) -#399488000 -0& -#399504000 -b1100001100010 , -#399520000 -1& -#399536000 -b11011010011011001111100000000000 " -b11011010011011001111100000000000 4 -b10100010100110100100010001011 1 -b10100010100110100100010001011 C -b11011010011011001111100000000000 0 -b11011010011011001111100000000000 H -18 -09 -b11010100010100101010100001001010 2 -b11010100010100101010100001001010 = -b111110011101110101101010101011 < -b11010100010100101010100001001010 : -b10010101110110110100110110011111 $ -b10010101110110110100110110011111 - -b10010101110110110100110110011111 5 -b10010101110110110100110110011111 ? -b10010101110110110100110110011111 D -b111110011101110101101010101011 % -b111110011101110101101010101011 . -b111110011101110101101010101011 6 -b111110011101110101101010101011 @ -b111110011101110101101010101011 F -b11011010011011001111100000000000 ) -#399552000 -0& -#399568000 -b1100001100011 , -#399584000 -1& -#399600000 -b101100000100000000000000000000 " -b101100000100000000000000000000 4 -b10001010110111100010000100000010 1 -b10001010110111100010000100000010 C -b101100000100000000000000000000 0 -b101100000100000000000000000000 H -b10001010110111100001111011010101 2 -b10001010110111100001111011010101 = -b11001010110111111111100101010011 < -b10001010110111100001111011010101 : -b10111111111111100010010110000010 $ -b10111111111111100010010110000010 - -b10111111111111100010010110000010 5 -b10111111111111100010010110000010 ? -b10111111111111100010010110000010 D -b11001010110111111111100101010011 % -b11001010110111111111100101010011 . -b11001010110111111111100101010011 6 -b11001010110111111111100101010011 @ -b11001010110111111111100101010011 F -b101100000100000000000000000000 ) -#399616000 -0& -#399632000 -b1100001100100 , -#399648000 -1& -#399664000 -b1011101000000000000000000000000 " -b1011101000000000000000000000000 4 -b11100010010101010110010001011000 1 -b11100010010101010110010001011000 C -b1011101000000000000000000000000 0 -b1011101000000000000000000000000 H -b11100000110101010110000111010101 2 -b11100000110101010110000111010101 = -b11100110010111110111110101111000 < -b11100000110101010110000111010101 : -b11111010011101011110010001011101 $ -b11111010011101011110010001011101 - -b11111010011101011110010001011101 5 -b11111010011101011110010001011101 ? -b11111010011101011110010001011101 D -b11100110010111110111110101111000 % -b11100110010111110111110101111000 . -b11100110010111110111110101111000 6 -b11100110010111110111110101111000 @ -b11100110010111110111110101111000 F -b1011101000000000000000000000000 ) -#399680000 -0& -#399696000 -b1100001100101 , -#399712000 -1& -#399728000 -b11110100000000000000000000000000 " -b11110100000000000000000000000000 4 -b11000000111011100000000000111000 1 -b11000000111011100000000000111000 C -b11110100000000000000000000000000 0 -b11110100000000000000000000000000 H -b10110000111011010011110100110111 2 -b10110000111011010011110100110111 = -b11001011111011100001110001111010 < -b10110000111011010011110100110111 : -b11100100111111110010000010111101 $ -b11100100111111110010000010111101 - -b11100100111111110010000010111101 5 -b11100100111111110010000010111101 ? -b11100100111111110010000010111101 D -b11001011111011100001110001111010 % -b11001011111011100001110001111010 . -b11001011111011100001110001111010 6 -b11001011111011100001110001111010 @ -b11001011111011100001110001111010 F -b11110100000000000000000000000000 ) -#399744000 -0& -#399760000 -b1100001100110 , -#399776000 -1& -#399792000 -b11111101111110000110000000000000 " -b11111101111110000110000000000000 4 -b10100010101111001001100001100000 1 -b10100010101111001001100001100000 C -b11111101111110000110000000000000 0 -b11111101111110000110000000000000 H -19 -18 -b1100010101111001001001111001000 2 -b1100010101111001001001111001000 = -b10100010101111101001101101101000 < -b1100010101111001001001111001000 : -b10111111111111011111100001100000 $ -b10111111111111011111100001100000 - -b10111111111111011111100001100000 5 -b10111111111111011111100001100000 ? -b10111111111111011111100001100000 D -b10100010101111101001101101101000 % -b10100010101111101001101101101000 . -b10100010101111101001101101101000 6 -b10100010101111101001101101101000 @ -b10100010101111101001101101101000 F -b11111101111110000110000000000000 ) -#399808000 -0& -#399824000 -b1100001100111 , -#399840000 -1& -#399856000 -b1100111101010000000000000000000 " -b1100111101010000000000000000000 4 -b11111010100001110000000011010001 1 -b11111010100001110000000011010001 C -b1100111101010000000000000000000 0 -b1100111101010000000000000000000 H -09 -18 -b11110101001011100010111011001000 2 -b11110101001011100010111011001000 = -b11111010100001110010000111010011 < -b11110101001011100010111011001000 : -b11111010101001110000110011110101 $ -b11111010101001110000110011110101 - -b11111010101001110000110011110101 5 -b11111010101001110000110011110101 ? -b11111010101001110000110011110101 D -b11111010100001110010000111010011 % -b11111010100001110010000111010011 . -b11111010100001110010000111010011 6 -b11111010100001110010000111010011 @ -b11111010100001110010000111010011 F -b1100111101010000000000000000000 ) -#399872000 -0& -#399888000 -b1100001101000 , -#399904000 -1& -#399920000 -b11100111110000000000000000000000 " -b11100111110000000000000000000000 4 -b11110000110110100000001000010100 1 -b11110000110110100000001000010100 C -b11100111110000000000000000000000 0 -b11100111110000000000000000000000 H -b11101110110110010011100111010011 2 -b11101110110110010011100111010011 = -b11111100111111110000001010010101 < -b11101110110110010011100111010011 : -b11110001110110100011011100111110 $ -b11110001110110100011011100111110 - -b11110001110110100011011100111110 5 -b11110001110110100011011100111110 ? -b11110001110110100011011100111110 D -b11111100111111110000001010010101 % -b11111100111111110000001010010101 . -b11111100111111110000001010010101 6 -b11111100111111110000001010010101 @ -b11111100111111110000001010010101 F -b11100111110000000000000000000000 ) -#399936000 -0& -#399952000 -b1100001101001 , -#399968000 -1& -#399984000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b11010010011010010101110001010100 1 -b11010010011010010101110001010100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b11010010011010010101100101010001 2 -b11010010011010010101100101010001 = -b11110110011111011101110011011101 < -b11010010011010010101100101010001 : -b11011011111010110111110001110100 $ -b11011011111010110111110001110100 - -b11011011111010110111110001110100 5 -b11011011111010110111110001110100 ? -b11011011111010110111110001110100 D -b11110110011111011101110011011101 % -b11110110011111011101110011011101 . -b11110110011111011101110011011101 6 -b11110110011111011101110011011101 @ -b11110110011111011101110011011101 F -b10000000000000000000000000000000 ) -#400000000 -0& -#400016000 -b1100001101010 , -#400032000 -1& -#400048000 -b10011000000000000000000000000 " -b10011000000000000000000000000 4 -b1101100110010100011010000010000 1 -b1101100110010100011010000010000 C -b10011000000000000000000000000 0 -b10011000000000000000000000000 H -08 -b1101100110010100011001111101011 2 -b1101100110010100011001111101011 = -b11111111110010101011110111011000 < -b1101100110010100011001111101011 : -b1101100111111110111011000010011 $ -b1101100111111110111011000010011 - -b1101100111111110111011000010011 5 -b1101100111111110111011000010011 ? -b1101100111111110111011000010011 D -b11111111110010101011110111011000 % -b11111111110010101011110111011000 . -b11111111110010101011110111011000 6 -b11111111110010101011110111011000 @ -b11111111110010101011110111011000 F -b10011000000000000000000000000 ) -#400064000 -0& -#400080000 -b1100001101011 , -#400096000 -1& -#400112000 -b11111111010010011100000000000000 " -b11111111010010011100000000000000 4 -b10111011111101010000000000000000 1 -b10111011111101010000000000000000 C -b11111111010010011100000000000000 0 -b11111111010010011100000000000000 H -18 -b10111011111101001110111110000110 2 -b10111011111101001110111110000110 = -b11111111111101111100100010000110 < -b10111011111101001110111110000110 : -b10111011111111010010011100000000 $ -b10111011111111010010011100000000 - -b10111011111111010010011100000000 5 -b10111011111111010010011100000000 ? -b10111011111111010010011100000000 D -b11111111111101111100100010000110 % -b11111111111101111100100010000110 . -b11111111111101111100100010000110 6 -b11111111111101111100100010000110 @ -b11111111111101111100100010000110 F -b11111111010010011100000000000000 ) -#400128000 -0& -#400144000 -b1100001101100 , -#400160000 -1& -#400176000 -b10111010001000000000000000000000 " -b10111010001000000000000000000000 4 -b10110110100010000100111010001 1 -b10110110100010000100111010001 C -b10111010001000000000000000000000 0 -b10111010001000000000000000000000 H -08 -19 -b10010110110100010000011110100110 2 -b10010110110100010000011110100110 = -b1111111110110111100100111010101 < -b10010110110100010000011110100110 : -b10110111101010011110111010001 $ -b10110111101010011110111010001 - -b10110111101010011110111010001 5 -b10110111101010011110111010001 ? -b10110111101010011110111010001 D -b1111111110110111100100111010101 % -b1111111110110111100100111010101 . -b1111111110110111100100111010101 6 -b1111111110110111100100111010101 @ -b1111111110110111100100111010101 F -b10111010001000000000000000000000 ) -#400192000 -0& -#400208000 -b1100001101101 , -#400224000 -1& -#400240000 -b11101010000111000000000000000000 " -b11101010000111000000000000000000 4 -b11111111000001010001010000101000 1 -b11111111000001010001010000101000 C -b11101010000111000000000000000000 0 -b11101010000111000000000000000000 H -18 -09 -b11111110100001001111001011100111 2 -b11111110100001001111001011100111 = -b11111111000011010001111010101111 < -b11111110100001001111001011100111 : -b11111111011101111101010000111000 $ -b11111111011101111101010000111000 - -b11111111011101111101010000111000 5 -b11111111011101111101010000111000 ? -b11111111011101111101010000111000 D -b11111111000011010001111010101111 % -b11111111000011010001111010101111 . -b11111111000011010001111010101111 6 -b11111111000011010001111010101111 @ -b11111111000011010001111010101111 F -b11101010000111000000000000000000 ) -#400256000 -0& -#400272000 -b1100001101110 , -#400288000 -1& -#400304000 -b1110100011011001110000000000000 " -b1110100011011001110000000000000 4 -b11111100000100011010001001000101 1 -b11111100000100011010001001000101 C -b1110100011011001110000000000000 0 -b1110100011011001110000000000000 H -b11111100000100010100010110110100 2 -b11111100000100010100010110110100 = -b11111101000111011010001001001101 < -b11111100000100010100010110110100 : -b11111110111100111010001101100111 $ -b11111110111100111010001101100111 - -b11111110111100111010001101100111 5 -b11111110111100111010001101100111 ? -b11111110111100111010001101100111 D -b11111101000111011010001001001101 % -b11111101000111011010001001001101 . -b11111101000111011010001001001101 6 -b11111101000111011010001001001101 @ -b11111101000111011010001001001101 F -b1110100011011001110000000000000 ) -#400320000 -0& -#400336000 -b1100001101111 , -#400352000 -1& -#400368000 -b11000010011011100000000000000000 " -b11000010011011100000000000000000 4 -b11101010111111000000000001100000 1 -b11101010111111000000000001100000 C -b11000010011011100000000000000000 0 -b11000010011011100000000000000000 H -b11101010111110111110001101011110 2 -b11101010111110111110001101011110 = -b11111011111111010010000011110000 < -b11101010111110111110001101011110 : -b11101110111111101100001001101110 $ -b11101110111111101100001001101110 - -b11101110111111101100001001101110 5 -b11101110111111101100001001101110 ? -b11101110111111101100001001101110 D -b11111011111111010010000011110000 % -b11111011111111010010000011110000 . -b11111011111111010010000011110000 6 -b11111011111111010010000011110000 @ -b11111011111111010010000011110000 F -b11000010011011100000000000000000 ) -#400384000 -0& -#400400000 -b1100001110000 , -#400416000 -1& -#400432000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b10101000010010100110101000001000 1 -b10101000010010100110101000001000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -19 -18 -b1100110110010011101100111100111 2 -b1100110110010011101100111100111 = -b10111100011110110110111000011101 < -b1100110110010011101100111100111 : -b10101010010011100110101111001010 $ -b10101010010011100110101111001010 - -b10101010010011100110101111001010 5 -b10101010010011100110101111001010 ? -b10101010010011100110101111001010 D -b10111100011110110110111000011101 % -b10111100011110110110111000011101 . -b10111100011110110110111000011101 6 -b10111100011110110110111000011101 @ -b10111100011110110110111000011101 F -b1000000000000000000000000000000 ) -#400448000 -0& -#400464000 -b1100001110001 , -#400480000 -1& -#400496000 -b1100010111110000000000000000000 " -b1100010111110000000000000000000 4 -b1100000111111110010000001010011 1 -b1100000111111110010000001010011 C -b1100010111110000000000000000000 0 -b1100010111110000000000000000000 H -08 -b11001100111111101100111001010010 2 -b11001100111111101100111001010010 = -b1101011111111111010000111110011 < -b11001100111111101100111001010010 : -b1100000111111110010110001011111 $ -b1100000111111110010110001011111 - -b1100000111111110010110001011111 5 -b1100000111111110010110001011111 ? -b1100000111111110010110001011111 D -b1101011111111111010000111110011 % -b1101011111111111010000111110011 . -b1101011111111111010000111110011 6 -b1101011111111111010000111110011 @ -b1101011111111111010000111110011 F -b1100010111110000000000000000000 ) -#400512000 -0& -#400528000 -b1100001110010 , -#400544000 -1& -#400560000 -b1101000000000000000000000000000 " -b1101000000000000000000000000000 4 -b1111010101111011101110111001001 1 -b1111010101111011101110111001001 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -09 -08 -b1111010101111011101110111001000 2 -b1111010101111011101110111001000 = -b11111011101111011101111111011011 < -b1111010101111011101110111001000 : -b1111110111111111111110111101101 $ -b1111110111111111111110111101101 - -b1111110111111111111110111101101 5 -b1111110111111111111110111101101 ? -b1111110111111111111110111101101 D -b11111011101111011101111111011011 % -b11111011101111011101111111011011 . -b11111011101111011101111111011011 6 -b11111011101111011101111111011011 @ -b11111011101111011101111111011011 F -b1101000000000000000000000000000 ) -#400576000 -0& -#400592000 -b1100001110011 , -#400608000 -1& -#400624000 -b10000000000000000000000000000 " -b10000000000000000000000000000 4 -b10110101110111010010001000010000 1 -b10110101110111010010001000010000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -18 -b10110101110110101001000110001101 2 -b10110101110110101001000110001101 = -b10111101110111010010111000011100 < -b10110101110110101001000110001101 : -b11110111111111010110001101110001 $ -b11110111111111010110001101110001 - -b11110111111111010110001101110001 5 -b11110111111111010110001101110001 ? -b11110111111111010110001101110001 D -b10111101110111010010111000011100 % -b10111101110111010010111000011100 . -b10111101110111010010111000011100 6 -b10111101110111010010111000011100 @ -b10111101110111010010111000011100 F -b10000000000000000000000000000 ) -#400640000 -0& -#400656000 -b1100001110100 , -#400672000 -1& -#400688000 -b10100010010110100000000000000000 " -b10100010010110100000000000000000 4 -b1101011101110100010000100100 1 -b1101011101110100010000100100 C -b10100010010110100000000000000000 0 -b10100010010110100000000000000000 H -18 -09 -b11001101011101101001100011100011 2 -b11001101011101101001100011100011 = -b10001111111111110101010000101111 < -b11001101011101101001100011100011 : -b111101011101110100010010110100 $ -b111101011101110100010010110100 - -b111101011101110100010010110100 5 -b111101011101110100010010110100 ? -b111101011101110100010010110100 D -b10001111111111110101010000101111 % -b10001111111111110101010000101111 . -b10001111111111110101010000101111 6 -b10001111111111110101010000101111 @ -b10001111111111110101010000101111 F -b10100010010110100000000000000000 ) -#400704000 -0& -#400720000 -b1100001110101 , -#400736000 -1& -#400752000 -b10001110000000000000000000000000 " -b10001110000000000000000000000000 4 -b11100011100000100000101100010100 1 -b11100011100000100000101100010100 C -b10001110000000000000000000000000 0 -b10001110000000000000000000000000 H -b11010011010111011100101100010011 2 -b11010011010111011100101100010011 = -b11101011100000100010101111110111 < -b11010011010111011100101100010011 : -b11100111110110111001111100011100 $ -b11100111110110111001111100011100 - -b11100111110110111001111100011100 5 -b11100111110110111001111100011100 ? -b11100111110110111001111100011100 D -b11101011100000100010101111110111 % -b11101011100000100010101111110111 . -b11101011100000100010101111110111 6 -b11101011100000100010101111110111 @ -b11101011100000100010101111110111 F -b10001110000000000000000000000000 ) -#400768000 -0& -#400784000 -b1100001110110 , -#400800000 -1& -#400816000 -b11010010100000110001001000000000 " -b11010010100000110001001000000000 4 -b1110010110010010100000100001001 1 -b1110010110010010100000100001001 C -b11010010100000110001001000000000 0 -b11010010100000110001001000000000 H -08 -b1110010110010010000100011110010 2 -b1110010110010010000100011110010 = -b11110011110111111100011101101001 < -b1110010110010010000100011110010 : -b1111110111010010100000110001001 $ -b1111110111010010100000110001001 - -b1111110111010010100000110001001 5 -b1111110111010010100000110001001 ? -b1111110111010010100000110001001 D -b11110011110111111100011101101001 % -b11110011110111111100011101101001 . -b11110011110111111100011101101001 6 -b11110011110111111100011101101001 @ -b11110011110111111100011101101001 F -b11010010100000110001001000000000 ) -#400832000 -0& -#400848000 -b1100001110111 , -#400864000 -1& -#400880000 -b1101011000111000000000000000000 " -b1101011000111000000000000000000 4 -b11111101011011010000000010000010 1 -b11111101011011010000000010000010 C -b1101011000111000000000000000000 0 -b1101011000111000000000000000000 H -18 -b11111101011011000111111101111001 2 -b11111101011011000111111101111001 = -b11111101011111010010010010110010 < -b11111101011011000111111101111001 : -b11111111111011110101101011000111 $ -b11111111111011110101101011000111 - -b11111111111011110101101011000111 5 -b11111111111011110101101011000111 ? -b11111111111011110101101011000111 D -b11111101011111010010010010110010 % -b11111101011111010010010010110010 . -b11111101011111010010010010110010 6 -b11111101011111010010010010110010 @ -b11111101011111010010010010110010 F -b1101011000111000000000000000000 ) -#400896000 -0& -#400912000 -b1100001111000 , -#400928000 -1& -#400944000 -b1100000000000000000000000000000 " -b1100000000000000000000000000000 4 -b1111110111101110010000000010001 1 -b1111110111101110010000000010001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -08 -b1111110111101110000111101110000 2 -b1111110111101110000111101110000 = -b11111110111111110110100100011101 < -b1111110111101110000111101110000 : -b1111111111101111010011001010011 $ -b1111111111101111010011001010011 - -b1111111111101111010011001010011 5 -b1111111111101111010011001010011 ? -b1111111111101111010011001010011 D -b11111110111111110110100100011101 % -b11111110111111110110100100011101 . -b11111110111111110110100100011101 6 -b11111110111111110110100100011101 @ -b11111110111111110110100100011101 F -b1100000000000000000000000000000 ) -#400960000 -0& -#400976000 -b1100001111001 , -#400992000 -1& -#401008000 -b11101011010000000000000000000000 " -b11101011010000000000000000000000 4 -b1001111000001110000010010110100 1 -b1001111000001110000010010110100 C -b11101011010000000000000000000000 0 -b11101011010000000000000000000000 H -b1001110110001100011001101101000 2 -b1001110110001100011001101101000 = -b11001111100111110010010010110100 < -b1001110110001100011001101101000 : -b1111111001001110000111010110100 $ -b1111111001001110000111010110100 - -b1111111001001110000111010110100 5 -b1111111001001110000111010110100 ? -b1111111001001110000111010110100 D -b11001111100111110010010010110100 % -b11001111100111110010010010110100 . -b11001111100111110010010010110100 6 -b11001111100111110010010010110100 @ -b11001111100111110010010010110100 F -b11101011010000000000000000000000 ) -#401024000 -0& -#401040000 -b1100001111010 , -#401056000 -1& -#401072000 -b11001110000110000000000000000000 " -b11001110000110000000000000000000 4 -b1001001110111110001000010000010 1 -b1001001110111110001000010000010 C -b11001110000110000000000000000000 0 -b11001110000110000000000000000000 H -b1000111110111110000100000111000 2 -b1000111110111110000100000111000 = -b1111001111111111001010010110010 < -b1000111110111110000100000111000 : -b11001101110111110111001110000110 $ -b11001101110111110111001110000110 - -b11001101110111110111001110000110 5 -b11001101110111110111001110000110 ? -b11001101110111110111001110000110 D -b1111001111111111001010010110010 % -b1111001111111111001010010110010 . -b1111001111111111001010010110010 6 -b1111001111111111001010010110010 @ -b1111001111111111001010010110010 F -b11001110000110000000000000000000 ) -#401088000 -0& -#401104000 -b1100001111011 , -#401120000 -1& -#401136000 -b1110111111010001000000010000000 " -b1110111111010001000000010000000 4 -b110001101110010100000000000100 1 -b110001101110010100000000000100 C -b1110111111010001000000010000000 0 -b1110111111010001000000010000000 H -b101101101110010001111010101001 2 -b101101101110010001111010101001 = -b10110001111110011101101010100101 < -b101101101110010001111010101001 : -b1111011101111110100010000000100 $ -b1111011101111110100010000000100 - -b1111011101111110100010000000100 5 -b1111011101111110100010000000100 ? -b1111011101111110100010000000100 D -b10110001111110011101101010100101 % -b10110001111110011101101010100101 . -b10110001111110011101101010100101 6 -b10110001111110011101101010100101 @ -b10110001111110011101101010100101 F -b1110111111010001000000010000000 ) -#401152000 -0& -#401168000 -b1100001111100 , -#401184000 -1& -#401200000 -b10101000100000000000000000000000 " -b10101000100000000000000000000000 4 -b1001111010110100000011100010001 1 -b1001111010110100000011100010001 C -b10101000100000000000000000000000 0 -b10101000100000000000000000000000 H -b111111010110010111011011101000 2 -b111111010110010111011011101000 = -b1001111110111110010111110010111 < -b111111010110010111011011101000 : -b11101111011110100100011101010001 $ -b11101111011110100100011101010001 - -b11101111011110100100011101010001 5 -b11101111011110100100011101010001 ? -b11101111011110100100011101010001 D -b1001111110111110010111110010111 % -b1001111110111110010111110010111 . -b1001111110111110010111110010111 6 -b1001111110111110010111110010111 @ -b1001111110111110010111110010111 F -b10101000100000000000000000000000 ) -#401216000 -0& -#401232000 -b1100001111101 , -#401248000 -1& -#401264000 -b10111000110000000000000000000000 " -b10111000110000000000000000000000 4 -b1101111110000110110011001100010 1 -b1101111110000110110011001100010 C -b10111000110000000000000000000000 0 -b10111000110000000000000000000000 H -19 -08 -b11101111110000110101010101011001 2 -b11101111110000110101010101011001 = -b1111111111100110110111001110110 < -b11101111110000110101010101011001 : -b1101111110011111110011011100011 $ -b1101111110011111110011011100011 - -b1101111110011111110011011100011 5 -b1101111110011111110011011100011 ? -b1101111110011111110011011100011 D -b1111111111100110110111001110110 % -b1111111111100110110111001110110 . -b1111111111100110110111001110110 6 -b1111111111100110110111001110110 @ -b1111111111100110110111001110110 F -b10111000110000000000000000000000 ) -#401280000 -0& -#401296000 -b1100001111110 , -#401312000 -1& -#401328000 -b110011100110100000000000000000 " -b110011100110100000000000000000 4 -b1111010010101110001000110010000 1 -b1111010010101110001000110010000 C -b110011100110100000000000000000 0 -b110011100110100000000000000000 H -09 -08 -b1111010010101110000010101001010 2 -b1111010010101110000010101001010 = -b11111010011101111101000110110000 < -b1111010010101110000010101001010 : -b1111111110111110011001110011010 $ -b1111111110111110011001110011010 - -b1111111110111110011001110011010 5 -b1111111110111110011001110011010 ? -b1111111110111110011001110011010 D -b11111010011101111101000110110000 % -b11111010011101111101000110110000 . -b11111010011101111101000110110000 6 -b11111010011101111101000110110000 @ -b11111010011101111101000110110000 F -b110011100110100000000000000000 ) -#401344000 -0& -#401360000 -b1100001111111 , -#401376000 -1& -#401392000 -b10101000011110011100000000000000 " -b10101000011110011100000000000000 4 -b111010001101010000101000110 1 -b111010001101010000101000110 C -b10101000011110011100000000000000 0 -b10101000011110011100000000000000 H -18 -b11100111010001101001111100110101 2 -b11100111010001101001111100110101 = -b1000111011011111111110101001110 < -b11100111010001101001111100110101 : -b10011111110101101010000111100111 $ -b10011111110101101010000111100111 - -b10011111110101101010000111100111 5 -b10011111110101101010000111100111 ? -b10011111110101101010000111100111 D -b1000111011011111111110101001110 % -b1000111011011111111110101001110 . -b1000111011011111111110101001110 6 -b1000111011011111111110101001110 @ -b1000111011011111111110101001110 F -b10101000011110011100000000000000 ) -#401408000 -0& -#401424000 -b1100010000000 , -#401440000 -1& -#401456000 -b11101000000000000000000000000000 " -b11101000000000000000000000000000 4 -b1111100001010000110010011001 1 -b1111100001010000110010011001 C -b11101000000000000000000000000000 0 -b11101000000000000000000000000000 H -08 -b1101111100001001110101101111000 2 -b1101111100001001110101101111000 = -b1011111100101011000111011011011 < -b1101111100001001110101101111000 : -b1111111011110101110010011101 $ -b1111111011110101110010011101 - -b1111111011110101110010011101 5 -b1111111011110101110010011101 ? -b1111111011110101110010011101 D -b1011111100101011000111011011011 % -b1011111100101011000111011011011 . -b1011111100101011000111011011011 6 -b1011111100101011000111011011011 @ -b1011111100101011000111011011011 F -b11101000000000000000000000000000 ) -#401472000 -0& -#401488000 -b1100010000001 , -#401504000 -1& -#401520000 -b10111001101001110010000000000000 " -b10111001101001110010000000000000 4 -b1100010010001011100000100001001 1 -b1100010010001011100000100001001 C -b10111001101001110010000000000000 0 -b10111001101001110010000000000000 H -08 -09 -b1100010010001011100000001000110 2 -b1100010010001011100000001000110 = -b1101010010101111111001100001101 < -b1100010010001011100000001000110 : -b11110111111011011100110100111001 $ -b11110111111011011100110100111001 - -b11110111111011011100110100111001 5 -b11110111111011011100110100111001 ? -b11110111111011011100110100111001 D -b1101010010101111111001100001101 % -b1101010010101111111001100001101 . -b1101010010101111111001100001101 6 -b1101010010101111111001100001101 @ -b1101010010101111111001100001101 F -b10111001101001110010000000000000 ) -#401536000 -0& -#401552000 -b1100010000010 , -#401568000 -1& -#401584000 -b11110100000000000000000000000000 " -b11110100000000000000000000000000 4 -b1011101001001101010001010011000 1 -b1011101001001101010001010011000 C -b11110100000000000000000000000000 0 -b11110100000000000000000000000000 H -b111100111001100101001010010111 2 -b111100111001100101001010010111 = -b1011101001101101010011011011010 < -b111100111001100101001010010111 : -b11011111101011111010101110111101 $ -b11011111101011111010101110111101 - -b11011111101011111010101110111101 5 -b11011111101011111010101110111101 ? -b11011111101011111010101110111101 D -b1011101001101101010011011011010 % -b1011101001101101010011011011010 . -b1011101001101101010011011011010 6 -b1011101001101101010011011011010 @ -b1011101001101101010011011011010 F -b11110100000000000000000000000000 ) -#401600000 -0& -#401616000 -b1100010000011 , -#401632000 -1& -#401648000 -b11001100000000000000000000000000 " -b11001100000000000000000000000000 4 -b10001010000000100000000110010 1 -b10001010000000100000000110010 C -b11001100000000000000000000000000 0 -b11001100000000000000000000000000 H -b10001001100000011011000101101 2 -b10001001100000011011000101101 = -b10110111011011111110010010111010 < -b10001001100000011011000101101 : -b1011001110000000101000101110011 $ -b1011001110000000101000101110011 - -b1011001110000000101000101110011 5 -b1011001110000000101000101110011 ? -b1011001110000000101000101110011 D -b10110111011011111110010010111010 % -b10110111011011111110010010111010 . -b10110111011011111110010010111010 6 -b10110111011011111110010010111010 @ -b10110111011011111110010010111010 F -b11001100000000000000000000000000 ) -#401664000 -0& -#401680000 -b1100010000100 , -#401696000 -1& -#401712000 -b100111010001000000000000000000 " -b100111010001000000000000000000 4 -b1011101100011010001001010100000 1 -b1011101100011010001001010100000 C -b100111010001000000000000000000 0 -b100111010001000000000000000000 H -b1011101011111000111001010010011 2 -b1011101011111000111001010010011 = -b1111101101011110101111011110001 < -b1011101011111000111001010010011 : -b11011111110011010001001110100010 $ -b11011111110011010001001110100010 - -b11011111110011010001001110100010 5 -b11011111110011010001001110100010 ? -b11011111110011010001001110100010 D -b1111101101011110101111011110001 % -b1111101101011110101111011110001 . -b1111101101011110101111011110001 6 -b1111101101011110101111011110001 @ -b1111101101011110101111011110001 F -b100111010001000000000000000000 ) -#401728000 -0& -#401744000 -b1100010000101 , -#401760000 -1& -#401776000 -b10111001001110000000000000000000 " -b10111001001110000000000000000000 4 -b1011100000000000110111000000010 1 -b1011100000000000110111000000010 C -b10111001001110000000000000000000 0 -b10111001001110000000000000000000 H -b111011111111000110110100000000 2 -b111011111111000110110100000000 = -b11011101010110101111111010110010 < -b111011111111000110110100000000 : -b1011110101000010110111001001110 $ -b1011110101000010110111001001110 - -b1011110101000010110111001001110 5 -b1011110101000010110111001001110 ? -b1011110101000010110111001001110 D -b11011101010110101111111010110010 % -b11011101010110101111111010110010 . -b11011101010110101111111010110010 6 -b11011101010110101111111010110010 @ -b11011101010110101111111010110010 F -b10111001001110000000000000000000 ) -#401792000 -0& -#401808000 -b1100010000110 , -#401824000 -1& -#401840000 -1! -b0 " -b0 4 -b111110010011010000111100010100 1 -b111110010011010000111100010100 C -b0 0 -b0 H -19 -08 -b10111110010011001001111010010010 2 -b10111110010011001001111010010010 = -b111110111011011000111101011110 < -1# -b10111110010011001001111010010010 : -b1111111010111110000111100110100 $ -b1111111010111110000111100110100 - -b1111111010111110000111100110100 5 -b1111111010111110000111100110100 ? -b1111111010111110000111100110100 D -b111110111011011000111101011110 % -b111110111011011000111101011110 . -b111110111011011000111101011110 6 -b111110111011011000111101011110 @ -b111110111011011000111101011110 F -b0 ) -b1 ( -#401856000 -0& -#401872000 -b1100010000111 , -#401888000 -1& -#401904000 -0! -b1111111110111110101101001000010 " -b1111111110111110101101001000010 4 -b110011010111100000000001000000 1 -b110011010111100000000001000000 C -b1111111110111110101101001000010 0 -b1111111110111110101101001000010 H -09 -08 -b110011010111010101111110000010 2 -b110011010111010101111110000010 = -b10110011011111100000010101000000 < -0# -b110011010111010101111110000010 : -b1111111110111110101101001000010 $ -b1111111110111110101101001000010 - -b1111111110111110101101001000010 5 -b1111111110111110101101001000010 ? -b1111111110111110101101001000010 D -b10110011011111100000010101000000 % -b10110011011111100000010101000000 . -b10110011011111100000010101000000 6 -b10110011011111100000010101000000 @ -b10110011011111100000010101000000 F -b1111111110111110101101001000010 ) -b0 ( -#401920000 -0& -#401936000 -b1100010001000 , -#401952000 -1& -#401968000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1010000001111100110001001000000 1 -b1010000001111100110001001000000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1001111111111100110001000111010 2 -b1001111111111100110001000111010 = -b1010000001111110111011101011010 < -b1001111111111100110001000111010 : -b11111111101111101110101011100000 $ -b11111111101111101110101011100000 - -b11111111101111101110101011100000 5 -b11111111101111101110101011100000 ? -b11111111101111101110101011100000 D -b1010000001111110111011101011010 % -b1010000001111110111011101011010 . -b1010000001111110111011101011010 6 -b1010000001111110111011101011010 @ -b1010000001111110111011101011010 F -b10000000000000000000000000000000 ) -#401984000 -0& -#402000000 -b1100010001001 , -#402016000 -1& -#402032000 -b10111110100110000100110000000000 " -b10111110100110000100110000000000 4 -b1111100010110100000100000100000 1 -b1111100010110100000100000100000 C -b10111110100110000100110000000000 0 -b10111110100110000100110000000000 H -b1111011001110010101010101001111 2 -b1111011001110010101010101001111 = -b1111100010110100000100100101001 < -b1111011001110010101010101001111 : -b11111110110111110100110000100110 $ -b11111110110111110100110000100110 - -b11111110110111110100110000100110 5 -b11111110110111110100110000100110 ? -b11111110110111110100110000100110 D -b1111100010110100000100100101001 % -b1111100010110100000100100101001 . -b1111100010110100000100100101001 6 -b1111100010110100000100100101001 @ -b1111100010110100000100100101001 F -b10111110100110000100110000000000 ) -#402048000 -0& -#402064000 -b1100010001010 , -#402080000 -1& -#402096000 -b10100001100000000000000000000 " -b10100001100000000000000000000 4 -b10110101100010010000001010000010 1 -b10110101100010010000001010000010 C -b10100001100000000000000000000 0 -b10100001100000000000000000000 H -18 -b10110101011010000010100101011001 2 -b10110101011010000010100101011001 = -b11111101110111110010011011010011 < -b10110101011010000010100101011001 : -b10110111100010010000001010000110 $ -b10110111100010010000001010000110 - -b10110111100010010000001010000110 5 -b10110111100010010000001010000110 ? -b10110111100010010000001010000110 D -b11111101110111110010011011010011 % -b11111101110111110010011011010011 . -b11111101110111110010011011010011 6 -b11111101110111110010011011010011 @ -b11111101110111110010011011010011 F -b10100001100000000000000000000 ) -#402112000 -0& -#402128000 -b1100010001011 , -#402144000 -1& -#402160000 -b10111011101001100001000000000000 " -b10111011101001100001000000000000 4 -b11100010010001110100110000000 1 -b11100010010001110100110000000 C -b10111011101001100001000000000000 0 -b10111011101001100001000000000000 H -08 -b11011110010001110010101101110 2 -b11011110010001110010101101110 = -b11111101010110011111101111101010 < -b11011110010001110010101101110 : -b11110011011101110100110000100 $ -b11110011011101110100110000100 - -b11110011011101110100110000100 5 -b11110011011101110100110000100 ? -b11110011011101110100110000100 D -b11111101010110011111101111101010 % -b11111101010110011111101111101010 . -b11111101010110011111101111101010 6 -b11111101010110011111101111101010 @ -b11111101010110011111101111101010 F -b10111011101001100001000000000000 ) -#402176000 -0& -#402192000 -b1100010001100 , -#402208000 -1& -#402224000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b10110110110101000001100001010000 1 -b10110110110101000001100001010000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b10110110110100100001100001001011 2 -b10110110110100100001100001001011 = -b10110110111101010101100111011011 < -b10110110110100100001100001001011 : -b11111111110111001011111001110000 $ -b11111111110111001011111001110000 - -b11111111110111001011111001110000 5 -b11111111110111001011111001110000 ? -b11111111110111001011111001110000 D -b10110110111101010101100111011011 % -b10110110111101010101100111011011 . -b10110110111101010101100111011011 6 -b10110110111101010101100111011011 @ -b10110110111101010101100111011011 F -b10000000000000000000000000000000 ) -#402240000 -0& -#402256000 -b1100010001101 , -#402272000 -1& -#402288000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b10101111010000000010000001000 1 -b10101111010000000010000001000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b10101111001111100000111100111 2 -b10101111001111100000111100111 = -b10011111111011010000110101011110 < -b10101111001111100000111100111 : -b1110101111110101011010010001001 $ -b1110101111110101011010010001001 - -b1110101111110101011010010001001 5 -b1110101111110101011010010001001 ? -b1110101111110101011010010001001 D -b10011111111011010000110101011110 % -b10011111111011010000110101011110 . -b10011111111011010000110101011110 6 -b10011111111011010000110101011110 @ -b10011111111011010000110101011110 F -b1000000000000000000000000000000 ) -#402304000 -0& -#402320000 -b1100010001110 , -#402336000 -1& -#402352000 -b110101010000000000000000000000 " -b110101010000000000000000000000 4 -b10001110100110010000011010100 1 -b10001110100110010000011010100 C -b110101010000000000000000000000 0 -b110101010000000000000000000000 H -b10001110010101000111111001011 2 -b10001110010101000111111001011 = -b1111001110100110010011011110110 < -b10001110010101000111111001011 : -b10010111111101110110100011010101 $ -b10010111111101110110100011010101 - -b10010111111101110110100011010101 5 -b10010111111101110110100011010101 ? -b10010111111101110110100011010101 D -b1111001110100110010011011110110 % -b1111001110100110010011011110110 . -b1111001110100110010011011110110 6 -b1111001110100110010011011110110 @ -b1111001110100110010011011110110 F -b110101010000000000000000000000 ) -#402368000 -0& -#402384000 -b1100010001111 , -#402400000 -1& -#402416000 -b11110010000000000000000000 " -b11110010000000000000000000 4 -b101111111011100000000000010001 1 -b101111111011100000000000010001 C -b11110010000000000000000000 0 -b11110010000000000000000000 H -b101111111011001001001100001100 2 -b101111111011001001001100001100 = -b11101111111111100001001010010011 < -b101111111011001001001100001100 : -b111111111011101000000001111001 $ -b111111111011101000000001111001 - -b111111111011101000000001111001 5 -b111111111011101000000001111001 ? -b111111111011101000000001111001 D -b11101111111111100001001010010011 % -b11101111111111100001001010010011 . -b11101111111111100001001010010011 6 -b11101111111111100001001010010011 @ -b11101111111111100001001010010011 F -b11110010000000000000000000 ) -#402432000 -0& -#402448000 -b1100010010000 , -#402464000 -1& -#402480000 -b11011111111101111001111110000101 " -b11011111111101111001111110000101 4 -b11111111001011000101000000000 1 -b11111111001011000101000000000 C -b11011111111101111001111110000101 0 -b11011111111101111001111110000101 H -b11111111001010010100110100101 2 -b11111111001010010100110100101 = -b111111111011011000101000100000 < -b11111111001010010100110100101 : -b11011111111101111001111110000101 $ -b11011111111101111001111110000101 - -b11011111111101111001111110000101 5 -b11011111111101111001111110000101 ? -b11011111111101111001111110000101 D -b111111111011011000101000100000 % -b111111111011011000101000100000 . -b111111111011011000101000100000 6 -b111111111011011000101000100000 @ -b111111111011011000101000100000 F -b11011111111101111001111110000101 ) -#402496000 -0& -#402512000 -b1100010010001 , -#402528000 -1& -#402544000 -1! -b0 " -b0 4 -b111001011100110000110001110000 1 -b111001011100110000110001110000 C -b0 0 -b0 H -19 -08 -b10111000111010101100100101101100 2 -b10111000111010101100100101101100 = -b111101011100111010110011111100 < -1# -b10111000111010101100100101101100 : -b1111011011101110001110001110000 $ -b1111011011101110001110001110000 - -b1111011011101110001110001110000 5 -b1111011011101110001110001110000 ? -b1111011011101110001110001110000 D -b111101011100111010110011111100 % -b111101011100111010110011111100 . -b111101011100111010110011111100 6 -b111101011100111010110011111100 @ -b111101011100111010110011111100 F -b0 ) -b1 ( -#402560000 -0& -#402576000 -b1100010010010 , -#402592000 -1& -#402608000 -0! -b10101101101000000000000000000000 " -b10101101101000000000000000000000 4 -b11011000111110000000000001000101 1 -b11011000111110000000000001000101 C -b10101101101000000000000000000000 0 -b10101101101000000000000000000000 H -18 -09 -b11011000111101101100011111000010 2 -b11011000111101101100011111000010 = -b11111100111111100100001001010101 < -0# -b11011000111101101100011111000010 : -b11011011111110001000010101101101 $ -b11011011111110001000010101101101 - -b11011011111110001000010101101101 5 -b11011011111110001000010101101101 ? -b11011011111110001000010101101101 D -b11111100111111100100001001010101 % -b11111100111111100100001001010101 . -b11111100111111100100001001010101 6 -b11111100111111100100001001010101 @ -b11111100111111100100001001010101 F -b10101101101000000000000000000000 ) -b0 ( -#402624000 -0& -#402640000 -b1100010010011 , -#402656000 -1& -#402672000 -b10100011011110001101011111000000 " -b10100011011110001101011111000000 4 -b10000011011100001101011100000 1 -b10000011011100001101011100000 C -b10100011011110001101011111000000 0 -b10100011011110001101011111000000 H -18 -09 -b11001110110111010101100111011011 2 -b11001110110111010101100111011011 = -b10111010011011100011111011100011 < -b11001110110111010101100111011011 : -b10100011011110001101011111000 $ -b10100011011110001101011111000 - -b10100011011110001101011111000 5 -b10100011011110001101011111000 ? -b10100011011110001101011111000 D -b10111010011011100011111011100011 % -b10111010011011100011111011100011 . -b10111010011011100011111011100011 6 -b10111010011011100011111011100011 @ -b10111010011011100011111011100011 F -b10100011011110001101011111000000 ) -#402688000 -0& -#402704000 -b1100010010100 , -#402720000 -1& -#402736000 -b1111000000000000000000000000000 " -b1111000000000000000000000000000 4 -b11000111100111111010000001001011 1 -b11000111100111111010000001001011 C -b1111000000000000000000000000000 0 -b1111000000000000000000000000000 H -b11000111100111111001010111001010 2 -b11000111100111111001010111001010 = -b11110111111111111010010001011011 < -b11000111100111111001010111001010 : -b11001111100111111111000101101111 $ -b11001111100111111111000101101111 - -b11001111100111111111000101101111 5 -b11001111100111111111000101101111 ? -b11001111100111111111000101101111 D -b11110111111111111010010001011011 % -b11110111111111111010010001011011 . -b11110111111111111010010001011011 6 -b11110111111111111010010001011011 @ -b11110111111111111010010001011011 F -b1111000000000000000000000000000 ) -#402752000 -0& -#402768000 -b1100010010101 , -#402784000 -1& -#402800000 -b11111000001000000000000000000000 " -b11111000001000000000000000000000 4 -b1100100111100110010010000000000 1 -b1100100111100110010010000000000 C -b11111000001000000000000000000000 0 -b11111000001000000000000000000000 H -08 -b1100100111100101110001010111010 2 -b1100100111100101110001010111010 = -b11110101111101111010010010110010 < -b1100100111100101110001010111010 : -b1101110111110110011111000001000 $ -b1101110111110110011111000001000 - -b1101110111110110011111000001000 5 -b1101110111110110011111000001000 ? -b1101110111110110011111000001000 D -b11110101111101111010010010110010 % -b11110101111101111010010010110010 . -b11110101111101111010010010110010 6 -b11110101111101111010010010110010 @ -b11110101111101111010010010110010 F -b11111000001000000000000000000000 ) -#402816000 -0& -#402832000 -b1100010010110 , -#402848000 -1& -#402864000 -b11010101100101000000000000000000 " -b11010101100101000000000000000000 4 -b11011011101110111001000000100000 1 -b11011011101110111001000000100000 C -b11010101100101000000000000000000 0 -b11010101100101000000000000000000 H -18 -b10111011101101111000111110010111 2 -b10111011101101111000111110010111 = -b11011111111110111001101000110010 < -b10111011101101111000111110010111 : -b11011011101110111111010101100101 $ -b11011011101110111111010101100101 - -b11011011101110111111010101100101 5 -b11011011101110111111010101100101 ? -b11011011101110111111010101100101 D -b11011111111110111001101000110010 % -b11011111111110111001101000110010 . -b11011111111110111001101000110010 6 -b11011111111110111001101000110010 @ -b11011111111110111001101000110010 F -b11010101100101000000000000000000 ) -#402880000 -0& -#402896000 -b1100010010111 , -#402912000 -1& -#402928000 -b10000111000000000000000000000000 " -b10000111000000000000000000000000 4 -b1011011010100011000000100000110 1 -b1011011010100011000000100000110 C -b10000111000000000000000000000000 0 -b10000111000000000000000000000000 H -08 -b1010111010010010101000010000101 2 -b1010111010010010101000010000101 = -b11011011110100111000100101110111 < -b1010111010010010101000010000101 : -b1111011011101011100011100001110 $ -b1111011011101011100011100001110 - -b1111011011101011100011100001110 5 -b1111011011101011100011100001110 ? -b1111011011101011100011100001110 D -b11011011110100111000100101110111 % -b11011011110100111000100101110111 . -b11011011110100111000100101110111 6 -b11011011110100111000100101110111 @ -b11011011110100111000100101110111 F -b10000111000000000000000000000000 ) -#402944000 -0& -#402960000 -b1100010011000 , -#402976000 -1& -#402992000 -b11100001000000000000000000000000 " -b11100001000000000000000000000000 4 -b10101011001100001001011100000 1 -b10101011001100001001011100000 C -b11100001000000000000000000000000 0 -b11100001000000000000000000000000 H -b1100111001100000010111011001 2 -b1100111001100000010111011001 = -b10110111011101110001001011111000 < -b1100111001100000010111011001 : -b1010101011011101111001011100001 $ -b1010101011011101111001011100001 - -b1010101011011101111001011100001 5 -b1010101011011101111001011100001 ? -b1010101011011101111001011100001 D -b10110111011101110001001011111000 % -b10110111011101110001001011111000 . -b10110111011101110001001011111000 6 -b10110111011101110001001011111000 @ -b10110111011101110001001011111000 F -b11100001000000000000000000000000 ) -#403008000 -0& -#403024000 -b1100010011001 , -#403040000 -1& -#403056000 -b11100101111101100010101011000000 " -b11100101111101100010101011000000 4 -b1011011100001100101000010100010 1 -b1011011100001100101000010100010 C -b11100101111101100010101011000000 0 -b11100101111101100010101011000000 H -b1010111011111100010101001010001 2 -b1010111011111100010101001010001 = -b11111011111001100101000110100110 < -b1010111011111100010101001010001 : -b1011011100101111101100010101011 $ -b1011011100101111101100010101011 - -b1011011100101111101100010101011 5 -b1011011100101111101100010101011 ? -b1011011100101111101100010101011 D -b11111011111001100101000110100110 % -b11111011111001100101000110100110 . -b11111011111001100101000110100110 6 -b11111011111001100101000110100110 @ -b11111011111001100101000110100110 F -b11100101111101100010101011000000 ) -#403072000 -0& -#403088000 -b1100010011010 , -#403104000 -1& -#403120000 -b1100100000000000000000000000 " -b1100100000000000000000000000 4 -b1111110111000011000000010010000 1 -b1111110111000011000000010010000 C -b1100100000000000000000000000 0 -b1100100000000000000000000000 H -19 -08 -b11111110111000010111011010000011 2 -b11111110111000010111011010000011 = -b1111110111110111111010011110011 < -b11111110111000010111011010000011 : -b1111111111001011000000110010000 $ -b1111111111001011000000110010000 - -b1111111111001011000000110010000 5 -b1111111111001011000000110010000 ? -b1111111111001011000000110010000 D -b1111110111110111111010011110011 % -b1111110111110111111010011110011 . -b1111110111110111111010011110011 6 -b1111110111110111111010011110011 @ -b1111110111110111111010011110011 F -b1100100000000000000000000000 ) -#403136000 -0& -#403152000 -b1100010011011 , -#403168000 -1& -#403184000 -b1111100100000000000000000000000 " -b1111100100000000000000000000000 4 -b111111010011000001100001110001 1 -b111111010011000001100001110001 C -b1111100100000000000000000000000 0 -b1111100100000000000000000000000 H -18 -09 -b11111111010010110011010101110000 2 -b11111111010010110011010101110000 = -b111111010011000001100001110111 < -b11111111010010110011010101110000 : -b10111111111111110001110011111001 $ -b10111111111111110001110011111001 - -b10111111111111110001110011111001 5 -b10111111111111110001110011111001 ? -b10111111111111110001110011111001 D -b111111010011000001100001110111 % -b111111010011000001100001110111 . -b111111010011000001100001110111 6 -b111111010011000001100001110111 @ -b111111010011000001100001110111 F -b1111100100000000000000000000000 ) -#403200000 -0& -#403216000 -b1100010011100 , -#403232000 -1& -#403248000 -b10011000000000000000000000000000 " -b10011000000000000000000000000000 4 -b1100111100001110001101001001000 1 -b1100111100001110001101001001000 C -b10011000000000000000000000000000 0 -b10011000000000000000000000000000 H -08 -19 -b11100111100001101001100011000101 2 -b11100111100001101001100011000101 = -b1110111111011110101101001111001 < -b11100111100001101001100011000101 : -b1101111100101110011111001001100 $ -b1101111100101110011111001001100 - -b1101111100101110011111001001100 5 -b1101111100101110011111001001100 ? -b1101111100101110011111001001100 D -b1110111111011110101101001111001 % -b1110111111011110101101001111001 . -b1110111111011110101101001111001 6 -b1110111111011110101101001111001 @ -b1110111111011110101101001111001 F -b10011000000000000000000000000000 ) -#403264000 -0& -#403280000 -b1100010011101 , -#403296000 -1& -#403312000 -b10011100000000000000000000000000 " -b10011100000000000000000000000000 4 -b11111011110101010000011000000010 1 -b11111011110101010000011000000010 C -b10011100000000000000000000000000 0 -b10011100000000000000000000000000 H -18 -09 -b11110111101101001110010110000001 2 -b11110111101101001110010110000001 = -b11111011110111110101011101011010 < -b11110111101101001110010110000001 : -b11111011110101011000111000100111 $ -b11111011110101011000111000100111 - -b11111011110101011000111000100111 5 -b11111011110101011000111000100111 ? -b11111011110101011000111000100111 D -b11111011110111110101011101011010 % -b11111011110111110101011101011010 . -b11111011110111110101011101011010 6 -b11111011110111110101011101011010 @ -b11111011110111110101011101011010 F -b10011100000000000000000000000000 ) -#403328000 -0& -#403344000 -b1100010011110 , -#403360000 -1& -#403376000 -b1101000000010000000000000000000 " -b1101000000010000000000000000000 4 -b10111101000000000000100000001 1 -b10111101000000000000100000001 C -b1101000000010000000000000000000 0 -b1101000000010000000000000000000 H -08 -b10111100111111011000001010100 2 -b10111100111111011000001010100 = -b11111111101010011010001101010011 < -b10111100111111011000001010100 : -b10111111101100000110100000001 $ -b10111111101100000110100000001 - -b10111111101100000110100000001 5 -b10111111101100000110100000001 ? -b10111111101100000110100000001 D -b11111111101010011010001101010011 % -b11111111101010011010001101010011 . -b11111111101010011010001101010011 6 -b11111111101010011010001101010011 @ -b11111111101010011010001101010011 F -b1101000000010000000000000000000 ) -#403392000 -0& -#403408000 -b1100010011111 , -#403424000 -1& -#403440000 -b11101001111010100011000000000000 " -b11101001111010100011000000000000 4 -b101100111110101001000000100000 1 -b101100111110101001000000100000 C -b11101001111010100011000000000000 0 -b11101001111010100011000000000000 H -b101001111110011000111111001111 2 -b101001111110011000111111001111 = -b101100111110101111000100101100 < -b101001111110011000111111001111 : -b11111100111111101001111010100011 $ -b11111100111111101001111010100011 - -b11111100111111101001111010100011 5 -b11111100111111101001111010100011 ? -b11111100111111101001111010100011 D -b101100111110101111000100101100 % -b101100111110101111000100101100 . -b101100111110101111000100101100 6 -b101100111110101111000100101100 @ -b101100111110101111000100101100 F -b11101001111010100011000000000000 ) -#403456000 -0& -#403472000 -b1100010100000 , -#403488000 -1& -#403504000 -1! -b0 " -b0 4 -b110111011011001000000000000 1 -b110111011011001000000000000 C -b0 0 -b0 H -b101111011010100011110111100 2 -b101111011010100011110111100 = -b1101110111011011011001100111100 < -1# -b101111011010100011110111100 : -b10010110111111111001010010000000 $ -b10010110111111111001010010000000 - -b10010110111111111001010010000000 5 -b10010110111111111001010010000000 ? -b10010110111111111001010010000000 D -b1101110111011011011001100111100 % -b1101110111011011011001100111100 . -b1101110111011011011001100111100 6 -b1101110111011011011001100111100 @ -b1101110111011011011001100111100 F -b0 ) -b1 ( -#403520000 -0& -#403536000 -b1100010100001 , -#403552000 -1& -#403568000 -0! -b1001000000000000000000000000000 " -b1001000000000000000000000000000 4 -b1010100010100000010100101001 1 -b1010100010100000010100101001 C -b1001000000000000000000000000000 0 -b1001000000000000000000000000000 H -b1010011010011010010001100100 2 -b1010011010011010010001100100 = -b10111010110111100000111100111011 < -0# -b1010011010011010010001100100 : -b1001111100010111001010100101001 $ -b1001111100010111001010100101001 - -b1001111100010111001010100101001 5 -b1001111100010111001010100101001 ? -b1001111100010111001010100101001 D -b10111010110111100000111100111011 % -b10111010110111100000111100111011 . -b10111010110111100000111100111011 6 -b10111010110111100000111100111011 @ -b10111010110111100000111100111011 F -b1001000000000000000000000000000 ) -b0 ( -#403584000 -0& -#403600000 -b1100010100010 , -#403616000 -1& -#403632000 -b10111111110000000000000000000000 " -b10111111110000000000000000000000 4 -b1111100100001000001001011110110 1 -b1111100100001000001001011110110 C -b10111111110000000000000000000000 0 -b10111111110000000000000000000000 H -19 -08 -b11111100100000111100111011110101 2 -b11111100100000111100111011110101 = -b1111100111101011001001111110110 < -b11111100100000111100111011110101 : -b1111111100011100011101011111111 $ -b1111111100011100011101011111111 - -b1111111100011100011101011111111 5 -b1111111100011100011101011111111 ? -b1111111100011100011101011111111 D -b1111100111101011001001111110110 % -b1111100111101011001001111110110 . -b1111100111101011001001111110110 6 -b1111100111101011001001111110110 @ -b1111100111101011001001111110110 F -b10111111110000000000000000000000 ) -#403648000 -0& -#403664000 -b1100010100011 , -#403680000 -1& -#403696000 -b1111111110100000000000000000000 " -b1111111110100000000000000000000 4 -b1001111011001110010111011010000 1 -b1001111011001110010111011010000 C -b1111111110100000000000000000000 0 -b1111111110100000000000000000000 H -b11001111011001101010111010100000 2 -b11001111011001101010111010100000 = -b1111111011001110010111011010000 < -b11001111011001101010111010100000 : -b1001111111111110111111111010000 $ -b1001111111111110111111111010000 - -b1001111111111110111111111010000 5 -b1001111111111110111111111010000 ? -b1001111111111110111111111010000 D -b1111111011001110010111011010000 % -b1111111011001110010111011010000 . -b1111111011001110010111011010000 6 -b1111111011001110010111011010000 @ -b1111111011001110010111011010000 F -b1111111110100000000000000000000 ) -#403712000 -0& -#403728000 -b1100010100100 , -#403744000 -1& -#403760000 -b10011100001000000000000000000000 " -b10011100001000000000000000000000 4 -b1101000011101010100100000010000 1 -b1101000011101010100100000010000 C -b10011100001000000000000000000000 0 -b10011100001000000000000000000000 H -b11101000011101001011011000100001 2 -b11101000011101001011011000100001 = -b1101010011111010110100000010001 < -b11101000011101001011011000100001 : -b1111101111101110100111000010000 $ -b1111101111101110100111000010000 - -b1111101111101110100111000010000 5 -b1111101111101110100111000010000 ? -b1111101111101110100111000010000 D -b1101010011111010110100000010001 % -b1101010011111010110100000010001 . -b1101010011111010110100000010001 6 -b1101010011111010110100000010001 @ -b1101010011111010110100000010001 F -b10011100001000000000000000000000 ) -#403776000 -0& -#403792000 -b1100010100101 , -#403808000 -1& -#403824000 -b10111111110000000000000000000000 " -b10111111110000000000000000000000 4 -b100110000000100010011000010110 1 -b100110000000100010011000010110 C -b10111111110000000000000000000000 0 -b10111111110000000000000000000000 H -09 -08 -b100101111110011010011000010101 2 -b100101111110011010011000010101 = -b10111110001001110010011100010110 < -b100101111110011010011000010101 : -b1100111110100100111111011111111 $ -b1100111110100100111111011111111 - -b1100111110100100111111011111111 5 -b1100111110100100111111011111111 ? -b1100111110100100111111011111111 D -b10111110001001110010011100010110 % -b10111110001001110010011100010110 . -b10111110001001110010011100010110 6 -b10111110001001110010011100010110 @ -b10111110001001110010011100010110 F -b10111111110000000000000000000000 ) -#403840000 -0& -#403856000 -b1100010100110 , -#403872000 -1& -#403888000 -b10111100011001011110110000000000 " -b10111100011001011110110000000000 4 -b101001111011100000100001001010 1 -b101001111011100000100001001010 C -b10111100011001011110110000000000 0 -b10111100011001011110110000000000 H -b101001110111010010010001000101 2 -b101001110111010010010001000101 = -b11111001111011100000101011001010 < -b101001110111010010010001000101 : -b101111111011110001100101111011 $ -b101111111011110001100101111011 - -b101111111011110001100101111011 5 -b101111111011110001100101111011 ? -b101111111011110001100101111011 D -b11111001111011100000101011001010 % -b11111001111011100000101011001010 . -b11111001111011100000101011001010 6 -b11111001111011100000101011001010 @ -b11111001111011100000101011001010 F -b10111100011001011110110000000000 ) -#403904000 -0& -#403920000 -b1100010100111 , -#403936000 -1& -#403952000 -1! -b0 " -b0 4 -b1000001001101001100000000111000 1 -b1000001001101001100000000111000 C -b0 0 -b0 H -19 -08 -b11000001001100111000100111110110 2 -b11000001001100111000100111110110 = -b1010101101111001100100010111110 < -1# -b11000001001100111000100111110110 : -b1101011011101101100000100111000 $ -b1101011011101101100000100111000 - -b1101011011101101100000100111000 5 -b1101011011101101100000100111000 ? -b1101011011101101100000100111000 D -b1010101101111001100100010111110 % -b1010101101111001100100010111110 . -b1010101101111001100100010111110 6 -b1010101101111001100100010111110 @ -b1010101101111001100100010111110 F -b0 ) -b1 ( -#403968000 -0& -#403984000 -b1100010101000 , -#404000000 -1& -#404016000 -0! -b1100000010010000000000000000000 " -b1100000010010000000000000000000 4 -b111011001100000010000000001 1 -b111011001100000010000000001 C -b1100000010010000000000000000000 0 -b1100000010010000000000000000000 H -b10000110110101011101000000111100 2 -b10000110110101011101000000111100 = -b111011001111000010000110011 < -0# -b10000110110101011101000000111100 : -b1111111011011100100110000001001 $ -b1111111011011100100110000001001 - -b1111111011011100100110000001001 5 -b1111111011011100100110000001001 ? -b1111111011011100100110000001001 D -b111011001111000010000110011 % -b111011001111000010000110011 . -b111011001111000010000110011 6 -b111011001111000010000110011 @ -b111011001111000010000110011 F -b1100000010010000000000000000000 ) -b0 ( -#404032000 -0& -#404048000 -b1100010101001 , -#404064000 -1& -#404080000 -b10110010011100000000000000000000 " -b10110010011100000000000000000000 4 -b110010110001001100010010010000 1 -b110010110001001100010010010000 C -b10110010011100000000000000000000 0 -b10110010011100000000000000000000 H -b10110010100101001100001101101110 2 -b10110010100101001100001101101110 = -b1110110110001101101011011010010 < -b10110010100101001100001101101110 : -b111011110011011110110010011100 $ -b111011110011011110110010011100 - -b111011110011011110110010011100 5 -b111011110011011110110010011100 ? -b111011110011011110110010011100 D -b1110110110001101101011011010010 % -b1110110110001101101011011010010 . -b1110110110001101101011011010010 6 -b1110110110001101101011011010010 @ -b1110110110001101101011011010010 F -b10110010011100000000000000000000 ) -#404096000 -0& -#404112000 -b1100010101010 , -#404128000 -1& -#404144000 -b11111011000000000000000000000000 " -b11111011000000000000000000000000 4 -b10110100111001110100101010011000 1 -b10110100111001110100101010011000 C -b11111011000000000000000000000000 0 -b11111011000000000000000000000000 H -18 -09 -b10110100111001110100100110010011 2 -b10110100111001110100100110010011 = -b11111110111111110101101010011000 < -b10110100111001110100100110010011 : -b10110101111001111110111011111011 $ -b10110101111001111110111011111011 - -b10110101111001111110111011111011 5 -b10110101111001111110111011111011 ? -b10110101111001111110111011111011 D -b11111110111111110101101010011000 % -b11111110111111110101101010011000 . -b11111110111111110101101010011000 6 -b11111110111111110101101010011000 @ -b11111110111111110101101010011000 F -b11111011000000000000000000000000 ) -#404160000 -0& -#404176000 -b1100010101011 , -#404192000 -1& -#404208000 -b11111101000110010110100000000000 " -b11111101000110010110100000000000 4 -b10111000101111010000000001001 1 -b10111000101111010000000001001 C -b11111101000110010110100000000000 0 -b11111101000110010110100000000000 H -08 -b10111000101111001001110111000 2 -b10111000101111001001110111000 = -b110111011101111111000010001011 < -b10111000101111001001110111000 : -b11011111100111111010001100101101 $ -b11011111100111111010001100101101 - -b11011111100111111010001100101101 5 -b11011111100111111010001100101101 ? -b11011111100111111010001100101101 D -b110111011101111111000010001011 % -b110111011101111111000010001011 . -b110111011101111111000010001011 6 -b110111011101111111000010001011 @ -b110111011101111111000010001011 F -b11111101000110010110100000000000 ) -#404224000 -0& -#404240000 -b1100010101100 , -#404256000 -1& -#404272000 -b100000000000000000000000000 " -b100000000000000000000000000 4 -b1001001011111100000011000000000 1 -b1001001011111100000011000000000 C -b100000000000000000000000000 0 -b100000000000000000000000000 H -b1001001011111010111110110111011 2 -b1001001011111010111110110111011 = -b11001001111111100100011100111001 < -b1001001011111010111110110111011 : -b1111111011111110011011010000010 $ -b1111111011111110011011010000010 - -b1111111011111110011011010000010 5 -b1111111011111110011011010000010 ? -b1111111011111110011011010000010 D -b11001001111111100100011100111001 % -b11001001111111100100011100111001 . -b11001001111111100100011100111001 6 -b11001001111111100100011100111001 @ -b11001001111111100100011100111001 F -b100000000000000000000000000 ) -#404288000 -0& -#404304000 -b1100010101101 , -#404320000 -1& -#404336000 -b11111111100110001010000010000000 " -b11111111100110001010000010000000 4 -b1110011110001100000001000000010 1 -b1110011110001100000001000000010 C -b11111111100110001010000010000000 0 -b11111111100110001010000010000000 H -b1110011110001010111100010001000 2 -b1110011110001010111100010001000 = -b1111111110001110001011000000110 < -b1110011110001010111100010001000 : -b11110011111111100110001010000010 $ -b11110011111111100110001010000010 - -b11110011111111100110001010000010 5 -b11110011111111100110001010000010 ? -b11110011111111100110001010000010 D -b1111111110001110001011000000110 % -b1111111110001110001011000000110 . -b1111111110001110001011000000110 6 -b1111111110001110001011000000110 @ -b1111111110001110001011000000110 F -b11111111100110001010000010000000 ) -#404352000 -0& -#404368000 -b1100010101110 , -#404384000 -1& -#404400000 -b1111011111110100001111001110000 " -b1111011111110100001111001110000 4 -b1100111100111101000000010000100 1 -b1100111100111101000000010000100 C -b1111011111110100001111001110000 0 -b1111011111110100001111001110000 H -b1100111100111100011001001101011 2 -b1100111100111100011001001101011 = -b1101111110111101001000010000100 < -b1100111100111100011001001101011 : -b11110111101111111010000111100111 $ -b11110111101111111010000111100111 - -b11110111101111111010000111100111 5 -b11110111101111111010000111100111 ? -b11110111101111111010000111100111 D -b1101111110111101001000010000100 % -b1101111110111101001000010000100 . -b1101111110111101001000010000100 6 -b1101111110111101001000010000100 @ -b1101111110111101001000010000100 F -b1111011111110100001111001110000 ) -#404416000 -0& -#404432000 -b1100010101111 , -#404448000 -1& -#404464000 -b1000011110000000000000000000000 " -b1000011110000000000000000000000 4 -b1011110111100110010000100000110 1 -b1011110111100110010000100000110 C -b1000011110000000000000000000000 0 -b1000011110000000000000000000000 H -b1011101111010101000001001100101 2 -b1011101111010101000001001100101 = -b1011110111100110010000101010110 < -b1011101111010101000001001100101 : -b11111110111101110110000100001111 $ -b11111110111101110110000100001111 - -b11111110111101110110000100001111 5 -b11111110111101110110000100001111 ? -b11111110111101110110000100001111 D -b1011110111100110010000101010110 % -b1011110111100110010000101010110 . -b1011110111100110010000101010110 6 -b1011110111100110010000101010110 @ -b1011110111100110010000101010110 F -b1000011110000000000000000000000 ) -#404480000 -0& -#404496000 -b1100010110000 , -#404512000 -1& -#404528000 -b11110001101111011001000111101000 " -b11110001101111011001000111101000 4 -b10011100001011110100000001000010 1 -b10011100001011110100000001000010 C -b11110001101111011001000111101000 0 -b11110001101111011001000111101000 H -18 -b10011011101011101100000000111100 2 -b10011011101011101100000000111100 = -b11011111001111110101101111000010 < -b10011011101011101100000000111100 : -b10111100011011110110010001111010 $ -b10111100011011110110010001111010 - -b10111100011011110110010001111010 5 -b10111100011011110110010001111010 ? -b10111100011011110110010001111010 D -b11011111001111110101101111000010 % -b11011111001111110101101111000010 . -b11011111001111110101101111000010 6 -b11011111001111110101101111000010 @ -b11011111001111110101101111000010 F -b11110001101111011001000111101000 ) -#404544000 -0& -#404560000 -b1100010110001 , -#404576000 -1& -#404592000 -b11111011000110110010001000000000 " -b11111011000110110010001000000000 4 -b1100101110110000000000100000000 1 -b1100101110110000000000100000000 C -b11111011000110110010001000000000 0 -b11111011000110110010001000000000 H -08 -b1010101110110000000000011010101 2 -b1010101110110000000000011010101 = -b11100101111111110010011111000101 < -b1010101110110000000000011010101 : -b1101111110110001101100100010000 $ -b1101111110110001101100100010000 - -b1101111110110001101100100010000 5 -b1101111110110001101100100010000 ? -b1101111110110001101100100010000 D -b11100101111111110010011111000101 % -b11100101111111110010011111000101 . -b11100101111111110010011111000101 6 -b11100101111111110010011111000101 @ -b11100101111111110010011111000101 F -b11111011000110110010001000000000 ) -#404608000 -0& -#404624000 -b1100010110010 , -#404640000 -1& -#404656000 -b11101001100001010100000000000000 " -b11101001100001010100000000000000 4 -b111011000111110000000000101000 1 -b111011000111110000000000101000 C -b11101001100001010100000000000000 0 -b11101001100001010100000000000000 H -19 -08 -b10110111000111101000000000010111 2 -b10110111000111101000000000010111 = -b1111011000111110011001111101101 < -b10110111000111101000000000010111 : -b111011111111110100110000101010 $ -b111011111111110100110000101010 - -b111011111111110100110000101010 5 -b111011111111110100110000101010 ? -b111011111111110100110000101010 D -b1111011000111110011001111101101 % -b1111011000111110011001111101101 . -b1111011000111110011001111101101 6 -b1111011000111110011001111101101 @ -b1111011000111110011001111101101 F -b11101001100001010100000000000000 ) -#404672000 -0& -#404688000 -b1100010110011 , -#404704000 -1& -#404720000 -b10001111010110011100000000000000 " -b10001111010110011100000000000000 4 -b1000010100111100011000100000110 1 -b1000010100111100011000100000110 C -b10001111010110011100000000000000 0 -b10001111010110011100000000000000 H -b11000010011111011011000001110101 2 -b11000010011111011011000001110101 = -b1111010110111110111001100001110 < -b11000010011111011011000001110101 : -b1000111100111100011110101100111 $ -b1000111100111100011110101100111 - -b1000111100111100011110101100111 5 -b1000111100111100011110101100111 ? -b1000111100111100011110101100111 D -b1111010110111110111001100001110 % -b1111010110111110111001100001110 . -b1111010110111110111001100001110 6 -b1111010110111110111001100001110 @ -b1111010110111110111001100001110 F -b10001111010110011100000000000000 ) -#404736000 -0& -#404752000 -b1100010110100 , -#404768000 -1& -#404784000 -b100101101110000000000000000000 " -b100101101110000000000000000000 4 -b10010111111110000000000010000 1 -b10010111111110000000000010000 C -b100101101110000000000000000000 0 -b100101101110000000000000000000 H -09 -08 -b1010111111101101101011101101 2 -b1010111111101101101011101101 = -b110011111111111100100000010001 < -b1010111111101101101011101101 : -b11010110111111110001001011011100 $ -b11010110111111110001001011011100 - -b11010110111111110001001011011100 5 -b11010110111111110001001011011100 ? -b11010110111111110001001011011100 D -b110011111111111100100000010001 % -b110011111111111100100000010001 . -b110011111111111100100000010001 6 -b110011111111111100100000010001 @ -b110011111111111100100000010001 F -b100101101110000000000000000000 ) -#404800000 -0& -#404816000 -b1100010110101 , -#404832000 -1& -#404848000 -b11111011011000000110000000000000 " -b11111011011000000110000000000000 4 -b11111110111101011100000100000001 1 -b11111110111101011100000100000001 C -b11111011011000000110000000000000 0 -b11111011011000000110000000000000 H -18 -b11111101111101011010000001010000 2 -b11111101111101011010000001010000 = -b11111110111101011100010101001101 < -b11111101111101011010000001010000 : -b11111110111111111101101100000011 $ -b11111110111111111101101100000011 - -b11111110111111111101101100000011 5 -b11111110111111111101101100000011 ? -b11111110111111111101101100000011 D -b11111110111101011100010101001101 % -b11111110111101011100010101001101 . -b11111110111101011100010101001101 6 -b11111110111101011100010101001101 @ -b11111110111101011100010101001101 F -b11111011011000000110000000000000 ) -#404864000 -0& -#404880000 -b1100010110110 , -#404896000 -1& -#404912000 -b1010000000000000000000000000000 " -b1010000000000000000000000000000 4 -b1110011111110100000011010001010 1 -b1110011111110100000011010001010 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -08 -19 -b11101011111110011100110110000101 2 -b11101011111110011100110110000101 = -b1110011111110100100011010111011 < -b11101011111110011100110110000101 : -b1110111111111111000011011001010 $ -b1110111111111111000011011001010 - -b1110111111111111000011011001010 5 -b1110111111111111000011011001010 ? -b1110111111111111000011011001010 D -b1110011111110100100011010111011 % -b1110011111110100100011010111011 . -b1110011111110100100011010111011 6 -b1110011111110100100011010111011 @ -b1110011111110100100011010111011 F -b1010000000000000000000000000000 ) -#404928000 -0& -#404944000 -b1100010110111 , -#404960000 -1& -#404976000 -b11101011001110111101000000000000 " -b11101011001110111101000000000000 4 -b1100011010111100010000110001100 1 -b1100011010111100010000110001100 C -b11101011001110111101000000000000 0 -b11101011001110111101000000000000 H -09 -08 -b1100011001111100001010101001001 2 -b1100011001111100001010101001001 = -b1101111010111110110000110001100 < -b1100011001111100001010101001001 : -b11110011110111101011001110111101 $ -b11110011110111101011001110111101 - -b11110011110111101011001110111101 5 -b11110011110111101011001110111101 ? -b11110011110111101011001110111101 D -b1101111010111110110000110001100 % -b1101111010111110110000110001100 . -b1101111010111110110000110001100 6 -b1101111010111110110000110001100 @ -b1101111010111110110000110001100 F -b11101011001110111101000000000000 ) -#404992000 -0& -#405008000 -b1100010111000 , -#405024000 -1& -#405040000 -b10001100000000000000000000000000 " -b10001100000000000000000000000000 4 -b1000001110110100000010001000000 1 -b1000001110110100000010001000000 C -b10001100000000000000000000000000 0 -b10001100000000000000000000000000 H -19 -08 -b10011101110110011000101010110101 2 -b10011101110110011000101010110101 = -b1001011110110111000011001010101 < -b10011101110110011000101010110101 : -b1010001111111100000010001100000 $ -b1010001111111100000010001100000 - -b1010001111111100000010001100000 5 -b1010001111111100000010001100000 ? -b1010001111111100000010001100000 D -b1001011110110111000011001010101 % -b1001011110110111000011001010101 . -b1001011110110111000011001010101 6 -b1001011110110111000011001010101 @ -b1001011110110111000011001010101 F -b10001100000000000000000000000000 ) -#405056000 -0& -#405072000 -b1100010111001 , -#405088000 -1& -#405104000 -b1011001100010111011000000000000 " -b1011001100010111011000000000000 4 -b111010101100100001000001100000 1 -b111010101100100001000001100000 C -b1011001100010111011000000000000 0 -b1011001100010111011000000000000 H -09 -08 -b111010101100011100100001000111 2 -b111010101100011100100001000111 = -b10111011111111101011000011100111 < -b111010101100011100100001000111 : -b1111110101100110001011101100000 $ -b1111110101100110001011101100000 - -b1111110101100110001011101100000 5 -b1111110101100110001011101100000 ? -b1111110101100110001011101100000 D -b10111011111111101011000011100111 % -b10111011111111101011000011100111 . -b10111011111111101011000011100111 6 -b10111011111111101011000011100111 @ -b10111011111111101011000011100111 F -b1011001100010111011000000000000 ) -#405120000 -0& -#405136000 -b1100010111010 , -#405152000 -1& -#405168000 -b111111111100011101101011001001 " -b111111111100011101101011001001 4 -b110110001000010001101000000000 1 -b110110001000010001101000000000 C -b111111111100011101101011001001 0 -b111111111100011101101011001001 H -b110110000111101111100011001001 2 -b110110000111101111100011001001 = -b11110110001011010001111000000000 < -b110110000111101111100011001001 : -b111111111100011101101011001001 $ -b111111111100011101101011001001 - -b111111111100011101101011001001 5 -b111111111100011101101011001001 ? -b111111111100011101101011001001 D -b11110110001011010001111000000000 % -b11110110001011010001111000000000 . -b11110110001011010001111000000000 6 -b11110110001011010001111000000000 @ -b11110110001011010001111000000000 F -b111111111100011101101011001001 ) -#405184000 -0& -#405200000 -b1100010111011 , -#405216000 -1& -#405232000 -b10100111110001011010000100000000 " -b10100111110001011010000100000000 4 -b11111110100001010000010010100000 1 -b11111110100001010000010010100000 C -b10100111110001011010000100000000 0 -b10100111110001011010000100000000 H -18 -b11111110100001001110010010001001 2 -b11111110100001001110010010001001 = -b11111110110111010001111011101000 < -b11111110100001001110010010001001 : -b11111111101001111100010110100001 $ -b11111111101001111100010110100001 - -b11111111101001111100010110100001 5 -b11111111101001111100010110100001 ? -b11111111101001111100010110100001 D -b11111110110111010001111011101000 % -b11111110110111010001111011101000 . -b11111110110111010001111011101000 6 -b11111110110111010001111011101000 @ -b11111110110111010001111011101000 F -b10100111110001011010000100000000 ) -#405248000 -0& -#405264000 -b1100010111100 , -#405280000 -1& -#405296000 -b1010110010001101000000000000000 " -b1010110010001101000000000000000 4 -b1010101111110101010000000000100 1 -b1010101111110101010000000000100 C -b1010110010001101000000000000000 0 -b1010110010001101000000000000000 H -08 -b110101111110101001001011000001 2 -b110101111110101001001011000001 = -b11011101111111111110000010001101 < -b110101111110101001001011000001 : -b1010111111110101011001000110100 $ -b1010111111110101011001000110100 - -b1010111111110101011001000110100 5 -b1010111111110101011001000110100 ? -b1010111111110101011001000110100 D -b11011101111111111110000010001101 % -b11011101111111111110000010001101 . -b11011101111111111110000010001101 6 -b11011101111111111110000010001101 @ -b11011101111111111110000010001101 F -b1010110010001101000000000000000 ) -#405312000 -0& -#405328000 -b1100010111101 , -#405344000 -1& -#405360000 -b10101110111010001100010011110000 " -b10101110111010001100010011110000 4 -b111000101011101000100001000100 1 -b111000101011101000100001000100 C -b10101110111010001100010011110000 0 -b10101110111010001100010011110000 H -b111000101011100100011000010011 2 -b111000101011100100011000010011 = -b10111101101111111011100111000100 < -b111000101011100100011000010011 : -b1111010111011101000110001001111 $ -b1111010111011101000110001001111 - -b1111010111011101000110001001111 5 -b1111010111011101000110001001111 ? -b1111010111011101000110001001111 D -b10111101101111111011100111000100 % -b10111101101111111011100111000100 . -b10111101101111111011100111000100 6 -b10111101101111111011100111000100 @ -b10111101101111111011100111000100 F -b10101110111010001100010011110000 ) -#405376000 -0& -#405392000 -b1100010111110 , -#405408000 -1& -#405424000 -b11101011110000010101111100100000 " -b11101011110000010101111100100000 4 -b101011010111100000101001000001 1 -b101011010111100000101001000001 C -b11101011110000010101111100100000 0 -b11101011110000010101111100100000 H -b101011010111001010100100111110 2 -b101011010111001010100100111110 = -b10101011111111101001111001000101 < -b101011010111001010100100111110 : -b1111111010111100000101011111001 $ -b1111111010111100000101011111001 - -b1111111010111100000101011111001 5 -b1111111010111100000101011111001 ? -b1111111010111100000101011111001 D -b10101011111111101001111001000101 % -b10101011111111101001111001000101 . -b10101011111111101001111001000101 6 -b10101011111111101001111001000101 @ -b10101011111111101001111001000101 F -b11101011110000010101111100100000 ) -#405440000 -0& -#405456000 -b1100010111111 , -#405472000 -1& -#405488000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b11011010010001010000000010011000 1 -b11011010010001010000000010011000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11011010001001001101001000110100 2 -b11011010001001001101001000110100 = -b11111110110011010100000010011100 < -b11011010001001001101001000110100 : -b11011011010101111001000110011000 $ -b11011011010101111001000110011000 - -b11011011010101111001000110011000 5 -b11011011010101111001000110011000 ? -b11011011010101111001000110011000 D -b11111110110011010100000010011100 % -b11111110110011010100000010011100 . -b11111110110011010100000010011100 6 -b11111110110011010100000010011100 @ -b11111110110011010100000010011100 F -b10000000000000000000000000000000 ) -#405504000 -0& -#405520000 -b1100011000000 , -#405536000 -1& -#405552000 -b1110010111100100100100100001110 1 -b1110010111100100100100100001110 C -08 -b1101110111100001010011011101100 2 -b1101110111100001010011011101100 = -b1110011111111100101100110011110 < -b1101110111100001010011011101100 : -b11111010111100100100110101001110 $ -b11111010111100100100110101001110 - -b11111010111100100100110101001110 5 -b11111010111100100100110101001110 ? -b11111010111100100100110101001110 D -b1110011111111100101100110011110 % -b1110011111111100101100110011110 . -b1110011111111100101100110011110 6 -b1110011111111100101100110011110 @ -b1110011111111100101100110011110 F -#405568000 -0& -#405584000 -b1100011000001 , -#405600000 -1& -#405616000 -b11101101110001111110101101101010 " -b11101101110001111110101101101010 4 -b1010110111000111010010010000001 1 -b1010110111000111010010010000001 C -b11101101110001111110101101101010 0 -b11101101110001111110101101101010 H -b1010101110111111010010000110110 2 -b1010101110111111010010000110110 = -b1011110111110111010111010000001 < -b1010101110111111010010000110110 : -b11110110111000111111010110110101 $ -b11110110111000111111010110110101 - -b11110110111000111111010110110101 5 -b11110110111000111111010110110101 ? -b11110110111000111111010110110101 D -b1011110111110111010111010000001 % -b1011110111110111010111010000001 . -b1011110111110111010111010000001 6 -b1011110111110111010111010000001 @ -b1011110111110111010111010000001 F -b11101101110001111110101101101010 ) -#405632000 -0& -#405648000 -b1100011000010 , -#405664000 -1& -#405680000 -b1111011011101010011001000000000 " -b1111011011101010011001000000000 4 -b10011111101111001010000010001001 1 -b10011111101111001010000010001001 C -b1111011011101010011001000000000 0 -b1111011011101010011001000000000 H -18 -19 -b111111101111001010000001000010 2 -b111111101111001010000001000010 = -b10011111111111101110010110101001 < -b111111101111001010000001000010 : -b10011111101111011011101010011001 $ -b10011111101111011011101010011001 - -b10011111101111011011101010011001 5 -b10011111101111011011101010011001 ? -b10011111101111011011101010011001 D -b10011111111111101110010110101001 % -b10011111111111101110010110101001 . -b10011111111111101110010110101001 6 -b10011111111111101110010110101001 @ -b10011111111111101110010110101001 F -b1111011011101010011001000000000 ) -#405696000 -0& -#405712000 -b1100011000011 , -#405728000 -1& -#405744000 -b11110110000111110010100000000000 " -b11110110000111110010100000000000 4 -b11111011111111011000011111001010 1 -b11111011111111011000011111001010 C -b11110110000111110010100000000000 0 -b11110110000111110010100000000000 H -09 -18 -b11111011111110111000011110010100 2 -b11111011111110111000011110010100 = -b11111011111111011111111111001010 < -b11111011111110111000011110010100 : -b11111111111111011000011111001010 $ -b11111111111111011000011111001010 - -b11111111111111011000011111001010 5 -b11111111111111011000011111001010 ? -b11111111111111011000011111001010 D -b11111011111111011111111111001010 % -b11111011111111011111111111001010 . -b11111011111111011111111111001010 6 -b11111011111111011111111111001010 @ -b11111011111111011111111111001010 F -b11110110000111110010100000000000 ) -#405760000 -0& -#405776000 -b1100011000100 , -#405792000 -1& -#405808000 -b11111110000000000000000000000000 " -b11111110000000000000000000000000 4 -b1110000001100001000001000011001 1 -b1110000001100001000001000011001 C -b11111110000000000000000000000000 0 -b11111110000000000000000000000000 H -08 -b1110000001100000110000110011000 2 -b1110000001100000110000110011000 = -b11111110101110101000111100011001 < -b1110000001100000110000110011000 : -b1110001011101011101001001111111 $ -b1110001011101011101001001111111 - -b1110001011101011101001001111111 5 -b1110001011101011101001001111111 ? -b1110001011101011101001001111111 D -b11111110101110101000111100011001 % -b11111110101110101000111100011001 . -b11111110101110101000111100011001 6 -b11111110101110101000111100011001 @ -b11111110101110101000111100011001 F -b11111110000000000000000000000000 ) -#405824000 -0& -#405840000 -b1100011000101 , -#405856000 -1& -#405872000 -b11001101100000000000000000000000 " -b11001101100000000000000000000000 4 -b1110111000101100101001010010011 1 -b1110111000101100101001010010011 C -b11001101100000000000000000000000 0 -b11001101100000000000000000000000 H -b1110110010101011100111001010010 2 -b1110110010101011100111001010010 = -b1110111000111100111001010110111 < -b1110110010101011100111001010010 : -b11111111001101110101101110011011 $ -b11111111001101110101101110011011 - -b11111111001101110101101110011011 5 -b11111111001101110101101110011011 ? -b11111111001101110101101110011011 D -b1110111000111100111001010110111 % -b1110111000111100111001010110111 . -b1110111000111100111001010110111 6 -b1110111000111100111001010110111 @ -b1110111000111100111001010110111 F -b11001101100000000000000000000000 ) -#405888000 -0& -#405904000 -b1100011000110 , -#405920000 -1& -#405936000 -b11110100000000001010100000000000 " -b11110100000000001010100000000000 4 -b1100001001111100000000000000001 1 -b1100001001111100000000000000001 C -b11110100000000001010100000000000 0 -b11110100000000001010100000000000 H -b1011111001111011011100011000000 2 -b1011111001111011011100011000000 = -b1100101001111110011100010101011 < -b1011111001111011011100011000000 : -b11111001111111101000000000010101 $ -b11111001111111101000000000010101 - -b11111001111111101000000000010101 5 -b11111001111111101000000000010101 ? -b11111001111111101000000000010101 D -b1100101001111110011100010101011 % -b1100101001111110011100010101011 . -b1100101001111110011100010101011 6 -b1100101001111110011100010101011 @ -b1100101001111110011100010101011 F -b11110100000000001010100000000000 ) -#405952000 -0& -#405968000 -b1100011000111 , -#405984000 -1& -#406000000 -b10111111111111000100001010011000 " -b10111111111111000100001010011000 4 -b10111110101111000000000000011 1 -b10111110101111000000000000011 C -b10111111111111000100001010011000 0 -b10111111111111000100001010011000 H -b10111110101110010111001010110 2 -b10111110101110010111001010110 = -b1011111110101111010011000000011 < -b10111110101110010111001010110 : -b10110111111111111000100001010011 $ -b10110111111111111000100001010011 - -b10110111111111111000100001010011 5 -b10110111111111111000100001010011 ? -b10110111111111111000100001010011 D -b1011111110101111010011000000011 % -b1011111110101111010011000000011 . -b1011111110101111010011000000011 6 -b1011111110101111010011000000011 @ -b1011111110101111010011000000011 F -b10111111111111000100001010011000 ) -#406016000 -0& -#406032000 -b1100011001000 , -#406048000 -1& -#406064000 -b11111000011010000000000000000000 " -b11111000011010000000000000000000 4 -b1100001001110100001001000010010 1 -b1100001001110100001001000010010 C -b11111000011010000000000000000000 0 -b11111000011010000000000000000000 H -19 -08 -b11100001001110100001000100101100 2 -b11100001001110100001000100101100 = -b1101111011110101101001100010010 < -b11100001001110100001000100101100 : -b1110001101111110011111000011010 $ -b1110001101111110011111000011010 - -b1110001101111110011111000011010 5 -b1110001101111110011111000011010 ? -b1110001101111110011111000011010 D -b1101111011110101101001100010010 % -b1101111011110101101001100010010 . -b1101111011110101101001100010010 6 -b1101111011110101101001100010010 @ -b1101111011110101101001100010010 F -b11111000011010000000000000000000 ) -#406080000 -0& -#406096000 -b1100011001001 , -#406112000 -1& -#406128000 -b10010000000000000000000000000000 " -b10010000000000000000000000000000 4 -b10101101100100000000000001000 1 -b10101101100100000000000001000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -09 -08 -b10101101100001111010111000101 2 -b10101101100001111010111000101 = -b10111101101100100011000000111100 < -b10101101100001111010111000101 : -b1010111111111101100010110001001 $ -b1010111111111101100010110001001 - -b1010111111111101100010110001001 5 -b1010111111111101100010110001001 ? -b1010111111111101100010110001001 D -b10111101101100100011000000111100 % -b10111101101100100011000000111100 . -b10111101101100100011000000111100 6 -b10111101101100100011000000111100 @ -b10111101101100100011000000111100 F -b10010000000000000000000000000000 ) -#406144000 -0& -#406160000 -b1100011001010 , -#406176000 -1& -#406192000 -b10010101110001111111000000000000 " -b10010101110001111111000000000000 4 -b11111110111110010000000001101100 1 -b11111110111110010000000001101100 C -b10010101110001111111000000000000 0 -b10010101110001111111000000000000 H -18 -b11111110111101000111111001101011 2 -b11111110111101000111111001101011 = -b11111110111110110010000111101100 < -b11111110111101000111111001101011 : -b11111111111110010101110001111111 $ -b11111111111110010101110001111111 - -b11111111111110010101110001111111 5 -b11111111111110010101110001111111 ? -b11111111111110010101110001111111 D -b11111110111110110010000111101100 % -b11111110111110110010000111101100 . -b11111110111110110010000111101100 6 -b11111110111110110010000111101100 @ -b11111110111110110010000111101100 F -b10010101110001111111000000000000 ) -#406208000 -0& -#406224000 -b1100011001011 , -#406240000 -1& -#406256000 -b10110010111101011101100101010100 " -b10110010111101011101100101010100 4 -b1100100100111010100010001000000 1 -b1100100100111010100010001000000 C -b10110010111101011101100101010100 0 -b10110010111101011101100101010100 H -08 -b1100100010111001100001100010111 2 -b1100100010111001100001100010111 = -b11110111100111110100110011000010 < -b1100100010111001100001100010111 : -b1101100101111010111011001010101 $ -b1101100101111010111011001010101 - -b1101100101111010111011001010101 5 -b1101100101111010111011001010101 ? -b1101100101111010111011001010101 D -b11110111100111110100110011000010 % -b11110111100111110100110011000010 . -b11110111100111110100110011000010 6 -b11110111100111110100110011000010 @ -b11110111100111110100110011000010 F -b10110010111101011101100101010100 ) -#406272000 -0& -#406288000 -b1100011001100 , -#406304000 -1& -#406320000 -b11111111011111000000111010001100 " -b11111111011111000000111010001100 4 -b1001110110100100000001110100010 1 -b1001110110100100000001110100010 C -b11111111011111000000111010001100 0 -b11111111011111000000111010001100 H -b1001110110100011100011101000101 2 -b1001110110100011100011101000101 = -b11001110111100101100001110100010 < -b1001110110100011100011101000101 : -b1111111110111110000001110100011 $ -b1111111110111110000001110100011 - -b1111111110111110000001110100011 5 -b1111111110111110000001110100011 ? -b1111111110111110000001110100011 D -b11001110111100101100001110100010 % -b11001110111100101100001110100010 . -b11001110111100101100001110100010 6 -b11001110111100101100001110100010 @ -b11001110111100101100001110100010 F -b11111111011111000000111010001100 ) -#406336000 -0& -#406352000 -b1100011001101 , -#406368000 -1& -#406384000 -b10111110100000000000000000000000 " -b10111110100000000000000000000000 4 -b1001100011100001011000101110101 1 -b1001100011100001011000101110101 C -b10111110100000000000000000000000 0 -b10111110100000000000000000000000 H -b101011111010000110010101110100 2 -b101011111010000110010101110100 = -b1001100011100011011000111110111 < -b101011111010000110010101110100 : -b11011111011101101011001101111101 $ -b11011111011101101011001101111101 - -b11011111011101101011001101111101 5 -b11011111011101101011001101111101 ? -b11011111011101101011001101111101 D -b1001100011100011011000111110111 % -b1001100011100011011000111110111 . -b1001100011100011011000111110111 6 -b1001100011100011011000111110111 @ -b1001100011100011011000111110111 F -b10111110100000000000000000000000 ) -#406400000 -0& -#406416000 -b1100011001110 , -#406432000 -1& -#406448000 -b1110001100000000000000000000000 " -b1110001100000000000000000000000 4 -b11010111111101100011000110000000 1 -b11010111111101100011000110000000 C -b1110001100000000000000000000000 0 -b1110001100000000000000000000000 H -18 -b11010111111101010010111101110000 2 -b11010111111101010010111101110000 = -b11010111111101101011110111110000 < -b11010111111101010010111101110000 : -b11111111111111100111000110000000 $ -b11111111111111100111000110000000 - -b11111111111111100111000110000000 5 -b11111111111111100111000110000000 ? -b11111111111111100111000110000000 D -b11010111111101101011110111110000 % -b11010111111101101011110111110000 . -b11010111111101101011110111110000 6 -b11010111111101101011110111110000 @ -b11010111111101101011110111110000 F -b1110001100000000000000000000000 ) -#406464000 -0& -#406480000 -b1100011001111 , -#406496000 -1& -#406512000 -b11110111111111101010100001011000 " -b11110111111111101010100001011000 4 -b1111011000110110001010000000000 1 -b1111011000110110001010000000000 C -b11110111111111101010100001011000 0 -b11110111111111101010100001011000 H -08 -b1111011000110110000100111101101 2 -b1111011000110110000100111101101 = -b1111111000110111011010111000001 < -b1111011000110110000100111101101 : -b11111011111111110101010000101100 $ -b11111011111111110101010000101100 - -b11111011111111110101010000101100 5 -b11111011111111110101010000101100 ? -b11111011111111110101010000101100 D -b1111111000110111011010111000001 % -b1111111000110111011010111000001 . -b1111111000110111011010111000001 6 -b1111111000110111011010111000001 @ -b1111111000110111011010111000001 F -b11110111111111101010100001011000 ) -#406528000 -0& -#406544000 -b1100011010000 , -#406560000 -1& -#406576000 -b11111111110100100001111110110000 " -b11111111110100100001111110110000 4 -b10111110111010010000111100000 1 -b10111110111010010000111100000 C -b11111111110100100001111110110000 0 -b11111111110100100001111110110000 H -18 -b11110111110111000100111111011111 2 -b11110111110111000100111111011111 = -b10111110111110010110111100100 < -b11110111110111000100111111011111 : -b11011111111111010010000111111011 $ -b11011111111111010010000111111011 - -b11011111111111010010000111111011 5 -b11011111111111010010000111111011 ? -b11011111111111010010000111111011 D -b10111110111110010110111100100 % -b10111110111110010110111100100 . -b10111110111110010110111100100 6 -b10111110111110010110111100100 @ -b10111110111110010110111100100 F -b11111111110100100001111110110000 ) -#406592000 -0& -#406608000 -b1100011010001 , -#406624000 -1& -#406640000 -b11010100110000000000000000000000 " -b11010100110000000000000000000000 4 -b10000000000111111000101000100100 1 -b10000000000111111000101000100100 C -b11010100110000000000000000000000 0 -b11010100110000000000000000000000 H -19 -18 -b1111111000111110110101000011011 2 -b1111111000111110110101000011011 = -b10001000000111111000101101110101 < -b1111111000111110110101000011011 : -b11110110111111111101111010100110 $ -b11110110111111111101111010100110 - -b11110110111111111101111010100110 5 -b11110110111111111101111010100110 ? -b11110110111111111101111010100110 D -b10001000000111111000101101110101 % -b10001000000111111000101101110101 . -b10001000000111111000101101110101 6 -b10001000000111111000101101110101 @ -b10001000000111111000101101110101 F -b11010100110000000000000000000000 ) -#406656000 -0& -#406672000 -b1100011010010 , -#406688000 -1& -#406704000 -b11101111110111100000000100000000 " -b11101111110111100000000100000000 4 -b11011110001010001100000000000000 1 -b11011110001010001100000000000000 C -b11101111110111100000000100000000 0 -b11101111110111100000000100000000 H -09 -18 -b11011110001010001011010110001101 2 -b11011110001010001011010110001101 = -b11011110101010011100010110000101 < -b11011110001010001011010110001101 : -b11111111011111101111000000001000 $ -b11111111011111101111000000001000 - -b11111111011111101111000000001000 5 -b11111111011111101111000000001000 ? -b11111111011111101111000000001000 D -b11011110101010011100010110000101 % -b11011110101010011100010110000101 . -b11011110101010011100010110000101 6 -b11011110101010011100010110000101 @ -b11011110101010011100010110000101 F -b11101111110111100000000100000000 ) -#406720000 -0& -#406736000 -b1100011010011 , -#406752000 -1& -#406768000 -b11010000000000000000000000000000 " -b11010000000000000000000000000000 4 -b1100001000011000000000000011100 1 -b1100001000011000000000000011100 C -b11010000000000000000000000000000 0 -b11010000000000000000000000000000 H -08 -b1011001000010110110111110011001 2 -b1011001000010110110111110011001 = -b1100001011011000010110001111100 < -b1011001000010110110111110011001 : -b11110111100111110100001100011101 $ -b11110111100111110100001100011101 - -b11110111100111110100001100011101 5 -b11110111100111110100001100011101 ? -b11110111100111110100001100011101 D -b1100001011011000010110001111100 % -b1100001011011000010110001111100 . -b1100001011011000010110001111100 6 -b1100001011011000010110001111100 @ -b1100001011011000010110001111100 F -b11010000000000000000000000000000 ) -#406784000 -0& -#406800000 -b1100011010100 , -#406816000 -1& -#406832000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b10110101010011000001000000110000 1 -b10110101010011000001000000110000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -19 -b1110011001111000000010111101011 2 -b1110011001111000000010111101011 = -b10111101011011100101000100111011 < -b1110011001111000000010111101011 : -b10110101110011011011010010110000 $ -b10110101110011011011010010110000 - -b10110101110011011011010010110000 5 -b10110101110011011011010010110000 ? -b10110101110011011011010010110000 D -b10111101011011100101000100111011 % -b10111101011011100101000100111011 . -b10111101011011100101000100111011 6 -b10111101011011100101000100111011 @ -b10111101011011100101000100111011 F -b10000000000000000000000000000000 ) -#406848000 -0& -#406864000 -b1100011010101 , -#406880000 -1& -#406896000 -b10001111111110101010100000000000 " -b10001111111110101010100000000000 4 -b111011010000011100001010101010 1 -b111011010000011100001010101010 C -b10001111111110101010100000000000 0 -b10001111111110101010100000000000 H -08 -b10111011010000011100000101010100 2 -b10111011010000011100000101010100 = -b1111011110111011100001010101010 < -b10111011010000011100000101010100 : -b111111011000111111111010101010 $ -b111111011000111111111010101010 - -b111111011000111111111010101010 5 -b111111011000111111111010101010 ? -b111111011000111111111010101010 D -b1111011110111011100001010101010 % -b1111011110111011100001010101010 . -b1111011110111011100001010101010 6 -b1111011110111011100001010101010 @ -b1111011110111011100001010101010 F -b10001111111110101010100000000000 ) -#406912000 -0& -#406928000 -b1100011010110 , -#406944000 -1& -#406960000 -b11001111101000001011100000000000 " -b11001111101000001011100000000000 4 -b1010000111110001110010000000011 1 -b1010000111110001110010000000011 C -b11001111101000001011100000000000 0 -b11001111101000001011100000000000 H -b10101011111110001110000010000010 2 -b10101011111110001110000010000010 = -b1011010111111101110110001101011 < -b10101011111110001110000010000010 : -b1010000111110011111010000010111 $ -b1010000111110011111010000010111 - -b1010000111110011111010000010111 5 -b1010000111110011111010000010111 ? -b1010000111110011111010000010111 D -b1011010111111101110110001101011 % -b1011010111111101110110001101011 . -b1011010111111101110110001101011 6 -b1011010111111101110110001101011 @ -b1011010111111101110110001101011 F -b11001111101000001011100000000000 ) -#406976000 -0& -#406992000 -b1100011010111 , -#407008000 -1& -#407024000 -b1010000000000000000000000000 " -b1010000000000000000000000000 4 -b111111110011000000000000000001 1 -b111111110011000000000000000001 C -b1010000000000000000000000000 0 -b1010000000000000000000000000 H -09 -08 -b111111110010110101111100011110 2 -b111111110010110101111100011110 = -b111111110111110101100000011001 < -b111111110010110101111100011110 : -b11111111111011000000011100000101 $ -b11111111111011000000011100000101 - -b11111111111011000000011100000101 5 -b11111111111011000000011100000101 ? -b11111111111011000000011100000101 D -b111111110111110101100000011001 % -b111111110111110101100000011001 . -b111111110111110101100000011001 6 -b111111110111110101100000011001 @ -b111111110111110101100000011001 F -b1010000000000000000000000000 ) -#407040000 -0& -#407056000 -b1100011011000 , -#407072000 -1& -#407088000 -b1111001101000101111010100000000 " -b1111001101000101111010100000000 4 -b1100100100000010000000100100010 1 -b1100100100000010000000100100010 C -b1111001101000101111010100000000 0 -b1111001101000101111010100000000 H -b1011100011110000111111100010001 2 -b1011100011110000111111100010001 = -b1110111100001010011100100100111 < -b1011100011110000111111100010001 : -b11100100111100110100010111101010 $ -b11100100111100110100010111101010 - -b11100100111100110100010111101010 5 -b11100100111100110100010111101010 ? -b11100100111100110100010111101010 D -b1110111100001010011100100100111 % -b1110111100001010011100100100111 . -b1110111100001010011100100100111 6 -b1110111100001010011100100100111 @ -b1110111100001010011100100100111 F -b1111001101000101111010100000000 ) -#407104000 -0& -#407120000 -b1100011011001 , -#407136000 -1& -#407152000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b1010100100111101000100010101101 1 -b1010100100111101000100010101101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -19 -08 -b11010100100111100010100010101100 2 -b11010100100111100010100010101100 = -b1111111110111111000100110111101 < -b11010100100111100010100010101100 : -b1010100101111101001111011101111 $ -b1010100101111101001111011101111 - -b1010100101111101001111011101111 5 -b1010100101111101001111011101111 ? -b1010100101111101001111011101111 D -b1111111110111111000100110111101 % -b1111111110111111000100110111101 . -b1111111110111111000100110111101 6 -b1111111110111111000100110111101 @ -b1111111110111111000100110111101 F -b11100000000000000000000000000000 ) -#407168000 -0& -#407184000 -b1100011011010 , -#407200000 -1& -#407216000 -b11111100101011101001110100000000 " -b11111100101011101001110100000000 4 -b101110111100010000010000000010 1 -b101110111100010000010000000010 C -b11111100101011101001110100000000 0 -b11111100101011101001110100000000 H -09 -08 -b101110111100010000000111000001 2 -b101110111100010000000111000001 = -b11101110111101111010010010000111 < -b101110111100010000000111000001 : -b111111111110010101110100111010 $ -b111111111110010101110100111010 - -b111111111110010101110100111010 5 -b111111111110010101110100111010 ? -b111111111110010101110100111010 D -b11101110111101111010010010000111 % -b11101110111101111010010010000111 . -b11101110111101111010010010000111 6 -b11101110111101111010010010000111 @ -b11101110111101111010010010000111 F -b11111100101011101001110100000000 ) -#407232000 -0& -#407248000 -b1100011011011 , -#407264000 -1& -#407280000 -b11110111010100001010001000000000 " -b11110111010100001010001000000000 4 -b11001111111110111000000000000001 1 -b11001111111110111000000000000001 C -b11110111010100001010001000000000 0 -b11110111010100001010001000000000 H -18 -b11001111111110110111111001011010 2 -b11001111111110110111111001011010 = -b11011111111111111101011000001001 < -b11001111111110110111111001011010 : -b11101111111110111010100001010001 $ -b11101111111110111010100001010001 - -b11101111111110111010100001010001 5 -b11101111111110111010100001010001 ? -b11101111111110111010100001010001 D -b11011111111111111101011000001001 % -b11011111111111111101011000001001 . -b11011111111111111101011000001001 6 -b11011111111111111101011000001001 @ -b11011111111111111101011000001001 F -b11110111010100001010001000000000 ) -#407296000 -0& -#407312000 -b1100011011100 , -#407328000 -1& -#407344000 -b10111000110100000000000000000000 " -b10111000110100000000000000000000 4 -b1101101011011000000000110000 1 -b1101101011011000000000110000 C -b10111000110100000000000000000000 0 -b10111000110100000000000000000000 H -08 -b1101101101011010111000000100110 2 -b1101101101011010111000000100110 = -b1111101111011100000111110010 < -b1101101101011010111000000100110 : -b1011101111011111010111000110100 $ -b1011101111011111010111000110100 - -b1011101111011111010111000110100 5 -b1011101111011111010111000110100 ? -b1011101111011111010111000110100 D -b1111101111011100000111110010 % -b1111101111011100000111110010 . -b1111101111011100000111110010 6 -b1111101111011100000111110010 @ -b1111101111011100000111110010 F -b10111000110100000000000000000000 ) -#407360000 -0& -#407376000 -b1100011011101 , -#407392000 -1& -#407408000 -b10011111101000100000000000000000 " -b10011111101000100000000000000000 4 -b10101101111000011000011010010001 1 -b10101101111000011000011010010001 C -b10011111101000100000000000000000 0 -b10011111101000100000000000000000 H -18 -b10101101110110110110011001100010 2 -b10101101110110110110011001100010 = -b10101111111100011001011010010001 < -b10101101110110110110011001100010 : -b11111101111010011100111111010001 $ -b11111101111010011100111111010001 - -b11111101111010011100111111010001 5 -b11111101111010011100111111010001 ? -b11111101111010011100111111010001 D -b10101111111100011001011010010001 % -b10101111111100011001011010010001 . -b10101111111100011001011010010001 6 -b10101111111100011001011010010001 @ -b10101111111100011001011010010001 F -b10011111101000100000000000000000 ) -#407424000 -0& -#407440000 -b1100011011110 , -#407456000 -1& -#407472000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b11110000000010101110110001010 1 -b11110000000010101110110001010 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -b1011110000000010101101110001001 2 -b1011110000000010101101110001001 = -b111110001101011101110111111110 < -b1011110000000010101101110001001 : -b11111110010110111110110001011 $ -b11111110010110111110110001011 - -b11111110010110111110110001011 5 -b11111110010110111110110001011 ? -b11111110010110111110110001011 D -b111110001101011101110111111110 % -b111110001101011101110111111110 . -b111110001101011101110111111110 6 -b111110001101011101110111111110 @ -b111110001101011101110111111110 F -b11000000000000000000000000000000 ) -#407488000 -0& -#407504000 -b1100011011111 , -#407520000 -1& -#407536000 -b1111111110111000000000101001000 " -b1111111110111000000000101001000 4 -b1010111111001110000000000000010 1 -b1010111111001110000000000000010 C -b1111111110111000000000101001000 0 -b1111111110111000000000101001000 H -19 -08 -b10110111111001100101100111010100 2 -b10110111111001100101100111010100 = -b1010111111011110101100110000010 < -b10110111111001100101100111010100 : -b1011111111101110000000001010010 $ -b1011111111101110000000001010010 - -b1011111111101110000000001010010 5 -b1011111111101110000000001010010 ? -b1011111111101110000000001010010 D -b1010111111011110101100110000010 % -b1010111111011110101100110000010 . -b1010111111011110101100110000010 6 -b1010111111011110101100110000010 @ -b1010111111011110101100110000010 F -b1111111110111000000000101001000 ) -#407552000 -0& -#407568000 -b1100011100000 , -#407584000 -1& -#407600000 -b11010101100100100010100000000000 " -b11010101100100100010100000000000 4 -b10010000000000101001000001000001 1 -b10010000000000101001000001000001 C -b11010101100100100010100000000000 0 -b11010101100100100010100000000000 H -18 -b1100111000000100100110000010000 2 -b1100111000000100100110000010000 = -b11010110010001111001100111001011 < -b1100111000000100100110000010000 : -b10010000101110101011001001000101 $ -b10010000101110101011001001000101 - -b10010000101110101011001001000101 5 -b10010000101110101011001001000101 ? -b10010000101110101011001001000101 D -b11010110010001111001100111001011 % -b11010110010001111001100111001011 . -b11010110010001111001100111001011 6 -b11010110010001111001100111001011 @ -b11010110010001111001100111001011 F -b11010101100100100010100000000000 ) -#407616000 -0& -#407632000 -b1100011100001 , -#407648000 -1& -#407664000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b11100001111110110100001000110 1 -b11100001111110110100001000110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -b10011010111111110110011111000100 2 -b10011010111111110110011111000100 = -b1111100001111111110111101011110 < -b10011010111111110110011111000100 : -b11110101111110111100001100110 $ -b11110101111110111100001100110 - -b11110101111110111100001100110 5 -b11110101111110111100001100110 ? -b11110101111110111100001100110 D -b1111100001111111110111101011110 % -b1111100001111111110111101011110 . -b1111100001111111110111101011110 6 -b1111100001111111110111101011110 @ -b1111100001111111110111101011110 F -b10000000000000000000000000000000 ) -#407680000 -0& -#407696000 -b1100011100010 , -#407712000 -1& -#407728000 -b11111001111011100110100110010110 " -b11111001111011100110100110010110 4 -b1111100111100110001000010000001 1 -b1111100111100110001000010000001 C -b11111001111011100110100110010110 0 -b11111001111011100110100110010110 H -b11111100111100110000100001101100 2 -b11111100111100110000100001101100 = -b1111111111110111101001110100001 < -b11111100111100110000100001101100 : -b1111100111101110011010011001011 $ -b1111100111101110011010011001011 - -b1111100111101110011010011001011 5 -b1111100111101110011010011001011 ? -b1111100111101110011010011001011 D -b1111111111110111101001110100001 % -b1111111111110111101001110100001 . -b1111111111110111101001110100001 6 -b1111111111110111101001110100001 @ -b1111111111110111101001110100001 F -b11111001111011100110100110010110 ) -#407744000 -0& -#407760000 -b1100011100011 , -#407776000 -1& -#407792000 -b1101101011111111100001010001010 " -b1101101011111111100001010001010 4 -b10000101011111010000001000001 1 -b10000101011111010000001000001 C -b1101101011111111100001010001010 0 -b1101101011111111100001010001010 H -09 -08 -b1000101011111001011000100110 2 -b1000101011111001011000100110 = -b11010001111011111011010011100001 < -b1000101011111001011000100110 : -b110110101111111110000101000101 $ -b110110101111111110000101000101 - -b110110101111111110000101000101 5 -b110110101111111110000101000101 ? -b110110101111111110000101000101 D -b11010001111011111011010011100001 % -b11010001111011111011010011100001 . -b11010001111011111011010011100001 6 -b11010001111011111011010011100001 @ -b11010001111011111011010011100001 F -b1101101011111111100001010001010 ) -#407808000 -0& -#407824000 -b1100011100100 , -#407840000 -1& -#407856000 -b1100100000000000000000000000000 " -b1100100000000000000000000000000 4 -b110001110110110100110000100000 1 -b110001110110110100110000100000 C -b1100100000000000000000000000000 0 -b1100100000000000000000000000000 H -19 -08 -b10101001110110110100110000011100 2 -b10101001110110110100110000011100 = -b110111110110110110110010111000 < -b10101001110110110100110000011100 : -b1110001111111111101111101100100 $ -b1110001111111111101111101100100 - -b1110001111111111101111101100100 5 -b1110001111111111101111101100100 ? -b1110001111111111101111101100100 D -b110111110110110110110010111000 % -b110111110110110110110010111000 . -b110111110110110110110010111000 6 -b110111110110110110110010111000 @ -b110111110110110110110010111000 F -b1100100000000000000000000000000 ) -#407872000 -0& -#407888000 -b1100011100101 , -#407904000 -1& -#407920000 -b11111111110110000000111001100000 " -b11111111110110000000111001100000 4 -b10111010000110100100000000000001 1 -b10111010000110100100000000000001 C -b11111111110110000000111001100000 0 -b11111111110110000000111001100000 H -18 -09 -b10111010000110100010011011111000 2 -b10111010000110100010011011111000 = -b11111010000110110110011010000101 < -b10111010000110100010011011111000 : -b10111111111111101100000001110011 $ -b10111111111111101100000001110011 - -b10111111111111101100000001110011 5 -b10111111111111101100000001110011 ? -b10111111111111101100000001110011 D -b11111010000110110110011010000101 % -b11111010000110110110011010000101 . -b11111010000110110110011010000101 6 -b11111010000110110110011010000101 @ -b11111010000110110110011010000101 F -b11111111110110000000111001100000 ) -#407936000 -0& -#407952000 -b1100011100110 , -#407968000 -1& -#407984000 -1! -b0 " -b0 4 -b1100001000110110000100100000000 1 -b1100001000110110000100100000000 C -b0 0 -b0 H -08 -b1100001000110101011100011011110 2 -b1100001000110101011100011011110 = -b1111101100110111010111100011110 < -1# -b1100001000110101011100011011110 : -b11100011011111110000100111000000 $ -b11100011011111110000100111000000 - -b11100011011111110000100111000000 5 -b11100011011111110000100111000000 ? -b11100011011111110000100111000000 D -b1111101100110111010111100011110 % -b1111101100110111010111100011110 . -b1111101100110111010111100011110 6 -b1111101100110111010111100011110 @ -b1111101100110111010111100011110 F -b0 ) -b1 ( -#408000000 -0& -#408016000 -b1100011100111 , -#408032000 -1& -#408048000 -0! -b11000000110011110100000000000000 " -b11000000110011110100000000000000 4 -b1100101110111100000000001101000 1 -b1100101110111100000000001101000 C -b11000000110011110100000000000000 0 -b11000000110011110100000000000000 H -19 -08 -b11011101101111010101000001100111 2 -b11011101101111010101000001100111 = -b1100111110111110100100111101101 < -0# -b11011101101111010101000001100111 : -b1110101110111100000011001111010 $ -b1110101110111100000011001111010 - -b1110101110111100000011001111010 5 -b1110101110111100000011001111010 ? -b1110101110111100000011001111010 D -b1100111110111110100100111101101 % -b1100111110111110100100111101101 . -b1100111110111110100100111101101 6 -b1100111110111110100100111101101 @ -b1100111110111110100100111101101 F -b11000000110011110100000000000000 ) -b0 ( -#408064000 -0& -#408080000 -b1100011101000 , -#408096000 -1& -#408112000 -b1111111010000001101010000000000 " -b1111111010000001101010000000000 4 -b1111011110100101100000000100000 1 -b1111011110100101100000000100000 C -b1111111010000001101010000000000 0 -b1111111010000001101010000000000 H -b11111011110100101001001010011111 2 -b11111011110100101001001010011111 = -b1111111111100101100001001101010 < -b11111011110100101001001010011111 : -b1111011110111111101000000110101 $ -b1111011110111111101000000110101 - -b1111011110111111101000000110101 5 -b1111011110111111101000000110101 ? -b1111011110111111101000000110101 D -b1111111111100101100001001101010 % -b1111111111100101100001001101010 . -b1111111111100101100001001101010 6 -b1111111111100101100001001101010 @ -b1111111111100101100001001101010 F -b1111111010000001101010000000000 ) -#408128000 -0& -#408144000 -b1100011101001 , -#408160000 -1& -#408176000 -b100000000000000000000000000 " -b100000000000000000000000000 4 -b111011001000110000000001000000 1 -b111011001000110000000001000000 C -b100000000000000000000000000 0 -b100000000000000000000000000 H -09 -08 -b111011001000101010111010111011 2 -b111011001000101010111010111011 = -b111111111010111010110001111010 < -b111011001000101010111010111011 : -b11111011001101110000001001000001 $ -b11111011001101110000001001000001 - -b11111011001101110000001001000001 5 -b11111011001101110000001001000001 ? -b11111011001101110000001001000001 D -b111111111010111010110001111010 % -b111111111010111010110001111010 . -b111111111010111010110001111010 6 -b111111111010111010110001111010 @ -b111111111010111010110001111010 F -b100000000000000000000000000 ) -#408192000 -0& -#408208000 -b1100011101010 , -#408224000 -1& -#408240000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1011101101101100000000100010000 1 -b1011101101101100000000100010000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1011101101101010111100001101011 2 -b1011101101101010111100001101011 = -b1111101111101100100000101011011 < -b1011101101101010111100001101011 : -b11011111101111110011011100010000 $ -b11011111101111110011011100010000 - -b11011111101111110011011100010000 5 -b11011111101111110011011100010000 ? -b11011111101111110011011100010000 D -b1111101111101100100000101011011 % -b1111101111101100100000101011011 . -b1111101111101100100000101011011 6 -b1111101111101100100000101011011 @ -b1111101111101100100000101011011 F -b10000000000000000000000000000000 ) -#408256000 -0& -#408272000 -b1100011101011 , -#408288000 -1& -#408304000 -b1000101000000000000000000000000 " -b1000101000000000000000000000000 4 -b11111101001010010000000000010100 1 -b11111101001010010000000000010100 C -b1000101000000000000000000000000 0 -b1000101000000000000000000000000 H -18 -b11111011001010000110100000001010 2 -b11111011001010000110100000001010 = -b11111101011111010100011011110110 < -b11111011001010000110100000001010 : -b11111101101010110010000100010100 $ -b11111101101010110010000100010100 - -b11111101101010110010000100010100 5 -b11111101101010110010000100010100 ? -b11111101101010110010000100010100 D -b11111101011111010100011011110110 % -b11111101011111010100011011110110 . -b11111101011111010100011011110110 6 -b11111101011111010100011011110110 @ -b11111101011111010100011011110110 F -b1000101000000000000000000000000 ) -#408320000 -0& -#408336000 -b1100011101100 , -#408352000 -1& -#408368000 -b111100000000000000000000000000 " -b111100000000000000000000000000 4 -b11110010101010010001010011001010 1 -b11110010101010010001010011001010 C -b111100000000000000000000000000 0 -b111100000000000000000000000000 H -b11101110101010001110110010101001 2 -b11101110101010001110110010101001 = -b11110010101110110101011111011010 < -b11101110101010001110110010101001 : -b11111011111011011001010011001111 $ -b11111011111011011001010011001111 - -b11111011111011011001010011001111 5 -b11111011111011011001010011001111 ? -b11111011111011011001010011001111 D -b11110010101110110101011111011010 % -b11110010101110110101011111011010 . -b11110010101110110101011111011010 6 -b11110010101110110101011111011010 @ -b11110010101110110101011111011010 F -b111100000000000000000000000000 ) -#408384000 -0& -#408400000 -b1100011101101 , -#408416000 -1& -#408432000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b11110011110110010000110000010100 1 -b11110011110110010000110000010100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -b11101111110110010000101011110000 2 -b11101111110110010000101011110000 = -b11110011111111110111111010011100 < -b11101111110110010000101011110000 : -b11111011110110011000110001010100 $ -b11111011110110011000110001010100 - -b11111011110110011000110001010100 5 -b11111011110110011000110001010100 ? -b11111011110110011000110001010100 D -b11110011111111110111111010011100 % -b11110011111111110111111010011100 . -b11110011111111110111111010011100 6 -b11110011111111110111111010011100 @ -b11110011111111110111111010011100 F -b1000000000000000000000000000000 ) -#408448000 -0& -#408464000 -b1100011101110 , -#408480000 -1& -#408496000 -b10001001010110100000000000000000 " -b10001001010110100000000000000000 4 -b1001100001010101000000001010000 1 -b1001100001010101000000001010000 C -b10001001010110100000000000000000 0 -b10001001010110100000000000000000 H -08 -b1001100001010100010111001001010 2 -b1001100001010100010111001001010 = -b11111101001010101010010011110000 < -b1001100001010100010111001001010 : -b1001110111111111000100101011010 $ -b1001110111111111000100101011010 - -b1001110111111111000100101011010 5 -b1001110111111111000100101011010 ? -b1001110111111111000100101011010 D -b11111101001010101010010011110000 % -b11111101001010101010010011110000 . -b11111101001010101010010011110000 6 -b11111101001010101010010011110000 @ -b11111101001010101010010011110000 F -b10001001010110100000000000000000 ) -#408512000 -0& -#408528000 -b1100011101111 , -#408544000 -1& -#408560000 -b11111011111001101010011000000000 " -b11111011111001101010011000000000 4 -b111011111010110001000010000000 1 -b111011111010110001000010000000 C -b11111011111001101010011000000000 0 -b11111011111001101010011000000000 H -b111011111010101010110000111110 2 -b111011111010101010110000111110 = -b1111011111110110001000110100110 < -b111011111010101010110000111110 : -b10111111111011111001101010011000 $ -b10111111111011111001101010011000 - -b10111111111011111001101010011000 5 -b10111111111011111001101010011000 ? -b10111111111011111001101010011000 D -b1111011111110110001000110100110 % -b1111011111110110001000110100110 . -b1111011111110110001000110100110 6 -b1111011111110110001000110100110 @ -b1111011111110110001000110100110 F -b11111011111001101010011000000000 ) -#408576000 -0& -#408592000 -b1100011110000 , -#408608000 -1& -#408624000 -b1110101000000000000000000000 " -b1110101000000000000000000000 4 -b11010110100000111000001010000000 1 -b11010110100000111000001010000000 C -b1110101000000000000000000000 0 -b1110101000000000000000000000 H -18 -b11010110100000110101111001111010 2 -b11010110100000110101111001111010 = -b11010110110101111001101011010010 < -b11010110100000110101111001111010 : -b11111111101010111100001110101000 $ -b11111111101010111100001110101000 - -b11111111101010111100001110101000 5 -b11111111101010111100001110101000 ? -b11111111101010111100001110101000 D -b11010110110101111001101011010010 % -b11010110110101111001101011010010 . -b11010110110101111001101011010010 6 -b11010110110101111001101011010010 @ -b11010110110101111001101011010010 F -b1110101000000000000000000000 ) -#408640000 -0& -#408656000 -b1100011110001 , -#408672000 -1& -#408688000 -b1101110000000000000000000000000 " -b1101110000000000000000000000000 4 -b1111000110011110000001101001000 1 -b1111000110011110000001101001000 C -b1101110000000000000000000000000 0 -b1101110000000000000000000000000 H -08 -b1110101110011101101001101000110 2 -b1110101110011101101001101000110 = -b11111000110011110100111111011000 < -b1110101110011101101001101000110 : -b1111100111111111000001101101110 $ -b1111100111111111000001101101110 - -b1111100111111111000001101101110 5 -b1111100111111111000001101101110 ? -b1111100111111111000001101101110 D -b11111000110011110100111111011000 % -b11111000110011110100111111011000 . -b11111000110011110100111111011000 6 -b11111000110011110100111111011000 @ -b11111000110011110100111111011000 F -b1101110000000000000000000000000 ) -#408704000 -0& -#408720000 -b1100011110010 , -#408736000 -1& -#408752000 -b11111111111000111110110100000000 " -b11111111111000111110110100000000 4 -b11011111010110110000100101000 1 -b11011111010110110000100101000 C -b11111111111000111110110100000000 0 -b11111111111000111110110100000000 H -b10111111010110100110100010101 2 -b10111111010110100110100010101 = -b11111011111010110110100100101000 < -b10111111010110100110100010101 : -b11011111111111110001111101101 $ -b11011111111111110001111101101 - -b11011111111111110001111101101 5 -b11011111111111110001111101101 ? -b11011111111111110001111101101 D -b11111011111010110110100100101000 % -b11111011111010110110100100101000 . -b11111011111010110110100100101000 6 -b11111011111010110110100100101000 @ -b11111011111010110110100100101000 F -b11111111111000111110110100000000 ) -#408768000 -0& -#408784000 -b1100011110011 , -#408800000 -1& -#408816000 -b10111101011000010100100100000000 " -b10111101011000010100100100000000 4 -b11110101101010010000000001000 1 -b11110101101010010000000001000 C -b10111101011000010100100100000000 0 -b10111101011000010100100100000000 H -b11110101101001001110101010001 2 -b11110101101001001110101010001 = -b11110111101110011110000001000 < -b11110101101001001110101010001 : -b11111111101111010110000101001001 $ -b11111111101111010110000101001001 - -b11111111101111010110000101001001 5 -b11111111101111010110000101001001 ? -b11111111101111010110000101001001 D -b11110111101110011110000001000 % -b11110111101110011110000001000 . -b11110111101110011110000001000 6 -b11110111101110011110000001000 @ -b11110111101110011110000001000 F -b10111101011000010100100100000000 ) -#408832000 -0& -#408848000 -b1100011110100 , -#408864000 -1& -#408880000 -b11011111100000011100000000000000 " -b11011111100000011100000000000000 4 -b1111001110110110100111000000110 1 -b1111001110110110100111000000110 C -b11011111100000011100000000000000 0 -b11011111100000011100000000000000 H -19 -08 -b11111001110110110100110110010101 2 -b11111001110110110100110110010101 = -b1111111110110111100111110001110 < -b11111001110110110100110110010101 : -b1111001111111110111111000000111 $ -b1111001111111110111111000000111 - -b1111001111111110111111000000111 5 -b1111001111111110111111000000111 ? -b1111001111111110111111000000111 D -b1111111110110111100111110001110 % -b1111111110110111100111110001110 . -b1111111110110111100111110001110 6 -b1111111110110111100111110001110 @ -b1111111110110111100111110001110 F -b11011111100000011100000000000000 ) -#408896000 -0& -#408912000 -b1100011110101 , -#408928000 -1& -#408944000 -b11011111010100100001010000000000 " -b11011111010100100001010000000000 4 -b1111100001111100000000000000000 1 -b1111100001111100000000000000000 C -b11011111010100100001010000000000 0 -b11011111010100100001010000000000 H -09 -08 -b1111010001111011011010100101111 2 -b1111010001111011011010100101111 = -b1111100011111110001000100000111 < -b1111010001111011011010100101111 : -b11111101101111101010010000101000 $ -b11111101101111101010010000101000 - -b11111101101111101010010000101000 5 -b11111101101111101010010000101000 ? -b11111101101111101010010000101000 D -b1111100011111110001000100000111 % -b1111100011111110001000100000111 . -b1111100011111110001000100000111 6 -b1111100011111110001000100000111 @ -b1111100011111110001000100000111 F -b11011111010100100001010000000000 ) -#408960000 -0& -#408976000 -b1100011110110 , -#408992000 -1& -#409008000 -b1101010000000000000000000000 " -b1101010000000000000000000000 4 -b110011111101110001000000000000 1 -b110011111101110001000000000000 C -b1101010000000000000000000000 0 -b1101010000000000000000000000 H -19 -08 -b10110011111101101100100011111111 2 -b10110011111101101100100011111111 = -b1111011111111110011100010010101 < -b10110011111101101100100011111111 : -b110111111101111001000001101010 $ -b110111111101111001000001101010 - -b110111111101111001000001101010 5 -b110111111101111001000001101010 ? -b110111111101111001000001101010 D -b1111011111111110011100010010101 % -b1111011111111110011100010010101 . -b1111011111111110011100010010101 6 -b1111011111111110011100010010101 @ -b1111011111111110011100010010101 F -b1101010000000000000000000000 ) -#409024000 -0& -#409040000 -b1100011110111 , -#409056000 -1& -#409072000 -b110000000000000000000000000 " -b110000000000000000000000000 4 -b1001000010010110101010010000001 1 -b1001000010010110101010010000001 C -b110000000000000000000000000 0 -b110000000000000000000000000 H -09 -08 -b1001000010001101100110000011100 2 -b1001000010001101100110000011100 = -b1001011111010110101011010011001 < -b1001000010001101100110000011100 : -b11111100010110110111010110000011 $ -b11111100010110110111010110000011 - -b11111100010110110111010110000011 5 -b11111100010110110111010110000011 ? -b11111100010110110111010110000011 D -b1001011111010110101011010011001 % -b1001011111010110101011010011001 . -b1001011111010110101011010011001 6 -b1001011111010110101011010011001 @ -b1001011111010110101011010011001 F -b110000000000000000000000000 ) -#409088000 -0& -#409104000 -b1100011111000 , -#409120000 -1& -#409136000 -b10000101001000000000000000000000 " -b10000101001000000000000000000000 4 -b1111001101000111000000000000000 1 -b1111001101000111000000000000000 C -b10000101001000000000000000000000 0 -b10000101001000000000000000000000 H -19 -08 -b11110111100111110111011101011010 2 -b11110111100111110111011101011010 = -b1111001111000111001011000010010 < -b11110111100111110111011101011010 : -b1111101101110111110000101001000 $ -b1111101101110111110000101001000 - -b1111101101110111110000101001000 5 -b1111101101110111110000101001000 ? -b1111101101110111110000101001000 D -b1111001111000111001011000010010 % -b1111001111000111001011000010010 . -b1111001111000111001011000010010 6 -b1111001111000111001011000010010 @ -b1111001111000111001011000010010 F -b10000101001000000000000000000000 ) -#409152000 -0& -#409168000 -b1100011111001 , -#409184000 -1& -#409200000 -b11111100000000000000000000000000 " -b11111100000000000000000000000000 4 -b1110111001111010011000010011010 1 -b1110111001111010011000010011010 C -b11111100000000000000000000000000 0 -b11111100000000000000000000000000 H -09 -08 -b1101111001111010010010010011001 2 -b1101111001111010010010010011001 = -b1110111101111111111000011011010 < -b1101111001111010010010010011001 : -b11110111011111010011001110111111 $ -b11110111011111010011001110111111 - -b11110111011111010011001110111111 5 -b11110111011111010011001110111111 ? -b11110111011111010011001110111111 D -b1110111101111111111000011011010 % -b1110111101111111111000011011010 . -b1110111101111111111000011011010 6 -b1110111101111111111000011011010 @ -b1110111101111111111000011011010 F -b11111100000000000000000000000000 ) -#409216000 -0& -#409232000 -b1100011111010 , -#409248000 -1& -#409264000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b10101101000101010000100000000100 1 -b10101101000101010000100000000100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b10101101000100110000000011000011 2 -b10101101000100110000000011000011 = -b11101111100111011100100010111110 < -b10101101000100110000000011000011 : -b10111101011101010011100000000101 $ -b10111101011101010011100000000101 - -b10111101011101010011100000000101 5 -b10111101011101010011100000000101 ? -b10111101011101010011100000000101 D -b11101111100111011100100010111110 % -b11101111100111011100100010111110 . -b11101111100111011100100010111110 6 -b11101111100111011100100010111110 @ -b11101111100111011100100010111110 F -b1000000000000000000000000000000 ) -#409280000 -0& -#409296000 -b1100011111011 , -#409312000 -1& -#409328000 -b1111001110001110000000000000000 " -b1111001110001110000000000000000 4 -b110000100100000000101000000 1 -b110000100100000000101000000 C -b1111001110001110000000000000000 0 -b1111001110001110000000000000000 H -08 -19 -b10000101000100011111111100010111 2 -b10000101000100011111111100010111 = -b100110011100111000010101010000 < -b10000101000100011111111100010111 : -b1011110100111100111100111000111 $ -b1011110100111100111100111000111 - -b1011110100111100111100111000111 5 -b1011110100111100111100111000111 ? -b1011110100111100111100111000111 D -b100110011100111000010101010000 % -b100110011100111000010101010000 . -b100110011100111000010101010000 6 -b100110011100111000010101010000 @ -b100110011100111000010101010000 F -b1111001110001110000000000000000 ) -#409344000 -0& -#409360000 -b1100011111100 , -#409376000 -1& -#409392000 -b1110010001110010000000000000000 " -b1110010001110010000000000000000 4 -b1001001101000110111001000010000 1 -b1001001101000110111001000010000 C -b1110010001110010000000000000000 0 -b1110010001110010000000000000000 H -b11001001101000101111000011001001 2 -b11001001101000101111000011001001 = -b1101111111011110111111010010000 < -b11001001101000101111000011001001 : -b1011001101100110111001000111001 $ -b1011001101100110111001000111001 - -b1011001101100110111001000111001 5 -b1011001101100110111001000111001 ? -b1011001101100110111001000111001 D -b1101111111011110111111010010000 % -b1101111111011110111111010010000 . -b1101111111011110111111010010000 6 -b1101111111011110111111010010000 @ -b1101111111011110111111010010000 F -b1110010001110010000000000000000 ) -#409408000 -0& -#409424000 -b1100011111101 , -#409440000 -1& -#409456000 -b111101000111101000000000000000 " -b111101000111101000000000000000 4 -b1110110100011000100000000101101 1 -b1110110100011000100000000101101 C -b111101000111101000000000000000 0 -b111101000111101000000000000000 H -b11101101100011000011101011101100 2 -b11101101100011000011101011101100 = -b1110110110111111100000010101111 < -b11101101100011000011101011101100 : -b1110110101011000111101000111101 $ -b1110110101011000111101000111101 - -b1110110101011000111101000111101 5 -b1110110101011000111101000111101 ? -b1110110101011000111101000111101 D -b1110110110111111100000010101111 % -b1110110110111111100000010101111 . -b1110110110111111100000010101111 6 -b1110110110111111100000010101111 @ -b1110110110111111100000010101111 F -b111101000111101000000000000000 ) -#409472000 -0& -#409488000 -b1100011111110 , -#409504000 -1& -#409520000 -b10011100100000000000000000000000 " -b10011100100000000000000000000000 4 -b1111011111001110001100000110001 1 -b1111011111001110001100000110001 C -b10011100100000000000000000000000 0 -b10011100100000000000000000000000 H -b11111011111001101101000101110000 2 -b11111011111001101101000101110000 = -b1111111111101110001100000110111 < -b11111011111001101101000101110000 : -b1111011111011111011100100111001 $ -b1111011111011111011100100111001 - -b1111011111011111011100100111001 5 -b1111011111011111011100100111001 ? -b1111011111011111011100100111001 D -b1111111111101110001100000110111 % -b1111111111101110001100000110111 . -b1111111111101110001100000110111 6 -b1111111111101110001100000110111 @ -b1111111111101110001100000110111 F -b10011100100000000000000000000000 ) -#409536000 -0& -#409552000 -b1100011111111 , -#409568000 -1& -#409584000 -b10110101100111100000000000000000 " -b10110101100111100000000000000000 4 -b1100111110011100010001100001100 1 -b1100111110011100010001100001100 C -b10110101100111100000000000000000 0 -b10110101100111100000000000000000 H -09 -08 -b1100111110011011010001100001011 2 -b1100111110011011010001100001011 = -b1110111111111100011011111001111 < -b1100111110011011010001100001011 : -b11101111110011110110101100111100 $ -b11101111110011110110101100111100 - -b11101111110011110110101100111100 5 -b11101111110011110110101100111100 ? -b11101111110011110110101100111100 D -b1110111111111100011011111001111 % -b1110111111111100011011111001111 . -b1110111111111100011011111001111 6 -b1110111111111100011011111001111 @ -b1110111111111100011011111001111 F -b10110101100111100000000000000000 ) -#409600000 -0& -#409616000 -b1100100000000 , -#409632000 -1& -#409648000 -b10011110001000011000101010000000 " -b10011110001000011000101010000000 4 -b110100001111000100000000000101 1 -b110100001111000100000000000101 C -b10011110001000011000101010000000 0 -b10011110001000011000101010000000 H -19 -08 -b10110011111111000010001111111100 2 -b10110011111111000010001111111100 = -b1111110101111111110000011100111 < -b10110011111111000010001111111100 : -b110101001111000100001100010101 $ -b110101001111000100001100010101 - -b110101001111000100001100010101 5 -b110101001111000100001100010101 ? -b110101001111000100001100010101 D -b1111110101111111110000011100111 % -b1111110101111111110000011100111 . -b1111110101111111110000011100111 6 -b1111110101111111110000011100111 @ -b1111110101111111110000011100111 F -b10011110001000011000101010000000 ) -#409664000 -0& -#409680000 -b1100100000001 , -#409696000 -1& -#409712000 -b1110001000000000000000000000000 " -b1110001000000000000000000000000 4 -b11001100110111000001101001010000 1 -b11001100110111000001101001010000 C -b1110001000000000000000000000000 0 -b1110001000000000000000000000000 H -18 -09 -b10101100110111000001100111001001 2 -b10101100110111000001100111001001 = -b11011110110111010001101101011000 < -b10101100110111000001100111001001 : -b11001101111111101111111001110001 $ -b11001101111111101111111001110001 - -b11001101111111101111111001110001 5 -b11001101111111101111111001110001 ? -b11001101111111101111111001110001 D -b11011110110111010001101101011000 % -b11011110110111010001101101011000 . -b11011110110111010001101101011000 6 -b11011110110111010001101101011000 @ -b11011110110111010001101101011000 F -b1110001000000000000000000000000 ) -#409728000 -0& -#409744000 -b1100100000010 , -#409760000 -1& -#409776000 -b11111100000000000000000000000000 " -b11111100000000000000000000000000 4 -b111110011110101100100100011010 1 -b111110011110101100100100011010 C -b11111100000000000000000000000000 0 -b11111100000000000000000000000000 H -08 -19 -b10111110011110101011010011011001 2 -b10111110011110101011010011011001 = -b1111111111110111100100100011010 < -b10111110011110101011010011011001 : -b111110011111101110101110111111 $ -b111110011111101110101110111111 - -b111110011111101110101110111111 5 -b111110011111101110101110111111 ? -b111110011111101110101110111111 D -b1111111111110111100100100011010 % -b1111111111110111100100100011010 . -b1111111111110111100100100011010 6 -b1111111111110111100100100011010 @ -b1111111111110111100100100011010 F -b11111100000000000000000000000000 ) -#409792000 -0& -#409808000 -b1100100000011 , -#409824000 -1& -#409840000 -b10101100000000000000000000000000 " -b10101100000000000000000000000000 4 -b110010010011111001000101001010 1 -b110010010011111001000101001010 C -b10101100000000000000000000000000 0 -b10101100000000000000000000000000 H -09 -08 -b110001010011110010010011000101 2 -b110001010011110010010011000101 = -b110110010011111001001101011010 < -b110001010011110010010011000101 : -b11111010111111111001000101101011 $ -b11111010111111111001000101101011 - -b11111010111111111001000101101011 5 -b11111010111111111001000101101011 ? -b11111010111111111001000101101011 D -b110110010011111001001101011010 % -b110110010011111001001101011010 . -b110110010011111001001101011010 6 -b110110010011111001001101011010 @ -b110110010011111001001101011010 F -b10101100000000000000000000000000 ) -#409856000 -0& -#409872000 -b1100100000100 , -#409888000 -1& -#409904000 -b10110011101011111000000000000000 " -b10110011101011111000000000000000 4 -b100011110110100000001001001000 1 -b100011110110100000001001001000 C -b10110011101011111000000000000000 0 -b10110011101011111000000000000000 H -18 -b11100011110110011011111001000100 2 -b11100011110110011011111001000100 = -b10101111110111101000001101001100 < -b11100011110110011011111001000100 : -b110011111110110011101011111000 $ -b110011111110110011101011111000 - -b110011111110110011101011111000 5 -b110011111110110011101011111000 ? -b110011111110110011101011111000 D -b10101111110111101000001101001100 % -b10101111110111101000001101001100 . -b10101111110111101000001101001100 6 -b10101111110111101000001101001100 @ -b10101111110111101000001101001100 F -b10110011101011111000000000000000 ) -#409920000 -0& -#409936000 -b1100100000101 , -#409952000 -1& -#409968000 -b1010100000000000000000000000000 " -b1010100000000000000000000000000 4 -b1111010100001110100100001010000 1 -b1111010100001110100100001010000 C -b1010100000000000000000000000000 0 -b1010100000000000000000000000000 H -08 -b1110110011111101100010110100110 2 -b1110110011111101100010110100110 = -b11111011110001110111100001010110 < -b1110110011111101100010110100110 : -b1111010101101110100110101010000 $ -b1111010101101110100110101010000 - -b1111010101101110100110101010000 5 -b1111010101101110100110101010000 ? -b1111010101101110100110101010000 D -b11111011110001110111100001010110 % -b11111011110001110111100001010110 . -b11111011110001110111100001010110 6 -b11111011110001110111100001010110 @ -b11111011110001110111100001010110 F -b1010100000000000000000000000000 ) -#409984000 -0& -#410000000 -b1100100000110 , -#410016000 -1& -#410032000 -b11101101110110000010010000000000 " -b11101101110110000010010000000000 4 -b10010011111101101010100000000000 1 -b10010011111101101010100000000000 C -b11101101110110000010010000000000 0 -b11101101110110000010010000000000 H -18 -19 -b1110011111101101001010010111011 2 -b1110011111101101001010010111011 = -b11011111111111111010100010101001 < -b1110011111101101001010010111011 : -b10010011111101101110110000010010 $ -b10010011111101101110110000010010 - -b10010011111101101110110000010010 5 -b10010011111101101110110000010010 ? -b10010011111101101110110000010010 D -b11011111111111111010100010101001 % -b11011111111111111010100010101001 . -b11011111111111111010100010101001 6 -b11011111111111111010100010101001 @ -b11011111111111111010100010101001 F -b11101101110110000010010000000000 ) -#410048000 -0& -#410064000 -b1100100000111 , -#410080000 -1& -#410096000 -b11000001111000000000000000000000 " -b11000001111000000000000000000000 4 -b11100101110110101100000000101 1 -b11100101110110101100000000101 C -b11000001111000000000000000000000 0 -b11000001111000000000000000000000 H -08 -b10011010101110101011011110100100 2 -b10011010101110101011011110100100 = -b1111101111111110101100110010101 < -b10011010101110101011011110100100 : -b11100101110110101111000001111 $ -b11100101110110101111000001111 - -b11100101110110101111000001111 5 -b11100101110110101111000001111 ? -b11100101110110101111000001111 D -b1111101111111110101100110010101 % -b1111101111111110101100110010101 . -b1111101111111110101100110010101 6 -b1111101111111110101100110010101 @ -b1111101111111110101100110010101 F -b11000001111000000000000000000000 ) -#410112000 -0& -#410128000 -b1100100001000 , -#410144000 -1& -#410160000 -b1100010000100000000000000000000 " -b1100010000100000000000000000000 4 -b111000110110110100011000000000 1 -b111000110110110100011000000000 C -b1100010000100000000000000000000 0 -b1100010000100000000000000000000 H -09 -08 -b110100110110101001110101110101 2 -b110100110110101001110101110101 = -b10111011110110110100011101010100 < -b110100110110101001110101110101 : -b1111000111111110101011000100001 $ -b1111000111111110101011000100001 - -b1111000111111110101011000100001 5 -b1111000111111110101011000100001 ? -b1111000111111110101011000100001 D -b10111011110110110100011101010100 % -b10111011110110110100011101010100 . -b10111011110110110100011101010100 6 -b10111011110110110100011101010100 @ -b10111011110110110100011101010100 F -b1100010000100000000000000000000 ) -#410176000 -0& -#410192000 -b1100100001001 , -#410208000 -1& -#410224000 -b1110000000000000000000000000000 " -b1110000000000000000000000000000 4 -b11111000011000110000000001100100 1 -b11111000011000110000000001100100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -18 -b11111000011000101101111001100011 2 -b11111000011000101101111001100011 = -b11111010011010111001010001111100 < -b11111000011000101101111001100011 : -b11111101111101110100100111100111 $ -b11111101111101110100100111100111 - -b11111101111101110100100111100111 5 -b11111101111101110100100111100111 ? -b11111101111101110100100111100111 D -b11111010011010111001010001111100 % -b11111010011010111001010001111100 . -b11111010011010111001010001111100 6 -b11111010011010111001010001111100 @ -b11111010011010111001010001111100 F -b1110000000000000000000000000000 ) -#410240000 -0& -#410256000 -b1100100001010 , -#410272000 -1& -#410288000 -b1110011011111011110000000100000 " -b1110011011111011110000000100000 4 -b1000000100100111000101100000001 1 -b1000000100100111000101100000001 C -b1110011011111011110000000100000 0 -b1110011011111011110000000100000 H -08 -19 -b11000000011011111000101000000110 2 -b11000000011011111000101000000110 = -b1010100110100111001101100000101 < -b11000000011011111000101000000110 : -b1101011100110111110111100000001 $ -b1101011100110111110111100000001 - -b1101011100110111110111100000001 5 -b1101011100110111110111100000001 ? -b1101011100110111110111100000001 D -b1010100110100111001101100000101 % -b1010100110100111001101100000101 . -b1010100110100111001101100000101 6 -b1010100110100111001101100000101 @ -b1010100110100111001101100000101 F -b1110011011111011110000000100000 ) -#410304000 -0& -#410320000 -b1100100001011 , -#410336000 -1& -#410352000 -b11001001110110000000000000000000 " -b11001001110110000000000000000000 4 -b10010010001011111000100100110011 1 -b10010010001011111000100100110011 C -b11001001110110000000000000000000 0 -b11001001110110000000000000000000 H -18 -b101110001011111000011100101110 2 -b101110001011111000011100101110 = -b10011011001111111000110111110011 < -b101110001011111000011100101110 : -b10010010111011111111100100111011 $ -b10010010111011111111100100111011 - -b10010010111011111111100100111011 5 -b10010010111011111111100100111011 ? -b10010010111011111111100100111011 D -b10011011001111111000110111110011 % -b10011011001111111000110111110011 . -b10011011001111111000110111110011 6 -b10011011001111111000110111110011 @ -b10011011001111111000110111110011 F -b11001001110110000000000000000000 ) -#410368000 -0& -#410384000 -b1100100001100 , -#410400000 -1& -#410416000 -b110111000010101010000000000000 " -b110111000010101010000000000000 4 -b1001011010010011100000000101 1 -b1001011010010011100000000101 C -b110111000010101010000000000000 0 -b110111000010101010000000000000 H -08 -b10001001011010001111011010000010 2 -b10001001011010001111011010000010 = -b11011111111110011111000101101 < -b10001001011010001111011010000010 : -b1101101011010011011100001010101 $ -b1101101011010011011100001010101 - -b1101101011010011011100001010101 5 -b1101101011010011011100001010101 ? -b1101101011010011011100001010101 D -b11011111111110011111000101101 % -b11011111111110011111000101101 . -b11011111111110011111000101101 6 -b11011111111110011111000101101 @ -b11011111111110011111000101101 F -b110111000010101010000000000000 ) -#410432000 -0& -#410448000 -b1100100001101 , -#410464000 -1& -#410480000 -b1111111111100110000000010000000 " -b1111111111100110000000010000000 4 -b1110011110100000000000010 1 -b1110011110100000000000010 C -b1111111111100110000000010000000 0 -b1111111111100110000000010000000 H -18 -09 -b10100001110011110001110101001000 2 -b10100001110011110001110101001000 = -b10010111110011110101000101000110 < -b10100001110011110001110101001000 : -b1001111111111100110000000010 $ -b1001111111111100110000000010 - -b1001111111111100110000000010 5 -b1001111111111100110000000010 ? -b1001111111111100110000000010 D -b10010111110011110101000101000110 % -b10010111110011110101000101000110 . -b10010111110011110101000101000110 6 -b10010111110011110101000101000110 @ -b10010111110011110101000101000110 F -b1111111111100110000000010000000 ) -#410496000 -0& -#410512000 -b1100100001110 , -#410528000 -1& -#410544000 -b111101001011011000011100000000 " -b111101001011011000011100000000 4 -b10110001110010000000100000000 1 -b10110001110010000000100000000 C -b111101001011011000011100000000 0 -b111101001011011000011100000000 H -08 -b10101111101100011111010001111 2 -b10101111101100011111010001111 = -b111111101110010001000100001000 < -b10101111101100011111010001111 : -b11010110001111010010110110000111 $ -b11010110001111010010110110000111 - -b11010110001111010010110110000111 5 -b11010110001111010010110110000111 ? -b11010110001111010010110110000111 D -b111111101110010001000100001000 % -b111111101110010001000100001000 . -b111111101110010001000100001000 6 -b111111101110010001000100001000 @ -b111111101110010001000100001000 F -b111101001011011000011100000000 ) -#410560000 -0& -#410576000 -b1100100001111 , -#410592000 -1& -#410608000 -b11111011101001111011011101000000 " -b11111011101001111011011101000000 4 -b1000111010111010001100000000000 1 -b1000111010111010001100000000000 C -b11111011101001111011011101000000 0 -b11111011101001111011011101000000 H -b111111010111010001010110111111 2 -b111111010111010001010110111111 = -b11010111011111111101100000000101 < -b111111010111010001010110111111 : -b1100111110111010011110110111010 $ -b1100111110111010011110110111010 - -b1100111110111010011110110111010 5 -b1100111110111010011110110111010 ? -b1100111110111010011110110111010 D -b11010111011111111101100000000101 % -b11010111011111111101100000000101 . -b11010111011111111101100000000101 6 -b11010111011111111101100000000101 @ -b11010111011111111101100000000101 F -b11111011101001111011011101000000 ) -#410624000 -0& -#410640000 -b1100100010000 , -#410656000 -1& -#410672000 -b10101111001000000000000000000000 " -b10101111001000000000000000000000 4 -b1110011111100000001000011000000 1 -b1110011111100000001000011000000 C -b10101111001000000000000000000000 0 -b10101111001000000000000000000000 H -b1110011111011111101000010110111 2 -b1110011111011111101000010110111 = -b11111111111101100011101011010011 < -b1110011111011111101000010110111 : -b1110011111110011001010111100100 $ -b1110011111110011001010111100100 - -b1110011111110011001010111100100 5 -b1110011111110011001010111100100 ? -b1110011111110011001010111100100 D -b11111111111101100011101011010011 % -b11111111111101100011101011010011 . -b11111111111101100011101011010011 6 -b11111111111101100011101011010011 @ -b11111111111101100011101011010011 F -b10101111001000000000000000000000 ) -#410688000 -0& -#410704000 -b1100100010001 , -#410720000 -1& -#410736000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b1001110010000100000000000111000 1 -b1001110010000100000000000111000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b1001110001101011011111000110110 2 -b1001110001101011011111000110110 = -b11001111110000100000100111111100 < -b1001110001101011011111000110110 : -b1111110011100111011010000111010 $ -b1111110011100111011010000111010 - -b1111110011100111011010000111010 5 -b1111110011100111011010000111010 ? -b1111110011100111011010000111010 D -b11001111110000100000100111111100 % -b11001111110000100000100111111100 . -b11001111110000100000100111111100 6 -b11001111110000100000100111111100 @ -b11001111110000100000100111111100 F -b10100000000000000000000000000000 ) -#410752000 -0& -#410768000 -b1100100010010 , -#410784000 -1& -#410800000 -b1110111000000000000000000000000 " -b1110111000000000000000000000000 4 -b100111010001000000010000010000 1 -b100111010001000000010000010000 C -b1110111000000000000000000000000 0 -b1110111000000000000000000000000 H -b100111010000111011010000001111 2 -b100111010000111011010000001111 = -b101111111111111010011010011000 < -b100111010000111011010000001111 : -b11110111010001000000110101110111 $ -b11110111010001000000110101110111 - -b11110111010001000000110101110111 5 -b11110111010001000000110101110111 ? -b11110111010001000000110101110111 D -b101111111111111010011010011000 % -b101111111111111010011010011000 . -b101111111111111010011010011000 6 -b101111111111111010011010011000 @ -b101111111111111010011010011000 F -b1110111000000000000000000000000 ) -#410816000 -0& -#410832000 -b1100100010011 , -#410848000 -1& -#410864000 -b11010111110100011010000000000000 " -b11010111110100011010000000000000 4 -b1100101111110100110000000100000 1 -b1100101111110100110000000100000 C -b11010111110100011010000000000000 0 -b11010111110100011010000000000000 H -b1100101111110100101101100011111 2 -b1100101111110100101101100011111 = -b11100111111111110110000011101011 < -b1100101111110100101101100011111 : -b1111101111110101111101000110100 $ -b1111101111110101111101000110100 - -b1111101111110101111101000110100 5 -b1111101111110101111101000110100 ? -b1111101111110101111101000110100 D -b11100111111111110110000011101011 % -b11100111111111110110000011101011 . -b11100111111111110110000011101011 6 -b11100111111111110110000011101011 @ -b11100111111111110110000011101011 F -b11010111110100011010000000000000 ) -#410880000 -0& -#410896000 -b1100100010100 , -#410912000 -1& -#410928000 -b1010010100000000000000000000000 " -b1010010100000000000000000000000 4 -b10111101001110100000000000010 1 -b10111101001110100000000000010 C -b1010010100000000000000000000000 0 -b1010010100000000000000000000000 H -19 -08 -b10010111101001101011111110000000 2 -b10010111101001101011111110000000 = -b1111111111101110110101000110110 < -b10010111101001101011111110000000 : -b10111101011110101010101001010 $ -b10111101011110101010101001010 - -b10111101011110101010101001010 5 -b10111101011110101010101001010 ? -b10111101011110101010101001010 D -b1111111111101110110101000110110 % -b1111111111101110110101000110110 . -b1111111111101110110101000110110 6 -b1111111111101110110101000110110 @ -b1111111111101110110101000110110 F -b1010010100000000000000000000000 ) -#410944000 -0& -#410960000 -b1100100010101 , -#410976000 -1& -#410992000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b11001110100100000000100110111 1 -b11001110100100000000100110111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b10010101110100011000000001110110 2 -b10010101110100011000000001110110 = -b111001111111100101011100111111 < -b10010101110100011000000001110110 : -b1011011110100110010100100110111 $ -b1011011110100110010100100110111 - -b1011011110100110010100100110111 5 -b1011011110100110010100100110111 ? -b1011011110100110010100100110111 D -b111001111111100101011100111111 % -b111001111111100101011100111111 . -b111001111111100101011100111111 6 -b111001111111100101011100111111 @ -b111001111111100101011100111111 F -b10000000000000000000000000000000 ) -#411008000 -0& -#411024000 -b1100100010110 , -#411040000 -1& -#411056000 -b11100000101000000000000000000000 " -b11100000101000000000000000000000 4 -b11110011111100010010000000101 1 -b11110011111100010010000000101 C -b11100000101000000000000000000000 0 -b11100000101000000000000000000000 H -09 -08 -b1011110011111100000101111011010 2 -b1011110011111100000101111011010 = -b11111011111100010010011010101 < -b1011110011111100000101111011010 : -b111110111111111110011100000101 $ -b111110111111111110011100000101 - -b111110111111111110011100000101 5 -b111110111111111110011100000101 ? -b111110111111111110011100000101 D -b11111011111100010010011010101 % -b11111011111100010010011010101 . -b11111011111100010010011010101 6 -b11111011111100010010011010101 @ -b11111011111100010010011010101 F -b11100000101000000000000000000000 ) -#411072000 -0& -#411088000 -b1100100010111 , -#411104000 -1& -#411120000 -1! -b0 " -b0 4 -b111111011111100000001000110110 1 -b111111011111100000001000110110 C -b0 0 -b0 H -b1111111011111010011110110110101 2 -b1111111011111010011110110110101 = -b111111111111100011101101111111 < -1# -b1111111011111010011110110110101 : -b111111011111110000001000110110 $ -b111111011111110000001000110110 - -b111111011111110000001000110110 5 -b111111011111110000001000110110 ? -b111111011111110000001000110110 D -b111111111111100011101101111111 % -b111111111111100011101101111111 . -b111111111111100011101101111111 6 -b111111111111100011101101111111 @ -b111111111111100011101101111111 F -b0 ) -b1 ( -#411136000 -0& -#411152000 -b1100100011000 , -#411168000 -1& -#411184000 -0! -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1101111001110010000000000011011 1 -b1101111001110010000000000011011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b11101111001110001011010100011010 2 -b11101111001110001011010100011010 = -b1111111111111010010000010111111 < -0# -b11101111001110001011010100011010 : -b1101111001110111001010001011011 $ -b1101111001110111001010001011011 - -b1101111001110111001010001011011 5 -b1101111001110111001010001011011 ? -b1101111001110111001010001011011 D -b1111111111111010010000010111111 % -b1111111111111010010000010111111 . -b1111111111111010010000010111111 6 -b1111111111111010010000010111111 @ -b1111111111111010010000010111111 F -b10000000000000000000000000000000 ) -b0 ( -#411200000 -0& -#411216000 -b1100100011001 , -#411232000 -1& -#411248000 -b111111110101010110000000000000 " -b111111110101010110000000000000 4 -b1001000101110011010101000001001 1 -b1001000101110011010101000001001 C -b111111110101010110000000000000 0 -b111111110101010110000000000000 H -09 -08 -b1001000101110011010100010111000 2 -b1001000101110011010100010111000 = -b11001011111111111010101000001101 < -b1001000101110011010100010111000 : -b1111100101110011111111010101011 $ -b1111100101110011111111010101011 - -b1111100101110011111111010101011 5 -b1111100101110011111111010101011 ? -b1111100101110011111111010101011 D -b11001011111111111010101000001101 % -b11001011111111111010101000001101 . -b11001011111111111010101000001101 6 -b11001011111111111010101000001101 @ -b11001011111111111010101000001101 F -b111111110101010110000000000000 ) -#411264000 -0& -#411280000 -b1100100011010 , -#411296000 -1& -#411312000 -b11111101111010011110110000000000 " -b11111101111010011110110000000000 4 -b1010010100111110000100001001010 1 -b1010010100111110000100001001010 C -b11111101111010011110110000000000 0 -b11111101111010011110110000000000 H -19 -08 -b11010010100111101000011111000101 2 -b11010010100111101000011111000101 = -b1011110110111110000110101001010 < -b11010010100111101000011111000101 : -b1110011101111110111101001111011 $ -b1110011101111110111101001111011 - -b1110011101111110111101001111011 5 -b1110011101111110111101001111011 ? -b1110011101111110111101001111011 D -b1011110110111110000110101001010 % -b1011110110111110000110101001010 . -b1011110110111110000110101001010 6 -b1011110110111110000110101001010 @ -b1011110110111110000110101001010 F -b11111101111010011110110000000000 ) -#411328000 -0& -#411344000 -b1100100011011 , -#411360000 -1& -#411376000 -b11011111011111100101101011011100 " -b11011111011111100101101011011100 4 -b10110111010000101001010000100010 1 -b10110111010000101001010000100010 C -b11011111011111100101101011011100 0 -b11011111011111100101101011011100 H -18 -09 -b10101111010000101000101111011001 2 -b10101111010000101000101111011001 = -b11110111011000101111010100100010 < -b10101111010000101000101111011001 : -b10110111110111111001011010110111 $ -b10110111110111111001011010110111 - -b10110111110111111001011010110111 5 -b10110111110111111001011010110111 ? -b10110111110111111001011010110111 D -b11110111011000101111010100100010 % -b11110111011000101111010100100010 . -b11110111011000101111010100100010 6 -b11110111011000101111010100100010 @ -b11110111011000101111010100100010 F -b11011111011111100101101011011100 ) -#411392000 -0& -#411408000 -b1100100011100 , -#411424000 -1& -#411440000 -b11111110110001110100000000000000 " -b11111110110001110100000000000000 4 -b1100111010111111101001000001000 1 -b1100111010111111101001000001000 C -b11111110110001110100000000000000 0 -b11111110110001110100000000000000 H -08 -b1010111010111111101000111000111 2 -b1010111010111111101000111000111 = -b1101111111111111101101110001101 < -b1010111010111111101000111000111 : -b11100111010111111111011000111010 $ -b11100111010111111111011000111010 - -b11100111010111111111011000111010 5 -b11100111010111111111011000111010 ? -b11100111010111111111011000111010 D -b1101111111111111101101110001101 % -b1101111111111111101101110001101 . -b1101111111111111101101110001101 6 -b1101111111111111101101110001101 @ -b1101111111111111101101110001101 F -b11111110110001110100000000000000 ) -#411456000 -0& -#411472000 -b1100100011101 , -#411488000 -1& -#411504000 -b1011000000000000000000000000000 " -b1011000000000000000000000000000 4 -b1111110110011110100101000001011 1 -b1111110110011110100101000001011 C -b1011000000000000000000000000000 0 -b1011000000000000000000000000000 H -19 -08 -b11111101101011101010100010000110 2 -b11111101101011101010100010000110 = -b1111110110011110101111001111011 < -b11111101101011101010100010000110 : -b1111110110111110100101000001011 $ -b1111110110111110100101000001011 - -b1111110110111110100101000001011 5 -b1111110110111110100101000001011 ? -b1111110110111110100101000001011 D -b1111110110011110101111001111011 % -b1111110110011110101111001111011 . -b1111110110011110101111001111011 6 -b1111110110011110101111001111011 @ -b1111110110011110101111001111011 F -b1011000000000000000000000000000 ) -#411520000 -0& -#411536000 -b1100100011110 , -#411552000 -1& -#411568000 -b11101110010110000000000000000 " -b11101110010110000000000000000 4 -b11101001110100000000011000000 1 -b11101001110100000000011000000 C -b11101110010110000000000000000 0 -b11101110010110000000000000000 H -09 -08 -b11100111110010111111010111011 2 -b11100111110010111111010111011 = -b11111101101111100110000011110000 < -b11100111110010111111010111011 : -b11111001110110001110111001011 $ -b11111001110110001110111001011 - -b11111001110110001110111001011 5 -b11111001110110001110111001011 ? -b11111001110110001110111001011 D -b11111101101111100110000011110000 % -b11111101101111100110000011110000 . -b11111101101111100110000011110000 6 -b11111101101111100110000011110000 @ -b11111101101111100110000011110000 F -b11101110010110000000000000000 ) -#411584000 -0& -#411600000 -b1100100011111 , -#411616000 -1& -#411632000 -b11011011101100010000000000000000 " -b11011011101100010000000000000000 4 -b1110010011101010001010100000010 1 -b1110010011101010001010100000010 C -b11011011101100010000000000000000 0 -b11011011101100010000000000000000 H -b1110001111101001100110001110001 2 -b1110001111101001100110001110001 = -b11110010011111010001010100001111 < -b1110001111101001100110001110001 : -b1111111011101111011011101100010 $ -b1111111011101111011011101100010 - -b1111111011101111011011101100010 5 -b1111111011101111011011101100010 ? -b1111111011101111011011101100010 D -b11110010011111010001010100001111 % -b11110010011111010001010100001111 . -b11110010011111010001010100001111 6 -b11110010011111010001010100001111 @ -b11110010011111010001010100001111 F -b11011011101100010000000000000000 ) -#411648000 -0& -#411664000 -b1100100100000 , -#411680000 -1& -#411696000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b100101101010010000010000011100 1 -b100101101010010000010000011100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -18 -b11100011101001001110001100011000 2 -b11100011101001001110001100011000 = -b100101111110011001010001011100 < -b11100011101001001110001100011000 : -b10111101101010110100111010111100 $ -b10111101101010110100111010111100 - -b10111101101010110100111010111100 5 -b10111101101010110100111010111100 ? -b10111101101010110100111010111100 D -b100101111110011001010001011100 % -b100101111110011001010001011100 . -b100101111110011001010001011100 6 -b100101111110011001010001011100 @ -b100101111110011001010001011100 F -b11000000000000000000000000000000 ) -#411712000 -0& -#411728000 -b1100100100001 , -#411744000 -1& -#411760000 -b10001010000011100000000000000000 " -b10001010000011100000000000000000 4 -b1110100100101100000101000000000 1 -b1110100100101100000101000000000 C -b10001010000011100000000000000000 0 -b10001010000011100000000000000000 H -08 -b1110011100101011110100101111110 2 -b1110011100101011110100101111110 = -b11110100100101100101111101110000 < -b1110011100101011110100101111110 : -b1111110111111111000101000001110 $ -b1111110111111111000101000001110 - -b1111110111111111000101000001110 5 -b1111110111111111000101000001110 ? -b1111110111111111000101000001110 D -b11110100100101100101111101110000 % -b11110100100101100101111101110000 . -b11110100100101100101111101110000 6 -b11110100100101100101111101110000 @ -b11110100100101100101111101110000 F -b10001010000011100000000000000000 ) -#411776000 -0& -#411792000 -b1100100100010 , -#411808000 -1& -#411824000 -b11011000100101100110000000000000 " -b11011000100101100110000000000000 4 -b1101111011110100001001000001000 1 -b1101111011110100001001000001000 C -b11011000100101100110000000000000 0 -b11011000100101100110000000000000 H -b1101111011110011110110011010111 2 -b1101111011110011110110011010111 = -b1111111011111101101101000001011 < -b1101111011110011110110011010111 : -b11101111111110110001001011001100 $ -b11101111111110110001001011001100 - -b11101111111110110001001011001100 5 -b11101111111110110001001011001100 ? -b11101111111110110001001011001100 D -b1111111011111101101101000001011 % -b1111111011111101101101000001011 . -b1111111011111101101101000001011 6 -b1111111011111101101101000001011 @ -b1111111011111101101101000001011 F -b11011000100101100110000000000000 ) -#411840000 -0& -#411856000 -b1100100100011 , -#411872000 -1& -#411888000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b111100011101110011111001011101 1 -b111100011101110011111001011101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b111011011101110011110011011010 2 -b111011011101110011110011011010 = -b10111110111111110011111001111101 < -b111011011101110011110011011010 : -b1111100011101111111111001011101 $ -b1111100011101111111111001011101 - -b1111100011101111111111001011101 5 -b1111100011101111111111001011101 ? -b1111100011101111111111001011101 D -b10111110111111110011111001111101 % -b10111110111111110011111001111101 . -b10111110111111110011111001111101 6 -b10111110111111110011111001111101 @ -b10111110111111110011111001111101 F -b10100000000000000000000000000000 ) -#411904000 -0& -#411920000 -b1100100100100 , -#411936000 -1& -#411952000 -b1001110101001010010011000000000 " -b1001110101001010010011000000000 4 -b10001011010011101000000000000000 1 -b10001011010011101000000000000000 C -b1001110101001010010011000000000 0 -b1001110101001010010011000000000 H -18 -19 -b1101010110011100011110100101110 2 -b1101010110011100011110100101110 = -b10011011011111111001100000001000 < -b1101010110011100011110100101110 : -b11001111010011101010010100100110 $ -b11001111010011101010010100100110 - -b11001111010011101010010100100110 5 -b11001111010011101010010100100110 ? -b11001111010011101010010100100110 D -b10011011011111111001100000001000 % -b10011011011111111001100000001000 . -b10011011011111111001100000001000 6 -b10011011011111111001100000001000 @ -b10011011011111111001100000001000 F -b1001110101001010010011000000000 ) -#411968000 -0& -#411984000 -b1100100100101 , -#412000000 -1& -#412016000 -b1010111101011110000010001111111 " -b1010111101011110000010001111111 4 -b1010001101010110000010000100000 1 -b1010001101010110000010000100000 C -b1010111101011110000010001111111 0 -b1010111101011110000010001111111 H -08 -b11010001011010100001100100011111 2 -b11010001011010100001100100011111 = -b1111001101110110001010010100000 < -b11010001011010100001100100011111 : -b1010111101011110000010001111111 $ -b1010111101011110000010001111111 - -b1010111101011110000010001111111 5 -b1010111101011110000010001111111 ? -b1010111101011110000010001111111 D -b1111001101110110001010010100000 % -b1111001101110110001010010100000 . -b1111001101110110001010010100000 6 -b1111001101110110001010010100000 @ -b1111001101110110001010010100000 F -b1010111101011110000010001111111 ) -#412032000 -0& -#412048000 -b1100100100110 , -#412064000 -1& -#412080000 -b10110111101100000110011110100000 " -b10110111101100000110011110100000 4 -b1110101000011011000001100100101 1 -b1110101000011011000001100100101 C -b10110111101100000110011110100000 0 -b10110111101100000110011110100000 H -b11110101000011010000101001100010 2 -b11110101000011010000101001100010 = -b1110111010011111000011100100101 < -b11110101000011010000101001100010 : -b1111101101111011000001100111101 $ -b1111101101111011000001100111101 - -b1111101101111011000001100111101 5 -b1111101101111011000001100111101 ? -b1111101101111011000001100111101 D -b1110111010011111000011100100101 % -b1110111010011111000011100100101 . -b1110111010011111000011100100101 6 -b1110111010011111000011100100101 @ -b1110111010011111000011100100101 F -b10110111101100000110011110100000 ) -#412096000 -0& -#412112000 -b1100100100111 , -#412128000 -1& -#412144000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b110000011111100000110000010011 1 -b110000011111100000110000010011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b101111011111100000101100010010 2 -b101111011111100000101100010010 = -b110010011111101110111001111111 < -b101111011111100000101100010010 : -b11111100111111110001110010010011 $ -b11111100111111110001110010010011 - -b11111100111111110001110010010011 5 -b11111100111111110001110010010011 ? -b11111100111111110001110010010011 D -b110010011111101110111001111111 % -b110010011111101110111001111111 . -b110010011111101110111001111111 6 -b110010011111101110111001111111 @ -b110010011111101110111001111111 F -b10000000000000000000000000000000 ) -#412160000 -0& -#412176000 -b1100100101000 , -#412192000 -1& -#412208000 -b11111111100001011010111010000000 " -b11111111100001011010111010000000 4 -b1001101111111110000100001000101 1 -b1001101111111110000100001000101 C -b11111111100001011010111010000000 0 -b11111111100001011010111010000000 H -b111011111111101110010001000100 2 -b111011111111101110010001000100 = -b1001101111111111101100011100111 < -b111011111111101110010001000100 : -b11101101111111110000101101011101 $ -b11101101111111110000101101011101 - -b11101101111111110000101101011101 5 -b11101101111111110000101101011101 ? -b11101101111111110000101101011101 D -b1001101111111111101100011100111 % -b1001101111111111101100011100111 . -b1001101111111111101100011100111 6 -b1001101111111111101100011100111 @ -b1001101111111111101100011100111 F -b11111111100001011010111010000000 ) -#412224000 -0& -#412240000 -b1100100101001 , -#412256000 -1& -#412272000 -b1100000000000000000000000000000 " -b1100000000000000000000000000000 4 -b1101000110001100000000000010001 1 -b1101000110001100000000000010001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -b1100110110001011011100100010000 2 -b1100110110001011011100100010000 = -b11101100110101100010100001111101 < -b1100110110001011011100100010000 : -b1111001111011111001000010010011 $ -b1111001111011111001000010010011 - -b1111001111011111001000010010011 5 -b1111001111011111001000010010011 ? -b1111001111011111001000010010011 D -b11101100110101100010100001111101 % -b11101100110101100010100001111101 . -b11101100110101100010100001111101 6 -b11101100110101100010100001111101 @ -b11101100110101100010100001111101 F -b1100000000000000000000000000000 ) -#412288000 -0& -#412304000 -b1100100101010 , -#412320000 -1& -#412336000 -1! -b0 " -b0 4 -b1011011000110110000010000100000 1 -b1011011000110110000010000100000 C -b0 0 -b0 H -b1011010100110101100001100011111 2 -b1011010100110101100001100011111 = -b11111011001110110000011001111111 < -1# -b1011010100110101100001100011111 : -b1011111010111111011110010100000 $ -b1011111010111111011110010100000 - -b1011111010111111011110010100000 5 -b1011111010111111011110010100000 ? -b1011111010111111011110010100000 D -b11111011001110110000011001111111 % -b11111011001110110000011001111111 . -b11111011001110110000011001111111 6 -b11111011001110110000011001111111 @ -b11111011001110110000011001111111 F -b0 ) -b1 ( -#412352000 -0& -#412368000 -b1100100101011 , -#412384000 -1& -#412400000 -0! -b10110011100000000000000000000000 " -b10110011100000000000000000000000 4 -b10010101010111110010100000000111 1 -b10010101010111110010100000000111 C -b10110011100000000000000000000000 0 -b10110011100000000000000000000000 H -18 -b10010101010111101010010101111110 2 -b10010101010111101010010101111110 = -b11111101010111110011110000010111 < -0# -b10010101010111101010010101111110 : -b10010111111111110110100101100111 $ -b10010111111111110110100101100111 - -b10010111111111110110100101100111 5 -b10010111111111110110100101100111 ? -b10010111111111110110100101100111 D -b11111101010111110011110000010111 % -b11111101010111110011110000010111 . -b11111101010111110011110000010111 6 -b11111101010111110011110000010111 @ -b11111101010111110011110000010111 F -b10110011100000000000000000000000 ) -b0 ( -#412416000 -0& -#412432000 -b1100100101100 , -#412448000 -1& -#412464000 -b1100101010000000000000000000000 " -b1100101010000000000000000000000 4 -b1001110000111110011000100010100 1 -b1001110000111110011000100010100 C -b1100101010000000000000000000000 0 -b1100101010000000000000000000000 H -08 -19 -b11001110000111101010010010101011 2 -b11001110000111101010010010101011 = -b1011110111111110011001100010110 < -b11001110000111101010010010101011 : -b1101111000111110111000110010101 $ -b1101111000111110111000110010101 - -b1101111000111110111000110010101 5 -b1101111000111110111000110010101 ? -b1101111000111110111000110010101 D -b1011110111111110011001100010110 % -b1011110111111110011001100010110 . -b1011110111111110011001100010110 6 -b1011110111111110011001100010110 @ -b1011110111111110011001100010110 F -b1100101010000000000000000000000 ) -#412480000 -0& -#412496000 -b1100100101101 , -#412512000 -1& -#412528000 -b11011111001101001100010100000000 " -b11011111001101001100010100000000 4 -b111101111000110000000000000000 1 -b111101111000110000000000000000 C -b11011111001101001100010100000000 0 -b11011111001101001100010100000000 H -09 -08 -b1111101111000101111111001010100 2 -b1111101111000101111111001010100 = -b111111111011111011001000000100 < -b1111101111000101111111001010100 : -b111101111100110100110001010000 $ -b111101111100110100110001010000 - -b111101111100110100110001010000 5 -b111101111100110100110001010000 ? -b111101111100110100110001010000 D -b111111111011111011001000000100 % -b111111111011111011001000000100 . -b111111111011111011001000000100 6 -b111111111011111011001000000100 @ -b111111111011111011001000000100 F -b11011111001101001100010100000000 ) -#412544000 -0& -#412560000 -b1100100101110 , -#412576000 -1& -#412592000 -b11111111111111000100010101100000 " -b11111111111111000100010101100000 4 -b1100010011011000100001000000001 1 -b1100010011011000100001000000001 C -b11111111111111000100010101100000 0 -b11111111111111000100010101100000 H -b1100010011011000011100110110000 2 -b1100010011011000011100110110000 = -b11101010011011000101011110000101 < -b1100010011011000011100110110000 : -b1110111111111111110001000101011 $ -b1110111111111111110001000101011 - -b1110111111111111110001000101011 5 -b1110111111111111110001000101011 ? -b1110111111111111110001000101011 D -b11101010011011000101011110000101 % -b11101010011011000101011110000101 . -b11101010011011000101011110000101 6 -b11101010011011000101011110000101 @ -b11101010011011000101011110000101 F -b11111111111111000100010101100000 ) -#412608000 -0& -#412624000 -b1100100101111 , -#412640000 -1& -#412656000 -b11110111011111010000010001101 " -b11110111011111010000010001101 4 -b11110110011111010000010000000 1 -b11110110011111010000010000000 C -b11110111011111010000010001101 0 -b11110111011111010000010001101 H -b11110101111111001010001001101 2 -b11110101111111001010001001101 = -b11111111110011111111001111000000 < -b11110101111111001010001001101 : -b11110111011111010000010001101 $ -b11110111011111010000010001101 - -b11110111011111010000010001101 5 -b11110111011111010000010001101 ? -b11110111011111010000010001101 D -b11111111110011111111001111000000 % -b11111111110011111111001111000000 . -b11111111110011111111001111000000 6 -b11111111110011111111001111000000 @ -b11111111110011111111001111000000 F -b11110111011111010000010001101 ) -#412672000 -0& -#412688000 -b1100100110000 , -#412704000 -1& -#412720000 -b11011010101010001010101100000000 " -b11011010101010001010101100000000 4 -b11111001100100010101000101000110 1 -b11111001100100010101000101000110 C -b11011010101010001010101100000000 0 -b11011010101010001010101100000000 H -18 -b11111001010100001100101010111101 2 -b11111001010100001100101010111101 = -b11111101100110110111100101100111 < -b11111001010100001100101010111101 : -b11111011101101010101000101010110 $ -b11111011101101010101000101010110 - -b11111011101101010101000101010110 5 -b11111011101101010101000101010110 ? -b11111011101101010101000101010110 D -b11111101100110110111100101100111 % -b11111101100110110111100101100111 . -b11111101100110110111100101100111 6 -b11111101100110110111100101100111 @ -b11111101100110110111100101100111 F -b11011010101010001010101100000000 ) -#412736000 -0& -#412752000 -b1100100110001 , -#412768000 -1& -#412784000 -b11110110101111000000000000000000 " -b11110110101111000000000000000000 4 -b10101111110100010101010000000010 1 -b10101111110100010101010000000010 C -b11110110101111000000000000000000 0 -b11110110101111000000000000000000 H -b10101111110011101101001000000001 2 -b10101111110011101101001000000001 = -b11101111110100010101010001010010 < -b10101111110011101101001000000001 : -b10111111111111010111110110101111 $ -b10111111111111010111110110101111 - -b10111111111111010111110110101111 5 -b10111111111111010111110110101111 ? -b10111111111111010111110110101111 D -b11101111110100010101010001010010 % -b11101111110100010101010001010010 . -b11101111110100010101010001010010 6 -b11101111110100010101010001010010 @ -b11101111110100010101010001010010 F -b11110110101111000000000000000000 ) -#412800000 -0& -#412816000 -b1100100110010 , -#412832000 -1& -#412848000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b1100101101101101010000001001000 1 -b1100101101101101010000001001000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -08 -b1100101101101101001100011000010 2 -b1100101101101101001100011000010 = -b11110111111111111110000001011010 < -b1100101101101101001100011000010 : -b1101101101101101011100001101000 $ -b1101101101101101011100001101000 - -b1101101101101101011100001101000 5 -b1101101101101101011100001101000 ? -b1101101101101101011100001101000 D -b11110111111111111110000001011010 % -b11110111111111111110000001011010 . -b11110111111111111110000001011010 6 -b11110111111111111110000001011010 @ -b11110111111111111110000001011010 F -b10100000000000000000000000000000 ) -#412864000 -0& -#412880000 -b1100100110011 , -#412896000 -1& -#412912000 -b111111111101100000000000000000 " -b111111111101100000000000000000 4 -b111001010000010010010011110000 1 -b111001010000010010010011110000 C -b111111111101100000000000000000 0 -b111111111101100000000000000000 H -19 -08 -b10111001001100000110010011100110 2 -b10111001001100000110010011100110 = -b111101010001110010010011110000 < -b10111001001100000110010011100110 : -b1111011111010010011111111110110 $ -b1111011111010010011111111110110 - -b1111011111010010011111111110110 5 -b1111011111010010011111111110110 ? -b1111011111010010011111111110110 D -b111101010001110010010011110000 % -b111101010001110010010011110000 . -b111101010001110010010011110000 6 -b111101010001110010010011110000 @ -b111101010001110010010011110000 F -b111111111101100000000000000000 ) -#412928000 -0& -#412944000 -b1100100110100 , -#412960000 -1& -#412976000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b10110110011111100001100011000 1 -b10110110011111100001100011000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -09 -08 -b10110101011111011001011010110 2 -b10110101011111011001011010110 = -b10110110110111111110101100011100 < -b10110101011111011001011010110 : -b1011111110011111100011110111010 $ -b1011111110011111100011110111010 - -b1011111110011111100011110111010 5 -b1011111110011111100011110111010 ? -b1011111110011111100011110111010 D -b10110110110111111110101100011100 % -b10110110110111111110101100011100 . -b10110110110111111110101100011100 6 -b10110110110111111110101100011100 @ -b10110110110111111110101100011100 F -b10100000000000000000000000000000 ) -#412992000 -0& -#413008000 -b1100100110101 , -#413024000 -1& -#413040000 -b10011100110000000000000000000000 " -b10011100110000000000000000000000 4 -b10010111111111110110101000110010 1 -b10010111111111110110101000110010 C -b10011100110000000000000000000000 0 -b10011100110000000000000000000000 H -18 -b10010111111111110110010010101001 2 -b10010111111111110110010010101001 = -b10111111111111110110101000110110 < -b10010111111111110110010010101001 : -b11010111111111111111101001110011 $ -b11010111111111111111101001110011 - -b11010111111111111111101001110011 5 -b11010111111111111111101001110011 ? -b11010111111111111111101001110011 D -b10111111111111110110101000110110 % -b10111111111111110110101000110110 . -b10111111111111110110101000110110 6 -b10111111111111110110101000110110 @ -b10111111111111110110101000110110 F -b10011100110000000000000000000000 ) -#413056000 -0& -#413072000 -b1100100110110 , -#413088000 -1& -#413104000 -b10000100111000000000000000000000 " -b10000100111000000000000000000000 4 -b100110100000000000000100 1 -b100110100000000000000100 C -b10000100111000000000000000000000 0 -b10000100111000000000000000000000 H -08 -b1000000100110000101111010000010 2 -b1000000100110000101111010000010 = -b100000110111100001011000110100 < -b1000000100110000101111010000010 : -b11111101110100100100001001110 $ -b11111101110100100100001001110 - -b11111101110100100100001001110 5 -b11111101110100100100001001110 ? -b11111101110100100100001001110 D -b100000110111100001011000110100 % -b100000110111100001011000110100 . -b100000110111100001011000110100 6 -b100000110111100001011000110100 @ -b100000110111100001011000110100 F -b10000100111000000000000000000000 ) -#413120000 -0& -#413136000 -b1100100110111 , -#413152000 -1& -#413168000 -b11001000000000000000000000000000 " -b11001000000000000000000000000000 4 -b1111001000011111001001000100000 1 -b1111001000011111001001000100000 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -08 -09 -b1111001000011111001001000011101 2 -b1111001000011111001001000011101 = -b1111101010111111011111000111001 < -b1111001000011111001001000011101 : -b11111011101011111101001111100100 $ -b11111011101011111101001111100100 - -b11111011101011111101001111100100 5 -b11111011101011111101001111100100 ? -b11111011101011111101001111100100 D -b1111101010111111011111000111001 % -b1111101010111111011111000111001 . -b1111101010111111011111000111001 6 -b1111101010111111011111000111001 @ -b1111101010111111011111000111001 F -b11001000000000000000000000000000 ) -#413184000 -0& -#413200000 -b1100100111000 , -#413216000 -1& -#413232000 -b10011100100111110100000111001010 " -b10011100100111110100000111001010 4 -b1110010001111010000010100001 1 -b1110010001111010000010100001 C -b10011100100111110100000111001010 0 -b10011100100111110100000111001010 H -18 -b11101101010001111000101110000110 2 -b11101101010001111000101110000110 = -b11110111101111110101010100001 < -b11101101010001111000101110000110 : -b11001110010011111010000011100101 $ -b11001110010011111010000011100101 - -b11001110010011111010000011100101 5 -b11001110010011111010000011100101 ? -b11001110010011111010000011100101 D -b11110111101111110101010100001 % -b11110111101111110101010100001 . -b11110111101111110101010100001 6 -b11110111101111110101010100001 @ -b11110111101111110101010100001 F -b10011100100111110100000111001010 ) -#413248000 -0& -#413264000 -b1100100111001 , -#413280000 -1& -#413296000 -b10010110101000011101100000000000 " -b10010110101000011101100000000000 4 -b1111101011100100101010000001011 1 -b1111101011100100101010000001011 C -b10010110101000011101100000000000 0 -b10010110101000011101100000000000 H -08 -b1111101011001010011001110000110 2 -b1111101011001010011001110000110 = -b1111101011100100101111101001011 < -b1111101011001010011001110000110 : -b11111111111100101101010000111011 $ -b11111111111100101101010000111011 - -b11111111111100101101010000111011 5 -b11111111111100101101010000111011 ? -b11111111111100101101010000111011 D -b1111101011100100101111101001011 % -b1111101011100100101111101001011 . -b1111101011100100101111101001011 6 -b1111101011100100101111101001011 @ -b1111101011100100101111101001011 F -b10010110101000011101100000000000 ) -#413312000 -0& -#413328000 -b1100100111010 , -#413344000 -1& -#413360000 -b11111000000000000000000000000 " -b11111000000000000000000000000 4 -b1010001110100110100100000010100 1 -b1010001110100110100100000010100 C -b11111000000000000000000000000 0 -b11111000000000000000000000000 H -19 -08 -b10110001110011101010001110010010 2 -b10110001110011101010001110010010 = -b1011001111110110100101100010110 < -b10110001110011101010001110010010 : -b1010111110100110101100001111100 $ -b1010111110100110101100001111100 - -b1010111110100110101100001111100 5 -b1010111110100110101100001111100 ? -b1010111110100110101100001111100 D -b1011001111110110100101100010110 % -b1011001111110110100101100010110 . -b1011001111110110100101100010110 6 -b1011001111110110100101100010110 @ -b1011001111110110100101100010110 F -b11111000000000000000000000000 ) -#413376000 -0& -#413392000 -b1100100111011 , -#413408000 -1& -#413424000 -b1011010100000000000000000000000 " -b1011010100000000000000000000000 4 -b10101111111111110000001000010000 1 -b10101111111111110000001000010000 C -b1011010100000000000000000000000 0 -b1011010100000000000000000000000 H -18 -09 -b10101111111111101111111000000011 2 -b10101111111111101111111000000011 = -b10101111111111111001001010110011 < -b10101111111111101111111000000011 : -b11111111111111110110101101010000 $ -b11111111111111110110101101010000 - -b11111111111111110110101101010000 5 -b11111111111111110110101101010000 ? -b11111111111111110110101101010000 D -b10101111111111111001001010110011 % -b10101111111111111001001010110011 . -b10101111111111111001001010110011 6 -b10101111111111111001001010110011 @ -b10101111111111111001001010110011 F -b1011010100000000000000000000000 ) -#413440000 -0& -#413456000 -b1100100111100 , -#413472000 -1& -#413488000 -b11010111111000101010000000000000 " -b11010111111000101010000000000000 4 -b1110110101111101000001100000101 1 -b1110110101111101000001100000101 C -b11010111111000101010000000000000 0 -b11010111111000101010000000000000 H -08 -19 -b11110110101111011000001001100010 2 -b11110110101111011000001001100010 = -b1110110101111101100001101001101 < -b11110110101111011000001001100010 : -b1111111111111101011111100010101 $ -b1111111111111101011111100010101 - -b1111111111111101011111100010101 5 -b1111111111111101011111100010101 ? -b1111111111111101011111100010101 D -b1110110101111101100001101001101 % -b1110110101111101100001101001101 . -b1110110101111101100001101001101 6 -b1110110101111101100001101001101 @ -b1110110101111101100001101001101 F -b11010111111000101010000000000000 ) -#413504000 -0& -#413520000 -b1100100111101 , -#413536000 -1& -#413552000 -b1111101111011011000000000000000 " -b1111101111011011000000000000000 4 -b10111111010101111000011001011 1 -b10111111010101111000011001011 C -b1111101111011011000000000000000 0 -b1111101111011011000000000000000 H -09 -08 -b10111111001011111000011001010 2 -b10111111001011111000011001010 = -b11110111111010101111010011101111 < -b10111111001011111000011001010 : -b11111111110101111101111011011 $ -b11111111110101111101111011011 - -b11111111110101111101111011011 5 -b11111111110101111101111011011 ? -b11111111110101111101111011011 D -b11110111111010101111010011101111 % -b11110111111010101111010011101111 . -b11110111111010101111010011101111 6 -b11110111111010101111010011101111 @ -b11110111111010101111010011101111 F -b1111101111011011000000000000000 ) -#413568000 -0& -#413584000 -b1100100111110 , -#413600000 -1& -#413616000 -b1111110100011010101010101100000 " -b1111110100011010101010101100000 4 -b11011101001000100101010000001 1 -b11011101001000100101010000001 C -b1111110100011010101010101100000 0 -b1111110100011010101010101100000 H -19 -08 -b10011011101000011011010100110000 2 -b10011011101000011011010100110000 = -b11111101011010100101010000101 < -b10011011101000011011010100110000 : -b1111011111101000110101010101011 $ -b1111011111101000110101010101011 - -b1111011111101000110101010101011 5 -b1111011111101000110101010101011 ? -b1111011111101000110101010101011 D -b11111101011010100101010000101 % -b11111101011010100101010000101 . -b11111101011010100101010000101 6 -b11111101011010100101010000101 @ -b11111101011010100101010000101 F -b1111110100011010101010101100000 ) -#413632000 -0& -#413648000 -b1100100111111 , -#413664000 -1& -#413680000 -b1111111101111110001011111110000 " -b1111111101111110001011111110000 4 -b1101010011001011000001000000010 1 -b1101010011001011000001000000010 C -b1111111101111110001011111110000 0 -b1111111101111110001011111110000 H -09 -08 -b1101010011001010110010100000001 2 -b1101010011001010110010100000001 = -b11111010011011011000001000000011 < -b1101010011001010110010100000001 : -b1101111111101111110001011111110 $ -b1101111111101111110001011111110 - -b1101111111101111110001011111110 5 -b1101111111101111110001011111110 ? -b1101111111101111110001011111110 D -b11111010011011011000001000000011 % -b11111010011011011000001000000011 . -b11111010011011011000001000000011 6 -b11111010011011011000001000000011 @ -b11111010011011011000001000000011 F -b1111111101111110001011111110000 ) -#413696000 -0& -#413712000 -b1100101000000 , -#413728000 -1& -#413744000 -b10001100111010000000000000000000 " -b10001100111010000000000000000000 4 -b11100011111010100000110010001 1 -b11100011111010100000110010001 C -b10001100111010000000000000000000 0 -b10001100111010000000000000000000 H -b11010011111001011111101010000 2 -b11010011111001011111101010000 = -b111101011111110110110110110011 < -b11010011111001011111101010000 : -b11011100111111010101000110011101 $ -b11011100111111010101000110011101 - -b11011100111111010101000110011101 5 -b11011100111111010101000110011101 ? -b11011100111111010101000110011101 D -b111101011111110110110110110011 % -b111101011111110110110110110011 . -b111101011111110110110110110011 6 -b111101011111110110110110110011 @ -b111101011111110110110110110011 F -b10001100111010000000000000000000 ) -#413760000 -0& -#413776000 -b1100101000001 , -#413792000 -1& -#413808000 -b11010111110100001111100000100000 " -b11010111110100001111100000100000 4 -b1011110101010100000001010000001 1 -b1011110101010100000001010000001 C -b11010111110100001111100000100000 0 -b11010111110100001111100000100000 H -b1011110101010011011001001100110 2 -b1011110101010011011001001100110 = -b11111111111010110010101010100101 < -b1011110101010011011001001100110 : -b1011110101111101000011111000001 $ -b1011110101111101000011111000001 - -b1011110101111101000011111000001 5 -b1011110101111101000011111000001 ? -b1011110101111101000011111000001 D -b11111111111010110010101010100101 % -b11111111111010110010101010100101 . -b11111111111010110010101010100101 6 -b11111111111010110010101010100101 @ -b11111111111010110010101010100101 F -b11010111110100001111100000100000 ) -#413824000 -0& -#413840000 -b1100101000010 , -#413856000 -1& -#413872000 -b11111101111111010001100010000100 " -b11111101111111010001100010000100 4 -b100111011101100000000000100000 1 -b100111011101100000000000100000 C -b11111101111111010001100010000100 0 -b11111101111111010001100010000100 H -b100111011101010111111100000011 2 -b100111011101010111111100000011 = -b100111111101100011100011100010 < -b100111011101010111111100000011 : -b11111111011111110100011000100001 $ -b11111111011111110100011000100001 - -b11111111011111110100011000100001 5 -b11111111011111110100011000100001 ? -b11111111011111110100011000100001 D -b100111111101100011100011100010 % -b100111111101100011100011100010 . -b100111111101100011100011100010 6 -b100111111101100011100011100010 @ -b100111111101100011100011100010 F -b11111101111111010001100010000100 ) -#413888000 -0& -#413904000 -b1100101000011 , -#413920000 -1& -#413936000 -b101001100101110111110110000000 " -b101001100101110111110110000000 4 -b10010000010100110000100001000011 1 -b10010000010100110000100001000011 C -b101001100101110111110110000000 0 -b101001100101110111110110000000 H -18 -b10001110110100100100100001000010 2 -b10001110110100100100100001000010 = -b10010110011111110001100101000111 < -b10001110110100100100100001000010 : -b11111000010100110010111011111011 $ -b11111000010100110010111011111011 - -b11111000010100110010111011111011 5 -b11111000010100110010111011111011 ? -b11111000010100110010111011111011 D -b10010110011111110001100101000111 % -b10010110011111110001100101000111 . -b10010110011111110001100101000111 6 -b10010110011111110001100101000111 @ -b10010110011111110001100101000111 F -b101001100101110111110110000000 ) -#413952000 -0& -#413968000 -b1100101000100 , -#413984000 -1& -#414000000 -b1000000010000000000000000000000 " -b1000000010000000000000000000000 4 -b11001101111011000011101000000000 1 -b11001101111011000011101000000000 C -b1000000010000000000000000000000 0 -b1000000010000000000000000000000 H -b11001101111010011111010010010111 2 -b11001101111010011111010010010111 = -b11111111111111000011101010010101 < -b11001101111010011111010010010111 : -b11001101111011011011101000000010 $ -b11001101111011011011101000000010 - -b11001101111011011011101000000010 5 -b11001101111011011011101000000010 ? -b11001101111011011011101000000010 D -b11111111111111000011101010010101 % -b11111111111111000011101010010101 . -b11111111111111000011101010010101 6 -b11111111111111000011101010010101 @ -b11111111111111000011101010010101 F -b1000000010000000000000000000000 ) -#414016000 -0& -#414032000 -b1100101000101 , -#414048000 -1& -#414064000 -b111110101111000000001001001000 " -b111110101111000000001001001000 4 -b1001101101000100000000000000010 1 -b1001101101000100000000000000010 C -b111110101111000000001001001000 0 -b111110101111000000001001001000 H -08 -19 -b11001101011000010001000111010100 2 -b11001101011000010001000111010100 = -b1111101101100100001000101000010 < -b11001101011000010001000111010100 : -b1001111101011110000000010010010 $ -b1001111101011110000000010010010 - -b1001111101011110000000010010010 5 -b1001111101011110000000010010010 ? -b1001111101011110000000010010010 D -b1111101101100100001000101000010 % -b1111101101100100001000101000010 . -b1111101101100100001000101000010 6 -b1111101101100100001000101000010 @ -b1111101101100100001000101000010 F -b111110101111000000001001001000 ) -#414080000 -0& -#414096000 -b1100101000110 , -#414112000 -1& -#414128000 -b110101001000000000000000000000 " -b110101001000000000000000000000 4 -b10101101011111000000101010000 1 -b10101101011111000000101010000 C -b110101001000000000000000000000 0 -b110101001000000000000000000000 H -b10010101101011110001100011000110 2 -b10010101101011110001100011000110 = -b10101101111111000010101110100 < -b10010101101011110001100011000110 : -b1111111111011111001001101010010 $ -b1111111111011111001001101010010 - -b1111111111011111001001101010010 5 -b1111111111011111001001101010010 ? -b1111111111011111001001101010010 D -b10101101111111000010101110100 % -b10101101111111000010101110100 . -b10101101111111000010101110100 6 -b10101101111111000010101110100 @ -b10101101111111000010101110100 F -b110101001000000000000000000000 ) -#414144000 -0& -#414160000 -b1100101000111 , -#414176000 -1& -#414192000 -b10111101100000000000000000000000 " -b10111101100000000000000000000000 4 -b11010100010010010100000001110011 1 -b11010100010010010100000001110011 C -b10111101100000000000000000000000 0 -b10111101100000000000000000000000 H -18 -09 -b10110100010010010011001111110010 2 -b10110100010010010011001111110010 = -b11011110010111010111001001110111 < -b10110100010010010011001111110010 : -b11010101111010111100000101111011 $ -b11010101111010111100000101111011 - -b11010101111010111100000101111011 5 -b11010101111010111100000101111011 ? -b11010101111010111100000101111011 D -b11011110010111010111001001110111 % -b11011110010111010111001001110111 . -b11011110010111010111001001110111 6 -b11011110010111010111001001110111 @ -b11011110010111010111001001110111 F -b10111101100000000000000000000000 ) -#414208000 -0& -#414224000 -b1100101001000 , -#414240000 -1& -#414256000 -b10101110000000000000000000000000 " -b10101110000000000000000000000000 4 -b1001111001100000100001010001 1 -b1001111001100000100001010001 C -b10101110000000000000000000000000 0 -b10101110000000000000000000000000 H -08 -b1001111001010011001111010000 2 -b1001111001010011001111010000 = -b10011111111111100000101101111001 < -b1001111001010011001111010000 : -b1101001111001110010100001010111 $ -b1101001111001110010100001010111 - -b1101001111001110010100001010111 5 -b1101001111001110010100001010111 ? -b1101001111001110010100001010111 D -b10011111111111100000101101111001 % -b10011111111111100000101101111001 . -b10011111111111100000101101111001 6 -b10011111111111100000101101111001 @ -b10011111111111100000101101111001 F -b10101110000000000000000000000000 ) -#414272000 -0& -#414288000 -b1100101001001 , -#414304000 -1& -#414320000 -b11100010100111110000000000000000 " -b11100010100111110000000000000000 4 -b111000010110111000000001001100 1 -b111000010110111000000001001100 C -b11100010100111110000000000000000 0 -b11100010100111110000000000000000 H -b110110010101110100101101001010 2 -b110110010101110100101101001010 = -b11111000010110111100000011001110 < -b110110010101110100101101001010 : -b111101111110111000101001111100 $ -b111101111110111000101001111100 - -b111101111110111000101001111100 5 -b111101111110111000101001111100 ? -b111101111110111000101001111100 D -b11111000010110111100000011001110 % -b11111000010110111100000011001110 . -b11111000010110111100000011001110 6 -b11111000010110111100000011001110 @ -b11111000010110111100000011001110 F -b11100010100111110000000000000000 ) -#414336000 -0& -#414352000 -b1100101001010 , -#414368000 -1& -#414384000 -b11001110001010010000010000000000 " -b11001110001010010000010000000000 4 -b101100011100010000101001000000 1 -b101100011100010000101001000000 C -b11001110001010010000010000000000 0 -b11001110001010010000010000000000 H -b101011111100001001010110001011 2 -b101011111100001001010110001011 = -b11101110011111010000101101001010 < -b101011111100001001010110001011 : -b111101011100111000101001000001 $ -b111101011100111000101001000001 - -b111101011100111000101001000001 5 -b111101011100111000101001000001 ? -b111101011100111000101001000001 D -b11101110011111010000101101001010 % -b11101110011111010000101101001010 . -b11101110011111010000101101001010 6 -b11101110011111010000101101001010 @ -b11101110011111010000101101001010 F -b11001110001010010000010000000000 ) -#414400000 -0& -#414416000 -b1100101001011 , -#414432000 -1& -#414448000 -b11011000000000000000000000000000 " -b11011000000000000000000000000000 4 -b111110001100010110000010011011 1 -b111110001100010110000010011011 C -b11011000000000000000000000000000 0 -b11011000000000000000000000000000 H -19 -08 -b10111110001010001101110010010110 2 -b10111110001010001101110010010110 = -b1111110111101010110101110111011 < -b10111110001010001101110010010110 : -b111111001100110111000011011011 $ -b111111001100110111000011011011 - -b111111001100110111000011011011 5 -b111111001100110111000011011011 ? -b111111001100110111000011011011 D -b1111110111101010110101110111011 % -b1111110111101010110101110111011 . -b1111110111101010110101110111011 6 -b1111110111101010110101110111011 @ -b1111110111101010110101110111011 F -b11011000000000000000000000000000 ) -#414464000 -0& -#414480000 -b1100101001100 , -#414496000 -1& -#414512000 -b11011010000000000000000000000000 " -b11011010000000000000000000000000 4 -b110010110011010010111110101001 1 -b110010110011010010111110101001 C -b11011010000000000000000000000000 0 -b11011010000000000000000000000000 H -b10110010110011001110111110100110 2 -b10110010110011001110111110100110 = -b111110110011011010111110111001 < -b10110010110011001110111110100110 : -b1110011111111110011111111101101 $ -b1110011111111110011111111101101 - -b1110011111111110011111111101101 5 -b1110011111111110011111111101101 ? -b1110011111111110011111111101101 D -b111110110011011010111110111001 % -b111110110011011010111110111001 . -b111110110011011010111110111001 6 -b111110110011011010111110111001 @ -b111110110011011010111110111001 F -b11011010000000000000000000000000 ) -#414528000 -0& -#414544000 -b1100101001101 , -#414560000 -1& -#414576000 -b1111000111110100001000000000000 " -b1111000111110100001000000000000 4 -b1101101101011110000110000000010 1 -b1101101101011110000110000000010 C -b1111000111110100001000000000000 0 -b1111000111110100001000000000000 H -09 -08 -b1101011101011110000101111101101 2 -b1101011101011110000101111101101 = -b1111101111111111110110010101011 < -b1101011101011110000101111101101 : -b11101101101011110001111101000010 $ -b11101101101011110001111101000010 - -b11101101101011110001111101000010 5 -b11101101101011110001111101000010 ? -b11101101101011110001111101000010 D -b1111101111111111110110010101011 % -b1111101111111111110110010101011 . -b1111101111111111110110010101011 6 -b1111101111111111110110010101011 @ -b1111101111111111110110010101011 F -b1111000111110100001000000000000 ) -#414592000 -0& -#414608000 -b1100101001110 , -#414624000 -1& -#414640000 -b10100101001101000000000000000000 " -b10100101001101000000000000000000 4 -b11101111100000110010000001000000 1 -b11101111100000110010000001000000 C -b10100101001101000000000000000000 0 -b10100101001101000000000000000000 H -18 -b11101111100000110001100110011111 2 -b11101111100000110001100110011111 = -b11101111110000110011000001010010 < -b11101111100000110001100110011111 : -b11111111101111111110100101001101 $ -b11111111101111111110100101001101 - -b11111111101111111110100101001101 5 -b11111111101111111110100101001101 ? -b11111111101111111110100101001101 D -b11101111110000110011000001010010 % -b11101111110000110011000001010010 . -b11101111110000110011000001010010 6 -b11101111110000110011000001010010 @ -b11101111110000110011000001010010 F -b10100101001101000000000000000000 ) -#414656000 -0& -#414672000 -b1100101001111 , -#414688000 -1& -#414704000 -b11110111111111101100011101010000 " -b11110111111111101100011101010000 4 -b1111011111111100110001110000000 1 -b1111011111111100110001110000000 C -b11110111111111101100011101010000 0 -b11110111111111101100011101010000 H -08 -b1111011111111011100101101101001 2 -b1111011111111011100101101101001 = -b11111111111111100110011111000001 < -b1111011111111011100101101101001 : -b1111011111111110110001110101000 $ -b1111011111111110110001110101000 - -b1111011111111110110001110101000 5 -b1111011111111110110001110101000 ? -b1111011111111110110001110101000 D -b11111111111111100110011111000001 % -b11111111111111100110011111000001 . -b11111111111111100110011111000001 6 -b11111111111111100110011111000001 @ -b11111111111111100110011111000001 F -b11110111111111101100011101010000 ) -#414720000 -0& -#414736000 -b1100101010000 , -#414752000 -1& -#414768000 -b11111011001011100000000000000000 " -b11111011001011100000000000000000 4 -b10101010001111100000100000100000 1 -b10101010001111100000100000100000 C -b11111011001011100000000000000000 0 -b11111011001011100000000000000000 H -18 -b10101001001111100000001101011110 2 -b10101001001111100000001101011110 = -b10111110011111100000100000110000 < -b10101001001111100000001101011110 : -b11101010101111111111101100101110 $ -b11101010101111111111101100101110 - -b11101010101111111111101100101110 5 -b11101010101111111111101100101110 ? -b11101010101111111111101100101110 D -b10111110011111100000100000110000 % -b10111110011111100000100000110000 . -b10111110011111100000100000110000 6 -b10111110011111100000100000110000 @ -b10111110011111100000100000110000 F -b11111011001011100000000000000000 ) -#414784000 -0& -#414800000 -b1100101010001 , -#414816000 -1& -#414832000 -b1001110110011100100100000011100 " -b1001110110011100100100000011100 4 -b100100010001110010000000000000 1 -b100100010001110010000000000000 C -b1001110110011100100100000011100 0 -b1001110110011100100100000011100 H -09 -08 -b100011110001110000110110001111 2 -b100011110001110000110110001111 = -b1111100010111111110100110000001 < -b100011110001110000110110001111 : -b10100111011001110010010000001110 $ -b10100111011001110010010000001110 - -b10100111011001110010010000001110 5 -b10100111011001110010010000001110 ? -b10100111011001110010010000001110 D -b1111100010111111110100110000001 % -b1111100010111111110100110000001 . -b1111100010111111110100110000001 6 -b1111100010111111110100110000001 @ -b1111100010111111110100110000001 F -b1001110110011100100100000011100 ) -#414848000 -0& -#414864000 -b1100101010010 , -#414880000 -1& -#414896000 -b1001001111111011000110110101000 " -b1001001111111011000110110101000 4 -b1000001111011000000000010000000 1 -b1000001111011000000000010000000 C -b1001001111111011000110110101000 0 -b1001001111111011000110110101000 H -19 -08 -b10011111111010111111111001101000 2 -b10011111111010111111111001101000 = -b1010101111011100111000011000000 < -b10011111111010111111111001101000 : -b1001001111111011000110110101000 $ -b1001001111111011000110110101000 - -b1001001111111011000110110101000 5 -b1001001111111011000110110101000 ? -b1001001111111011000110110101000 D -b1010101111011100111000011000000 % -b1010101111011100111000011000000 . -b1010101111011100111000011000000 6 -b1010101111011100111000011000000 @ -b1010101111011100111000011000000 F -b1001001111111011000110110101000 ) -#414912000 -0& -#414928000 -b1100101010011 , -#414944000 -1& -#414960000 -b1111111110011110101010100111111 " -b1111111110011110101010100111111 4 -b1100110110001110100000100000000 1 -b1100110110001110100000100000000 C -b1111111110011110101010100111111 0 -b1111111110011110101010100111111 H -09 -08 -b1100110100101110001011000111111 2 -b1100110100101110001011000111111 = -b11100110110001111100000100000000 < -b1100110100101110001011000111111 : -b1111111110011110101010100111111 $ -b1111111110011110101010100111111 - -b1111111110011110101010100111111 5 -b1111111110011110101010100111111 ? -b1111111110011110101010100111111 D -b11100110110001111100000100000000 % -b11100110110001111100000100000000 . -b11100110110001111100000100000000 6 -b11100110110001111100000100000000 @ -b11100110110001111100000100000000 F -b1111111110011110101010100111111 ) -#414976000 -0& -#414992000 -b1100101010100 , -#415008000 -1& -#415024000 -b11111111101111011010111001100000 " -b11111111101111011010111001100000 4 -b1100111111110110100101011100100 1 -b1100111111110110100101011100100 C -b11111111101111011010111001100000 0 -b11111111101111011010111001100000 H -19 -08 -b11100111111110110100101011001010 2 -b11100111111110110100101011001010 = -b1100111111111110110111111100100 < -b11100111111110110100101011001010 : -b1111111111110111101101011100110 $ -b1111111111110111101101011100110 - -b1111111111110111101101011100110 5 -b1111111111110111101101011100110 ? -b1111111111110111101101011100110 D -b1100111111111110110111111100100 % -b1100111111111110110111111100100 . -b1100111111111110110111111100100 6 -b1100111111111110110111111100100 @ -b1100111111111110110111111100100 F -b11111111101111011010111001100000 ) -#415040000 -0& -#415056000 -b1100101010101 , -#415072000 -1& -#415088000 -b101111000000000000000000000000 " -b101111000000000000000000000000 4 -b1110111000010101000010001010110 1 -b1110111000010101000010001010110 C -b101111000000000000000000000000 0 -b101111000000000000000000000000 H -09 -08 -b1101110100001100101001101010101 2 -b1101110100001100101001101010101 = -b1110111000010101100011011110111 < -b1101110100001100101001101010101 : -b11110111011110111000110001011110 $ -b11110111011110111000110001011110 - -b11110111011110111000110001011110 5 -b11110111011110111000110001011110 ? -b11110111011110111000110001011110 D -b1110111000010101100011011110111 % -b1110111000010101100011011110111 . -b1110111000010101100011011110111 6 -b1110111000010101100011011110111 @ -b1110111000010101100011011110111 F -b101111000000000000000000000000 ) -#415104000 -0& -#415120000 -b1100101010110 , -#415136000 -1& -#415152000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b10101010011000110011000000110 1 -b10101010011000110011000000110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b10101010010111101011000000101 2 -b10101010010111101011000000101 = -b11101110011000110111110011110 < -b10101010010111101011000000101 : -b11110111011111110110011001100111 $ -b11110111011111110110011001100111 - -b11110111011111110110011001100111 5 -b11110111011111110110011001100111 ? -b11110111011111110110011001100111 D -b11101110011000110111110011110 % -b11101110011000110111110011110 . -b11101110011000110111110011110 6 -b11101110011000110111110011110 @ -b11101110011000110111110011110 F -b11000000000000000000000000000000 ) -#415168000 -0& -#415184000 -b1100101010111 , -#415200000 -1& -#415216000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b11011011111011111000100001101001 1 -b11011011111011111000100001101001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11011011111011111000011001101000 2 -b11011011111011111000011001101000 = -b11011011111011111110110011111111 < -b11011011111011111000011001101000 : -b11111111111111111001100101101001 $ -b11111111111111111001100101101001 - -b11111111111111111001100101101001 5 -b11111111111111111001100101101001 ? -b11111111111111111001100101101001 D -b11011011111011111110110011111111 % -b11011011111011111110110011111111 . -b11011011111011111110110011111111 6 -b11011011111011111110110011111111 @ -b11011011111011111110110011111111 F -b10000000000000000000000000000000 ) -#415232000 -0& -#415248000 -b1100101011000 , -#415264000 -1& -#415280000 -b1111111011001111010100000100000 " -b1111111011001111010100000100000 4 -b10000110110100100000100000000000 1 -b10000110110100100000100000000000 C -b1111111011001111010100000100000 0 -b1111111011001111010100000100000 H -19 -18 -b1110110110100100000011110100110 2 -b1110110110100100000011110100110 = -b11101110110110111000110100100100 < -b1110110110100100000011110100110 : -b10000111111101100111101010000010 $ -b10000111111101100111101010000010 - -b10000111111101100111101010000010 5 -b10000111111101100111101010000010 ? -b10000111111101100111101010000010 D -b11101110110110111000110100100100 % -b11101110110110111000110100100100 . -b11101110110110111000110100100100 6 -b11101110110110111000110100100100 @ -b11101110110110111000110100100100 F -b1111111011001111010100000100000 ) -#415296000 -0& -#415312000 -b1100101011001 , -#415328000 -1& -#415344000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b1011101001101100001000010101000 1 -b1011101001101100001000010101000 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -08 -b11011101001011011000111010100010 2 -b11011101001011011000111010100010 = -b1111111101101110111110011111010 < -b11011101001011011000111010100010 : -b1011101011101100001000110101000 $ -b1011101011101100001000110101000 - -b1011101011101100001000110101000 5 -b1011101011101100001000110101000 ? -b1011101011101100001000110101000 D -b1111111101101110111110011111010 % -b1111111101101110111110011111010 . -b1111111101101110111110011111010 6 -b1111111101101110111110011111010 @ -b1111111101101110111110011111010 F -b10100000000000000000000000000000 ) -#415360000 -0& -#415376000 -b1100101011010 , -#415392000 -1& -#415408000 -b11101111101000001100111110100000 " -b11101111101000001100111110100000 4 -b101011010110010000001001100101 1 -b101011010110010000001001100101 C -b11101111101000001100111110100000 0 -b11101111101000001100111110100000 H -b10101010110101101101100101100010 2 -b10101010110101101101100101100010 = -b101011010110011101001011100101 < -b10101010110101101101100101100010 : -b1111111011111010000011001111101 $ -b1111111011111010000011001111101 - -b1111111011111010000011001111101 5 -b1111111011111010000011001111101 ? -b1111111011111010000011001111101 D -b101011010110011101001011100101 % -b101011010110011101001011100101 . -b101011010110011101001011100101 6 -b101011010110011101001011100101 @ -b101011010110011101001011100101 F -b11101111101000001100111110100000 ) -#415424000 -0& -#415440000 -b1100101011011 , -#415456000 -1& -#415472000 -b1111111110001110010000001000000 " -b1111111110001110010000001000000 4 -b1001101010111110001010010000000 1 -b1001101010111110001010010000000 C -b1111111110001110010000001000000 0 -b1111111110001110010000001000000 H -09 -08 -b1001101010111101011001000100111 2 -b1001101010111101011001000100111 = -b1101111010111111001010110100110 < -b1001101010111101011001000100111 : -b11011101111111110001110010000001 $ -b11011101111111110001110010000001 - -b11011101111111110001110010000001 5 -b11011101111111110001110010000001 ? -b11011101111111110001110010000001 D -b1101111010111111001010110100110 % -b1101111010111111001010110100110 . -b1101111010111111001010110100110 6 -b1101111010111111001010110100110 @ -b1101111010111111001010110100110 F -b1111111110001110010000001000000 ) -#415488000 -0& -#415504000 -b1100101011100 , -#415520000 -1& -#415536000 -b11011101101110101100001101010000 " -b11011101101110101100001101010000 4 -b1011101010110101010100000100100 1 -b1011101010110101010100000100100 C -b11011101101110101100001101010000 0 -b11011101101110101100001101010000 H -b1011101010110101010011110011001 2 -b1011101010110101010011110011001 = -b1011111011111101111101101100100 < -b1011101010110101010011110011001 : -b11111101110110111010110000110101 $ -b11111101110110111010110000110101 - -b11111101110110111010110000110101 5 -b11111101110110111010110000110101 ? -b11111101110110111010110000110101 D -b1011111011111101111101101100100 % -b1011111011111101111101101100100 . -b1011111011111101111101101100100 6 -b1011111011111101111101101100100 @ -b1011111011111101111101101100100 F -b11011101101110101100001101010000 ) -#415552000 -0& -#415568000 -b1100101011101 , -#415584000 -1& -#415600000 -b10111001111111111011101110010000 " -b10111001111111111011101110010000 4 -b11000111000111101101011100100010 1 -b11000111000111101101011100100010 C -b10111001111111111011101110010000 0 -b10111001111111111011101110010000 H -18 -b10100111000111101101011100010101 2 -b10100111000111101101011100010101 = -b11001111110111101101111110100011 < -b10100111000111101101011100010101 : -b11010111001111111111011101110010 $ -b11010111001111111111011101110010 - -b11010111001111111111011101110010 5 -b11010111001111111111011101110010 ? -b11010111001111111111011101110010 D -b11001111110111101101111110100011 % -b11001111110111101101111110100011 . -b11001111110111101101111110100011 6 -b11001111110111101101111110100011 @ -b11001111110111101101111110100011 F -b10111001111111111011101110010000 ) -#415616000 -0& -#415632000 -b1100101011110 , -#415648000 -1& -#415664000 -b1100011101110100000000000000000 " -b1100011101110100000000000000000 4 -b1101100011101101011000001010001 1 -b1101100011101101011000001010001 C -b1100011101110100000000000000000 0 -b1100011101110100000000000000000 H -08 -19 -b11101100011101100110011001001110 2 -b11101100011101100110011001001110 = -b1101101011101111011010001110001 < -b11101100011101100110011001001110 : -b1111110111111101011000111011101 $ -b1111110111111101011000111011101 - -b1111110111111101011000111011101 5 -b1111110111111101011000111011101 ? -b1111110111111101011000111011101 D -b1101101011101111011010001110001 % -b1101101011101111011010001110001 . -b1101101011101111011010001110001 6 -b1101101011101111011010001110001 @ -b1101101011101111011010001110001 F -b1100011101110100000000000000000 ) -#415680000 -0& -#415696000 -b1100101011111 , -#415712000 -1& -#415728000 -b10011100100000000000000000000000 " -b10011100100000000000000000000000 4 -b1010011001101100000101000010010 1 -b1010011001101100000101000010010 C -b10011100100000000000000000000000 0 -b10011100100000000000000000000000 H -b11010011001101010000100010001000 2 -b11010011001101010000100010001000 = -b1011011111111101110101000010110 < -b11010011001101010000100010001000 : -b1110111001101100001111001110010 $ -b1110111001101100001111001110010 - -b1110111001101100001111001110010 5 -b1110111001101100001111001110010 ? -b1110111001101100001111001110010 D -b1011011111111101110101000010110 % -b1011011111111101110101000010110 . -b1011011111111101110101000010110 6 -b1011011111111101110101000010110 @ -b1011011111111101110101000010110 F -b10011100100000000000000000000000 ) -#415744000 -0& -#415760000 -b1100101100000 , -#415776000 -1& -#415792000 -b101000000000000000000000000000 " -b101000000000000000000000000000 4 -b110101101101110000001000000001 1 -b110101101101110000001000000001 C -b101000000000000000000000000000 0 -b101000000000000000000000000000 H -b10101101011011101110110010100000 2 -b10101101011011101110110010100000 = -b110111101101111000101000011011 < -b10101101011011101110110010100000 : -b1110101101101110110001010000101 $ -b1110101101101110110001010000101 - -b1110101101101110110001010000101 5 -b1110101101101110110001010000101 ? -b1110101101101110110001010000101 D -b110111101101111000101000011011 % -b110111101101111000101000011011 . -b110111101101111000101000011011 6 -b110111101101111000101000011011 @ -b110111101101111000101000011011 F -b101000000000000000000000000000 ) -#415808000 -0& -#415824000 -b1100101100001 , -#415840000 -1& -#415856000 -b1101010000000000000000000000 " -b1101010000000000000000000000 4 -b1001101001110000100000100000 1 -b1001101001110000100000100000 C -b1101010000000000000000000000 0 -b1101010000000000000000000000 H -09 -08 -b1001101001101100011110011111 2 -b1001101001101100011110011111 = -b11111001101101111000111100110101 < -b1001101001101100011110011111 : -b1111111011110011100001101010 $ -b1111111011110011100001101010 - -b1111111011110011100001101010 5 -b1111111011110011100001101010 ? -b1111111011110011100001101010 D -b11111001101101111000111100110101 % -b11111001101101111000111100110101 . -b11111001101101111000111100110101 6 -b11111001101101111000111100110101 @ -b11111001101101111000111100110101 F -b1101010000000000000000000000 ) -#415872000 -0& -#415888000 -b1100101100010 , -#415904000 -1& -#415920000 -b10100011000010001001000000000000 " -b10100011000010001001000000000000 4 -b1001111010000010000000000001000 1 -b1001111010000010000000000001000 C -b10100011000010001001000000000000 0 -b10100011000010001001000000000000 H -b1001111001111101010010011110001 2 -b1001111001111101010010011110001 = -b11011111111011010010000010101001 < -b1001111001111101010010011110001 : -b1101111010100011000010001001000 $ -b1101111010100011000010001001000 - -b1101111010100011000010001001000 5 -b1101111010100011000010001001000 ? -b1101111010100011000010001001000 D -b11011111111011010010000010101001 % -b11011111111011010010000010101001 . -b11011111111011010010000010101001 6 -b11011111111011010010000010101001 @ -b11011111111011010010000010101001 F -b10100011000010001001000000000000 ) -#415936000 -0& -#415952000 -b1100101100011 , -#415968000 -1& -#415984000 -b1000011000000000000000000000000 " -b1000011000000000000000000000000 4 -b1110110111110100000000000000100 1 -b1110110111110100000000000000100 C -b1000011000000000000000000000000 0 -b1000011000000000000000000000000 H -b1110110111110011001111111000010 2 -b1110110111110011001111111000010 = -b11111110111110111001011010110110 < -b1110110111110011001111111000010 : -b1110111111111100000100100001100 $ -b1110111111111100000100100001100 - -b1110111111111100000100100001100 5 -b1110111111111100000100100001100 ? -b1110111111111100000100100001100 D -b11111110111110111001011010110110 % -b11111110111110111001011010110110 . -b11111110111110111001011010110110 6 -b11111110111110111001011010110110 @ -b11111110111110111001011010110110 F -b1000011000000000000000000000000 ) -#416000000 -0& -#416016000 -b1100101100100 , -#416032000 -1& -#416048000 -b110111111011001011000011110000 " -b110111111011001011000011110000 4 -b10100110001111010000011000000010 1 -b10100110001111010000011000000010 C -b110111111011001011000011110000 0 -b110111111011001011000011110000 H -18 -19 -b1100110001111001001110101000001 2 -b1100110001111001001110101000001 = -b10111111001111110000011100100011 < -b1100110001111001001110101000001 : -b10100110111111011001011000011110 $ -b10100110111111011001011000011110 - -b10100110111111011001011000011110 5 -b10100110111111011001011000011110 ? -b10100110111111011001011000011110 D -b10111111001111110000011100100011 % -b10111111001111110000011100100011 . -b10111111001111110000011100100011 6 -b10111111001111110000011100100011 @ -b10111111001111110000011100100011 F -b110111111011001011000011110000 ) -#416064000 -0& -#416080000 -b1100101100101 , -#416096000 -1& -#416112000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b1011100100000001000000101101 1 -b1011100100000001000000101101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -09 -18 -b11111011100100000000111100101010 2 -b11111011100100000000111100101010 = -b1001011110111010101101000111101 < -b11111011100100000000111100101010 : -b10101111101100101011010011101101 $ -b10101111101100101011010011101101 - -b10101111101100101011010011101101 5 -b10101111101100101011010011101101 ? -b10101111101100101011010011101101 D -b1001011110111010101101000111101 % -b1001011110111010101101000111101 . -b1001011110111010101101000111101 6 -b1001011110111010101101000111101 @ -b1001011110111010101101000111101 F -b10100000000000000000000000000000 ) -#416128000 -0& -#416144000 -b1100101100110 , -#416160000 -1& -#416176000 -b11010011100001110000110100000000 " -b11010011100001110000110100000000 4 -b1010000100100111000000100001000 1 -b1010000100100111000000100001000 C -b11010011100001110000110100000000 0 -b11010011100001110000110100000000 H -08 -b101100011011110101000011010101 2 -b101100011011110101000011010101 = -b11010001100110111100100111001000 < -b101100011011110101000011010101 : -b1011010110100111000011100001101 $ -b1011010110100111000011100001101 - -b1011010110100111000011100001101 5 -b1011010110100111000011100001101 ? -b1011010110100111000011100001101 D -b11010001100110111100100111001000 % -b11010001100110111100100111001000 . -b11010001100110111100100111001000 6 -b11010001100110111100100111001000 @ -b11010001100110111100100111001000 F -b11010011100001110000110100000000 ) -#416192000 -0& -#416208000 -b1100101100111 , -#416224000 -1& -#416240000 -b11111010111100001110000000000000 " -b11111010111100001110000000000000 4 -b10111010000001110001111000001000 1 -b10111010000001110001111000001000 C -b11111010111100001110000000000000 0 -b11111010111100001110000000000000 H -18 -19 -b1111010000001110001110000100111 2 -b1111010000001110001110000100111 = -b10111110010001111011111000001011 < -b1111010000001110001110000100111 : -b10111011101111110101111000011100 $ -b10111011101111110101111000011100 - -b10111011101111110101111000011100 5 -b10111011101111110101111000011100 ? -b10111011101111110101111000011100 D -b10111110010001111011111000001011 % -b10111110010001111011111000001011 . -b10111110010001111011111000001011 6 -b10111110010001111011111000001011 @ -b10111110010001111011111000001011 F -b11111010111100001110000000000000 ) -#416256000 -0& -#416272000 -b1100101101000 , -#416288000 -1& -#416304000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b11100011001111100001000100011000 1 -b11100011001111100001000100011000 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -09 -18 -b11100011001111011100010100010111 2 -b11100011001111011100010100010111 = -b11111111001111100011000110111110 < -b11100011001111011100010100010111 : -b11100011111111111001001101011001 $ -b11100011111111111001001101011001 - -b11100011111111111001001101011001 5 -b11100011111111111001001101011001 ? -b11100011111111111001001101011001 D -b11111111001111100011000110111110 % -b11111111001111100011000110111110 . -b11111111001111100011000110111110 6 -b11111111001111100011000110111110 @ -b11111111001111100011000110111110 F -b1000000000000000000000000000000 ) -#416320000 -0& -#416336000 -b1100101101001 , -#416352000 -1& -#416368000 -b100111100000110000000000000000 " -b100111100000110000000000000000 4 -b1011011111101000000010000000110 1 -b1011011111101000000010000000110 C -b100111100000110000000000000000 0 -b100111100000110000000000000000 H -08 -b1011011111100111000001110010101 2 -b1011011111100111000001110010101 = -b1011011111101110011010010001111 < -b1011011111100111000001110010101 : -b11111111111111000100111100000110 $ -b11111111111111000100111100000110 - -b11111111111111000100111100000110 5 -b11111111111111000100111100000110 ? -b11111111111111000100111100000110 D -b1011011111101110011010010001111 % -b1011011111101110011010010001111 . -b1011011111101110011010010001111 6 -b1011011111101110011010010001111 @ -b1011011111101110011010010001111 F -b100111100000110000000000000000 ) -#416384000 -0& -#416400000 -b1100101101010 , -#416416000 -1& -#416432000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b101000111100011000010101011101 1 -b101000111100011000010101011101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b101000111011010111101011011100 2 -b101000111011010111101011011100 = -b1101001111100111110010101111111 < -b101000111011010111101011011100 : -b10111110111110011001010101011101 $ -b10111110111110011001010101011101 - -b10111110111110011001010101011101 5 -b10111110111110011001010101011101 ? -b10111110111110011001010101011101 D -b1101001111100111110010101111111 % -b1101001111100111110010101111111 . -b1101001111100111110010101111111 6 -b1101001111100111110010101111111 @ -b1101001111100111110010101111111 F -b10000000000000000000000000000000 ) -#416448000 -0& -#416464000 -b1100101101011 , -#416480000 -1& -#416496000 -b1101011100011010001001101000000 " -b1101011100011010001001101000000 4 -b1010011010111000100100010000000 1 -b1010011010111000100100010000000 C -b1101011100011010001001101000000 0 -b1101011100011010001001101000000 H -19 -08 -b11010011010110101011011100111111 2 -b11010011010110101011011100111111 = -b1110111111111100100111010100101 < -b11010011010110101011011100111111 : -b1011011010111000110100010011010 $ -b1011011010111000110100010011010 - -b1011011010111000110100010011010 5 -b1011011010111000110100010011010 ? -b1011011010111000110100010011010 D -b1110111111111100100111010100101 % -b1110111111111100100111010100101 . -b1110111111111100100111010100101 6 -b1110111111111100100111010100101 @ -b1110111111111100100111010100101 F -b1101011100011010001001101000000 ) -#416512000 -0& -#416528000 -b1100101101100 , -#416544000 -1& -#416560000 -1! -b0 " -b0 4 -b111111111110101001001100001100 1 -b111111111110101001001100001100 C -b0 0 -b0 H -18 -09 -b11111111111110010100011011001010 2 -b11111111111110010100011011001010 = -b10111111111110101001001100111110 < -1# -b11111111111110010100011011001010 : -b111111111111101011001110001100 $ -b111111111111101011001110001100 - -b111111111111101011001110001100 5 -b111111111111101011001110001100 ? -b111111111111101011001110001100 D -b10111111111110101001001100111110 % -b10111111111110101001001100111110 . -b10111111111110101001001100111110 6 -b10111111111110101001001100111110 @ -b10111111111110101001001100111110 F -b0 ) -b1 ( -#416576000 -0& -#416592000 -b1100101101101 , -#416608000 -1& -#416624000 -0! -b11111100011110110000001000000000 " -b11111100011110110000001000000000 4 -b11011010001011100000100000000001 1 -b11011010001011100000100000000001 C -b11111100011110110000001000000000 0 -b11111100011110110000001000000000 H -b11010101101011011100010110101010 2 -b11010101101011011100010110101010 = -b11111010001011111000100000101001 < -0# -b11010101101011011100010110101010 : -b11011011011111100011110110000001 $ -b11011011011111100011110110000001 - -b11011011011111100011110110000001 5 -b11011011011111100011110110000001 ? -b11011011011111100011110110000001 D -b11111010001011111000100000101001 % -b11111010001011111000100000101001 . -b11111010001011111000100000101001 6 -b11111010001011111000100000101001 @ -b11111010001011111000100000101001 F -b11111100011110110000001000000000 ) -b0 ( -#416640000 -0& -#416656000 -b1100101101110 , -#416672000 -1& -#416688000 -b1100101000000000000000000000000 " -b1100101000000000000000000000000 4 -b1011101011111001000100101100000 1 -b1011101011111001000100101100000 C -b1100101000000000000000000000000 0 -b1100101000000000000000000000000 H -08 -19 -b11011011011111000111100011011101 2 -b11011011011111000111100011011101 = -b1011101011111101000111101111000 < -b11011011011111000111100011011101 : -b1111101111111011110100101100101 $ -b1111101111111011110100101100101 - -b1111101111111011110100101100101 5 -b1111101111111011110100101100101 ? -b1111101111111011110100101100101 D -b1011101011111101000111101111000 % -b1011101011111101000111101111000 . -b1011101011111101000111101111000 6 -b1011101011111101000111101111000 @ -b1011101011111101000111101111000 F -b1100101000000000000000000000000 ) -#416704000 -0& -#416720000 -b1100101101111 , -#416736000 -1& -#416752000 -b1111110110101101000001101100000 " -b1111110110101101000001101100000 4 -b100101011010110100000100100000 1 -b100101011010110100000100100000 C -b1111110110101101000001101100000 0 -b1111110110101101000001101100000 H -09 -08 -b100101011001110001110100010001 2 -b100101011001110001110100010001 = -b11100101111110111101101101100001 < -b100101011001110001110100010001 : -b111111011010110100000110110000 $ -b111111011010110100000110110000 - -b111111011010110100000110110000 5 -b111111011010110100000110110000 ? -b111111011010110100000110110000 D -b11100101111110111101101101100001 % -b11100101111110111101101101100001 . -b11100101111110111101101101100001 6 -b11100101111110111101101101100001 @ -b11100101111110111101101101100001 F -b1111110110101101000001101100000 ) -#416768000 -0& -#416784000 -b1100101110000 , -#416800000 -1& -#416816000 -b11101010110000011100000000000000 " -b11101010110000011100000000000000 4 -b1100101001000100010100000000110 1 -b1100101001000100010100000000110 C -b11101010110000011100000000000000 0 -b11101010110000011100000000000000 H -b1010101001000011101011111010101 2 -b1010101001000011101011111010101 = -b1101111001011100010110011001110 < -b1010101001000011101011111010101 : -b11100101111100111010101100000111 $ -b11100101111100111010101100000111 - -b11100101111100111010101100000111 5 -b11100101111100111010101100000111 ? -b11100101111100111010101100000111 D -b1101111001011100010110011001110 % -b1101111001011100010110011001110 . -b1101111001011100010110011001110 6 -b1101111001011100010110011001110 @ -b1101111001011100010110011001110 F -b11101010110000011100000000000000 ) -#416832000 -0& -#416848000 -b1100101110001 , -#416864000 -1& -#416880000 -b1111011011110000110101001001000 " -b1111011011110000110101001001000 4 -b10100110011100000000000000010 1 -b10100110011100000000000000010 C -b1111011011110000110101001001000 0 -b1111011011110000110101001001000 H -b10100110011010101101110010100 2 -b10100110011010101101110010100 = -b1110101111011110100000100000010 < -b10100110011010101101110010100 : -b10011110110111100001101010010010 $ -b10011110110111100001101010010010 - -b10011110110111100001101010010010 5 -b10011110110111100001101010010010 ? -b10011110110111100001101010010010 D -b1110101111011110100000100000010 % -b1110101111011110100000100000010 . -b1110101111011110100000100000010 6 -b1110101111011110100000100000010 @ -b1110101111011110100000100000010 F -b1111011011110000110101001001000 ) -#416896000 -0& -#416912000 -b1100101110010 , -#416928000 -1& -#416944000 -b1100000000000000000000000000000 " -b1100000000000000000000000000000 4 -b10011101000011000000000100001 1 -b10011101000011000000000100001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -b10011101000010111000000100000 2 -b10011101000010111000000100000 = -b11110011101001011110100110111101 < -b10011101000010111000000100000 : -b11111111110111000011001100011 $ -b11111111110111000011001100011 - -b11111111110111000011001100011 5 -b11111111110111000011001100011 ? -b11111111110111000011001100011 D -b11110011101001011110100110111101 % -b11110011101001011110100110111101 . -b11110011101001011110100110111101 6 -b11110011101001011110100110111101 @ -b11110011101001011110100110111101 F -b1100000000000000000000000000000 ) -#416960000 -0& -#416976000 -b1100101110011 , -#416992000 -1& -#417008000 -b11010001111110101100010001010000 " -b11010001111110101100010001010000 4 -b10101000000110010100000010000010 1 -b10101000000110010100000010000010 C -b11010001111110101100010001010000 0 -b11010001111110101100010001010000 H -18 -b10101000000110010001101101001101 2 -b10101000000110010001101101001101 = -b11101101110110011100001011000011 < -b10101000000110010001101101001101 : -b10111010001111110101100010001010 $ -b10111010001111110101100010001010 - -b10111010001111110101100010001010 5 -b10111010001111110101100010001010 ? -b10111010001111110101100010001010 D -b11101101110110011100001011000011 % -b11101101110110011100001011000011 . -b11101101110110011100001011000011 6 -b11101101110110011100001011000011 @ -b11101101110110011100001011000011 F -b11010001111110101100010001010000 ) -#417024000 -0& -#417040000 -b1100101110100 , -#417056000 -1& -#417072000 -b10111101010010110000000000000000 " -b10111101010010110000000000000000 4 -b10111011000101011010010100000000 1 -b10111011000101011010010100000000 C -b10111101010010110000000000000000 0 -b10111101010010110000000000000000 H -b10110111000011011010010011011011 2 -b10110111000011011010010011011011 = -b11111011110101111110011110010000 < -b10110111000011011010010011011011 : -b10111011001101011011110101001011 $ -b10111011001101011011110101001011 - -b10111011001101011011110101001011 5 -b10111011001101011011110101001011 ? -b10111011001101011011110101001011 D -b11111011110101111110011110010000 % -b11111011110101111110011110010000 . -b11111011110101111110011110010000 6 -b11111011110101111110011110010000 @ -b11111011110101111110011110010000 F -b10111101010010110000000000000000 ) -#417088000 -0& -#417104000 -b1100101110101 , -#417120000 -1& -#417136000 -b10101010010110110000000000000000 " -b10101010010110110000000000000000 4 -b11101110011110110100010010100110 1 -b11101110011110110100010010100110 C -b10101010010110110000000000000000 0 -b10101010010110110000000000000000 H -b11101101111101110100001001100101 2 -b11101101111101110100001001100101 = -b11101111011110111110110110101111 < -b11101101111101110100001001100101 : -b11111110011110110101010010110110 $ -b11111110011110110101010010110110 - -b11111110011110110101010010110110 5 -b11111110011110110101010010110110 ? -b11111110011110110101010010110110 D -b11101111011110111110110110101111 % -b11101111011110111110110110101111 . -b11101111011110111110110110101111 6 -b11101111011110111110110110101111 @ -b11101111011110111110110110101111 F -b10101010010110110000000000000000 ) -#417152000 -0& -#417168000 -b1100101110110 , -#417184000 -1& -#417200000 -b101111000000000000000000000000 " -b101111000000000000000000000000 4 -b11110111100111010000100000010000 1 -b11110111100111010000100000010000 C -b101111000000000000000000000000 0 -b101111000000000000000000000000 H -b11101111010111001000001110001101 2 -b11101111010111001000001110001101 = -b11110111101111110101101000010101 < -b11101111010111001000001110001101 : -b11110111100111010010100101111000 $ -b11110111100111010010100101111000 - -b11110111100111010010100101111000 5 -b11110111100111010010100101111000 ? -b11110111100111010010100101111000 D -b11110111101111110101101000010101 % -b11110111101111110101101000010101 . -b11110111101111110101101000010101 6 -b11110111101111110101101000010101 @ -b11110111101111110101101000010101 F -b101111000000000000000000000000 ) -#417216000 -0& -#417232000 -b1100101110111 , -#417248000 -1& -#417264000 -b11110011110001111000110000000000 " -b11110011110001111000110000000000 4 -b1110011011000110000011000000000 1 -b1110011011000110000011000000000 C -b11110011110001111000110000000000 0 -b11110011110001111000110000000000 H -08 -b1110011011000101111010110111111 2 -b1110011011000101111010110111111 = -b11110011011110110110011010100111 < -b1110011011000101111010110111111 : -b1111111111001111000111100011000 $ -b1111111111001111000111100011000 - -b1111111111001111000111100011000 5 -b1111111111001111000111100011000 ? -b1111111111001111000111100011000 D -b11110011011110110110011010100111 % -b11110011011110110110011010100111 . -b11110011011110110110011010100111 6 -b11110011011110110110011010100111 @ -b11110011011110110110011010100111 F -b11110011110001111000110000000000 ) -#417280000 -0& -#417296000 -b1100101111000 , -#417312000 -1& -#417328000 -b11111111111000000000000000000000 " -b11111111111000000000000000000000 4 -b10110000010000100100101100110100 1 -b10110000010000100100101100110100 C -b11111111111000000000000000000000 0 -b11111111111000000000000000000000 H -18 -19 -b1101011101110011011101100110010 2 -b1101011101110011011101100110010 = -b10110011011000110100101100110100 < -b1101011101110011011101100110010 : -b10111000010101100110111111111110 $ -b10111000010101100110111111111110 - -b10111000010101100110111111111110 5 -b10111000010101100110111111111110 ? -b10111000010101100110111111111110 D -b10110011011000110100101100110100 % -b10110011011000110100101100110100 . -b10110011011000110100101100110100 6 -b10110011011000110100101100110100 @ -b10110011011000110100101100110100 F -b11111111111000000000000000000000 ) -#417344000 -0& -#417360000 -b1100101111001 , -#417376000 -1& -#417392000 -b11000000001111010000000000000000 " -b11000000001111010000000000000000 4 -b1100110011001000000001101010 1 -b1100110011001000000001101010 C -b11000000001111010000000000000000 0 -b11000000001111010000000000000000 H -08 -b10001100110011000101110001101001 2 -b10001100110011000101110001101001 = -b1111111011101101101111101111 < -b10001100110011000101110001101001 : -b1111100110111011000000001111010 $ -b1111100110111011000000001111010 - -b1111100110111011000000001111010 5 -b1111100110111011000000001111010 ? -b1111100110111011000000001111010 D -b1111111011101101101111101111 % -b1111111011101101101111101111 . -b1111111011101101101111101111 6 -b1111111011101101101111101111 @ -b1111111011101101101111101111 F -b11000000001111010000000000000000 ) -#417408000 -0& -#417424000 -b1100101111010 , -#417440000 -1& -#417456000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b100111101111110000000100001100 1 -b100111101111110000000100001100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -09 -08 -b100111101111110000000010101011 2 -b100111101111110000000010101011 = -b11110111101111111011001110011110 < -b100111101111110000000010101011 : -b101111111111110100110100001101 $ -b101111111111110100110100001101 - -b101111111111110100110100001101 5 -b101111111111110100110100001101 ? -b101111111111110100110100001101 D -b11110111101111111011001110011110 % -b11110111101111111011001110011110 . -b11110111101111111011001110011110 6 -b11110111101111111011001110011110 @ -b11110111101111111011001110011110 F -b1000000000000000000000000000000 ) -#417472000 -0& -#417488000 -b1100101111011 , -#417504000 -1& -#417520000 -b11111010100000000000000000000000 " -b11111010100000000000000000000000 4 -b1000110111011100010100111010100 1 -b1000110111011100010100111010100 C -b11111010100000000000000000000000 0 -b11111010100000000000000000000000 H -b111101110111011001100111001001 2 -b111101110111011001100111001001 = -b1000110111011100010100111110101 < -b111101110111011001100111001001 : -b11110110111011110110111111010100 $ -b11110110111011110110111111010100 - -b11110110111011110110111111010100 5 -b11110110111011110110111111010100 ? -b11110110111011110110111111010100 D -b1000110111011100010100111110101 % -b1000110111011100010100111110101 . -b1000110111011100010100111110101 6 -b1000110111011100010100111110101 @ -b1000110111011100010100111110101 F -b11111010100000000000000000000000 ) -#417536000 -0& -#417552000 -b1100101111100 , -#417568000 -1& -#417584000 -b11101100010111110101100000000000 " -b11101100010111110101100000000000 4 -b1111110110111010000100010001011 1 -b1111110110111010000100010001011 C -b11101100010111110101100000000000 0 -b11101100010111110101100000000000 H -b1111110101110101111100001110110 2 -b1111110101110101111100001110110 = -b1111110110111010110110010001011 < -b1111110101110101111100001110110 : -b11111111110111011000101111101011 $ -b11111111110111011000101111101011 - -b11111111110111011000101111101011 5 -b11111111110111011000101111101011 ? -b11111111110111011000101111101011 D -b1111110110111010110110010001011 % -b1111110110111010110110010001011 . -b1111110110111010110110010001011 6 -b1111110110111010110110010001011 @ -b1111110110111010110110010001011 F -b11101100010111110101100000000000 ) -#417600000 -0& -#417616000 -b1100101111101 , -#417632000 -1& -#417648000 -b1011100000000000000000000000000 " -b1011100000000000000000000000000 4 -b1011010011000010000000000010010 1 -b1011010011000010000000000010010 C -b1011100000000000000000000000000 0 -b1011100000000000000000000000000 H -19 -08 -b11011010010110000110111110010001 2 -b11011010010110000110111110010001 = -b1011110011100010000010000111010 < -b11011010010110000110111110010001 : -b1111011111001110110101101010111 $ -b1111011111001110110101101010111 - -b1111011111001110110101101010111 5 -b1111011111001110110101101010111 ? -b1111011111001110110101101010111 D -b1011110011100010000010000111010 % -b1011110011100010000010000111010 . -b1011110011100010000010000111010 6 -b1011110011100010000010000111010 @ -b1011110011100010000010000111010 F -b1011100000000000000000000000000 ) -#417664000 -0& -#417680000 -b1100101111110 , -#417696000 -1& -#417712000 -b10111111110111001100100001100000 " -b10111111110111001100100001100000 4 -b11101111101101010010001000000000 1 -b11101111101101010010001000000000 C -b10111111110111001100100001100000 0 -b10111111110111001100100001100000 H -18 -09 -b11101111101011001110000000111010 2 -b11101111101011001110000000111010 = -b11111111101101011010111000100010 < -b11101111101011001110000000111010 : -b11101111111101110011001000011000 $ -b11101111111101110011001000011000 - -b11101111111101110011001000011000 5 -b11101111111101110011001000011000 ? -b11101111111101110011001000011000 D -b11111111101101011010111000100010 % -b11111111101101011010111000100010 . -b11111111101101011010111000100010 6 -b11111111101101011010111000100010 @ -b11111111101101011010111000100010 F -b10111111110111001100100001100000 ) -#417728000 -0& -#417744000 -b1100101111111 , -#417760000 -1& -#417776000 -b1110010000000000000000000000000 " -b1110010000000000000000000000000 4 -b1011101110100010000001010010000 1 -b1011101110100010000001010010000 C -b1110010000000000000000000000000 0 -b1110010000000000000000000000000 H -08 -19 -b11011101110100001010111010000101 2 -b11011101110100001010111010000101 = -b1011111110101011010001011110101 < -b11011101110100001010111010000101 : -b1111101111110110000101110010000 $ -b1111101111110110000101110010000 - -b1111101111110110000101110010000 5 -b1111101111110110000101110010000 ? -b1111101111110110000101110010000 D -b1011111110101011010001011110101 % -b1011111110101011010001011110101 . -b1011111110101011010001011110101 6 -b1011111110101011010001011110101 @ -b1011111110101011010001011110101 F -b1110010000000000000000000000000 ) -#417792000 -0& -#417808000 -b1100110000000 , -#417824000 -1& -#417840000 -b11111111011010000010011001100000 " -b11111111011010000010011001100000 4 -b10110101111010110100000100000001 1 -b10110101111010110100000100000001 C -b11111111011010000010011001100000 0 -b11111111011010000010011001100000 H -18 -b1110101111010110001001001111000 2 -b1110101111010110001001001111000 = -b10111101111011111101000101000101 < -b1110101111010110001001001111000 : -b10110111111110110100000100110011 $ -b10110111111110110100000100110011 - -b10110111111110110100000100110011 5 -b10110111111110110100000100110011 ? -b10110111111110110100000100110011 D -b10111101111011111101000101000101 % -b10111101111011111101000101000101 . -b10111101111011111101000101000101 6 -b10111101111011111101000101000101 @ -b10111101111011111101000101000101 F -b11111111011010000010011001100000 ) -#417856000 -0& -#417872000 -b1100110000001 , -#417888000 -1& -#417904000 -b10011111100000000000000000000 " -b10011111100000000000000000000 4 -b11101111110101000000001110000 1 -b11101111110101000000001110000 C -b10011111100000000000000000000 0 -b10011111100000000000000000000 H -08 -09 -b11101111110100101110101101110 2 -b11101111110100101110101101110 = -b11011101111110111001100001110010 < -b11101111110100101110101101110 : -b111111111111101100010011111100 $ -b111111111111101100010011111100 - -b111111111111101100010011111100 5 -b111111111111101100010011111100 ? -b111111111111101100010011111100 D -b11011101111110111001100001110010 % -b11011101111110111001100001110010 . -b11011101111110111001100001110010 6 -b11011101111110111001100001110010 @ -b11011101111110111001100001110010 F -b10011111100000000000000000000 ) -#417920000 -0& -#417936000 -b1100110000010 , -#417952000 -1& -#417968000 -b110000000000000000000000000000 " -b110000000000000000000000000000 4 -b11100010011101111101010000010000 1 -b11100010011101111101010000010000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -18 -b11011010011101111101001111101111 2 -b11011010011101111101001111101111 = -b11100111011111111101010000011100 < -b11011010011101111101001111101111 : -b11110010111101111111111111010011 $ -b11110010111101111111111111010011 - -b11110010111101111111111111010011 5 -b11110010111101111111111111010011 ? -b11110010111101111111111111010011 D -b11100111011111111101010000011100 % -b11100111011111111101010000011100 . -b11100111011111111101010000011100 6 -b11100111011111111101010000011100 @ -b11100111011111111101010000011100 F -b110000000000000000000000000000 ) -#417984000 -0& -#418000000 -b1100110000011 , -#418016000 -1& -#418032000 -b1101110011110000000000000000 " -b1101110011110000000000000000 4 -b10101100110011110000100101000000 1 -b10101100110011110000100101000000 C -b1101110011110000000000000000 0 -b1101110011110000000000000000 H -b10011010101111101000100100011111 2 -b10011010101111101000100100011111 = -b11101101110011110111101101010000 < -b10011010101111101000100100011111 : -b10101100111011110000110111001111 $ -b10101100111011110000110111001111 - -b10101100111011110000110111001111 5 -b10101100111011110000110111001111 ? -b10101100111011110000110111001111 D -b11101101110011110111101101010000 % -b11101101110011110111101101010000 . -b11101101110011110111101101010000 6 -b11101101110011110111101101010000 @ -b11101101110011110111101101010000 F -b1101110011110000000000000000 ) -#418048000 -0& -#418064000 -b1100110000100 , -#418080000 -1& -#418096000 -b1000010011011100000000000000000 " -b1000010011011100000000000000000 4 -b10111001100101100000000011001100 1 -b10111001100101100000000011001100 C -b1000010011011100000000000000000 0 -b1000010011011100000000000000000 H -b10110111100101011001111110101011 2 -b10110111100101011001111110101011 = -b10111101110101110001101011001111 < -b10110111100101011001111110101011 : -b11111001101111101000010011011100 $ -b11111001101111101000010011011100 - -b11111001101111101000010011011100 5 -b11111001101111101000010011011100 ? -b11111001101111101000010011011100 D -b10111101110101110001101011001111 % -b10111101110101110001101011001111 . -b10111101110101110001101011001111 6 -b10111101110101110001101011001111 @ -b10111101110101110001101011001111 F -b1000010011011100000000000000000 ) -#418112000 -0& -#418128000 -b1100110000101 , -#418144000 -1& -#418160000 -b1010000000000000000000000000000 " -b1010000000000000000000000000000 4 -b10011100111110000001101100100 1 -b10011100111110000001101100100 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -08 -b1110011011111100001011011100001 2 -b1110011011111100001011011100001 = -b1010011110111110000001101111100 < -b1110011011111100001011011100001 : -b11111100111110001001101100101 $ -b11111100111110001001101100101 - -b11111100111110001001101100101 5 -b11111100111110001001101100101 ? -b11111100111110001001101100101 D -b1010011110111110000001101111100 % -b1010011110111110000001101111100 . -b1010011110111110000001101111100 6 -b1010011110111110000001101111100 @ -b1010011110111110000001101111100 F -b1010000000000000000000000000000 ) -#418176000 -0& -#418192000 -b1100110000110 , -#418208000 -1& -#418224000 -b1110111110000101011101011100000 " -b1110111110000101011101011100000 4 -b11100011100111100000000000000101 1 -b11100011100111100000000000000101 C -b1110111110000101011101011100000 0 -b1110111110000101011101011100000 H -18 -b11100011100111011001111111011100 2 -b11100011100111011001111111011100 = -b11111111110111111000101000000101 < -b11100011100111011001111111011100 : -b11100011101111100001010111010111 $ -b11100011101111100001010111010111 - -b11100011101111100001010111010111 5 -b11100011101111100001010111010111 ? -b11100011101111100001010111010111 D -b11111111110111111000101000000101 % -b11111111110111111000101000000101 . -b11111111110111111000101000000101 6 -b11111111110111111000101000000101 @ -b11111111110111111000101000000101 F -b1110111110000101011101011100000 ) -#418240000 -0& -#418256000 -b1100110000111 , -#418272000 -1& -#418288000 -1! -b0 " -b0 4 -b1111101101001010100000000011100 1 -b1111101101001010100000000011100 C -b0 0 -b0 H -08 -b1111101011001010011100000011011 2 -b1111101011001010011100000011011 = -b11111111101001011110001011111111 < -1# -b1111101011001010011100000011011 : -b1111101101111110101010100011100 $ -b1111101101111110101010100011100 - -b1111101101111110101010100011100 5 -b1111101101111110101010100011100 ? -b1111101101111110101010100011100 D -b11111111101001011110001011111111 % -b11111111101001011110001011111111 . -b11111111101001011110001011111111 6 -b11111111101001011110001011111111 @ -b11111111101001011110001011111111 F -b0 ) -b1 ( -#418304000 -0& -#418320000 -b1100110001000 , -#418336000 -1& -#418352000 -0! -b11111111010100101101010000000000 " -b11111111010100101101010000000000 4 -b11001111011111111101000000100000 1 -b11001111011111111101000000100000 C -b11111111010100101101010000000000 0 -b11111111010100101101010000000000 H -18 -b11001111011111111100100000011111 2 -b11001111011111111100100000011111 = -b11011111111111111111001101101010 < -0# -b11001111011111111100100000011111 : -b11101111011111111101010010110101 $ -b11101111011111111101010010110101 - -b11101111011111111101010010110101 5 -b11101111011111111101010010110101 ? -b11101111011111111101010010110101 D -b11011111111111111111001101101010 % -b11011111111111111111001101101010 . -b11011111111111111111001101101010 6 -b11011111111111111111001101101010 @ -b11011111111111111111001101101010 F -b11111111010100101101010000000000 ) -b0 ( -#418368000 -0& -#418384000 -b1100110001001 , -#418400000 -1& -#418416000 -b11011111110101101001100110000000 " -b11011111110101101001100110000000 4 -b1110011101111111000100000000011 1 -b1110011101111111000100000000011 C -b11011111110101101001100110000000 0 -b11011111110101101001100110000000 H -08 -b1110011101111110011010110111010 2 -b1110011101111110011010110111010 = -b1111011111111111000100010000111 < -b1110011101111110011010110111010 : -b11110111101111111010110100110011 $ -b11110111101111111010110100110011 - -b11110111101111111010110100110011 5 -b11110111101111111010110100110011 ? -b11110111101111111010110100110011 D -b1111011111111111000100010000111 % -b1111011111111111000100010000111 . -b1111011111111111000100010000111 6 -b1111011111111111000100010000111 @ -b1111011111111111000100010000111 F -b11011111110101101001100110000000 ) -#418432000 -0& -#418448000 -b1100110001010 , -#418464000 -1& -#418480000 -b11011110000110010000000000000000 " -b11011110000110010000000000000000 4 -b1111011010100001100000010000 1 -b1111011010100001100000010000 C -b11011110000110010000000000000000 0 -b11011110000110010000000000000000 H -18 -b11001111011010100001100000001001 2 -b11001111011010100001100000001001 = -b111111011111100011100111110000 < -b11001111011010100001100000001001 : -b10001111111010111101111000011001 $ -b10001111111010111101111000011001 - -b10001111111010111101111000011001 5 -b10001111111010111101111000011001 ? -b10001111111010111101111000011001 D -b111111011111100011100111110000 % -b111111011111100011100111110000 . -b111111011111100011100111110000 6 -b111111011111100011100111110000 @ -b111111011111100011100111110000 F -b11011110000110010000000000000000 ) -#418496000 -0& -#418512000 -b1100110001011 , -#418528000 -1& -#418544000 -b11000100000000000000000000000000 " -b11000100000000000000000000000000 4 -b11111000100011100100001000010000 1 -b11111000100011100100001000010000 C -b11000100000000000000000000000000 0 -b11000100000000000000000000000000 H -b11110001100011100010100011001011 2 -b11110001100011100010100011001011 = -b11111000101011100110001010011010 < -b11110001100011100010100011001011 : -b11111000110111111100011000110001 $ -b11111000110111111100011000110001 - -b11111000110111111100011000110001 5 -b11111000110111111100011000110001 ? -b11111000110111111100011000110001 D -b11111000101011100110001010011010 % -b11111000101011100110001010011010 . -b11111000101011100110001010011010 6 -b11111000101011100110001010011010 @ -b11111000101011100110001010011010 F -b11000100000000000000000000000000 ) -#418560000 -0& -#418576000 -b1100110001100 , -#418592000 -1& -#418608000 -b11111101100010000000110000000000 " -b11111101100010000000110000000000 4 -b10110011101110011000000000001000 1 -b10110011101110011000000000001000 C -b11111101100010000000110000000000 0 -b11111101100010000000110000000000 H -b10110011101110010100100111110100 2 -b10110011101110010100100111110100 = -b11110111101110111100000111101000 < -b10110011101110010100100111110100 : -b10111011111111011000100000001100 $ -b10111011111111011000100000001100 - -b10111011111111011000100000001100 5 -b10111011111111011000100000001100 ? -b10111011111111011000100000001100 D -b11110111101110111100000111101000 % -b11110111101110111100000111101000 . -b11110111101110111100000111101000 6 -b11110111101110111100000111101000 @ -b11110111101110111100000111101000 F -b11111101100010000000110000000000 ) -#418624000 -0& -#418640000 -b1100110001101 , -#418656000 -1& -#418672000 -b10110111110001101100000000000000 " -b10110111110001101100000000000000 4 -b10100000111011100101111000001010 1 -b10100000111011100101111000001010 C -b10110111110001101100000000000000 0 -b10110111110001101100000000000000 H -b10100000111011100101111000001001 2 -b10100000111011100101111000001001 = -b10100100111011110111111011101110 < -b10100000111011100101111000001001 : -b11111011111111101101111100011011 $ -b11111011111111101101111100011011 - -b11111011111111101101111100011011 5 -b11111011111111101101111100011011 ? -b11111011111111101101111100011011 D -b10100100111011110111111011101110 % -b10100100111011110111111011101110 . -b10100100111011110111111011101110 6 -b10100100111011110111111011101110 @ -b10100100111011110111111011101110 F -b10110111110001101100000000000000 ) -#418688000 -0& -#418704000 -b1100110001110 , -#418720000 -1& -#418736000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1100100101110001000000001000 1 -b1100100101110001000000001000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b10001100100101101100001101000100 2 -b10001100100101101100001101000100 = -b101110101101111011000100011100 < -b10001100100101101100001101000100 : -b1011101110111110001001000101000 $ -b1011101110111110001001000101000 - -b1011101110111110001001000101000 5 -b1011101110111110001001000101000 ? -b1011101110111110001001000101000 D -b101110101101111011000100011100 % -b101110101101111011000100011100 . -b101110101101111011000100011100 6 -b101110101101111011000100011100 @ -b101110101101111011000100011100 F -b10000000000000000000000000000000 ) -#418752000 -0& -#418768000 -b1100110001111 , -#418784000 -1& -#418800000 -b11111101101011000100000000000000 " -b11111101101011000100000000000000 4 -b1011111011110100001000000000 1 -b1011111011110100001000000000 C -b11111101101011000100000000000000 0 -b11111101101011000100000000000000 H -09 -08 -b111111011101010110100111010 2 -b111111011101010110100111010 = -b11011111011110100001000101010 < -b111111011101010110100111010 : -b11101011111111110110101100010000 $ -b11101011111111110110101100010000 - -b11101011111111110110101100010000 5 -b11101011111111110110101100010000 ? -b11101011111111110110101100010000 D -b11011111011110100001000101010 % -b11011111011110100001000101010 . -b11011111011110100001000101010 6 -b11011111011110100001000101010 @ -b11011111011110100001000101010 F -b11111101101011000100000000000000 ) -#418816000 -0& -#418832000 -b1100110010000 , -#418848000 -1& -#418864000 -b1001101010000000000000000000000 " -b1001101010000000000000000000000 4 -b1110011111111010000000001000000 1 -b1110011111111010000000001000000 C -b1001101010000000000000000000000 0 -b1001101010000000000000000000000 H -b1110011111111001101101100111111 2 -b1110011111111001101101100111111 = -b1110111111111010000000011010101 < -b1110011111111001101101100111111 : -b11111011111111111101101001101010 $ -b11111011111111111101101001101010 - -b11111011111111111101101001101010 5 -b11111011111111111101101001101010 ? -b11111011111111111101101001101010 D -b1110111111111010000000011010101 % -b1110111111111010000000011010101 . -b1110111111111010000000011010101 6 -b1110111111111010000000011010101 @ -b1110111111111010000000011010101 F -b1001101010000000000000000000000 ) -#418880000 -0& -#418896000 -b1100110010001 , -#418912000 -1& -#418928000 -1! -b0 " -b0 4 -b1111110011111011010110010011000 1 -b1111110011111011010110010011000 C -b0 0 -b0 H -b1111110011111011010101101010110 2 -b1111110011111011010101101010110 = -b1111111111111111110110010011110 < -1# -b1111110011111011010101101010110 : -b11111110011111011011111010111000 $ -b11111110011111011011111010111000 - -b11111110011111011011111010111000 5 -b11111110011111011011111010111000 ? -b11111110011111011011111010111000 D -b1111111111111111110110010011110 % -b1111111111111111110110010011110 . -b1111111111111111110110010011110 6 -b1111111111111111110110010011110 @ -b1111111111111111110110010011110 F -b0 ) -b1 ( -#418944000 -0& -#418960000 -b1100110010010 , -#418976000 -1& -#418992000 -0! -b10110011111110010101101100000001 " -b10110011111110010101101100000001 4 -b10110011111110000101000000000000 1 -b10110011111110000101000000000000 C -b10110011111110010101101100000001 0 -b10110011111110010101101100000001 H -18 -19 -b1110011111101011010101100100001 2 -b1110011111101011010101100100001 = -b10111111111111000101000000100000 < -0# -b1110011111101011010101100100001 : -b10110011111110010101101100000001 $ -b10110011111110010101101100000001 - -b10110011111110010101101100000001 5 -b10110011111110010101101100000001 ? -b10110011111110010101101100000001 D -b10111111111111000101000000100000 % -b10111111111111000101000000100000 . -b10111111111111000101000000100000 6 -b10111111111111000101000000100000 @ -b10111111111111000101000000100000 F -b10110011111110010101101100000001 ) -b0 ( -#419008000 -0& -#419024000 -b1100110010011 , -#419040000 -1& -#419056000 -b1110111100000000000000000000000 " -b1110111100000000000000000000000 4 -b1110011011000100000000101010110 1 -b1110011011000100000000101010110 C -b1110111100000000000000000000000 0 -b1110111100000000000000000000000 H -08 -09 -b1110011011000011110111101010100 2 -b1110011011000011110111101010100 = -b11110011011100100010000101110110 < -b1110011011000011110111101010100 : -b1111111111011111100110111011110 $ -b1111111111011111100110111011110 - -b1111111111011111100110111011110 5 -b1111111111011111100110111011110 ? -b1111111111011111100110111011110 D -b11110011011100100010000101110110 % -b11110011011100100010000101110110 . -b11110011011100100010000101110110 6 -b11110011011100100010000101110110 @ -b11110011011100100010000101110110 F -b1110111100000000000000000000000 ) -#419072000 -0& -#419088000 -b1100110010100 , -#419104000 -1& -#419120000 -b1001000000000000000000000000000 " -b1001000000000000000000000000000 4 -b111011000111110000010100010010 1 -b111011000111110000010100010010 C -b1001000000000000000000000000000 0 -b1001000000000000000000000000000 H -b111011000111100111110100001100 2 -b111011000111100111110100001100 = -b111111101111110101010111111010 < -b111011000111100111110100001100 : -b11111011010111110010011100010010 $ -b11111011010111110010011100010010 - -b11111011010111110010011100010010 5 -b11111011010111110010011100010010 ? -b11111011010111110010011100010010 D -b111111101111110101010111111010 % -b111111101111110101010111111010 . -b111111101111110101010111111010 6 -b111111101111110101010111111010 @ -b111111101111110101010111111010 F -b1001000000000000000000000000000 ) -#419136000 -0& -#419152000 -b1100110010101 , -#419168000 -1& -#419184000 -b1100000000000000000000000000 " -b1100000000000000000000000000 4 -b1101000100111001010010010000010 1 -b1101000100111001010010010000010 C -b1100000000000000000000000000 0 -b1100000000000000000000000000 H -b1010111010111000110000101111101 2 -b1010111010111000110000101111101 = -b1101110100111111010010011111010 < -b1010111010111000110000101111101 : -b11101000101111001011110010000011 $ -b11101000101111001011110010000011 - -b11101000101111001011110010000011 5 -b11101000101111001011110010000011 ? -b11101000101111001011110010000011 D -b1101110100111111010010011111010 % -b1101110100111111010010011111010 . -b1101110100111111010010011111010 6 -b1101110100111111010010011111010 @ -b1101110100111111010010011111010 F -b1100000000000000000000000000 ) -#419200000 -0& -#419216000 -b1100110010110 , -#419232000 -1& -#419248000 -b10111011100110101011111101000000 " -b10111011100110101011111101000000 4 -b110110101011100100101011000100 1 -b110110101011100100101011000100 C -b10111011100110101011111101000000 0 -b10111011100110101011111101000000 H -19 -08 -b10110101101011011011011011000011 2 -b10110101101011011011011011000011 = -b110110101111110100101111000110 < -b10110101101011011011011011000011 : -b1111110111011100110101011111101 $ -b1111110111011100110101011111101 - -b1111110111011100110101011111101 5 -b1111110111011100110101011111101 ? -b1111110111011100110101011111101 D -b110110101111110100101111000110 % -b110110101111110100101111000110 . -b110110101111110100101111000110 6 -b110110101111110100101111000110 @ -b110110101111110100101111000110 F -b10111011100110101011111101000000 ) -#419264000 -0& -#419280000 -b1100110010111 , -#419296000 -1& -#419312000 -b11001000000000000000000000000000 " -b11001000000000000000000000000000 4 -b11000110101111100000100010011001 1 -b11000110101111100000100010011001 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -18 -09 -b11000110101111011011100010010100 2 -b11000110101111011011100010010100 = -b11111111111111110010101110111011 < -b11000110101111011011100010010100 : -b11000110101111101000110011011001 $ -b11000110101111101000110011011001 - -b11000110101111101000110011011001 5 -b11000110101111101000110011011001 ? -b11000110101111101000110011011001 D -b11111111111111110010101110111011 % -b11111111111111110010101110111011 . -b11111111111111110010101110111011 6 -b11111111111111110010101110111011 @ -b11111111111111110010101110111011 F -b11001000000000000000000000000000 ) -#419328000 -0& -#419344000 -b1100110011000 , -#419360000 -1& -#419376000 -b1001000100000000000000000000000 " -b1001000100000000000000000000000 4 -b100010101111001000000100100010 1 -b100010101111001000000100100010 C -b1001000100000000000000000000000 0 -b1001000100000000000000000000000 H -08 -b11110101111000010100100011000 2 -b11110101111000010100100011000 = -b11110010111111101010011111110110 < -b11110101111000010100100011000 : -b101011101111011000000100100010 $ -b101011101111011000000100100010 - -b101011101111011000000100100010 5 -b101011101111011000000100100010 ? -b101011101111011000000100100010 D -b11110010111111101010011111110110 % -b11110010111111101010011111110110 . -b11110010111111101010011111110110 6 -b11110010111111101010011111110110 @ -b11110010111111101010011111110110 F -b1001000100000000000000000000000 ) -#419392000 -0& -#419408000 -b1100110011001 , -#419424000 -1& -#419440000 -b1000000001110000000000000000000 " -b1000000001110000000000000000000 4 -b1010011001111110100000000000010 1 -b1010011001111110100000000000010 C -b1000000001110000000000000000000 0 -b1000000001110000000000000000000 H -b1001111001111101011110010000000 2 -b1001111001111101011110010000000 = -b11111011001111110110110001110010 < -b1001111001111101011110010000000 : -b1010011111111110101000000001110 $ -b1010011111111110101000000001110 - -b1010011111111110101000000001110 5 -b1010011111111110101000000001110 ? -b1010011111111110101000000001110 D -b11111011001111110110110001110010 % -b11111011001111110110110001110010 . -b11111011001111110110110001110010 6 -b11111011001111110110110001110010 @ -b11111011001111110110110001110010 F -b1000000001110000000000000000000 ) -#419456000 -0& -#419472000 -b1100110011010 , -#419488000 -1& -#419504000 -b10100100000000000000000000000000 " -b10100100000000000000000000000000 4 -b111110010110000000000000010000 1 -b111110010110000000000000010000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -b111101010101111100111100000110 2 -b111101010101111100111100000110 = -b111110011111110100010001110110 < -b111101010101111100111100000110 : -b11111110110110001000101010010000 $ -b11111110110110001000101010010000 - -b11111110110110001000101010010000 5 -b11111110110110001000101010010000 ? -b11111110110110001000101010010000 D -b111110011111110100010001110110 % -b111110011111110100010001110110 . -b111110011111110100010001110110 6 -b111110011111110100010001110110 @ -b111110011111110100010001110110 F -b10100100000000000000000000000000 ) -#419520000 -0& -#419536000 -b1100110011011 , -#419552000 -1& -#419568000 -b10011010001010000000000000000000 " -b10011010001010000000000000000000 4 -b1111110100101011101001101000001 1 -b1111110100101011101001101000001 C -b10011010001010000000000000000000 0 -b10011010001010000000000000000000 H -b1111110100101011010111100111000 2 -b1111110100101011010111100111000 = -b1111110100111111101101111110011 < -b1111110100101011010111100111000 : -b11111111111101011101001101000101 $ -b11111111111101011101001101000101 - -b11111111111101011101001101000101 5 -b11111111111101011101001101000101 ? -b11111111111101011101001101000101 D -b1111110100111111101101111110011 % -b1111110100111111101101111110011 . -b1111110100111111101101111110011 6 -b1111110100111111101101111110011 @ -b1111110100111111101101111110011 F -b10011010001010000000000000000000 ) -#419584000 -0& -#419600000 -b1100110011100 , -#419616000 -1& -#419632000 -b1101111110000000000000000000 " -b1101111110000000000000000000 4 -b100111001110010000100101110000 1 -b100111001110010000100101110000 C -b1101111110000000000000000000 0 -b1101111110000000000000000000 H -19 -08 -b10100111001110000101100101101000 2 -b10100111001110000101100101101000 = -b110111111110110100101101110000 < -b10100111001110000101100101101000 : -b1101111001111010000110111111000 $ -b1101111001111010000110111111000 - -b1101111001111010000110111111000 5 -b1101111001111010000110111111000 ? -b1101111001111010000110111111000 D -b110111111110110100101101110000 % -b110111111110110100101101110000 . -b110111111110110100101101110000 6 -b110111111110110100101101110000 @ -b110111111110110100101101110000 F -b1101111110000000000000000000 ) -#419648000 -0& -#419664000 -b1100110011101 , -#419680000 -1& -#419696000 -b10000001110010000000000000000000 " -b10000001110010000000000000000000 4 -b10011000111010100000001000000 1 -b10011000111010100000001000000 C -b10000001110010000000000000000000 0 -b10000001110010000000000000000000 H -09 -08 -b10010111111001011010000110101 2 -b10010111111001011010000110101 = -b1010111100111110111001101010001 < -b10010111111001011010000110101 : -b10111011010111010100000011100100 $ -b10111011010111010100000011100100 - -b10111011010111010100000011100100 5 -b10111011010111010100000011100100 ? -b10111011010111010100000011100100 D -b1010111100111110111001101010001 % -b1010111100111110111001101010001 . -b1010111100111110111001101010001 6 -b1010111100111110111001101010001 @ -b1010111100111110111001101010001 F -b10000001110010000000000000000000 ) -#419712000 -0& -#419728000 -b1100110011110 , -#419744000 -1& -#419760000 -b10011101110010110000000000000000 " -b10011101110010110000000000000000 4 -b11010001111100010000101000000110 1 -b11010001111100010000101000000110 C -b10011101110010110000000000000000 0 -b10011101110010110000000000000000 H -18 -b11001001111011001100100110100101 2 -b11001001111011001100100110100101 = -b11110101111100111000111000001111 < -b11001001111011001100100110100101 : -b11010011111110010011101110010110 $ -b11010011111110010011101110010110 - -b11010011111110010011101110010110 5 -b11010011111110010011101110010110 ? -b11010011111110010011101110010110 D -b11110101111100111000111000001111 % -b11110101111100111000111000001111 . -b11110101111100111000111000001111 6 -b11110101111100111000111000001111 @ -b11110101111100111000111000001111 F -b10011101110010110000000000000000 ) -#419776000 -0& -#419792000 -b1100110011111 , -#419808000 -1& -#419824000 -b11110000000000000000000000000000 " -b11110000000000000000000000000000 4 -b1110100011100110000000000011010 1 -b1110100011100110000000000011010 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -08 -b1110100011100100111010000011001 2 -b1110100011100100111010000011001 = -b11110110111100110001000011011011 < -b1110100011100100111010000011001 : -b1111101011111110110001100111110 $ -b1111101011111110110001100111110 - -b1111101011111110110001100111110 5 -b1111101011111110110001100111110 ? -b1111101011111110110001100111110 D -b11110110111100110001000011011011 % -b11110110111100110001000011011011 . -b11110110111100110001000011011011 6 -b11110110111100110001000011011011 @ -b11110110111100110001000011011011 F -b11110000000000000000000000000000 ) -#419840000 -0& -#419856000 -b1100110100000 , -#419872000 -1& -#419888000 -b11101010000000000000000000000000 " -b11101010000000000000000000000000 4 -b10011011010101100100000010010001 1 -b10011011010101100100000010010001 C -b11101010000000000000000000000000 0 -b11101010000000000000000000000000 H -18 -b10011011010101100001100110001110 2 -b10011011010101100001100110001110 = -b10111011110101110100100010011001 < -b10011011010101100001100110001110 : -b11011111011111101101000011110101 $ -b11011111011111101101000011110101 - -b11011111011111101101000011110101 5 -b11011111011111101101000011110101 ? -b11011111011111101101000011110101 D -b10111011110101110100100010011001 % -b10111011110101110100100010011001 . -b10111011110101110100100010011001 6 -b10111011110101110100100010011001 @ -b10111011110101110100100010011001 F -b11101010000000000000000000000000 ) -#419904000 -0& -#419920000 -b1100110100001 , -#419936000 -1& -#419952000 -b11001000110000011010100000000000 " -b11001000110000011010100000000000 4 -b1000011100100011000000000000000 1 -b1000011100100011000000000000000 C -b11001000110000011010100000000000 0 -b11001000110000011010100000000000 H -08 -19 -b11000011010011110010001101110111 2 -b11000011010011110010001101110111 = -b1001111101111011010000000100111 < -b11000011010011110010001101110111 : -b1110011100100011000001101010000 $ -b1110011100100011000001101010000 - -b1110011100100011000001101010000 5 -b1110011100100011000001101010000 ? -b1110011100100011000001101010000 D -b1001111101111011010000000100111 % -b1001111101111011010000000100111 . -b1001111101111011010000000100111 6 -b1001111101111011010000000100111 @ -b1001111101111011010000000100111 F -b11001000110000011010100000000000 ) -#419968000 -0& -#419984000 -b1100110100010 , -#420000000 -1& -#420016000 -b10010000000000000000000000000000 " -b10010000000000000000000000000000 4 -b10001011000111000100000010111000 1 -b10001011000111000100000010111000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -18 -09 -b10000111000111000100000010110101 2 -b10000111000111000100000010110101 = -b11101011010111110110101111111100 < -b10000111000111000100000010110101 : -b10011011101111001101010010111001 $ -b10011011101111001101010010111001 - -b10011011101111001101010010111001 5 -b10011011101111001101010010111001 ? -b10011011101111001101010010111001 D -b11101011010111110110101111111100 % -b11101011010111110110101111111100 . -b11101011010111110110101111111100 6 -b11101011010111110110101111111100 @ -b11101011010111110110101111111100 F -b10010000000000000000000000000000 ) -#420032000 -0& -#420048000 -b1100110100011 , -#420064000 -1& -#420080000 -b1011101111101100111011010101100 " -b1011101111101100111011010101100 4 -b1010010010111001001010010000010 1 -b1010010010111001001010010000010 C -b1011101111101100111011010101100 0 -b1011101111101100111011010101100 H -08 -b1001001110110101001010001101101 2 -b1001001110110101001010001101101 = -b1110010010111001111011011000010 < -b1001001110110101001010001101101 : -b11010111011111011001110110101011 $ -b11010111011111011001110110101011 - -b11010111011111011001110110101011 5 -b11010111011111011001110110101011 ? -b11010111011111011001110110101011 D -b1110010010111001111011011000010 % -b1110010010111001111011011000010 . -b1110010010111001111011011000010 6 -b1110010010111001111011011000010 @ -b1110010010111001111011011000010 F -b1011101111101100111011010101100 ) -#420096000 -0& -#420112000 -b1100110100100 , -#420128000 -1& -#420144000 -1! -b0 " -b0 4 -b1010100100011010010101010000100 1 -b1010100100011010010101010000100 C -b0 0 -b0 H -19 -08 -b11010100011011010010011010000011 2 -b11010100011011010010011010000011 = -b1110111110011011011101110111111 < -1# -b11010100011011010010011010000011 : -b1011100100111110110101011000100 $ -b1011100100111110110101011000100 - -b1011100100111110110101011000100 5 -b1011100100111110110101011000100 ? -b1011100100111110110101011000100 D -b1110111110011011011101110111111 % -b1110111110011011011101110111111 . -b1110111110011011011101110111111 6 -b1110111110011011011101110111111 @ -b1110111110011011011101110111111 F -b0 ) -b1 ( -#420160000 -0& -#420176000 -b1100110100101 , -#420192000 -1& -#420208000 -0! -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b100110001000010010000011100 1 -b100110001000010010000011100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -09 -08 -b100110001000010001110111011 2 -b100110001000010001110111011 = -b11011101110001110010010010011110 < -0# -b100110001000010001110111011 : -b100110111111001111111100011101 $ -b100110111111001111111100011101 - -b100110111111001111111100011101 5 -b100110111111001111111100011101 ? -b100110111111001111111100011101 D -b11011101110001110010010010011110 % -b11011101110001110010010010011110 . -b11011101110001110010010010011110 6 -b11011101110001110010010010011110 @ -b11011101110001110010010010011110 F -b1000000000000000000000000000000 ) -b0 ( -#420224000 -0& -#420240000 -b1100110100110 , -#420256000 -1& -#420272000 -b11001000000000000000000000000000 " -b11001000000000000000000000000000 4 -b1011101110011001010100100011001 1 -b1011101110011001010100100011001 C -b11001000000000000000000000000000 0 -b11001000000000000000000000000000 H -19 -08 -b11011011110010101010010100010100 2 -b11011011110010101010010100010100 = -b1111101110011011010101100011011 < -b11011011110010101010010100010100 : -b1011101111111001111100111111001 $ -b1011101111111001111100111111001 - -b1011101111111001111100111111001 5 -b1011101111111001111100111111001 ? -b1011101111111001111100111111001 D -b1111101110011011010101100011011 % -b1111101110011011010101100011011 . -b1111101110011011010101100011011 6 -b1111101110011011010101100011011 @ -b1111101110011011010101100011011 F -b11001000000000000000000000000000 ) -#420288000 -0& -#420304000 -b1100110100111 , -#420320000 -1& -#420336000 -b1100000000000000000000000000 " -b1100000000000000000000000000 4 -b10000111001010000001000000010 1 -b10000111001010000001000000010 C -b1100000000000000000000000000 0 -b1100000000000000000000000000 H -09 -08 -b10000111001000001000000011101 2 -b10000111001000001000000011101 = -b111110111011110000111000011010 < -b10000111001000001000000011101 : -b11010001111101010000001000000011 $ -b11010001111101010000001000000011 - -b11010001111101010000001000000011 5 -b11010001111101010000001000000011 ? -b11010001111101010000001000000011 D -b111110111011110000111000011010 % -b111110111011110000111000011010 . -b111110111011110000111000011010 6 -b111110111011110000111000011010 @ -b111110111011110000111000011010 F -b1100000000000000000000000000 ) -#420352000 -0& -#420368000 -b1100110101000 , -#420384000 -1& -#420400000 -b101011011001011100000100000000 " -b101011011001011100000100000000 4 -b10010110001010010000000111000000 1 -b10010110001010010000000111000000 C -b101011011001011100000100000000 0 -b101011011001011100000100000000 H -18 -b10001101111010001111111110001001 2 -b10001101111010001111111110001001 = -b10010111101111011001100111001000 < -b10001101111010001111111110001001 : -b11110110001010110110010111000001 $ -b11110110001010110110010111000001 - -b11110110001010110110010111000001 5 -b11110110001010110110010111000001 ? -b11110110001010110110010111000001 D -b10010111101111011001100111001000 % -b10010111101111011001100111001000 . -b10010111101111011001100111001000 6 -b10010111101111011001100111001000 @ -b10010111101111011001100111001000 F -b101011011001011100000100000000 ) -#420416000 -0& -#420432000 -b1100110101001 , -#420448000 -1& -#420464000 -b11001000001011000000000000000000 " -b11001000001011000000000000000000 4 -b100101101100111000000000100000 1 -b100101101100111000000000100000 C -b11001000001011000000000000000000 0 -b11001000001011000000000000000000 H -08 -b100101101100110101111000011100 2 -b100101101100110101111000011100 = -b11101111111101111001010111110000 < -b100101101100110101111000011100 : -b110101101110111100100000101100 $ -b110101101110111100100000101100 - -b110101101110111100100000101100 5 -b110101101110111100100000101100 ? -b110101101110111100100000101100 D -b11101111111101111001010111110000 % -b11101111111101111001010111110000 . -b11101111111101111001010111110000 6 -b11101111111101111001010111110000 @ -b11101111111101111001010111110000 F -b11001000001011000000000000000000 ) -#420480000 -0& -#420496000 -b1100110101010 , -#420512000 -1& -#420528000 -b11110111101010001011011010000000 " -b11110111101010001011011010000000 4 -b11101010111011110000000001100101 1 -b11101010111011110000000001100101 C -b11110111101010001011011010000000 0 -b11110111101010001011011010000000 H -18 -b11101010111011100101101111010100 2 -b11101010111011100101101111010100 = -b11101010111111110000101001100111 < -b11101010111011100101101111010100 : -b11111111111011110101000101101101 $ -b11111111111011110101000101101101 - -b11111111111011110101000101101101 5 -b11111111111011110101000101101101 ? -b11111111111011110101000101101101 D -b11101010111111110000101001100111 % -b11101010111111110000101001100111 . -b11101010111111110000101001100111 6 -b11101010111111110000101001100111 @ -b11101010111111110000101001100111 F -b11110111101010001011011010000000 ) -#420544000 -0& -#420560000 -b1100110101011 , -#420576000 -1& -#420592000 -1! -b0 " -b0 4 -b1001010110000101010011000 1 -b1001010110000101010011000 C -b0 0 -b0 H -08 -19 -b10000001001010100111011001010111 2 -b10000001001010100111011001010111 = -b1101111001011110000101010011111 < -1# -b10000001001010100111011001010111 : -b10001111110110110101110111000 $ -b10001111110110110101110111000 - -b10001111110110110101110111000 5 -b10001111110110110101110111000 ? -b10001111110110110101110111000 D -b1101111001011110000101010011111 % -b1101111001011110000101010011111 . -b1101111001011110000101010011111 6 -b1101111001011110000101010011111 @ -b1101111001011110000101010011111 F -b0 ) -b1 ( -#420608000 -0& -#420624000 -b1100110101100 , -#420640000 -1& -#420656000 -0! -b11111110101110011000001000000000 " -b11111110101110011000001000000000 4 -b110101010011101001100100000000 1 -b110101010011101001100100000000 C -b11111110101110011000001000000000 0 -b11111110101110011000001000000000 H -18 -09 -b11110011010011101001010010101010 2 -b11110011010011101001010010101010 = -b110101010011111101101100101000 < -0# -b11110011010011101001010010101010 : -b10111101111111101011100110000010 $ -b10111101111111101011100110000010 - -b10111101111111101011100110000010 5 -b10111101111111101011100110000010 ? -b10111101111111101011100110000010 D -b110101010011111101101100101000 % -b110101010011111101101100101000 . -b110101010011111101101100101000 6 -b110101010011111101101100101000 @ -b110101010011111101101100101000 F -b11111110101110011000001000000000 ) -b0 ( -#420672000 -0& -#420688000 -b1100110101101 , -#420704000 -1& -#420720000 -b1001101100000001010110100000000 " -b1001101100000001010110100000000 4 -b11000010011010000000000101000 1 -b11000010011010000000000101000 C -b1001101100000001010110100000000 0 -b1001101100000001010110100000000 H -08 -19 -b10010100010011001101001111010101 2 -b10010100010011001101001111010101 = -b11001111111110101001100101000 < -b10010100010011001101001111010101 : -b1111010010011011000000010101101 $ -b1111010010011011000000010101101 - -b1111010010011011000000010101101 5 -b1111010010011011000000010101101 ? -b1111010010011011000000010101101 D -b11001111111110101001100101000 % -b11001111111110101001100101000 . -b11001111111110101001100101000 6 -b11001111111110101001100101000 @ -b11001111111110101001100101000 F -b1001101100000001010110100000000 ) -#420736000 -0& -#420752000 -b1100110101110 , -#420768000 -1& -#420784000 -b11000000110010010100000000000000 " -b11000000110010010100000000000000 4 -b1010101011101000000000000000100 1 -b1010101011101000000000000000100 C -b11000000110010010100000000000000 0 -b11000000110010010100000000000000 H -09 -08 -b1001101011100111110111101110011 2 -b1001101011100111110111101110011 = -b11010101111101001110110001001110 < -b1001101011100111110111101110011 : -b1110111011111110000001100100101 $ -b1110111011111110000001100100101 - -b1110111011111110000001100100101 5 -b1110111011111110000001100100101 ? -b1110111011111110000001100100101 D -b11010101111101001110110001001110 % -b11010101111101001110110001001110 . -b11010101111101001110110001001110 6 -b11010101111101001110110001001110 @ -b11010101111101001110110001001110 F -b11000000110010010100000000000000 ) -#420800000 -0& -#420816000 -b1100110101111 , -#420832000 -1& -#420848000 -b11010110101010111100000000000000 " -b11010110101010111100000000000000 4 -b101000110101100100100010001110 1 -b101000110101100100100010001110 C -b11010110101010111100000000000000 0 -b11010110101010111100000000000000 H -b101000110101100100011101111101 2 -b101000110101100100011101111101 = -b11111111111111101110110011001110 < -b101000110101100100011101111101 : -b101000110101110101101010101111 $ -b101000110101110101101010101111 - -b101000110101110101101010101111 5 -b101000110101110101101010101111 ? -b101000110101110101101010101111 D -b11111111111111101110110011001110 % -b11111111111111101110110011001110 . -b11111111111111101110110011001110 6 -b11111111111111101110110011001110 @ -b11111111111111101110110011001110 F -b11010110101010111100000000000000 ) -#420864000 -0& -#420880000 -b1100110110000 , -#420896000 -1& -#420912000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b11110110000011110010100000111110 1 -b11110110000011110010100000111110 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -18 -b11101110000011100110011000111101 2 -b11101110000011100110011000111101 = -b11110110011011110011100101111110 < -b11101110000011100110011000111101 : -b11110111100111110010110010111111 $ -b11110111100111110010110010111111 - -b11110111100111110010110010111111 5 -b11110111100111110010110010111111 ? -b11110111100111110010110010111111 D -b11110110011011110011100101111110 % -b11110110011011110011100101111110 . -b11110110011011110011100101111110 6 -b11110110011011110011100101111110 @ -b11110110011011110011100101111110 F -b11000000000000000000000000000000 ) -#420928000 -0& -#420944000 -b1100110110001 , -#420960000 -1& -#420976000 -b11011010110111010010000000000000 " -b11011010110111010010000000000000 4 -b11101011001111001010100100000000 1 -b11101011001111001010100100000000 C -b11011010110111010010000000000000 0 -b11011010110111010010000000000000 H -b11101011001111000110100011111110 2 -b11101011001111000110100011111110 = -b11101111111111101011101100101100 < -b11101011001111000110100011111110 : -b11111011001111011010110111010010 $ -b11111011001111011010110111010010 - -b11111011001111011010110111010010 5 -b11111011001111011010110111010010 ? -b11111011001111011010110111010010 D -b11101111111111101011101100101100 % -b11101111111111101011101100101100 . -b11101111111111101011101100101100 6 -b11101111111111101011101100101100 @ -b11101111111111101011101100101100 F -b11011010110111010010000000000000 ) -#420992000 -0& -#421008000 -b1100110110010 , -#421024000 -1& -#421040000 -b11111111011110101110101010001000 " -b11111111011110101110101010001000 4 -b1111010000110010001000001000000 1 -b1111010000110010001000001000000 C -b11111111011110101110101010001000 0 -b11111111011110101110101010001000 H -08 -b1111001110110010001000000100101 2 -b1111001110110010001000000100101 = -b11111010000110111001101011100001 < -b1111001110110010001000000100101 : -b1111111101111010111010101000100 $ -b1111111101111010111010101000100 - -b1111111101111010111010101000100 5 -b1111111101111010111010101000100 ? -b1111111101111010111010101000100 D -b11111010000110111001101011100001 % -b11111010000110111001101011100001 . -b11111010000110111001101011100001 6 -b11111010000110111001101011100001 @ -b11111010000110111001101011100001 F -b11111111011110101110101010001000 ) -#421056000 -0& -#421072000 -b1100110110011 , -#421088000 -1& -#421104000 -b10111111110110110101010101111000 " -b10111111110110110101010101111000 4 -b110111111100010100100010100011 1 -b110111111100010100100010100011 C -b10111111110110110101010101111000 0 -b10111111110110110101010101111000 H -19 -08 -b10101111111100010011100010010010 2 -b10101111111100010011100010010010 = -b110111111101011100110111100011 < -b10101111111100010011100010010010 : -b1110111111110110110101010101111 $ -b1110111111110110110101010101111 - -b1110111111110110110101010101111 5 -b1110111111110110110101010101111 ? -b1110111111110110110101010101111 D -b110111111101011100110111100011 % -b110111111101011100110111100011 . -b110111111101011100110111100011 6 -b110111111101011100110111100011 @ -b110111111101011100110111100011 F -b10111111110110110101010101111000 ) -#421120000 -0& -#421136000 -b1100110110100 , -#421152000 -1& -#421168000 -b11010100000110001000000000000000 " -b11010100000110001000000000000000 4 -b10011111011111100000000000100010 1 -b10011111011111100000000000100010 C -b11010100000110001000000000000000 0 -b11010100000110001000000000000000 H -18 -09 -b10011111011111011101001000010000 2 -b10011111011111011101001000010000 = -b10011111111111101000000110101110 < -b10011111011111011101001000010000 : -b11111111011111110101000001100010 $ -b11111111011111110101000001100010 - -b11111111011111110101000001100010 5 -b11111111011111110101000001100010 ? -b11111111011111110101000001100010 D -b10011111111111101000000110101110 % -b10011111111111101000000110101110 . -b10011111111111101000000110101110 6 -b10011111111111101000000110101110 @ -b10011111111111101000000110101110 F -b11010100000110001000000000000000 ) -#421184000 -0& -#421200000 -b1100110110101 , -#421216000 -1& -#421232000 -b11011101111111000101100000001000 " -b11011101111111000101100000001000 4 -b1110011011010110000000000000010 1 -b1110011011010110000000000000010 C -b11011101111111000101100000001000 0 -b11011101111111000101100000001000 H -08 -b1110011011010100101011110000100 2 -b1110011011010100101011110000100 = -b1111011111010110100000110000010 < -b1110011011010100101011110000100 : -b11110111011111110001011000000010 $ -b11110111011111110001011000000010 - -b11110111011111110001011000000010 5 -b11110111011111110001011000000010 ? -b11110111011111110001011000000010 D -b1111011111010110100000110000010 % -b1111011111010110100000110000010 . -b1111011111010110100000110000010 6 -b1111011111010110100000110000010 @ -b1111011111010110100000110000010 F -b11011101111111000101100000001000 ) -#421248000 -0& -#421264000 -b1100110110110 , -#421280000 -1& -#421296000 -b11101111111110101000001011010000 " -b11101111111110101000001011010000 4 -b1111100111110110100000001000010 1 -b1111100111110110100000001000010 C -b11101111111110101000001011010000 0 -b11101111111110101000001011010000 H -b1111010111110101011000100111101 2 -b1111010111110101011000100111101 = -b1111100111110110110000011100011 < -b1111010111110101011000100111101 : -b11111101111111110101000001011010 $ -b11111101111111110101000001011010 - -b11111101111111110101000001011010 5 -b11111101111111110101000001011010 ? -b11111101111111110101000001011010 D -b1111100111110110110000011100011 % -b1111100111110110110000011100011 . -b1111100111110110110000011100011 6 -b1111100111110110110000011100011 @ -b1111100111110110110000011100011 F -b11101111111110101000001011010000 ) -#421312000 -0& -#421328000 -b1100110110111 , -#421344000 -1& -#421360000 -b11111100101000110001000011000000 " -b11111100101000110001000011000000 4 -b1110111111001000001000000000100 1 -b1110111111001000001000000000100 C -b11111100101000110001000011000000 0 -b11111100101000110001000011000000 H -b1110111111000111110111111001011 2 -b1110111111000111110111111001011 = -b1110111111111101101011101000101 < -b1110111111000111110111111001011 : -b11111111111001010001100010000110 $ -b11111111111001010001100010000110 - -b11111111111001010001100010000110 5 -b11111111111001010001100010000110 ? -b11111111111001010001100010000110 D -b1110111111111101101011101000101 % -b1110111111111101101011101000101 . -b1110111111111101101011101000101 6 -b1110111111111101101011101000101 @ -b1110111111111101101011101000101 F -b11111100101000110001000011000000 ) -#421376000 -0& -#421392000 -b1100110111000 , -#421408000 -1& -#421424000 -b100100000000000000000000000000 " -b100100000000000000000000000000 4 -b1001100111110000000100000001000 1 -b1001100111110000000100000001000 C -b100100000000000000000000000000 0 -b100100000000000000000000000000 H -19 -08 -b11001100111100111110000010000011 2 -b11001100111100111110000010000011 = -b1011110111110101100100001111010 < -b11001100111100111110000010000011 : -b1101101111110010001100000001001 $ -b1101101111110010001100000001001 - -b1101101111110010001100000001001 5 -b1101101111110010001100000001001 ? -b1101101111110010001100000001001 D -b1011110111110101100100001111010 % -b1011110111110101100100001111010 . -b1011110111110101100100001111010 6 -b1011110111110101100100001111010 @ -b1011110111110101100100001111010 F -b100100000000000000000000000000 ) -#421440000 -0& -#421456000 -b1100110111001 , -#421472000 -1& -#421488000 -b100110111101011111111010110000 " -b100110111101011111111010110000 4 -b10000100110100100011010110000010 1 -b10000100110100100011010110000010 C -b100110111101011111111010110000 0 -b100110111101011111111010110000 H -18 -09 -b10000010110100010011010101011001 2 -b10000010110100010011010101011001 = -b10011101111100100111010110000011 < -b10000010110100010011010101011001 : -b11100100110111101011111111010110 $ -b11100100110111101011111111010110 - -b11100100110111101011111111010110 5 -b11100100110111101011111111010110 ? -b11100100110111101011111111010110 D -b10011101111100100111010110000011 % -b10011101111100100111010110000011 . -b10011101111100100111010110000011 6 -b10011101111100100111010110000011 @ -b10011101111100100111010110000011 F -b100110111101011111111010110000 ) -#421504000 -0& -#421520000 -b1100110111010 , -#421536000 -1& -#421552000 -b10111111110000110101100101000000 " -b10111111110000110101100101000000 4 -b11010010011111010000110101000100 1 -b11010010011111010000110101000100 C -b10111111110000110101100101000000 0 -b10111111110000110101100101000000 H -b11001101011111001011101010101011 2 -b11001101011111001011101010101011 = -b11010010011111011010110101000110 < -b11001101011111001011101010101011 : -b11111010111111110000110101100101 $ -b11111010111111110000110101100101 - -b11111010111111110000110101100101 5 -b11111010111111110000110101100101 ? -b11111010111111110000110101100101 D -b11010010011111011010110101000110 % -b11010010011111011010110101000110 . -b11010010011111011010110101000110 6 -b11010010011111011010110101000110 @ -b11010010011111011010110101000110 F -b10111111110000110101100101000000 ) -#421568000 -0& -#421584000 -b1100110111011 , -#421600000 -1& -#421616000 -b10110011000000000000000000000000 " -b10110011000000000000000000000000 4 -b1011011101111000010110000110000 1 -b1011011101111000010110000110000 C -b10110011000000000000000000000000 0 -b10110011000000000000000000000000 H -08 -b1010111101110100001100111101011 2 -b1010111101110100001100111101011 = -b1011011111111000010110100111000 < -b1010111101110100001100111101011 : -b11111011101111011110110010110011 $ -b11111011101111011110110010110011 - -b11111011101111011110110010110011 5 -b11111011101111011110110010110011 ? -b11111011101111011110110010110011 D -b1011011111111000010110100111000 % -b1011011111111000010110100111000 . -b1011011111111000010110100111000 6 -b1011011111111000010110100111000 @ -b1011011111111000010110100111000 F -b10110011000000000000000000000000 ) -#421632000 -0& -#421648000 -b1100110111100 , -#421664000 -1& -#421680000 -b1000010000000000000000000000000 " -b1000010000000000000000000000000 4 -b11011110011111011000000110000001 1 -b11011110011111011000000110000001 C -b1000010000000000000000000000000 0 -b1000010000000000000000000000000 H -18 -b11011101011110110110100100111010 2 -b11011101011110110110100100111010 = -b11011110111111011100010110011001 < -b11011101011110110110100100111010 : -b11111110011111011010001110100001 $ -b11111110011111011010001110100001 - -b11111110011111011010001110100001 5 -b11111110011111011010001110100001 ? -b11111110011111011010001110100001 D -b11011110111111011100010110011001 % -b11011110111111011100010110011001 . -b11011110111111011100010110011001 6 -b11011110111111011100010110011001 @ -b11011110111111011100010110011001 F -b1000010000000000000000000000000 ) -#421696000 -0& -#421712000 -b1100110111101 , -#421728000 -1& -#421744000 -b11111101111101111001100010000000 " -b11111101111101111001100010000000 4 -b1010011111011101010010000000100 1 -b1010011111011101010010000000100 C -b11111101111101111001100010000000 0 -b11111101111101111001100010000000 H -08 -b1010011111011100110000111101001 2 -b1010011111011100110000111101001 = -b1011011111111101010010100100101 < -b1010011111011100110000111101001 : -b11110111111011111011110011000100 $ -b11110111111011111011110011000100 - -b11110111111011111011110011000100 5 -b11110111111011111011110011000100 ? -b11110111111011111011110011000100 D -b1011011111111101010010100100101 % -b1011011111111101010010100100101 . -b1011011111111101010010100100101 6 -b1011011111111101010010100100101 @ -b1011011111111101010010100100101 F -b11111101111101111001100010000000 ) -#421760000 -0& -#421776000 -b1100110111110 , -#421792000 -1& -#421808000 -b10110110000001000000000000000000 " -b10110110000001000000000000000000 4 -b111111000110110110000001000 1 -b111111000110110110000001000 C -b10110110000001000000000000000000 0 -b10110110000001000000000000000000 H -18 -b11110111110110110110100001110111 2 -b11110111110110110110100001110111 = -b10100111111000111111110001101111 < -b11110111110110110110100001110111 : -b1001111111101110110110000001000 $ -b1001111111101110110110000001000 - -b1001111111101110110110000001000 5 -b1001111111101110110110000001000 ? -b1001111111101110110110000001000 D -b10100111111000111111110001101111 % -b10100111111000111111110001101111 . -b10100111111000111111110001101111 6 -b10100111111000111111110001101111 @ -b10100111111000111111110001101111 F -b10110110000001000000000000000000 ) -#421824000 -0& -#421840000 -b1100110111111 , -#421856000 -1& -#421872000 -b11010101101010101000000000000000 " -b11010101101010101000000000000000 4 -b1011111011011111010001101000101 1 -b1011111011011111010001101000101 C -b11010101101010101000000000000000 0 -b11010101101010101000000000000000 H -08 -b1011110111011111010001101000100 2 -b1011110111011111010001101000100 = -b1111111011111111111011111101111 < -b1011110111011111010001101000100 : -b11011111011011111010101101010101 $ -b11011111011011111010101101010101 - -b11011111011011111010101101010101 5 -b11011111011011111010101101010101 ? -b11011111011011111010101101010101 D -b1111111011111111111011111101111 % -b1111111011111111111011111101111 . -b1111111011111111111011111101111 6 -b1111111011111111111011111101111 @ -b1111111011111111111011111101111 F -b11010101101010101000000000000000 ) -#421888000 -0& -#421904000 -b1100111000000 , -#421920000 -1& -#421936000 -b11000111111111110011001001110000 " -b11000111111111110011001001110000 4 -b1100010101101011001000100100000 1 -b1100010101101011001000100100000 C -b11000111111111110011001001110000 0 -b11000111111111110011001001110000 H -b1100010101101010110110001011001 2 -b1100010101101010110110001011001 = -b1111110101101011101001100100001 < -b1100010101101010110110001011001 : -b11100011111111111001100100111000 $ -b11100011111111111001100100111000 - -b11100011111111111001100100111000 5 -b11100011111111111001100100111000 ? -b11100011111111111001100100111000 D -b1111110101101011101001100100001 % -b1111110101101011101001100100001 . -b1111110101101011101001100100001 6 -b1111110101101011101001100100001 @ -b1111110101101011101001100100001 F -b11000111111111110011001001110000 ) -#421952000 -0& -#421968000 -b1100111000001 , -#421984000 -1& -#422000000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b1011110011100001010000000000101 1 -b1011110011100001010000000000101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -19 -08 -b11011110011010000100111111000100 2 -b11011110011010000100111111000100 = -b1111111011100001010010010111101 < -b11011110011010000100111111000100 : -b1011110111101111010101100000111 $ -b1011110111101111010101100000111 - -b1011110111101111010101100000111 5 -b1011110111101111010101100000111 ? -b1011110111101111010101100000111 D -b1111111011100001010010010111101 % -b1111111011100001010010010111101 . -b1111111011100001010010010111101 6 -b1111111011100001010010010111101 @ -b1111111011100001010010010111101 F -b11100000000000000000000000000000 ) -#422016000 -0& -#422032000 -b1100111000010 , -#422048000 -1& -#422064000 -b11101110111111100110101000100110 " -b11101110111111100110101000100110 4 -b10010111001010110010010100000001 1 -b10010111001010110010010100000001 C -b11101110111111100110101000100110 0 -b11101110111111100110101000100110 H -18 -09 -b10010110101010110001110000110100 2 -b10010110101010110001110000110100 = -b10011111001010111110011100100001 < -b10010110101010110001110000110100 : -b11110111011111110011010100010011 $ -b11110111011111110011010100010011 - -b11110111011111110011010100010011 5 -b11110111011111110011010100010011 ? -b11110111011111110011010100010011 D -b10011111001010111110011100100001 % -b10011111001010111110011100100001 . -b10011111001010111110011100100001 6 -b10011111001010111110011100100001 @ -b10011111001010111110011100100001 F -b11101110111111100110101000100110 ) -#422080000 -0& -#422096000 -b1100111000011 , -#422112000 -1& -#422128000 -b10010000000000000000000000000000 " -b10010000000000000000000000000000 4 -b1101101011001101001100100111000 1 -b1101101011001101001100100111000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -08 -b1101101011001011001100001110101 2 -b1101101011001011001100001110101 = -b11111111011011101111110100111100 < -b1101101011001011001100001110101 : -b1101101111101101001101100111001 $ -b1101101111101101001101100111001 - -b1101101111101101001101100111001 5 -b1101101111101101001101100111001 ? -b1101101111101101001101100111001 D -b11111111011011101111110100111100 % -b11111111011011101111110100111100 . -b11111111011011101111110100111100 6 -b11111111011011101111110100111100 @ -b11111111011011101111110100111100 F -b10010000000000000000000000000000 ) -#422144000 -0& -#422160000 -b1100111000100 , -#422176000 -1& -#422192000 -b10111011111110101111001010101000 " -b10111011111110101111001010101000 4 -b1101110100011101011100010100010 1 -b1101110100011101011100010100010 C -b10111011111110101111001010101000 0 -b10111011111110101111001010101000 H -b1101110100011011011010101001100 2 -b1101110100011011011010101001100 = -b1111111100011101111100010100010 < -b1101110100011011011010101001100 : -b11101110111111101011110010101010 $ -b11101110111111101011110010101010 - -b11101110111111101011110010101010 5 -b11101110111111101011110010101010 ? -b11101110111111101011110010101010 D -b1111111100011101111100010100010 % -b1111111100011101111100010100010 . -b1111111100011101111100010100010 6 -b1111111100011101111100010100010 @ -b1111111100011101111100010100010 F -b10111011111110101111001010101000 ) -#422208000 -0& -#422224000 -b1100111000101 , -#422240000 -1& -#422256000 -b110011010011000000000000000000 " -b110011010011000000000000000000 4 -b1100001110011100001100110100000 1 -b1100001110011100001100110100000 C -b110011010011000000000000000000 0 -b110011010011000000000000000000 H -b1011001110011011101010101010111 2 -b1011001110011011101010101010111 = -b11110111111111111011101110110001 < -b1011001110011011101010101010111 : -b1100001110011100001100110100110 $ -b1100001110011100001100110100110 - -b1100001110011100001100110100110 5 -b1100001110011100001100110100110 ? -b1100001110011100001100110100110 D -b11110111111111111011101110110001 % -b11110111111111111011101110110001 . -b11110111111111111011101110110001 6 -b11110111111111111011101110110001 @ -b11110111111111111011101110110001 F -b110011010011000000000000000000 ) -#422272000 -0& -#422288000 -b1100111000110 , -#422304000 -1& -#422320000 -b11111101001101100110100000000000 " -b11111101001101100110100000000000 4 -b10111100111010110010001010001001 1 -b10111100111010110010001010001001 C -b11111101001101100110100000000000 0 -b11111101001101100110100000000000 H -18 -b10111010111010110000101001111000 2 -b10111010111010110000101001111000 = -b11111100111010110110001110101011 < -b10111010111010110000101001111000 : -b10111101111111111010011011001101 $ -b10111101111111111010011011001101 - -b10111101111111111010011011001101 5 -b10111101111111111010011011001101 ? -b10111101111111111010011011001101 D -b11111100111010110110001110101011 % -b11111100111010110110001110101011 . -b11111100111010110110001110101011 6 -b11111100111010110110001110101011 @ -b11111100111010110110001110101011 F -b11111101001101100110100000000000 ) -#422336000 -0& -#422352000 -b1100111000111 , -#422368000 -1& -#422384000 -b1100011010000000000000000000000 " -b1100011010000000000000000000000 4 -b1101011100001011000001000010000 1 -b1101011100001011000001000010000 C -b1100011010000000000000000000000 0 -b1100011010000000000000000000000 H -08 -b1101011011101010111110111101111 2 -b1101011011101010111110111101111 = -b1111011101001011101101011010101 < -b1101011011101010111110111101111 : -b11101111110011111010001100011010 $ -b11101111110011111010001100011010 - -b11101111110011111010001100011010 5 -b11101111110011111010001100011010 ? -b11101111110011111010001100011010 D -b1111011101001011101101011010101 % -b1111011101001011101101011010101 . -b1111011101001011101101011010101 6 -b1111011101001011101101011010101 @ -b1111011101001011101101011010101 F -b1100011010000000000000000000000 ) -#422400000 -0& -#422416000 -b1100111001000 , -#422432000 -1& -#422448000 -b11111100001101000100000000000000 " -b11111100001101000100000000000000 4 -b110100100011110100000000000000 1 -b110100100011110100000000000000 C -b11111100001101000100000000000000 0 -b11111100001101000100000000000000 H -19 -08 -b10110100011111110011011111011111 2 -b10110100011111110011011111011111 = -b1110110101011110100011100001110 < -b10110100011111110011011111011111 : -b111101110011111111000011010001 $ -b111101110011111111000011010001 - -b111101110011111111000011010001 5 -b111101110011111111000011010001 ? -b111101110011111111000011010001 D -b1110110101011110100011100001110 % -b1110110101011110100011100001110 . -b1110110101011110100011100001110 6 -b1110110101011110100011100001110 @ -b1110110101011110100011100001110 F -b11111100001101000100000000000000 ) -#422464000 -0& -#422480000 -b1100111001001 , -#422496000 -1& -#422512000 -b11111000010000000000000000000000 " -b11111000010000000000000000000000 4 -b10110000110010110010010000100 1 -b10110000110010110010010000100 C -b11111000010000000000000000000000 0 -b11111000010000000000000000000000 H -09 -08 -b10100101110010110010001011000 2 -b10100101110010110010001011000 = -b11011110000110010111010011010100 < -b10100101110010110010001011000 : -b110110100111111110111110000100 $ -b110110100111111110111110000100 - -b110110100111111110111110000100 5 -b110110100111111110111110000100 ? -b110110100111111110111110000100 D -b11011110000110010111010011010100 % -b11011110000110010111010011010100 . -b11011110000110010111010011010100 6 -b11011110000110010111010011010100 @ -b11011110000110010111010011010100 F -b11111000010000000000000000000000 ) -#422528000 -0& -#422544000 -b1100111001010 , -#422560000 -1& -#422576000 -b11010110000000000000000000000000 " -b11010110000000000000000000000000 4 -b1100111111101110100000001010000 1 -b1100111111101110100000001010000 C -b11010110000000000000000000000000 0 -b11010110000000000000000000000000 H -19 -08 -b11100111111101101011100001001110 2 -b11100111111101101011100001001110 = -b1100111111101110101010011110110 < -b11100111111101101011100001001110 : -b1111111111111110110001101011000 $ -b1111111111111110110001101011000 - -b1111111111111110110001101011000 5 -b1111111111111110110001101011000 ? -b1111111111111110110001101011000 D -b1100111111101110101010011110110 % -b1100111111101110101010011110110 . -b1100111111101110101010011110110 6 -b1100111111101110101010011110110 @ -b1100111111101110101010011110110 F -b11010110000000000000000000000000 ) -#422592000 -0& -#422608000 -b1100111001011 , -#422624000 -1& -#422640000 -b11101000001000110000000000000000 " -b11101000001000110000000000000000 4 -b10011100110110010100000000000 1 -b10011100110110010100000000000 C -b11101000001000110000000000000000 0 -b11101000001000110000000000000000 H -09 -08 -b1110011100110110010001000110011 2 -b1110011100110110010001000110011 = -b1011011100111110011101000010000 < -b1110011100110110010001000110011 : -b10111111110111110100000100011 $ -b10111111110111110100000100011 - -b10111111110111110100000100011 5 -b10111111110111110100000100011 ? -b10111111110111110100000100011 D -b1011011100111110011101000010000 % -b1011011100111110011101000010000 . -b1011011100111110011101000010000 6 -b1011011100111110011101000010000 @ -b1011011100111110011101000010000 F -b11101000001000110000000000000000 ) -#422656000 -0& -#422672000 -b1100111001100 , -#422688000 -1& -#422704000 -b100000000000000000000000000000 " -b100000000000000000000000000000 4 -b11110110010010000001000010000 1 -b11110110010010000001000010000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b11101101010000010000101101110 2 -b11101101010000010000101101110 = -b11111110110111010001111101011100 < -b11101101010000010000101101110 : -b11110110010110000001000010010 $ -b11110110010110000001000010010 - -b11110110010110000001000010010 5 -b11110110010110000001000010010 ? -b11110110010110000001000010010 D -b11111110110111010001111101011100 % -b11111110110111010001111101011100 . -b11111110110111010001111101011100 6 -b11111110110111010001111101011100 @ -b11111110110111010001111101011100 F -b100000000000000000000000000000 ) -#422720000 -0& -#422736000 -b1100111001101 , -#422752000 -1& -#422768000 -b1101111111011101111111011010000 " -b1101111111011101111111011010000 4 -b1100101110001010000000101000010 1 -b1100101110001010000000101000010 C -b1101111111011101111111011010000 0 -b1101111111011101111111011010000 H -b1100101110001010000000100111101 2 -b1100101110001010000000100111101 = -b11110111110001110010000101100011 < -b1100101110001010000000100111101 : -b1101101111111011101111111011010 $ -b1101101111111011101111111011010 - -b1101101111111011101111111011010 5 -b1101101111111011101111111011010 ? -b1101101111111011101111111011010 D -b11110111110001110010000101100011 % -b11110111110001110010000101100011 . -b11110111110001110010000101100011 6 -b11110111110001110010000101100011 @ -b11110111110001110010000101100011 F -b1101111111011101111111011010000 ) -#422784000 -0& -#422800000 -b1100111001110 , -#422816000 -1& -#422832000 -b10101110111011100111011011000000 " -b10101110111011100111011011000000 4 -b1001010100110110011100000000010 1 -b1001010100110110011100000000010 C -b10101110111011100111011011000000 0 -b10101110111011100111011011000000 H -19 -08 -b11001010100110101111011000000001 2 -b11001010100110101111011000000001 = -b1101011110111110011110000100110 < -b11001010100110101111011000000001 : -b1011110101110111011100111011011 $ -b1011110101110111011100111011011 - -b1011110101110111011100111011011 5 -b1011110101110111011100111011011 ? -b1011110101110111011100111011011 D -b1101011110111110011110000100110 % -b1101011110111110011110000100110 . -b1101011110111110011110000100110 6 -b1101011110111110011110000100110 @ -b1101011110111110011110000100110 F -b10101110111011100111011011000000 ) -#422848000 -0& -#422864000 -b1100111001111 , -#422880000 -1& -#422896000 -b1100101000000000000000000000000 " -b1100101000000000000000000000000 4 -b1011111111000010000000101100000 1 -b1011111111000010000000101100000 C -b1100101000000000000000000000000 0 -b1100101000000000000000000000000 H -09 -08 -b1011111111000001011001011011101 2 -b1011111111000001011001011011101 = -b11111111111000011001000101111000 < -b1011111111000001011001011011101 : -b1011111111111110010000101100101 $ -b1011111111111110010000101100101 - -b1011111111111110010000101100101 5 -b1011111111111110010000101100101 ? -b1011111111111110010000101100101 D -b11111111111000011001000101111000 % -b11111111111000011001000101111000 . -b11111111111000011001000101111000 6 -b11111111111000011001000101111000 @ -b11111111111000011001000101111000 F -b1100101000000000000000000000000 ) -#422912000 -0& -#422928000 -b1100111010000 , -#422944000 -1& -#422960000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b11111011000010011010000000010100 1 -b11111011000010011010000000010100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -18 -b11110110100010011001111111010000 2 -b11110110100010011001111111010000 = -b11111011001010011110010110011100 < -b11110110100010011001111111010000 : -b11111011010111111011101000110100 $ -b11111011010111111011101000110100 - -b11111011010111111011101000110100 5 -b11111011010111111011101000110100 ? -b11111011010111111011101000110100 D -b11111011001010011110010110011100 % -b11111011001010011110010110011100 . -b11111011001010011110010110011100 6 -b11111011001010011110010110011100 @ -b11111011001010011110010110011100 F -b1000000000000000000000000000000 ) -#422976000 -0& -#422992000 -b1100111010001 , -#423008000 -1& -#423024000 -b11001111111101100011011010000000 " -b11001111111101100011011010000000 4 -b1111110011101001010000110100100 1 -b1111110011101001010000110100100 C -b11001111111101100011011010000000 0 -b11001111111101100011011010000000 H -08 -b1111110011101001001110101011001 2 -b1111110011101001001110101011001 = -b11111111111101001110101110100101 < -b1111110011101001001110101011001 : -b1111110011111111011000110110100 $ -b1111110011111111011000110110100 - -b1111110011111111011000110110100 5 -b1111110011111111011000110110100 ? -b1111110011111111011000110110100 D -b11111111111101001110101110100101 % -b11111111111101001110101110100101 . -b11111111111101001110101110100101 6 -b11111111111101001110101110100101 @ -b11111111111101001110101110100101 F -b11001111111101100011011010000000 ) -#423040000 -0& -#423056000 -b1100111010010 , -#423072000 -1& -#423088000 -b1110001001111001110000000000000 " -b1110001001111001110000000000000 4 -b1001001001001110001000111001100 1 -b1001001001001110001000111001100 C -b1110001001111001110000000000000 0 -b1110001001111001110000000000000 H -b1001001001001100100100110111010 2 -b1001001001001100100100110111010 = -b1101101001111110011010111101100 < -b1001001001001100100100110111010 : -b11011011111001110001001111001110 $ -b11011011111001110001001111001110 - -b11011011111001110001001111001110 5 -b11011011111001110001001111001110 ? -b11011011111001110001001111001110 D -b1101101001111110011010111101100 % -b1101101001111110011010111101100 . -b1101101001111110011010111101100 6 -b1101101001111110011010111101100 @ -b1101101001111110011010111101100 F -b1110001001111001110000000000000 ) -#423104000 -0& -#423120000 -b1100111010011 , -#423136000 -1& -#423152000 -b10111011101011000001000000000000 " -b10111011101011000001000000000000 4 -b11001000010100001001001000000 1 -b11001000010100001001001000000 C -b10111011101011000001000000000000 0 -b10111011101011000001000000000000 H -b10101000010011101001000101101 2 -b10101000010011101001000101101 = -b11011011110111100001011101101100 < -b10101000010011101001000101101 : -b111001001010111011101011000001 $ -b111001001010111011101011000001 - -b111001001010111011101011000001 5 -b111001001010111011101011000001 ? -b111001001010111011101011000001 D -b11011011110111100001011101101100 % -b11011011110111100001011101101100 . -b11011011110111100001011101101100 6 -b11011011110111100001011101101100 @ -b11011011110111100001011101101100 F -b10111011101011000001000000000000 ) -#423168000 -0& -#423184000 -b1100111010100 , -#423200000 -1& -#423216000 -b110101000000000000000000000000 " -b110101000000000000000000000000 4 -b1111110111100110000000000100010 1 -b1111110111100110000000000100010 C -b110101000000000000000000000000 0 -b110101000000000000000000000000 H -b1111110111100101010110110100001 2 -b1111110111100101010110110100001 = -b1111110111100111000110100110111 < -b1111110111100101010110110100001 : -b11111111111111110010000001101010 $ -b11111111111111110010000001101010 - -b11111111111111110010000001101010 5 -b11111111111111110010000001101010 ? -b11111111111111110010000001101010 D -b1111110111100111000110100110111 % -b1111110111100111000110100110111 . -b1111110111100111000110100110111 6 -b1111110111100111000110100110111 @ -b1111110111100111000110100110111 F -b110101000000000000000000000000 ) -#423232000 -0& -#423248000 -b1100111010101 , -#423264000 -1& -#423280000 -b11111111100111110110111010101110 " -b11111111100111110110111010101110 4 -b1111001010011110011010001000001 1 -b1111001010011110011010001000001 C -b11111111100111110110111010101110 0 -b11111111100111110110111010101110 H -b1111001010011101110110000011000 2 -b1111001010011101110110000011000 = -b11111001011111110011010011000001 < -b1111001010011101110110000011000 : -b1111111110011111011011101010111 $ -b1111111110011111011011101010111 - -b1111111110011111011011101010111 5 -b1111111110011111011011101010111 ? -b1111111110011111011011101010111 D -b11111001011111110011010011000001 % -b11111001011111110011010011000001 . -b11111001011111110011010011000001 6 -b11111001011111110011010011000001 @ -b11111001011111110011010011000001 F -b11111111100111110110111010101110 ) -#423296000 -0& -#423312000 -b1100111010110 , -#423328000 -1& -#423344000 -b1111010000000000000000000000000 " -b1111010000000000000000000000000 4 -b1111011011111011100000000011001 1 -b1111011011111011100000000011001 C -b1111010000000000000000000000000 0 -b1111010000000000000000000000000 H -19 -08 -b11111011011111011010110000010110 2 -b11111011011111011010110000010110 = -b1111011111111111100000111011001 < -b11111011011111011010110000010110 : -b1111111011111011110101000111101 $ -b1111111011111011110101000111101 - -b1111111011111011110101000111101 5 -b1111111011111011110101000111101 ? -b1111111011111011110101000111101 D -b1111011111111111100000111011001 % -b1111011111111111100000111011001 . -b1111011111111111100000111011001 6 -b1111011111111111100000111011001 @ -b1111011111111111100000111011001 F -b1111010000000000000000000000000 ) -#423360000 -0& -#423376000 -b1100111010111 , -#423392000 -1& -#423408000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b11100001101110100010101000100101 1 -b11100001101110100010101000100101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -18 -09 -b11011111101101011101010111100010 2 -b11011111101101011101010111100010 = -b11110101101110100010101110111101 < -b11011111101101011101010111100010 : -b11101001111110111010101000100101 $ -b11101001111110111010101000100101 - -b11101001111110111010101000100101 5 -b11101001111110111010101000100101 ? -b11101001111110111010101000100101 D -b11110101101110100010101110111101 % -b11110101101110100010101110111101 . -b11110101101110100010101110111101 6 -b11110101101110100010101110111101 @ -b11110101101110100010101110111101 F -b10100000000000000000000000000000 ) -#423424000 -0& -#423440000 -b1100111011000 , -#423456000 -1& -#423472000 -b1010100100010100110100000000000 " -b1010100100010100110100000000000 4 -b110101011000101001000000001001 1 -b110101011000101001000000001001 C -b1010100100010100110100000000000 0 -b1010100100010100110100000000000 H -08 -b110101011000100100010111011000 2 -b110101011000100100010111011000 = -b1111101111101111011010010001011 < -b110101011000100100010111011000 : -b10110111011010101001000101001101 $ -b10110111011010101001000101001101 - -b10110111011010101001000101001101 5 -b10110111011010101001000101001101 ? -b10110111011010101001000101001101 D -b1111101111101111011010010001011 % -b1111101111101111011010010001011 . -b1111101111101111011010010001011 6 -b1111101111101111011010010001011 @ -b1111101111101111011010010001011 F -b1010100100010100110100000000000 ) -#423488000 -0& -#423504000 -b1100111011001 , -#423520000 -1& -#423536000 -b11110100101000101110000100000000 " -b11110100101000101110000100000000 4 -b1011100111001001010001000000000 1 -b1011100111001001010001000000000 C -b11110100101000101110000100000000 0 -b11110100101000101110000100000000 H -b1011100111001000100010111101001 2 -b1011100111001000100010111101001 = -b11011111111011111010001100001000 < -b1011100111001000100010111101001 : -b1111100111101001010001011100001 $ -b1111100111101001010001011100001 - -b1111100111101001010001011100001 5 -b1111100111101001010001011100001 ? -b1111100111101001010001011100001 D -b11011111111011111010001100001000 % -b11011111111011111010001100001000 . -b11011111111011111010001100001000 6 -b11011111111011111010001100001000 @ -b11011111111011111010001100001000 F -b11110100101000101110000100000000 ) -#423552000 -0& -#423568000 -b1100111011010 , -#423584000 -1& -#423600000 -b11111110100010111100010000000000 " -b11111110100010111100010000000000 4 -b10001000101111001000000011000000 1 -b10001000101111001000000011000000 C -b11111110100010111100010000000000 0 -b11111110100010111100010000000000 H -18 -b10000100101110110010110010001100 2 -b10000100101110110010110010001100 = -b11111001101111001010000011001000 < -b10000100101110110010110010001100 : -b10001010111111101000101111000100 $ -b10001010111111101000101111000100 - -b10001010111111101000101111000100 5 -b10001010111111101000101111000100 ? -b10001010111111101000101111000100 D -b11111001101111001010000011001000 % -b11111001101111001010000011001000 . -b11111001101111001010000011001000 6 -b11111001101111001010000011001000 @ -b11111001101111001010000011001000 F -b11111110100010111100010000000000 ) -#423616000 -0& -#423632000 -b1100111011011 , -#423648000 -1& -#423664000 -b11110100000000000000000000000000 " -b11110100000000000000000000000000 4 -b11011100100111110000100001111000 1 -b11011100100111110000100001111000 C -b11110100000000000000000000000000 0 -b11110100000000000000000000000000 H -b11011100100111101111011011110011 2 -b11011100100111101111011011110011 = -b11011100111111111100111001111001 < -b11011100100111101111011011110011 : -b11111111100111110010100001111010 $ -b11111111100111110010100001111010 - -b11111111100111110010100001111010 5 -b11111111100111110010100001111010 ? -b11111111100111110010100001111010 D -b11011100111111111100111001111001 % -b11011100111111111100111001111001 . -b11011100111111111100111001111001 6 -b11011100111111111100111001111001 @ -b11011100111111111100111001111001 F -b11110100000000000000000000000000 ) -#423680000 -0& -#423696000 -b1100111011100 , -#423712000 -1& -#423728000 -b1011011110001100111100000000000 " -b1011011110001100111100000000000 4 -b11000110010010110110000000001011 1 -b11000110010010110110000000001011 C -b1011011110001100111100000000000 0 -b1011011110001100111100000000000 H -b10100110010010101101101011011010 2 -b10100110010010101101101011011010 = -b11000110110111110110001000001011 < -b10100110010010101101101011011010 : -b11011111011010110111100011001111 $ -b11011111011010110111100011001111 - -b11011111011010110111100011001111 5 -b11011111011010110111100011001111 ? -b11011111011010110111100011001111 D -b11000110110111110110001000001011 % -b11000110110111110110001000001011 . -b11000110110111110110001000001011 6 -b11000110110111110110001000001011 @ -b11000110110111110110001000001011 F -b1011011110001100111100000000000 ) -#423744000 -0& -#423760000 -b1100111011101 , -#423776000 -1& -#423792000 -b10111111111000100101111100000000 " -b10111111111000100101111100000000 4 -b1101101111111100000001000000000 1 -b1101101111111100000001000000000 C -b10111111111000100101111100000000 0 -b10111111111000100101111100000000 H -08 -b1101101111111011000000111111101 2 -b1101101111111011000000111111101 = -b1111111111111100110111100000101 < -b1101101111111011000000111111101 : -b11101101111111110001001011111000 $ -b11101101111111110001001011111000 - -b11101101111111110001001011111000 5 -b11101101111111110001001011111000 ? -b11101101111111110001001011111000 D -b1111111111111100110111100000101 % -b1111111111111100110111100000101 . -b1111111111111100110111100000101 6 -b1111111111111100110111100000101 @ -b1111111111111100110111100000101 F -b10111111111000100101111100000000 ) -#423808000 -0& -#423824000 -b1100111011110 , -#423840000 -1& -#423856000 -b11111111011100111011001110000000 " -b11111111011100111011001110000000 4 -b1111110101000000010101100111 1 -b1111110101000000010101100111 C -b11111111011100111011001110000000 0 -b11111111011100111011001110000000 H -b1111110100111110110011001110 2 -b1111110100111110110011001110 = -b111111110101010000010101100111 < -b1111110100111110110011001110 : -b11001111111111101110011101100111 $ -b11001111111111101110011101100111 - -b11001111111111101110011101100111 5 -b11001111111111101110011101100111 ? -b11001111111111101110011101100111 D -b111111110101010000010101100111 % -b111111110101010000010101100111 . -b111111110101010000010101100111 6 -b111111110101010000010101100111 @ -b111111110101010000010101100111 F -b11111111011100111011001110000000 ) -#423872000 -0& -#423888000 -b1100111011111 , -#423904000 -1& -#423920000 -b1011001101011011011100000000000 " -b1011001101011011011100000000000 4 -b10101111010010110001010010000011 1 -b10101111010010110001010010000011 C -b1011001101011011011100000000000 0 -b1011001101011011011100000000000 H -18 -b10101111010001100101001001000010 2 -b10101111010001100101001001000010 = -b10111111110110110001110010001011 < -b10101111010001100101001001000010 : -b11101111011010110011010110110111 $ -b11101111011010110011010110110111 - -b11101111011010110011010110110111 5 -b11101111011010110011010110110111 ? -b11101111011010110011010110110111 D -b10111111110110110001110010001011 % -b10111111110110110001110010001011 . -b10111111110110110001110010001011 6 -b10111111110110110001110010001011 @ -b10111111110110110001110010001011 F -b1011001101011011011100000000000 ) -#423936000 -0& -#423952000 -b1100111100000 , -#423968000 -1& -#423984000 -b1000001110000000000000000000000 " -b1000001110000000000000000000000 4 -b1101111110111011000000000000110 1 -b1101111110111011000000000000110 C -b1000001110000000000000000000000 0 -b1000001110000000000000000000000 H -08 -b1101111110111010110110111011101 2 -b1101111110111010110110111011101 = -b1101111110111111000100011010110 < -b1101111110111010110110111011101 : -b11111111111111011110010100000111 $ -b11111111111111011110010100000111 - -b11111111111111011110010100000111 5 -b11111111111111011110010100000111 ? -b11111111111111011110010100000111 D -b1101111110111111000100011010110 % -b1101111110111111000100011010110 . -b1101111110111111000100011010110 6 -b1101111110111111000100011010110 @ -b1101111110111111000100011010110 F -b1000001110000000000000000000000 ) -#424000000 -0& -#424016000 -b1100111100001 , -#424032000 -1& -#424048000 -b110000000000000000000000000 " -b110000000000000000000000000 4 -b10010101111111100000000000100 1 -b10010101111111100000000000100 C -b110000000000000000000000000 0 -b110000000000000000000000000 H -18 -b11110010101111111000110000000011 2 -b11110010101111111000110000000011 = -b10110101111111100000111110111 < -b11110010101111111000110000000011 : -b11011011111111111100101000001100 $ -b11011011111111111100101000001100 - -b11011011111111111100101000001100 5 -b11011011111111111100101000001100 ? -b11011011111111111100101000001100 D -b10110101111111100000111110111 % -b10110101111111100000111110111 . -b10110101111111100000111110111 6 -b10110101111111100000111110111 @ -b10110101111111100000111110111 F -b110000000000000000000000000 ) -#424064000 -0& -#424080000 -b1100111100010 , -#424096000 -1& -#424112000 -b11100111000101000000000000000000 " -b11100111000101000000000000000000 4 -b11011011011011101100110000000 1 -b11011011011011101100110000000 C -b11100111000101000000000000000000 0 -b11100111000101000000000000000000 H -08 -b1110111011011011101011101110111 2 -b1110111011011011101011101110111 = -b11011011111011101110110110010 < -b1110111011011011101011101110111 : -b1011011111011111111100111000101 $ -b1011011111011111111100111000101 - -b1011011111011111111100111000101 5 -b1011011111011111111100111000101 ? -b1011011111011111111100111000101 D -b11011011111011101110110110010 % -b11011011111011101110110110010 . -b11011011111011101110110110010 6 -b11011011111011101110110110010 @ -b11011011111011101110110110010 F -b11100111000101000000000000000000 ) -#424128000 -0& -#424144000 -b1100111100011 , -#424160000 -1& -#424176000 -b10110001010100000000000000000000 " -b10110001010100000000000000000000 4 -b11011110110101110100010000100010 1 -b11011110110101110100010000100010 C -b10110001010100000000000000000000 0 -b10110001010100000000000000000000 H -18 -b11011110110101101010001110011101 2 -b11011110110101101010001110011101 = -b11111111110111110100110101110011 < -b11011110110101101010001110011101 : -b11011110111101110101011000101010 $ -b11011110111101110101011000101010 - -b11011110111101110101011000101010 5 -b11011110111101110101011000101010 ? -b11011110111101110101011000101010 D -b11111111110111110100110101110011 % -b11111111110111110100110101110011 . -b11111111110111110100110101110011 6 -b11111111110111110100110101110011 @ -b11111111110111110100110101110011 F -b10110001010100000000000000000000 ) -#424192000 -0& -#424208000 -b1100111100100 , -#424224000 -1& -#424240000 -b11000001000000000000000000000000 " -b11000001000000000000000000000000 4 -b1101111100000100100000000 1 -b1101111100000100100000000 C -b11000001000000000000000000000000 0 -b11000001000000000000000000000000 H -b11000111011111011000100011111001 2 -b11000111011111011000100011111001 = -b1101111110001111100111000 < -b11000111011111011000100011111001 : -b11000101101111100110100111000001 $ -b11000101101111100110100111000001 - -b11000101101111100110100111000001 5 -b11000101101111100110100111000001 ? -b11000101101111100110100111000001 D -b1101111110001111100111000 % -b1101111110001111100111000 . -b1101111110001111100111000 6 -b1101111110001111100111000 @ -b1101111110001111100111000 F -b11000001000000000000000000000000 ) -#424256000 -0& -#424272000 -b1100111100101 , -#424288000 -1& -#424304000 -b10100100000000000000000000000000 " -b10100100000000000000000000000000 4 -b1111111111111011101101010101000 1 -b1111111111111011101101010101000 C -b10100100000000000000000000000000 0 -b10100100000000000000000000000000 H -08 -b1111111111111011101101010100011 2 -b1111111111111011101101010100011 = -b1111111111111011111101111111010 < -b1111111111111011101101010100011 : -b11111111111111111101111010101001 $ -b11111111111111111101111010101001 - -b11111111111111111101111010101001 5 -b11111111111111111101111010101001 ? -b11111111111111111101111010101001 D -b1111111111111011111101111111010 % -b1111111111111011111101111111010 . -b1111111111111011111101111111010 6 -b1111111111111011111101111111010 @ -b1111111111111011111101111111010 F -b10100100000000000000000000000000 ) -#424320000 -0& -#424336000 -b1100111100110 , -#424352000 -1& -#424368000 -b1100101111000000000000000000000 " -b1100101111000000000000000000000 4 -b1111110001110110111100100100101 1 -b1111110001110110111100100100101 C -b1100101111000000000000000000000 0 -b1100101111000000000000000000000 H -b1111110001110110111010010100100 2 -b1111110001110110111010010100100 = -b11111110101111110111100101110101 < -b1111110001110110111010010100100 : -b1111111011110111111101100101111 $ -b1111111011110111111101100101111 - -b1111111011110111111101100101111 5 -b1111111011110111111101100101111 ? -b1111111011110111111101100101111 D -b11111110101111110111100101110101 % -b11111110101111110111100101110101 . -b11111110101111110111100101110101 6 -b11111110101111110111100101110101 @ -b11111110101111110111100101110101 F -b1100101111000000000000000000000 ) -#424384000 -0& -#424400000 -b1100111100111 , -#424416000 -1& -#424432000 -b1000100100000000000000000000000 " -b1000100100000000000000000000000 4 -b110111110100100000001000000000 1 -b110111110100100000001000000000 C -b1000100100000000000000000000000 0 -b1000100100000000000000000000000 H -18 -b11110111110100011011010011110001 2 -b11110111110100011011010011110001 = -b10110111111110101001001010110001 < -b11110111110100011011010011110001 : -b111111110101110010001001000000 $ -b111111110101110010001001000000 - -b111111110101110010001001000000 5 -b111111110101110010001001000000 ? -b111111110101110010001001000000 D -b10110111111110101001001010110001 % -b10110111111110101001001010110001 . -b10110111111110101001001010110001 6 -b10110111111110101001001010110001 @ -b10110111111110101001001010110001 F -b1000100100000000000000000000000 ) -#424448000 -0& -#424464000 -b1100111101000 , -#424480000 -1& -#424496000 -b10000001010000000000000000000000 " -b10000001010000000000000000000000 4 -b11011111101110110011000000000000 1 -b11011111101110110011000000000000 C -b10000001010000000000000000000000 0 -b10000001010000000000000000000000 H -b11011111101110110010011000111011 2 -b11011111101110110010011000111011 = -b11011111101110111111011000010011 < -b11011111101110110010011000111011 : -b11111111111111110011000000101000 $ -b11111111111111110011000000101000 - -b11111111111111110011000000101000 5 -b11111111111111110011000000101000 ? -b11111111111111110011000000101000 D -b11011111101110111111011000010011 % -b11011111101110111111011000010011 . -b11011111101110111111011000010011 6 -b11011111101110111111011000010011 @ -b11011111101110111111011000010011 F -b10000001010000000000000000000000 ) -#424512000 -0& -#424528000 -b1100111101001 , -#424544000 -1& -#424560000 -b11010110111100011000000000000000 " -b11010110111100011000000000000000 4 -b1101101110001011010000100000011 1 -b1101101110001011010000100000011 C -b11010110111100011000000000000000 0 -b11010110111100011000000000000000 H -08 -19 -b11101011110001011001111011110010 2 -b11101011110001011001111011110010 = -b1111101110111011111000100001111 < -b11101011110001011001111011110010 : -b1101101111001111010110111100011 $ -b1101101111001111010110111100011 - -b1101101111001111010110111100011 5 -b1101101111001111010110111100011 ? -b1101101111001111010110111100011 D -b1111101110111011111000100001111 % -b1111101110111011111000100001111 . -b1111101110111011111000100001111 6 -b1111101110111011111000100001111 @ -b1111101110111011111000100001111 F -b11010110111100011000000000000000 ) -#424576000 -0& -#424592000 -b1100111101010 , -#424608000 -1& -#424624000 -b1100111000000000000000000000000 " -b1100111000000000000000000000000 4 -b1111111011010100001000000010000 1 -b1111111011010100001000000010000 C -b1100111000000000000000000000000 0 -b1100111000000000000000000000000 H -09 -08 -b1111110110101100000011100000100 2 -b1111110110101100000011100000100 = -b11111111011010101001000010010100 < -b1111110110101100000011100000100 : -b1111111011010110111011001110000 $ -b1111111011010110111011001110000 - -b1111111011010110111011001110000 5 -b1111111011010110111011001110000 ? -b1111111011010110111011001110000 D -b11111111011010101001000010010100 % -b11111111011010101001000010010100 . -b11111111011010101001000010010100 6 -b11111111011010101001000010010100 @ -b11111111011010101001000010010100 F -b1100111000000000000000000000000 ) -#424640000 -0& -#424656000 -b1100111101011 , -#424672000 -1& -#424688000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b1011001110111100001100000011100 1 -b1011001110111100001100000011100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b1010111110111011101011001011000 2 -b1010111110111011101011001011000 = -b11111001110111100011110000111100 < -b1010111110111011101011001011000 : -b1011101111111111001101000011100 $ -b1011101111111111001101000011100 - -b1011101111111111001101000011100 5 -b1011101111111111001101000011100 ? -b1011101111111111001101000011100 D -b11111001110111100011110000111100 % -b11111001110111100011110000111100 . -b11111001110111100011110000111100 6 -b11111001110111100011110000111100 @ -b11111001110111100011110000111100 F -b11000000000000000000000000000000 ) -#424704000 -0& -#424720000 -b1100111101100 , -#424736000 -1& -#424752000 -b11000111101110100110111010000000 " -b11000111101110100110111010000000 4 -b10111100011100111000010011000000 1 -b10111100011100111000010011000000 C -b11000111101110100110111010000000 0 -b11000111101110100110111010000000 H -18 -b10111100011100110011010010101100 2 -b10111100011100110011010010101100 = -b11111111111101111000110111000100 < -b10111100011100110011010010101100 : -b10111100011110111010011011101000 $ -b10111100011110111010011011101000 - -b10111100011110111010011011101000 5 -b10111100011110111010011011101000 ? -b10111100011110111010011011101000 D -b11111111111101111000110111000100 % -b11111111111101111000110111000100 . -b11111111111101111000110111000100 6 -b11111111111101111000110111000100 @ -b11111111111101111000110111000100 F -b11000111101110100110111010000000 ) -#424768000 -0& -#424784000 -b1100111101101 , -#424800000 -1& -#424816000 -b11111011111111101010000110101100 " -b11111011111111101010000110101100 4 -b1101110111110000000100000100010 1 -b1101110111110000000100000100010 C -b11111011111111101010000110101100 0 -b11111011111111101010000110101100 H -08 -19 -b11101110111101111100000010001101 2 -b11101110111101111100000010001101 = -b1101111111110000001100000100010 < -b11101110111101111100000010001101 : -b1111110111111111010100001101011 $ -b1111110111111111010100001101011 - -b1111110111111111010100001101011 5 -b1111110111111111010100001101011 ? -b1111110111111111010100001101011 D -b1101111111110000001100000100010 % -b1101111111110000001100000100010 . -b1101111111110000001100000100010 6 -b1101111111110000001100000100010 @ -b1101111111110000001100000100010 F -b11111011111111101010000110101100 ) -#424832000 -0& -#424848000 -b1100111101110 , -#424864000 -1& -#424880000 -b11111100111001000110001000000 " -b11111100111001000110001000000 4 -b1011100011100100110000000000000 1 -b1011100011100100110000000000000 C -b11111100111001000110001000000 0 -b11111100111001000110001000000 H -b11011010111100001101101111110111 2 -b11011010111100001101101111110111 = -b1011110011100100110100111000110 < -b11011010111100001101101111110111 : -b1111100011111100111001000110001 $ -b1111100011111100111001000110001 - -b1111100011111100111001000110001 5 -b1111100011111100111001000110001 ? -b1111100011111100111001000110001 D -b1011110011100100110100111000110 % -b1011110011100100110100111000110 . -b1011110011100100110100111000110 6 -b1011110011100100110100111000110 @ -b1011110011100100110100111000110 F -b11111100111001000110001000000 ) -#424896000 -0& -#424912000 -b1100111101111 , -#424928000 -1& -#424944000 -b10111010000000000000000000000 " -b10111010000000000000000000000 4 -b1001000100110110000000000010100 1 -b1001000100110110000000000010100 C -b10111010000000000000000000000 0 -b10111010000000000000000000000 H -b11001000100110101111110111110011 2 -b11001000100110101111110111110011 = -b1001001100110111101010110010110 < -b11001000100110101111110111110011 : -b1111110111111110010100001011101 $ -b1111110111111110010100001011101 - -b1111110111111110010100001011101 5 -b1111110111111110010100001011101 ? -b1111110111111110010100001011101 D -b1001001100110111101010110010110 % -b1001001100110111101010110010110 . -b1001001100110111101010110010110 6 -b1001001100110111101010110010110 @ -b1001001100110111101010110010110 F -b10111010000000000000000000000 ) -#424960000 -0& -#424976000 -b1100111110000 , -#424992000 -1& -#425008000 -b11111111110110011101101101011000 " -b11111111110110011101101101011000 4 -b11100111001000001001001000010 1 -b11100111001000001001001000010 C -b11111111110110011101101101011000 0 -b11111111110110011101101101011000 H -09 -08 -b11100111000111001001000111000 2 -b11100111000111001001000111000 = -b11100111011010001101101100010 < -b11100111000111001001000111000 : -b11111111111101100111011011010110 $ -b11111111111101100111011011010110 - -b11111111111101100111011011010110 5 -b11111111111101100111011011010110 ? -b11111111111101100111011011010110 D -b11100111011010001101101100010 % -b11100111011010001101101100010 . -b11100111011010001101101100010 6 -b11100111011010001101101100010 @ -b11100111011010001101101100010 F -b11111111110110011101101101011000 ) -#425024000 -0& -#425040000 -b1100111110001 , -#425056000 -1& -#425072000 -b11000101101110100110000000000000 " -b11000101101110100110000000000000 4 -b10001000001011100010000011000001 1 -b10001000001011100010000011000001 C -b11000101101110100110000000000000 0 -b11000101101110100110000000000000 H -18 -b10001000001011011001000011000000 2 -b10001000001011011001000011000000 = -b10101000111011110110001011101101 < -b10001000001011011001000011000000 : -b11011111001111100010110111010011 $ -b11011111001111100010110111010011 - -b11011111001111100010110111010011 5 -b11011111001111100010110111010011 ? -b11011111001111100010110111010011 D -b10101000111011110110001011101101 % -b10101000111011110110001011101101 . -b10101000111011110110001011101101 6 -b10101000111011110110001011101101 @ -b10101000111011110110001011101101 F -b11000101101110100110000000000000 ) -#425088000 -0& -#425104000 -b1100111110010 , -#425120000 -1& -#425136000 -b11000111111110010010111000000000 " -b11000111111110010010111000000000 4 -b10110010001111100100100000100000 1 -b10110010001111100100100000100000 C -b11000111111110010010111000000000 0 -b11000111111110010010111000000000 H -b10101010001111100001011000010101 2 -b10101010001111100001011000010101 = -b11110011111111100100110010100101 < -b10101010001111100001011000010101 : -b10110110001111111100100101110000 $ -b10110110001111111100100101110000 - -b10110110001111111100100101110000 5 -b10110110001111111100100101110000 ? -b10110110001111111100100101110000 D -b11110011111111100100110010100101 % -b11110011111111100100110010100101 . -b11110011111111100100110010100101 6 -b11110011111111100100110010100101 @ -b11110011111111100100110010100101 F -b11000111111110010010111000000000 ) -#425152000 -0& -#425168000 -b1100111110011 , -#425184000 -1& -#425200000 -b1101001010110011000000000000000 " -b1101001010110011000000000000000 4 -b1001100111101010000010101000110 1 -b1001100111101010000010101000110 C -b1101001010110011000000000000000 0 -b1101001010110011000000000000000 H -08 -19 -b10111100111011001110110100110100 2 -b10111100111011001110110100110100 = -b1001100111101110100011111001110 < -b10111100111011001110110100110100 : -b1101111111101011010010101100110 $ -b1101111111101011010010101100110 - -b1101111111101011010010101100110 5 -b1101111111101011010010101100110 ? -b1101111111101011010010101100110 D -b1001100111101110100011111001110 % -b1001100111101110100011111001110 . -b1001100111101110100011111001110 6 -b1001100111101110100011111001110 @ -b1001100111101110100011111001110 F -b1101001010110011000000000000000 ) -#425216000 -0& -#425232000 -b1100111110100 , -#425248000 -1& -#425264000 -b1110100111100011100000000000000 " -b1110100111100011100000000000000 4 -b1001100101110000010100000100000 1 -b1001100101110000010100000100000 C -b1110100111100011100000000000000 0 -b1110100111100011100000000000000 H -09 -08 -b1001011011110000010000100001001 2 -b1001011011110000010000100001001 = -b11111110101111011010100000101001 < -b1001011011110000010000100001001 : -b1001100101110100111100011100000 $ -b1001100101110100111100011100000 - -b1001100101110100111100011100000 5 -b1001100101110100111100011100000 ? -b1001100101110100111100011100000 D -b11111110101111011010100000101001 % -b11111110101111011010100000101001 . -b11111110101111011010100000101001 6 -b11111110101111011010100000101001 @ -b11111110101111011010100000101001 F -b1110100111100011100000000000000 ) -#425280000 -0& -#425296000 -b1100111110101 , -#425312000 -1& -#425328000 -b10111110010001101111000000100000 " -b10111110010001101111000000100000 4 -b10101110000100000000110000001 1 -b10101110000100000000110000001 C -b10111110010001101111000000100000 0 -b10111110010001101111000000100000 H -b1110101110000010100000101100110 2 -b1110101110000010100000101100110 = -b10111110011110000100111100101 < -b1110101110000010100000101100110 : -b1011101111100100011011110000001 $ -b1011101111100100011011110000001 - -b1011101111100100011011110000001 5 -b1011101111100100011011110000001 ? -b1011101111100100011011110000001 D -b10111110011110000100111100101 % -b10111110011110000100111100101 . -b10111110011110000100111100101 6 -b10111110011110000100111100101 @ -b10111110011110000100111100101 F -b10111110010001101111000000100000 ) -#425344000 -0& -#425360000 -b1100111110110 , -#425376000 -1& -#425392000 -b11111000000000000000000000000000 " -b11111000000000000000000000000000 4 -b10110011000011011000011010011011 1 -b10110011000011011000011010011011 C -b11111000000000000000000000000000 0 -b11111000000000000000000000000000 H -18 -19 -b1101011000011010101010100111010 2 -b1101011000011010101010100111010 = -b10110011111111111100011010011011 < -b1101011000011010101010100111010 : -b10110111000011011000111010011111 $ -b10110111000011011000111010011111 - -b10110111000011011000111010011111 5 -b10110111000011011000111010011111 ? -b10110111000011011000111010011111 D -b10110011111111111100011010011011 % -b10110011111111111100011010011011 . -b10110011111111111100011010011011 6 -b10110011111111111100011010011011 @ -b10110011111111111100011010011011 F -b11111000000000000000000000000000 ) -#425408000 -0& -#425424000 -b1100111110111 , -#425440000 -1& -#425456000 -b1100000000000000000000000000000 " -b1100000000000000000000000000000 4 -b1000101111000000000000010101000 1 -b1000101111000000000000010101000 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -08 -09 -b110101110011111010100110100111 2 -b110101110011111010100110100111 = -b11100101111000101010000010111011 < -b110101110011111010100110100111 : -b1001111111011010000100011101100 $ -b1001111111011010000100011101100 - -b1001111111011010000100011101100 5 -b1001111111011010000100011101100 ? -b1001111111011010000100011101100 D -b11100101111000101010000010111011 % -b11100101111000101010000010111011 . -b11100101111000101010000010111011 6 -b11100101111000101010000010111011 @ -b11100101111000101010000010111011 F -b1100000000000000000000000000000 ) -#425472000 -0& -#425488000 -b1100111111000 , -#425504000 -1& -#425520000 -b10001011111010000000000000000000 " -b10001011111010000000000000000000 4 -b1101011100000110010001010010010 1 -b1101011100000110010001010010010 C -b10001011111010000000000000000000 0 -b10001011111010000000000000000000 H -19 -08 -b11101011011110110001101010001100 2 -b11101011011110110001101010001100 = -b1101011110000111111011110010010 < -b11101011011110110001101010001100 : -b1111111101101110010001011111010 $ -b1111111101101110010001011111010 - -b1111111101101110010001011111010 5 -b1111111101101110010001011111010 ? -b1111111101101110010001011111010 D -b1101011110000111111011110010010 % -b1101011110000111111011110010010 . -b1101011110000111111011110010010 6 -b1101011110000111111011110010010 @ -b1101011110000111111011110010010 F -b10001011111010000000000000000000 ) -#425536000 -0& -#425552000 -b1100111111001 , -#425568000 -1& -#425584000 -b1100101000001101001111000000000 " -b1100101000001101001111000000000 4 -b11111101100100000001100001100000 1 -b11111101100100000001100001100000 C -b1100101000001101001111000000000 0 -b1100101000001101001111000000000 H -18 -09 -b11111101100011111001001011011110 2 -b11111101100011111001001011011110 = -b11111111111110110111100001100110 < -b11111101100011111001001011011110 : -b11111101100101000001101001111000 $ -b11111101100101000001101001111000 - -b11111101100101000001101001111000 5 -b11111101100101000001101001111000 ? -b11111101100101000001101001111000 D -b11111111111110110111100001100110 % -b11111111111110110111100001100110 . -b11111111111110110111100001100110 6 -b11111111111110110111100001100110 @ -b11111111111110110111100001100110 F -b1100101000001101001111000000000 ) -#425600000 -0& -#425616000 -b1100111111010 , -#425632000 -1& -#425648000 -b110000000000000000000000000000 " -b110000000000000000000000000000 4 -b1111100000110110100010000100000 1 -b1111100000110110100010000100000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -08 -19 -b11111011000101110100001110010111 2 -b11111011000101110100001110010111 = -b1111100001110110110010100110111 < -b11111011000101110100001110010111 : -b1111110110110111101111001100000 $ -b1111110110110111101111001100000 - -b1111110110110111101111001100000 5 -b1111110110110111101111001100000 ? -b1111110110110111101111001100000 D -b1111100001110110110010100110111 % -b1111100001110110110010100110111 . -b1111100001110110110010100110111 6 -b1111100001110110110010100110111 @ -b1111100001110110110010100110111 F -b110000000000000000000000000000 ) -#425664000 -0& -#425680000 -b1100111111011 , -#425696000 -1& -#425712000 -b11111111101011000010000000000000 " -b11111111101011000010000000000000 4 -b1111101010000101001010010000000 1 -b1111101010000101001010010000000 C -b11111111101011000010000000000000 0 -b11111111101011000010000000000000 H -09 -08 -b1111101010000101001010001101111 2 -b1111101010000101001010001101111 = -b11111111011000101001111011101011 < -b1111101010000101001010001101111 : -b1111101110111111111010110000100 $ -b1111101110111111111010110000100 - -b1111101110111111111010110000100 5 -b1111101110111111111010110000100 ? -b1111101110111111111010110000100 D -b11111111011000101001111011101011 % -b11111111011000101001111011101011 . -b11111111011000101001111011101011 6 -b11111111011000101001111011101011 @ -b11111111011000101001111011101011 F -b11111111101011000010000000000000 ) -#425728000 -0& -#425744000 -b1100111111100 , -#425760000 -1& -#425776000 -b11001001101110000000000000000000 " -b11001001101110000000000000000000 4 -b1111000101111110100000010010000 1 -b1111000101111110100000010010000 C -b11001001101110000000000000000000 0 -b11001001101110000000000000000000 H -19 -08 -b11110111101111110100000001101101 2 -b11110111101111110100000001101101 = -b1111000101111110101101110010001 < -b11110111101111110100000001101101 : -b1111110111111111110010011011100 $ -b1111110111111111110010011011100 - -b1111110111111111110010011011100 5 -b1111110111111111110010011011100 ? -b1111110111111111110010011011100 D -b1111000101111110101101110010001 % -b1111000101111110101101110010001 . -b1111000101111110101101110010001 6 -b1111000101111110101101110010001 @ -b1111000101111110101101110010001 F -b11001001101110000000000000000000 ) -#425792000 -0& -#425808000 -b1100111111101 , -#425824000 -1& -#425840000 -b1111100011000110000000000000000 " -b1111100011000110000000000000000 4 -b10010010101110001010100011000110 1 -b10010010101110001010100011000110 C -b1111100011000110000000000000000 0 -b1111100011000110000000000000000 H -18 -09 -b10010010101110001010010010110101 2 -b10010010101110001010010010110101 = -b10111111111111011010101111101111 < -b10010010101110001010010010110101 : -b11010010101110101111100011000110 $ -b11010010101110101111100011000110 - -b11010010101110101111100011000110 5 -b11010010101110101111100011000110 ? -b11010010101110101111100011000110 D -b10111111111111011010101111101111 % -b10111111111111011010101111101111 . -b10111111111111011010101111101111 6 -b10111111111111011010101111101111 @ -b10111111111111011010101111101111 F -b1111100011000110000000000000000 ) -#425856000 -0& -#425872000 -b1100111111110 , -#425888000 -1& -#425904000 -b100111111111000101111110000000 " -b100111111111000101111110000000 4 -b11111100010011110101100000000111 1 -b11111100010011110101100000000111 C -b100111111111000101111110000000 0 -b100111111111000101111110000000 H -b11111011001111110101010111000110 2 -b11111011001111110101010111000110 = -b11111110111011110101110100000111 < -b11111011001111110101010111000110 : -b11111100010011111111100010111111 $ -b11111100010011111111100010111111 - -b11111100010011111111100010111111 5 -b11111100010011111111100010111111 ? -b11111100010011111111100010111111 D -b11111110111011110101110100000111 % -b11111110111011110101110100000111 . -b11111110111011110101110100000111 6 -b11111110111011110101110100000111 @ -b11111110111011110101110100000111 F -b100111111111000101111110000000 ) -#425920000 -0& -#425936000 -b1100111111111 , -#425952000 -1& -#425968000 -b11000111100000100001110111100000 " -b11000111100000100001110111100000 4 -b10001110001111000000000010000101 1 -b10001110001111000000000010000101 C -b11000111100000100001110111100000 0 -b11000111100000100001110111100000 H -19 -18 -b1111110001110111101101101110100 2 -b1111110001110111101101101110100 = -b11001111111111111100101010000101 < -b1111110001110111101101101110100 : -b10101110001111000001000011101111 $ -b10101110001111000001000011101111 - -b10101110001111000001000011101111 5 -b10101110001111000001000011101111 ? -b10101110001111000001000011101111 D -b11001111111111111100101010000101 % -b11001111111111111100101010000101 . -b11001111111111111100101010000101 6 -b11001111111111111100101010000101 @ -b11001111111111111100101010000101 F -b11000111100000100001110111100000 ) -#425984000 -0& -#426000000 -b1101000000000 , -#426016000 -1& -#426032000 -b11011101111010010000111000100000 " -b11011101111010010000111000100000 4 -b1001000111011110000100001100001 1 -b1001000111011110000100001100001 C -b11011101111010010000111000100000 0 -b11011101111010010000111000100000 H -08 -b11001000111011101000000101010110 2 -b11001000111011101000000101010110 = -b1101001111111110011100011100101 < -b11001000111011101000000101010110 : -b1011110111011110100100001110001 $ -b1011110111011110100100001110001 - -b1011110111011110100100001110001 5 -b1011110111011110100100001110001 ? -b1011110111011110100100001110001 D -b1101001111111110011100011100101 % -b1101001111111110011100011100101 . -b1101001111111110011100011100101 6 -b1101001111111110011100011100101 @ -b1101001111111110011100011100101 F -b11011101111010010000111000100000 ) -#426048000 -0& -#426064000 -b1101000000001 , -#426080000 -1& -#426096000 -b1100000000000000000000000000000 " -b1100000000000000000000000000000 4 -b1011011000000101001010100000001 1 -b1011011000000101001010100000001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -09 -08 -b1011011000000101000101001000000 2 -b1011011000000101000101001000000 = -b11011111101100111001010100111101 < -b1011011000000101000101001000000 : -b1111011010011101111010100000011 $ -b1111011010011101111010100000011 - -b1111011010011101111010100000011 5 -b1111011010011101111010100000011 ? -b1111011010011101111010100000011 D -b11011111101100111001010100111101 % -b11011111101100111001010100111101 . -b11011111101100111001010100111101 6 -b11011111101100111001010100111101 @ -b11011111101100111001010100111101 F -b1100000000000000000000000000000 ) -#426112000 -0& -#426128000 -b1101000000010 , -#426144000 -1& -#426160000 -b1011111110000110111000100000000 " -b1011111110000110111000100000000 4 -b1011100101101111000000001100010 1 -b1011100101101111000000001100010 C -b1011111110000110111000100000000 0 -b1011111110000110111000100000000 H -b1011100101101110101111101001001 2 -b1011100101101110101111101001001 = -b1111111111101111101100001100111 < -b1011100101101110101111101001001 : -b11011100101111111000011011100010 $ -b11011100101111111000011011100010 - -b11011100101111111000011011100010 5 -b11011100101111111000011011100010 ? -b11011100101111111000011011100010 D -b1111111111101111101100001100111 % -b1111111111101111101100001100111 . -b1111111111101111101100001100111 6 -b1111111111101111101100001100111 @ -b1111111111101111101100001100111 F -b1011111110000110111000100000000 ) -#426176000 -0& -#426192000 -b1101000000011 , -#426208000 -1& -#426224000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1110010110101010010010001111011 1 -b1110010110101010010010001111011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1110001110100110000110001111010 2 -b1110001110100110000110001111010 = -b11111110110101010010011011111111 < -b1110001110100110000110001111010 : -b1110010111111011110010101111011 $ -b1110010111111011110010101111011 - -b1110010111111011110010101111011 5 -b1110010111111011110010101111011 ? -b1110010111111011110010101111011 D -b11111110110101010010011011111111 % -b11111110110101010010011011111111 . -b11111110110101010010011011111111 6 -b11111110110101010010011011111111 @ -b11111110110101010010011011111111 F -b10000000000000000000000000000000 ) -#426240000 -0& -#426256000 -b1101000000100 , -#426272000 -1& -#426288000 -b1100110000001010011001010110001 1 -b1100110000001010011001010110001 C -19 -08 -b11100101111111001010011010110000 2 -b11100101111111001010011010110000 = -b1111111110101110011001110111111 < -b11100101111111001010011010110000 : -b1100110001001010111001011110001 $ -b1100110001001010111001011110001 - -b1100110001001010111001011110001 5 -b1100110001001010111001011110001 ? -b1100110001001010111001011110001 D -b1111111110101110011001110111111 % -b1111111110101110011001110111111 . -b1111111110101110011001110111111 6 -b1111111110101110011001110111111 @ -b1111111110101110011001110111111 F -#426304000 -0& -#426320000 -b1101000000101 , -#426336000 -1& -#426352000 -b11110110010010000000000000000000 " -b11110110010010000000000000000000 4 -b1101111010110110000101001000001 1 -b1101111010110110000101001000001 C -b11110110010010000000000000000000 0 -b11110110010010000000000000000000 H -09 -08 -b1101110101110101010100100011100 2 -b1101110101110101010100100011100 = -b11111111010111110000101001010011 < -b1101110101110101010100100011100 : -b1101111010110111001111011001001 $ -b1101111010110111001111011001001 - -b1101111010110111001111011001001 5 -b1101111010110111001111011001001 ? -b1101111010110111001111011001001 D -b11111111010111110000101001010011 % -b11111111010111110000101001010011 . -b11111111010111110000101001010011 6 -b11111111010111110000101001010011 @ -b11111111010111110000101001010011 F -b11110110010010000000000000000000 ) -#426368000 -0& -#426384000 -b1101000000110 , -#426400000 -1& -#426416000 -b11000100000000000000000000000 " -b11000100000000000000000000000 4 -b111100111000000000100000000 1 -b111100111000000000100000000 C -b11000100000000000000000000000 0 -b11000100000000000000000000000 H -b1100111100110110101101011011100 2 -b1100111100110110101101011011100 = -b1011111110111010001100101010100 < -b1100111100110110101101011011100 : -b111101111100100000110001000 $ -b111101111100100000110001000 - -b111101111100100000110001000 5 -b111101111100100000110001000 ? -b111101111100100000110001000 D -b1011111110111010001100101010100 % -b1011111110111010001100101010100 . -b1011111110111010001100101010100 6 -b1011111110111010001100101010100 @ -b1011111110111010001100101010100 F -b11000100000000000000000000000 ) -#426432000 -0& -#426448000 -b1101000000111 , -#426464000 -1& -#426480000 -b10111110101100111011010010011 " -b10111110101100111011010010011 4 -b10101110101100011011010000000 1 -b10101110101100011011010000000 C -b10111110101100111011010010011 0 -b10111110101100111011010010011 H -18 -b11010101110101011010111000110011 2 -b11010101110101011010111000110011 = -b10111101111111110011011110100000 < -b11010101110101011010111000110011 : -b10111110101100111011010010011 $ -b10111110101100111011010010011 - -b10111110101100111011010010011 5 -b10111110101100111011010010011 ? -b10111110101100111011010010011 D -b10111101111111110011011110100000 % -b10111101111111110011011110100000 . -b10111101111111110011011110100000 6 -b10111101111111110011011110100000 @ -b10111101111111110011011110100000 F -b10111110101100111011010010011 ) -#426496000 -0& -#426512000 -b1101000001000 , -#426528000 -1& -#426544000 -b10111111111110001011011010000000 " -b10111111111110001011011010000000 4 -b1101010001011111110001000000010 1 -b1101010001011111110001000000010 C -b10111111111110001011011010000000 0 -b10111111111110001011011010000000 H -08 -b1101010001011111110000100000000 2 -b1101010001011111110000100000000 = -b1101111001011111111111000100110 < -b1101010001011111110000100000000 : -b11111010111111111110001011011010 $ -b11111010111111111110001011011010 - -b11111010111111111110001011011010 5 -b11111010111111111110001011011010 ? -b11111010111111111110001011011010 D -b1101111001011111111111000100110 % -b1101111001011111111111000100110 . -b1101111001011111111111000100110 6 -b1101111001011111111111000100110 @ -b1101111001011111111111000100110 F -b10111111111110001011011010000000 ) -#426560000 -0& -#426576000 -b1101000001001 , -#426592000 -1& -#426608000 -b1111111110001110110001100000000 " -b1111111110001110110001100000000 4 -b10111101011111100001000000000100 1 -b10111101011111100001000000000100 C -b1111111110001110110001100000000 0 -b1111111110001110110001100000000 H -18 -b10111101011111010010111110010010 2 -b10111101011111010010111110010010 = -b11111111011111100001001000000110 < -b10111101011111010010111110010010 : -b10111101111111110001110110001100 $ -b10111101111111110001110110001100 - -b10111101111111110001110110001100 5 -b10111101111111110001110110001100 ? -b10111101111111110001110110001100 D -b11111111011111100001001000000110 % -b11111111011111100001001000000110 . -b11111111011111100001001000000110 6 -b11111111011111100001001000000110 @ -b11111111011111100001001000000110 F -b1111111110001110110001100000000 ) -#426624000 -0& -#426640000 -b1101000001010 , -#426656000 -1& -#426672000 -b1100110100110000000000000000000 " -b1100110100110000000000000000000 4 -b1111010000100110000000011010011 1 -b1111010000100110000000011010011 C -b1100110100110000000000000000000 0 -b1100110100110000000000000000000 H -08 -b1111010000100100111111011000110 2 -b1111010000100100111111011000110 = -b11111111010110110011000111110011 < -b1111010000100100111111011000110 : -b1111010101101110100110011010011 $ -b1111010101101110100110011010011 - -b1111010101101110100110011010011 5 -b1111010101101110100110011010011 ? -b1111010101101110100110011010011 D -b11111111010110110011000111110011 % -b11111111010110110011000111110011 . -b11111111010110110011000111110011 6 -b11111111010110110011000111110011 @ -b11111111010110110011000111110011 F -b1100110100110000000000000000000 ) -#426688000 -0& -#426704000 -b1101000001011 , -#426720000 -1& -#426736000 -b11111110000000000000000000000000 " -b11111110000000000000000000000000 4 -b1111101011011110000101011111001 1 -b1111101011011110000101011111001 C -b11111110000000000000000000000000 0 -b11111110000000000000000000000000 H -19 -08 -b11111101011011101011100111111000 2 -b11111101011011101011100111111000 = -b1111101111111111010111011111001 < -b11111101011011101011100111111000 : -b1111111011011110000101011111111 $ -b1111111011011110000101011111111 - -b1111111011011110000101011111111 5 -b1111111011011110000101011111111 ? -b1111111011011110000101011111111 D -b1111101111111111010111011111001 % -b1111101111111111010111011111001 . -b1111101111111111010111011111001 6 -b1111101111111111010111011111001 @ -b1111101111111111010111011111001 F -b11111110000000000000000000000000 ) -#426752000 -0& -#426768000 -b1101000001100 , -#426784000 -1& -#426800000 -b111100100110101110011000101000 " -b111100100110101110011000101000 4 -b11000010000010001001000000000 1 -b11000010000010001001000000000 C -b111100100110101110011000101000 0 -b111100100110101110011000101000 H -09 -08 -b10111101000010001000100010101 2 -b10111101000010001000100010101 = -b1111001010100111001111000000001 < -b10111101000010001000100010101 : -b10011110010011010111001100010100 $ -b10011110010011010111001100010100 - -b10011110010011010111001100010100 5 -b10011110010011010111001100010100 ? -b10011110010011010111001100010100 D -b1111001010100111001111000000001 % -b1111001010100111001111000000001 . -b1111001010100111001111000000001 6 -b1111001010100111001111000000001 @ -b1111001010100111001111000000001 F -b111100100110101110011000101000 ) -#426816000 -0& -#426832000 -b1101000001101 , -#426848000 -1& -#426864000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b1011101000110011000000110110000 1 -b1011101000110011000000110110000 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -19 -08 -b11011011000101110110110110101010 2 -b11011011000101110110110110101010 = -b1011101101110011110001110111010 < -b11011011000101110110110110101010 : -b1111101010111011000100111110000 $ -b1111101010111011000100111110000 - -b1111101010111011000100111110000 5 -b1111101010111011000100111110000 ? -b1111101010111011000100111110000 D -b1011101101110011110001110111010 % -b1011101101110011110001110111010 . -b1011101101110011110001110111010 6 -b1011101101110011110001110111010 @ -b1011101101110011110001110111010 F -b11000000000000000000000000000000 ) -#426880000 -0& -#426896000 -b1101000001110 , -#426912000 -1& -#426928000 -b10001010000000000000000000000000 " -b10001010000000000000000000000000 4 -b1010111100111011000000000000001 1 -b1010111100111011000000000000001 C -b10001010000000000000000000000000 0 -b10001010000000000000000000000000 H -09 -08 -b1010111100111010111001001011110 2 -b1010111100111010111001001011110 = -b1010111110111111000001000011001 < -b1010111100111010111001001011110 : -b11111111101111011111000001000101 $ -b11111111101111011111000001000101 - -b11111111101111011111000001000101 5 -b11111111101111011111000001000101 ? -b11111111101111011111000001000101 D -b1010111110111111000001000011001 % -b1010111110111111000001000011001 . -b1010111110111111000001000011001 6 -b1010111110111111000001000011001 @ -b1010111110111111000001000011001 F -b10001010000000000000000000000000 ) -#426944000 -0& -#426960000 -b1101000001111 , -#426976000 -1& -#426992000 -b10100111011101111101000100000000 " -b10100111011101111101000100000000 4 -b1001010011001110011110100000000 1 -b1001010011001110011110100000000 C -b10100111011101111101000100000000 0 -b10100111011101111101000100000000 H -b1001001010111110011110000110100 2 -b1001001010111110011110000110100 = -b11001110111001111011111100100100 < -b1001001010111110011110000110100 : -b1111010011101110111110100010000 $ -b1111010011101110111110100010000 - -b1111010011101110111110100010000 5 -b1111010011101110111110100010000 ? -b1111010011101110111110100010000 D -b11001110111001111011111100100100 % -b11001110111001111011111100100100 . -b11001110111001111011111100100100 6 -b11001110111001111011111100100100 @ -b11001110111001111011111100100100 F -b10100111011101111101000100000000 ) -#427008000 -0& -#427024000 -b1101000010000 , -#427040000 -1& -#427056000 -b1111111110000111110001010000000 " -b1111111110000111110001010000000 4 -b1011011101101100001000100000100 1 -b1011011101101100001000100000100 C -b1111111110000111110001010000000 0 -b1111111110000111110001010000000 H -b1010111101101000101000000011001 2 -b1010111101101000101000000011001 = -b1111011101101100011000100000101 < -b1010111101101000101000000011001 : -b11011011111111100001111100010100 $ -b11011011111111100001111100010100 - -b11011011111111100001111100010100 5 -b11011011111111100001111100010100 ? -b11011011111111100001111100010100 D -b1111011101101100011000100000101 % -b1111011101101100011000100000101 . -b1111011101101100011000100000101 6 -b1111011101101100011000100000101 @ -b1111011101101100011000100000101 F -b1111111110000111110001010000000 ) -#427072000 -0& -#427088000 -b1101000010001 , -#427104000 -1& -#427120000 -b1010000011100000000000000 " -b1010000011100000000000000 4 -b111101110110000000000000000110 1 -b111101110110000000000000000110 C -b1010000011100000000000000 0 -b1010000011100000000000000 H -19 -08 -b10111101110101111100011110010101 2 -b10111101110101111100011110010101 = -b111101111111111100001010001110 < -b10111101110101111100011110010101 : -b1111111110110000000010100000111 $ -b1111111110110000000010100000111 - -b1111111110110000000010100000111 5 -b1111111110110000000010100000111 ? -b1111111110110000000010100000111 D -b111101111111111100001010001110 % -b111101111111111100001010001110 . -b111101111111111100001010001110 6 -b111101111111111100001010001110 @ -b111101111111111100001010001110 F -b1010000011100000000000000 ) -#427136000 -0& -#427152000 -b1101000010010 , -#427168000 -1& -#427184000 -b11110000000101100001000000000000 " -b11110000000101100001000000000000 4 -b110000110111110000000101100000 1 -b110000110111110000000101100000 C -b11110000000101100001000000000000 0 -b11110000000101100001000000000000 H -18 -09 -b11101000110111101111011101001101 2 -b11101000110111101111011101001101 = -b10110000111111111111010111101100 < -b11101000110111101111011101001101 : -b110111110111110000000101100001 $ -b110111110111110000000101100001 - -b110111110111110000000101100001 5 -b110111110111110000000101100001 ? -b110111110111110000000101100001 D -b10110000111111111111010111101100 % -b10110000111111111111010111101100 . -b10110000111111111111010111101100 6 -b10110000111111111111010111101100 @ -b10110000111111111111010111101100 F -b11110000000101100001000000000000 ) -#427200000 -0& -#427216000 -b1101000010011 , -#427232000 -1& -#427248000 -b11000101000111000100011000110000 " -b11000101000111000100011000110000 4 -b1110001010001100000000110000000 1 -b1110001010001100000000110000000 C -b11000101000111000100011000110000 0 -b11000101000111000100011000110000 H -08 -19 -b11101001001101010001101100001110 2 -b11101001001101010001101100001110 = -b1110111111011100000100110000010 < -b11101001001101010001101100001110 : -b1110001010001110001000110001100 $ -b1110001010001110001000110001100 - -b1110001010001110001000110001100 5 -b1110001010001110001000110001100 ? -b1110001010001110001000110001100 D -b1110111111011100000100110000010 % -b1110111111011100000100110000010 . -b1110111111011100000100110000010 6 -b1110111111011100000100110000010 @ -b1110111111011100000100110000010 F -b11000101000111000100011000110000 ) -#427264000 -0& -#427280000 -b1101000010100 , -#427296000 -1& -#427312000 -b1000001011000000000000000000000 " -b1000001011000000000000000000000 4 -b1011010110101100111010000010100 1 -b1011010110101100111010000010100 C -b1000001011000000000000000000000 0 -b1000001011000000000000000000000 H -b10111010110101011111000010001010 2 -b10111010110101011111000010001010 = -b1011110110101110111110001110100 < -b10111010110101011111000010001010 : -b1011011111111100111010000010110 $ -b1011011111111100111010000010110 - -b1011011111111100111010000010110 5 -b1011011111111100111010000010110 ? -b1011011111111100111010000010110 D -b1011110110101110111110001110100 % -b1011110110101110111110001110100 . -b1011110110101110111110001110100 6 -b1011110110101110111110001110100 @ -b1011110110101110111110001110100 F -b1000001011000000000000000000000 ) -#427328000 -0& -#427344000 -b1101000010101 , -#427360000 -1& -#427376000 -b11011111001010111111100100110110 " -b11011111001010111111100100110110 4 -b1001111100001000110100000000001 1 -b1001111100001000110100000000001 C -b11011111001010111111100100110110 0 -b11011111001010111111100100110110 H -09 -08 -b1001111011001000110010011011100 2 -b1001111011001000110010011011100 = -b1011111110011100110100001000001 < -b1001111011001000110010011011100 : -b11101111100101011111110010011011 $ -b11101111100101011111110010011011 - -b11101111100101011111110010011011 5 -b11101111100101011111110010011011 ? -b11101111100101011111110010011011 D -b1011111110011100110100001000001 % -b1011111110011100110100001000001 . -b1011111110011100110100001000001 6 -b1011111110011100110100001000001 @ -b1011111110011100110100001000001 F -b11011111001010111111100100110110 ) -#427392000 -0& -#427408000 -b1101000010110 , -#427424000 -1& -#427440000 -b1101000000000000000000000000000 " -b1101000000000000000000000000000 4 -b11000001101100010100001001001001 1 -b11000001101100010100001001001001 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -18 -b11000001101100010011100101001000 2 -b11000001101100010011100101001000 = -b11101111101100011111011011111011 < -b11000001101100010011100101001000 : -b11010001111111110100001001001101 $ -b11010001111111110100001001001101 - -b11010001111111110100001001001101 5 -b11010001111111110100001001001101 ? -b11010001111111110100001001001101 D -b11101111101100011111011011111011 % -b11101111101100011111011011111011 . -b11101111101100011111011011111011 6 -b11101111101100011111011011111011 @ -b11101111101100011111011011111011 F -b1101000000000000000000000000000 ) -#427456000 -0& -#427472000 -b1101000010111 , -#427488000 -1& -#427504000 -b1110000010011101010010000000000 " -b1110000010011101010010000000000 4 -b11000110100110000010001101000000 1 -b11000110100110000010001101000000 C -b1110000010011101010010000000000 0 -b1110000010011101010010000000000 H -b11000110100110000010001010011011 2 -b11000110100110000010001010011011 = -b11100110110111111111101101001001 < -b11000110100110000010001010011011 : -b11011111101110000010011101010010 $ -b11011111101110000010011101010010 - -b11011111101110000010011101010010 5 -b11011111101110000010011101010010 ? -b11011111101110000010011101010010 D -b11100110110111111111101101001001 % -b11100110110111111111101101001001 . -b11100110110111111111101101001001 6 -b11100110110111111111101101001001 @ -b11100110110111111111101101001001 F -b1110000010011101010010000000000 ) -#427520000 -0& -#427536000 -b1101000011000 , -#427552000 -1& -#427568000 -b11101110001100100000000000000000 " -b11101110001100100000000000000000 4 -b111100101011101010001000010000 1 -b111100101011101010001000010000 C -b11101110001100100000000000000000 0 -b11101110001100100000000000000000 H -b11111100101011101001000100000010 2 -b11111100101011101001000100000010 = -b111100101011101010001011010000 < -b11111100101011101001000100000010 : -b10111111111111111110111000110010 $ -b10111111111111111110111000110010 - -b10111111111111111110111000110010 5 -b10111111111111111110111000110010 ? -b10111111111111111110111000110010 D -b111100101011101010001011010000 % -b111100101011101010001011010000 . -b111100101011101010001011010000 6 -b111100101011101010001011010000 @ -b111100101011101010001011010000 F -b11101110001100100000000000000000 ) -#427584000 -0& -#427600000 -b1101000011001 , -#427616000 -1& -#427632000 -b1100101111111010101010001000000 " -b1100101111111010101010001000000 4 -b1111100100101110001010101000000 1 -b1111100100101110001010101000000 C -b1100101111111010101010001000000 0 -b1100101111111010101010001000000 H -08 -b1111100100011110001010100010111 2 -b1111100100011110001010100010111 = -b11111110111101110001111111000110 < -b1111100100011110001010100010111 : -b1111101100101111111010101010001 $ -b1111101100101111111010101010001 - -b1111101100101111111010101010001 5 -b1111101100101111111010101010001 ? -b1111101100101111111010101010001 D -b11111110111101110001111111000110 % -b11111110111101110001111111000110 . -b11111110111101110001111111000110 6 -b11111110111101110001111111000110 @ -b11111110111101110001111111000110 F -b1100101111111010101010001000000 ) -#427648000 -0& -#427664000 -b1101000011010 , -#427680000 -1& -#427696000 -1! -b0 " -b0 4 -b1111011011111100010000000011110 1 -b1111011011111100010000000011110 C -b0 0 -b0 H -19 -08 -b11111011011111011101111110011101 2 -b11111011011111011101111110011101 = -b1111111011111101011010101011111 < -1# -b11111011011111011101111110011101 : -b1111011111111110010101000111110 $ -b1111011111111110010101000111110 - -b1111011111111110010101000111110 5 -b1111011111111110010101000111110 ? -b1111011111111110010101000111110 D -b1111111011111101011010101011111 % -b1111111011111101011010101011111 . -b1111111011111101011010101011111 6 -b1111111011111101011010101011111 @ -b1111111011111101011010101011111 F -b0 ) -b1 ( -#427712000 -0& -#427728000 -b1101000011011 , -#427744000 -1& -#427760000 -0! -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b10110111101011100001000001101100 1 -b10110111101011100001000001101100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -18 -09 -b10110111101011010110110001101010 2 -b10110111101011010110110001101010 = -b11110111111111100001000101111100 < -0# -b10110111101011010110110001101010 : -b10111111101011110101101011101110 $ -b10111111101011110101101011101110 - -b10111111101011110101101011101110 5 -b10111111101011110101101011101110 ? -b10111111101011110101101011101110 D -b11110111111111100001000101111100 % -b11110111111111100001000101111100 . -b11110111111111100001000101111100 6 -b11110111111111100001000101111100 @ -b11110111111111100001000101111100 F -b11100000000000000000000000000000 ) -b0 ( -#427776000 -0& -#427792000 -b1101000011100 , -#427808000 -1& -#427824000 -b1101000000011000000000000000000 " -b1101000000011000000000000000000 4 -b100001001010011000001000000010 1 -b100001001010011000001000000010 C -b1101000000011000000000000000000 0 -b1101000000011000000000000000000 H -08 -19 -b10100001001010011000000100010101 2 -b10100001001010011000000100010101 = -b110111011010011010011100010010 < -b10100001001010011000000100010101 : -b1101001101111111101101000000011 $ -b1101001101111111101101000000011 - -b1101001101111111101101000000011 5 -b1101001101111111101101000000011 ? -b1101001101111111101101000000011 D -b110111011010011010011100010010 % -b110111011010011010011100010010 . -b110111011010011010011100010010 6 -b110111011010011010011100010010 @ -b110111011010011010011100010010 F -b1101000000011000000000000000000 ) -#427840000 -0& -#427856000 -b1101000011101 , -#427872000 -1& -#427888000 -b1100000000000000000000000000000 " -b1100000000000000000000000000000 4 -b10011011111111101000100010010001 1 -b10011011111111101000100010010001 C -b1100000000000000000000000000000 0 -b1100000000000000000000000000000 H -18 -09 -b10011011111111100110011101110000 2 -b10011011111111100110011101110000 = -b11111111111111101100100011011101 < -b10011011111111100110011101110000 : -b10011011111111111001111010010011 $ -b10011011111111111001111010010011 - -b10011011111111111001111010010011 5 -b10011011111111111001111010010011 ? -b10011011111111111001111010010011 D -b11111111111111101100100011011101 % -b11111111111111101100100011011101 . -b11111111111111101100100011011101 6 -b11111111111111101100100011011101 @ -b11111111111111101100100011011101 F -b1100000000000000000000000000000 ) -#427904000 -0& -#427920000 -b1101000011110 , -#427936000 -1& -#427952000 -b1011111111111100001100000000000 " -b1011111111111100001100000000000 4 -b1101111100100111001100100000010 1 -b1101111100100111001100100000010 C -b1011111111111100001100000000000 0 -b1011111111111100001100000000000 H -08 -b1101111010100111001100010110000 2 -b1101111010100111001100010110000 = -b11111111101110111001100100101010 < -b1101111010100111001100010110000 : -b1101111100101111111111110000110 $ -b1101111100101111111111110000110 - -b1101111100101111111111110000110 5 -b1101111100101111111111110000110 ? -b1101111100101111111111110000110 D -b11111111101110111001100100101010 % -b11111111101110111001100100101010 . -b11111111101110111001100100101010 6 -b11111111101110111001100100101010 @ -b11111111101110111001100100101010 F -b1011111111111100001100000000000 ) -#427968000 -0& -#427984000 -b1101000011111 , -#428000000 -1& -#428016000 -b11111111101001010011100000000000 " -b11111111101001010011100000000000 4 -b1111001010010010101001010001000 1 -b1111001010010010101001010001000 C -b11111111101001010011100000000000 0 -b11111111101001010011100000000000 H -b1110111010010010011001000100101 2 -b1110111010010010011001000100101 = -b1111101110010010101111110001001 < -b1110111010010010011001000100101 : -b11111001011111111101001010011100 $ -b11111001011111111101001010011100 - -b11111001011111111101001010011100 5 -b11111001011111111101001010011100 ? -b11111001011111111101001010011100 D -b1111101110010010101111110001001 % -b1111101110010010101111110001001 . -b1111101110010010101111110001001 6 -b1111101110010010101111110001001 @ -b1111101110010010101111110001001 F -b11111111101001010011100000000000 ) -#428032000 -0& -#428048000 -b1101000100000 , -#428064000 -1& -#428080000 -b1101110001000110011111000000000 " -b1101110001000110011111000000000 4 -b10101101100110000000100001001 1 -b10101101100110000000100001001 C -b1101110001000110011111000000000 0 -b1101110001000110011111000000000 H -19 -08 -b10010101011100101001010011001000 2 -b10010101011100101001010011001000 = -b11111101110111000001100101001 < -b10010101011100101001010011001000 : -b1110101101101110001000110011111 $ -b1110101101101110001000110011111 - -b1110101101101110001000110011111 5 -b1110101101101110001000110011111 ? -b1110101101101110001000110011111 D -b11111101110111000001100101001 % -b11111101110111000001100101001 . -b11111101110111000001100101001 6 -b11111101110111000001100101001 @ -b11111101110111000001100101001 F -b1101110001000110011111000000000 ) -#428096000 -0& -#428112000 -b1101000100001 , -#428128000 -1& -#428144000 -b1000000000000000000000000000 " -b1000000000000000000000000000 4 -b11010111111001111000000000000001 1 -b11010111111001111000000000000001 C -b1000000000000000000000000000 0 -b1000000000000000000000000000 H -18 -09 -b11010111111001110100111111111100 2 -b11010111111001110100111111111100 = -b11010111111101111000001111011011 < -b11010111111001110100111111111100 : -b11111111111011111100110000100001 $ -b11111111111011111100110000100001 - -b11111111111011111100110000100001 5 -b11111111111011111100110000100001 ? -b11111111111011111100110000100001 D -b11010111111101111000001111011011 % -b11010111111101111000001111011011 . -b11010111111101111000001111011011 6 -b11010111111101111000001111011011 @ -b11010111111101111000001111011011 F -b1000000000000000000000000000 ) -#428160000 -0& -#428176000 -b1101000100010 , -#428192000 -1& -#428208000 -b1001111000000000000000000000000 " -b1001111000000000000000000000000 4 -b1110001011111111001000100001000 1 -b1110001011111111001000100001000 C -b1001111000000000000000000000000 0 -b1001111000000000000000000000000 H -08 -b1110000111111111000011100000111 2 -b1110000111111111000011100000111 = -b1110111011111111101000110111000 < -b1110000111111111000011100000111 : -b11111001011111111011010101001111 $ -b11111001011111111011010101001111 - -b11111001011111111011010101001111 5 -b11111001011111111011010101001111 ? -b11111001011111111011010101001111 D -b1110111011111111101000110111000 % -b1110111011111111101000110111000 . -b1110111011111111101000110111000 6 -b1110111011111111101000110111000 @ -b1110111011111111101000110111000 F -b1001111000000000000000000000000 ) -#428224000 -0& -#428240000 -b1101000100011 , -#428256000 -1& -#428272000 -b10110110000000000000000000000000 " -b10110110000000000000000000000000 4 -b1110010110100110100000010010000 1 -b1110010110100110100000010010000 C -b10110110000000000000000000000000 0 -b10110110000000000000000000000000 H -b1110010110100110010110001001110 2 -b1110010110100110010110001001110 = -b1110111110111110110100010011000 < -b1110010110100110010110001001110 : -b11111010111100111100001110110110 $ -b11111010111100111100001110110110 - -b11111010111100111100001110110110 5 -b11111010111100111100001110110110 ? -b11111010111100111100001110110110 D -b1110111110111110110100010011000 % -b1110111110111110110100010011000 . -b1110111110111110110100010011000 6 -b1110111110111110110100010011000 @ -b1110111110111110110100010011000 F -b10110110000000000000000000000000 ) -#428288000 -0& -#428304000 -b1101000100100 , -#428320000 -1& -#428336000 -b10011001010110100000000000000000 " -b10011001010110100000000000000000 4 -b110010000011011000000001010000 1 -b110010000011011000000001010000 C -b10011001010110100000000000000000 0 -b10011001010110100000000000000000 H -19 -08 -b10110000100011010010000000101010 2 -b10110000100011010010000000101010 = -b111110010011011000011011010000 < -b10110000100011010010000000101010 : -b1110010001111111001100101011010 $ -b1110010001111111001100101011010 - -b1110010001111111001100101011010 5 -b1110010001111111001100101011010 ? -b1110010001111111001100101011010 D -b111110010011011000011011010000 % -b111110010011011000011011010000 . -b111110010011011000011011010000 6 -b111110010011011000011011010000 @ -b111110010011011000011011010000 F -b10011001010110100000000000000000 ) -#428352000 -0& -#428368000 -b1101000100101 , -#428384000 -1& -#428400000 -b10101100110100000000000000000000 " -b10101100110100000000000000000000 4 -b11000111001011000100000011000100 1 -b11000111001011000100000011000100 C -b10101100110100000000000000000000 0 -b10101100110100000000000000000000 H -18 -09 -b11000110011010100011110011000001 2 -b11000110011010100011110011000001 = -b11100111001011011101000111110100 < -b11000110011010100011110011000001 : -b11011111001111000110101011001101 $ -b11011111001111000110101011001101 - -b11011111001111000110101011001101 5 -b11011111001111000110101011001101 ? -b11011111001111000110101011001101 D -b11100111001011011101000111110100 % -b11100111001011011101000111110100 . -b11100111001011011101000111110100 6 -b11100111001011011101000111110100 @ -b11100111001011011101000111110100 F -b10101100110100000000000000000000 ) -#428416000 -0& -#428432000 -b1101000100110 , -#428448000 -1& -#428464000 -1! -b0 " -b0 4 -b101100110110100100000000001010 1 -b101100110110100100000000001010 C -b0 0 -b0 H -08 -19 -b10101010110110011011000111001001 2 -b10101010110110011011000111001001 = -b1101101110111100100000000011111 < -1# -b10101010110110011011000111001001 : -b111100111110110111000110101010 $ -b111100111110110111000110101010 - -b111100111110110111000110101010 5 -b111100111110110111000110101010 ? -b111100111110110111000110101010 D -b1101101110111100100000000011111 % -b1101101110111100100000000011111 . -b1101101110111100100000000011111 6 -b1101101110111100100000000011111 @ -b1101101110111100100000000011111 F -b0 ) -b1 ( -#428480000 -0& -#428496000 -b1101000100111 , -#428512000 -1& -#428528000 -0! -b100000000000000000000000000000 " -b100000000000000000000000000000 4 -b1011010110111100010001010000001 1 -b1011010110111100010001010000001 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -09 -08 -b1011001110111001000111000011110 2 -b1011001110111001000111000011110 = -b1111110110111100110101110011101 < -0# -b1011001110111001000111000011110 : -b11011010111111100010001010000001 $ -b11011010111111100010001010000001 - -b11011010111111100010001010000001 5 -b11011010111111100010001010000001 ? -b11011010111111100010001010000001 D -b1111110110111100110101110011101 % -b1111110110111100110101110011101 . -b1111110110111100110101110011101 6 -b1111110110111100110101110011101 @ -b1111110110111100110101110011101 F -b100000000000000000000000000000 ) -b0 ( -#428544000 -0& -#428560000 -b1101000101000 , -#428576000 -1& -#428592000 -b111100000000000000000000000000 " -b111100000000000000000000000000 4 -b1101011111111110010100000011000 1 -b1101011111111110010100000011000 C -b111100000000000000000000000000 0 -b111100000000000000000000000000 H -19 -08 -b11101011111111101110011011110111 2 -b11101011111111101110011011110111 = -b1101111111111110010101001011001 < -b11101011111111101110011011110111 : -b1111011111111111011110010011110 $ -b1111011111111111011110010011110 - -b1111011111111111011110010011110 5 -b1111011111111111011110010011110 ? -b1111011111111111011110010011110 D -b1101111111111110010101001011001 % -b1101111111111110010101001011001 . -b1101111111111110010101001011001 6 -b1101111111111110010101001011001 @ -b1101111111111110010101001011001 F -b111100000000000000000000000000 ) -#428608000 -0& -#428624000 -b1101000101001 , -#428640000 -1& -#428656000 -b1001000000000000000000000000000 " -b1001000000000000000000000000000 4 -b101001100010111000000101001001 1 -b101001100010111000000101001001 C -b1001000000000000000000000000000 0 -b1001000000000000000000000000000 H -09 -08 -b100101100010110111001101000100 2 -b100101100010110111001101000100 = -b10101001110011111011000101111011 < -b100101100010110111001101000100 : -b1111011101110111100000111001001 $ -b1111011101110111100000111001001 - -b1111011101110111100000111001001 5 -b1111011101110111100000111001001 ? -b1111011101110111100000111001001 D -b10101001110011111011000101111011 % -b10101001110011111011000101111011 . -b10101001110011111011000101111011 6 -b10101001110011111011000101111011 @ -b10101001110011111011000101111011 F -b1001000000000000000000000000000 ) -#428672000 -0& -#428688000 -b1101000101010 , -#428704000 -1& -#428720000 -b10011101111110001101011000011000 " -b10011101111110001101011000011000 4 -b10011101111110001000001000011 1 -b10011101111110001000001000011 C -b10011101111110001101011000011000 0 -b10011101111110001101011000011000 H -b1110011101111101000110000000110 2 -b1110011101111101000110000000110 = -b11111111111110111000101000011 < -b1110011101111101000110000000110 : -b1010011101111110001101011000011 $ -b1010011101111110001101011000011 - -b1010011101111110001101011000011 5 -b1010011101111110001101011000011 ? -b1010011101111110001101011000011 D -b11111111111110111000101000011 % -b11111111111110111000101000011 . -b11111111111110111000101000011 6 -b11111111111110111000101000011 @ -b11111111111110111000101000011 F -b10011101111110001101011000011000 ) -#428736000 -0& -#428752000 -b1101000101011 , -#428768000 -1& -#428784000 -b11111111101010111111100010010000 " -b11111111101010111111100010010000 4 -b1101111110101000110110000000000 1 -b1101111110101000110110000000000 C -b11111111101010111111100010010000 0 -b11111111101010111111100010010000 H -08 -09 -b1101111101101000110100101101001 2 -b1101111101101000110100101101001 = -b1101111110111100110110100100001 < -b1101111101101000110100101101001 : -b11111111110101011111110001001000 $ -b11111111110101011111110001001000 - -b11111111110101011111110001001000 5 -b11111111110101011111110001001000 ? -b11111111110101011111110001001000 D -b1101111110111100110110100100001 % -b1101111110111100110110100100001 . -b1101111110111100110110100100001 6 -b1101111110111100110110100100001 @ -b1101111110111100110110100100001 F -b11111111101010111111100010010000 ) -#428800000 -0& -#428816000 -b1101000101100 , -#428832000 -1& -#428848000 -b10100110010100000000000000000000 " -b10100110010100000000000000000000 4 -b11011100101100100000101000000100 1 -b11011100101100100000101000000100 C -b10100110010100000000000000000000 0 -b10100110010100000000000000000000 H -18 -b11011100101011100000010111111001 2 -b11011100101011100000010111111001 = -b11111101111100100001101110010100 < -b11011100101011100000010111111001 : -b11011110101110111110101001100101 $ -b11011110101110111110101001100101 - -b11011110101110111110101001100101 5 -b11011110101110111110101001100101 ? -b11011110101110111110101001100101 D -b11111101111100100001101110010100 % -b11111101111100100001101110010100 . -b11111101111100100001101110010100 6 -b11111101111100100001101110010100 @ -b11111101111100100001101110010100 F -b10100110010100000000000000000000 ) -#428864000 -0& -#428880000 -b1101000101101 , -#428896000 -1& -#428912000 -b1011111001110000000000000000 " -b1011111001110000000000000000 4 -b10111111010011000000101101100000 1 -b10111111010011000000101101100000 C -b1011111001110000000000000000 0 -b1011111001110000000000000000 H -b10111111001011000000011101010111 2 -b10111111001011000000011101010111 = -b10111111010011111111101101110000 < -b10111111001011000000011101010111 : -b11111111110111000000101111100111 $ -b11111111110111000000101111100111 - -b11111111110111000000101111100111 5 -b11111111110111000000101111100111 ? -b11111111110111000000101111100111 D -b10111111010011111111101101110000 % -b10111111010011111111101101110000 . -b10111111010011111111101101110000 6 -b10111111010011111111101101110000 @ -b10111111010011111111101101110000 F -b1011111001110000000000000000 ) -#428928000 -0& -#428944000 -b1101000101110 , -#428960000 -1& -#428976000 -b10011111111101000000101011000000 " -b10011111111101000000101011000000 4 -b11100100010000111010000001000100 1 -b11100100010000111010000001000100 C -b10011111111101000000101011000000 0 -b10011111111101000000101011000000 H -b11100011010000110100110100111011 2 -b11100011010000110100110100111011 = -b11100110010000111010110011100101 < -b11100011010000110100110100111011 : -b11111100111111111010000001010110 $ -b11111100111111111010000001010110 - -b11111100111111111010000001010110 5 -b11111100111111111010000001010110 ? -b11111100111111111010000001010110 D -b11100110010000111010110011100101 % -b11100110010000111010110011100101 . -b11100110010000111010110011100101 6 -b11100110010000111010110011100101 @ -b11100110010000111010110011100101 F -b10011111111101000000101011000000 ) -#428992000 -0& -#429008000 -b1101000101111 , -#429024000 -1& -#429040000 -b1000000000000000000000000000000 " -b1000000000000000000000000000000 4 -b11100010111100000000000101100 1 -b11100010111100000000000101100 C -b1000000000000000000000000000000 0 -b1000000000000000000000000000000 H -08 -b11010001111011110100110101011 2 -b11010001111011110100110101011 = -b10111101110111100000100001111110 < -b11010001111011110100110101011 : -b1011100010111111110000100101101 $ -b1011100010111111110000100101101 - -b1011100010111111110000100101101 5 -b1011100010111111110000100101101 ? -b1011100010111111110000100101101 D -b10111101110111100000100001111110 % -b10111101110111100000100001111110 . -b10111101110111100000100001111110 6 -b10111101110111100000100001111110 @ -b10111101110111100000100001111110 F -b1000000000000000000000000000000 ) -#429056000 -0& -#429072000 -b1101000110000 , -#429088000 -1& -#429104000 -b11100011011000000000000000000000 " -b11100011011000000000000000000000 4 -b10110111100110100011010000010001 1 -b10110111100110100011010000010001 C -b11100011011000000000000000000000 0 -b11100011011000000000000000000000 H -18 -b10110111100110100010101111110000 2 -b10110111100110100010101111110000 = -b11111111110111111111010011010101 < -b10110111100110100010101111110000 : -b10110111101110100011011100011011 $ -b10110111101110100011011100011011 - -b10110111101110100011011100011011 5 -b10110111101110100011011100011011 ? -b10110111101110100011011100011011 D -b11111111110111111111010011010101 % -b11111111110111111111010011010101 . -b11111111110111111111010011010101 6 -b11111111110111111111010011010101 @ -b11111111110111111111010011010101 F -b11100011011000000000000000000000 ) -#429120000 -0& -#429136000 -b1101000110001 , -#429152000 -1& -#429168000 -b11111001100100011110010100010100 " -b11111001100100011110010100010100 4 -b11011010011001000100000100000000 1 -b11011010011001000100000100000000 C -b11111001100100011110010100010100 0 -b11111001100100011110010100010100 H -b11011010011001000011111001000111 2 -b11011010011001000011111001000111 = -b11011011111111111100010100000010 < -b11011010011001000011111001000111 : -b11111110011001000111100101000101 $ -b11111110011001000111100101000101 - -b11111110011001000111100101000101 5 -b11111110011001000111100101000101 ? -b11111110011001000111100101000101 D -b11011011111111111100010100000010 % -b11011011111111111100010100000010 . -b11011011111111111100010100000010 6 -b11011011111111111100010100000010 @ -b11011011111111111100010100000010 F -b11111001100100011110010100010100 ) -#429184000 -0& -#429200000 -b1101000110010 , -#429216000 -1& -#429232000 -b11111111111100010100110000000000 " -b11111111111100010100110000000000 4 -b1011010111110100100000100000 1 -b1011010111110100100000100000 C -b11111111111100010100110000000000 0 -b11111111111100010100110000000000 H -08 -19 -b10001011010111110100000100001111 2 -b10001011010111110100000100001111 = -b1111011010111110100100001101001 < -b10001011010111110100000100001111 : -b1111111111111111100010100110 $ -b1111111111111111100010100110 - -b1111111111111111100010100110 5 -b1111111111111111100010100110 ? -b1111111111111111100010100110 D -b1111011010111110100100001101001 % -b1111011010111110100100001101001 . -b1111011010111110100100001101001 6 -b1111011010111110100100001101001 @ -b1111011010111110100100001101001 F -b11111111111100010100110000000000 ) -#429248000 -0& -#429264000 -b1101000110011 , -#429280000 -1& -#429296000 -1! -b0 " -b0 4 -b100110010000001000000001000 1 -b100110010000001000000001000 C -b0 0 -b0 H -18 -09 -b11100011101110000001000000000111 2 -b11100011101110000001000000000111 = -b11011100111011011101101011011111 < -1# -b11100011101110000001000000000111 : -b110110010100011010100101000 $ -b110110010100011010100101000 - -b110110010100011010100101000 5 -b110110010100011010100101000 ? -b110110010100011010100101000 D -b11011100111011011101101011011111 % -b11011100111011011101101011011111 . -b11011100111011011101101011011111 6 -b11011100111011011101101011011111 @ -b11011100111011011101101011011111 F -b0 ) -b1 ( -#429312000 -0& -#429328000 -b1101000110100 , -#429344000 -1& -#429360000 -0! -b101110010110111010000000000000 " -b101110010110111010000000000000 4 -b1010001110000000110000010001101 1 -b1010001110000000110000010001101 C -b101110010110111010000000000000 0 -b101110010110111010000000000000 H -08 -b1001111101111111101101110001010 2 -b1001111101111111101101110001010 = -b1110101110111100110100010101101 < -0# -b1001111101111111101101110001010 : -b11011001111000010111001011011101 $ -b11011001111000010111001011011101 - -b11011001111000010111001011011101 5 -b11011001111000010111001011011101 ? -b11011001111000010111001011011101 D -b1110101110111100110100010101101 % -b1110101110111100110100010101101 . -b1110101110111100110100010101101 6 -b1110101110111100110100010101101 @ -b1110101110111100110100010101101 F -b101110010110111010000000000000 ) -b0 ( -#429376000 -0& -#429392000 -b1101000110101 , -#429408000 -1& -#429424000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b100011000111110000010001010101 1 -b100011000111110000010001010101 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -b100011000111110000001111010100 2 -b100011000111110000001111010100 = -b10110111001111110010111101111101 < -b100011000111110000001111010100 : -b1101011110111111101010001010111 $ -b1101011110111111101010001010111 - -b1101011110111111101010001010111 5 -b1101011110111111101010001010111 ? -b1101011110111111101010001010111 D -b10110111001111110010111101111101 % -b10110111001111110010111101111101 . -b10110111001111110010111101111101 6 -b10110111001111110010111101111101 @ -b10110111001111110010111101111101 F -b11100000000000000000000000000000 ) -#429440000 -0& -#429456000 -b1101000110110 , -#429472000 -1& -#429488000 -b110001110000000000000000000000 " -b110001110000000000000000000000 4 -b1100011111000010000100010000110 1 -b1100011111000010000100010000110 C -b110001110000000000000000000000 0 -b110001110000000000000000000000 H -b1100011110110001100011001011101 2 -b1100011110110001100011001011101 = -b1110111111001111000100110010110 < -b1100011110110001100011001011101 : -b11101011111100010011110011000111 $ -b11101011111100010011110011000111 - -b11101011111100010011110011000111 5 -b11101011111100010011110011000111 ? -b11101011111100010011110011000111 D -b1110111111001111000100110010110 % -b1110111111001111000100110010110 . -b1110111111001111000100110010110 6 -b1110111111001111000100110010110 @ -b1110111111001111000100110010110 F -b110001110000000000000000000000 ) -#429504000 -0& -#429520000 -b1101000110111 , -#429536000 -1& -#429552000 -b10000011010101000000000000000000 " -b10000011010101000000000000000000 4 -b1001100010000010000101000 1 -b1001100010000010000101000 C -b10000011010101000000000000000000 0 -b10000011010101000000000000000000 H -b1001100000000101111010111 2 -b1001100000000101111010111 = -b1101111111110000010100101111 < -b1001100000000101111010111 : -b11110011001100010000011010101000 $ -b11110011001100010000011010101000 - -b11110011001100010000011010101000 5 -b11110011001100010000011010101000 ? -b11110011001100010000011010101000 D -b1101111111110000010100101111 % -b1101111111110000010100101111 . -b1101111111110000010100101111 6 -b1101111111110000010100101111 @ -b1101111111110000010100101111 F -b10000011010101000000000000000000 ) -#429568000 -0& -#429584000 -b1101000111000 , -#429600000 -1& -#429616000 -b11110111110111110001011110110000 " -b11110111110111110001011110110000 4 -b1011001010111011001000101100000 1 -b1011001010111011001000101100000 C -b11110111110111110001011110110000 0 -b11110111110111110001011110110000 H -b1011001010111011000101101011111 2 -b1011001010111011000101101011111 = -b11011001110111111001100111100100 < -b1011001010111011000101101011111 : -b1111111011111011111000101111011 $ -b1111111011111011111000101111011 - -b1111111011111011111000101111011 5 -b1111111011111011111000101111011 ? -b1111111011111011111000101111011 D -b11011001110111111001100111100100 % -b11011001110111111001100111100100 . -b11011001110111111001100111100100 6 -b11011001110111111001100111100100 @ -b11011001110111111001100111100100 F -b11110111110111110001011110110000 ) -#429632000 -0& -#429648000 -b1101000111001 , -#429664000 -1& -#429680000 -b1001000000000000000000000000000 " -b1001000000000000000000000000000 4 -b1010001101010100000001000001001 1 -b1010001101010100000001000001001 C -b1001000000000000000000000000000 0 -b1001000000000000000000000000000 H -b1010001101010011001000110000100 2 -b1010001101010011001000110000100 = -b11010101111011110000111100011011 < -b1010001101010011001000110000100 : -b1111011101110101000001001101001 $ -b1111011101110101000001001101001 - -b1111011101110101000001001101001 5 -b1111011101110101000001001101001 ? -b1111011101110101000001001101001 D -b11010101111011110000111100011011 % -b11010101111011110000111100011011 . -b11010101111011110000111100011011 6 -b11010101111011110000111100011011 @ -b11010101111011110000111100011011 F -b1001000000000000000000000000000 ) -#429696000 -0& -#429712000 -b1101000111010 , -#429728000 -1& -#429744000 -b11101011100011010100000000000 " -b11101011100011010100000000000 4 -b1011001100000000010000000000001 1 -b1011001100000000010000000000001 C -b11101011100011010100000000000 0 -b11101011100011010100000000000 H -b1010111100000000001111001000000 2 -b1010111100000000001111001000000 = -b1011001111111000111000000001011 < -b1010111100000000001111001000000 : -b11111101100000111010111000110101 $ -b11111101100000111010111000110101 - -b11111101100000111010111000110101 5 -b11111101100000111010111000110101 ? -b11111101100000111010111000110101 D -b1011001111111000111000000001011 % -b1011001111111000111000000001011 . -b1011001111111000111000000001011 6 -b1011001111111000111000000001011 @ -b1011001111111000111000000001011 F -b11101011100011010100000000000 ) -#429760000 -0& -#429776000 -b1101000111011 , -#429792000 -1& -#429808000 -b11111111111111101000111110001100 " -b11111111111111101000111110001100 4 -b11011100010101100000001101000010 1 -b11011100010101100000001101000010 C -b11111111111111101000111110001100 0 -b11111111111111101000111110001100 H -18 -b11011100010101011110111100100101 2 -b11011100010101011110111100100101 = -b11011100010101100100101101000010 < -b11011100010101011110111100100101 : -b11111111111111111010001111100011 $ -b11111111111111111010001111100011 - -b11111111111111111010001111100011 5 -b11111111111111111010001111100011 ? -b11111111111111111010001111100011 D -b11011100010101100100101101000010 % -b11011100010101100100101101000010 . -b11011100010101100100101101000010 6 -b11011100010101100100101101000010 @ -b11011100010101100100101101000010 F -b11111111111111101000111110001100 ) -#429824000 -0& -#429840000 -b1101000111100 , -#429856000 -1& -#429872000 -b11011110111010010000101011001100 " -b11011110111010010000101011001100 4 -b11100011010001001000000001000000 1 -b11100011010001001000000001000000 C -b11011110111010010000101011001100 0 -b11011110111010010000101011001100 H -b11100010101110100111110110100111 2 -b11100010101110100111110110100111 = -b11110011010001011111100001000001 < -b11100010101110100111110110100111 : -b11101111011101001000010101100110 $ -b11101111011101001000010101100110 - -b11101111011101001000010101100110 5 -b11101111011101001000010101100110 ? -b11101111011101001000010101100110 D -b11110011010001011111100001000001 % -b11110011010001011111100001000001 . -b11110011010001011111100001000001 6 -b11110011010001011111100001000001 @ -b11110011010001011111100001000001 F -b11011110111010010000101011001100 ) -#429888000 -0& -#429904000 -b1101000111101 , -#429920000 -1& -#429936000 -b11111111110101110101111110010000 " -b11111111110101110101111110010000 4 -b101010101101010111010101100000 1 -b101010101101010111010101100000 C -b11111111110101110101111110010000 0 -b11111111110101110101111110010000 H -08 -b101010101101001111010101011101 2 -b101010101101001111010101011101 = -b1101010101101110111111101100100 < -b101010101101001111010101011101 : -b10111111111111010111010111111001 $ -b10111111111111010111010111111001 - -b10111111111111010111010111111001 5 -b10111111111111010111010111111001 ? -b10111111111111010111010111111001 D -b1101010101101110111111101100100 % -b1101010101101110111111101100100 . -b1101010101101110111111101100100 6 -b1101010101101110111111101100100 @ -b1101010101101110111111101100100 F -b11111111110101110101111110010000 ) -#429952000 -0& -#429968000 -b1101000111110 , -#429984000 -1& -#430000000 -1! -b0 " -b0 4 -b101111010011010110000100010000 1 -b101111010011010110000100010000 C -b0 0 -b0 H -b101111010011010110000011101111 2 -b101111010011010110000011101111 = -b1111111011111110110000101011111 < -1# -b101111010011010110000011101111 : -b10101111110011011111111110010000 $ -b10101111110011011111111110010000 - -b10101111110011011111111110010000 5 -b10101111110011011111111110010000 ? -b10101111110011011111111110010000 D -b1111111011111110110000101011111 % -b1111111011111110110000101011111 . -b1111111011111110110000101011111 6 -b1111111011111110110000101011111 @ -b1111111011111110110000101011111 F -b0 ) -b1 ( -#430016000 -0& -#430032000 -b1101000111111 , -#430048000 -1& -#430064000 -0! -b11111111010101101100000100000000 " -b11111111010101101100000100000000 4 -b11000000011100010101001000000000 1 -b11000000011100010101001000000000 C -b11111111010101101100000100000000 0 -b11111111010101101100000100000000 H -18 -b10011111011100001011000011001001 2 -b10011111011100001011000011001001 = -b11001110011100010101101000001000 < -0# -b10011111011100001011000011001001 : -b11010000111111110101011011000001 $ -b11010000111111110101011011000001 - -b11010000111111110101011011000001 5 -b11010000111111110101011011000001 ? -b11010000111111110101011011000001 D -b11001110011100010101101000001000 % -b11001110011100010101101000001000 . -b11001110011100010101101000001000 6 -b11001110011100010101101000001000 @ -b11001110011100010101101000001000 F -b11111111010101101100000100000000 ) -b0 ( -#430080000 -0& -#430096000 -b1101001000000 , -#430112000 -1& -#430128000 -b11000010100000000000000000000000 " -b11000010100000000000000000000000 4 -b11101111011111010011000000010100 1 -b11101111011111010011000000010100 C -b11000010100000000000000000000000 0 -b11000010100000000000000000000000 H -b11101111011111010010100000001001 2 -b11101111011111010010100000001001 = -b11111111011111010111000111110101 < -b11101111011111010010100000001001 : -b11101111111111111011011000010100 $ -b11101111111111111011011000010100 - -b11101111111111111011011000010100 5 -b11101111111111111011011000010100 ? -b11101111111111111011011000010100 D -b11111111011111010111000111110101 % -b11111111011111010111000111110101 . -b11111111011111010111000111110101 6 -b11111111011111010111000111110101 @ -b11111111011111010111000111110101 F -b11000010100000000000000000000000 ) -#430144000 -0& -#430160000 -b1101001000001 , -#430176000 -1& -#430192000 -b101100001100000000000000000000 " -b101100001100000000000000000000 4 -b11011111000110111000001001000000 1 -b11011111000110111000001001000000 C -b101100001100000000000000000000 0 -b101100001100000000000000000000 H -b10111110111101110010111000110111 2 -b10111110111101110010111000110111 = -b11011111110110111000101101110100 < -b10111110111101110010111000110111 : -b11011111000110111010001011000011 $ -b11011111000110111010001011000011 - -b11011111000110111010001011000011 5 -b11011111000110111010001011000011 ? -b11011111000110111010001011000011 D -b11011111110110111000101101110100 % -b11011111110110111000101101110100 . -b11011111110110111000101101110100 6 -b11011111110110111000101101110100 @ -b11011111110110111000101101110100 F -b101100001100000000000000000000 ) -#430208000 -0& -#430224000 -b1101001000010 , -#430240000 -1& -#430256000 -b1110111110011110001111000000000 " -b1110111110011110001111000000000 4 -b101001110111110010100001000000 1 -b101001110111110010100001000000 C -b1110111110011110001111000000000 0 -b1110111110011110001111000000000 H -b11100111110111101010011110111110 2 -b11100111110111101010011110111110 = -b101001111111110110101101000110 < -b11100111110111101010011110111110 : -b10111101110111110011110001111000 $ -b10111101110111110011110001111000 - -b10111101110111110011110001111000 5 -b10111101110111110011110001111000 ? -b10111101110111110011110001111000 D -b101001111111110110101101000110 % -b101001111111110110101101000110 . -b101001111111110110101101000110 6 -b101001111111110110101101000110 @ -b101001111111110110101101000110 F -b1110111110011110001111000000000 ) -#430272000 -0& -#430288000 -b1101001000011 , -#430304000 -1& -#430320000 -b11111010110111001000000000000000 " -b11111010110111001000000000000000 4 -b10011011110010110100101101000010 1 -b10011011110010110100101101000010 C -b11111010110111001000000000000000 0 -b11111010110111001000000000000000 H -19 -18 -b1111011101010110100011101000000 2 -b1111011101010110100011101000000 = -b10011111110111110101101111001110 < -b1111011101010110100011101000000 : -b11011011110010111110101101110010 $ -b11011011110010111110101101110010 - -b11011011110010111110101101110010 5 -b11011011110010111110101101110010 ? -b11011011110010111110101101110010 D -b10011111110111110101101111001110 % -b10011111110111110101101111001110 . -b10011111110111110101101111001110 6 -b10011111110111110101101111001110 @ -b10011111110111110101101111001110 F -b11111010110111001000000000000000 ) -#430336000 -0& -#430352000 -b1101001000100 , -#430368000 -1& -#430384000 -b1011111000000000000000000000000 " -b1011111000000000000000000000000 4 -b100111111111010010000010010000 1 -b100111111111010010000010010000 C -b1011111000000000000000000000000 0 -b1011111000000000000000000000000 H -08 -09 -b100111111111010010000010001101 2 -b100111111111010010000010001101 = -b10100111111111111110010110010101 < -b100111111111010010000010001101 : -b1111111111111010011101011111000 $ -b1111111111111010011101011111000 - -b1111111111111010011101011111000 5 -b1111111111111010011101011111000 ? -b1111111111111010011101011111000 D -b10100111111111111110010110010101 % -b10100111111111111110010110010101 . -b10100111111111111110010110010101 6 -b10100111111111111110010110010101 @ -b10100111111111111110010110010101 F -b1011111000000000000000000000000 ) -#430400000 -0& -#430416000 -b1101001000101 , -#430432000 -1& -#430448000 -b100000000000000000000000000 " -b100000000000000000000000000 4 -b10110100001111001000110000000000 1 -b10110100001111001000110000000000 C -b100000000000000000000000000 0 -b100000000000000000000000000 H -18 -b10110010001110111000101110011011 2 -b10110010001110111000101110011011 = -b11110100101111001101110100011010 < -b10110010001110111000101110011011 : -b10111101011111101010111010000001 $ -b10111101011111101010111010000001 - -b10111101011111101010111010000001 5 -b10111101011111101010111010000001 ? -b10111101011111101010111010000001 D -b11110100101111001101110100011010 % -b11110100101111001101110100011010 . -b11110100101111001101110100011010 6 -b11110100101111001101110100011010 @ -b11110100101111001101110100011010 F -b100000000000000000000000000 ) -#430464000 -0& -#430480000 -b1101001000110 , -#430496000 -1& -#430512000 -b11111110111000000000000000000000 " -b11111110111000000000000000000000 4 -b10111110101000101101011100100 1 -b10111110101000101101011100100 C -b11111110111000000000000000000000 0 -b11111110111000000000000000000000 H -08 -19 -b10010111110100101101101011100010 2 -b10010111110100101101101011100010 = -b111111110111000101101011110100 < -b10010111110100101101101011100010 : -b1010111111101100111111111101110 $ -b1010111111101100111111111101110 - -b1010111111101100111111111101110 5 -b1010111111101100111111111101110 ? -b1010111111101100111111111101110 D -b111111110111000101101011110100 % -b111111110111000101101011110100 . -b111111110111000101101011110100 6 -b111111110111000101101011110100 @ -b111111110111000101101011110100 F -b11111110111000000000000000000000 ) -#430528000 -0& -#430544000 -b1101001000111 , -#430560000 -1& -#430576000 -b1000011011001000000000000000000 " -b1000011011001000000000000000000 4 -b110100110101110001000000010000 1 -b110100110101110001000000010000 C -b1000011011001000000000000000000 0 -b1000011011001000000000000000000 H -09 -08 -b110100110101101110101100001011 2 -b110100110101101110101100001011 = -b10110111111101110101101000110010 < -b110100110101101110101100001011 : -b1111100110111111001000011011001 $ -b1111100110111111001000011011001 - -b1111100110111111001000011011001 5 -b1111100110111111001000011011001 ? -b1111100110111111001000011011001 D -b10110111111101110101101000110010 % -b10110111111101110101101000110010 . -b10110111111101110101101000110010 6 -b10110111111101110101101000110010 @ -b10110111111101110101101000110010 F -b1000011011001000000000000000000 ) -#430592000 -0& -#430608000 -b1101001001000 , -#430624000 -1& -#430640000 -1! -b0 " -b0 4 -b11110011010000001010100101000 1 -b11110011010000001010100101000 C -b0 0 -b0 H -18 -b11011110011001000111010011100110 2 -b11011110011001000111010011100110 = -b11111011011000001110110111110 < -1# -b11011110011001000111010011100110 : -b10111110111110000101011100101000 $ -b10111110111110000101011100101000 - -b10111110111110000101011100101000 5 -b10111110111110000101011100101000 ? -b10111110111110000101011100101000 D -b11111011011000001110110111110 % -b11111011011000001110110111110 . -b11111011011000001110110111110 6 -b11111011011000001110110111110 @ -b11111011011000001110110111110 F -b0 ) -b1 ( -#430656000 -0& -#430672000 -b1101001001001 , -#430688000 -1& -#430704000 -0! -b11010111101001011001000000000000 " -b11010111101001011001000000000000 4 -b10110100010011010100101001001000 1 -b10110100010011010100101001001000 C -b11010111101001011001000000000000 0 -b11010111101001011001000000000000 H -b10110100010011010100100011000101 2 -b10110100010011010100100011000101 = -b10111100011011111100111001101100 < -0# -b10110100010011010100100011000101 : -b11110111110111010111101001011001 $ -b11110111110111010111101001011001 - -b11110111110111010111101001011001 5 -b11110111110111010111101001011001 ? -b11110111110111010111101001011001 D -b10111100011011111100111001101100 % -b10111100011011111100111001101100 . -b10111100011011111100111001101100 6 -b10111100011011111100111001101100 @ -b10111100011011111100111001101100 F -b11010111101001011001000000000000 ) -b0 ( -#430720000 -0& -#430736000 -b1101001001010 , -#430752000 -1& -#430768000 -b10010101000000000010000000000000 " -b10010101000000000010000000000000 4 -b1111111111010010100000000000000 1 -b1111111111010010100000000000000 C -b10010101000000000010000000000000 0 -b10010101000000000010000000000000 H -08 -b1111111110110001001010001001110 2 -b1111111110110001001010001001110 = -b11111111111011110100010001001100 < -b1111111110110001001010001001110 : -b1111111111010010101000000000010 $ -b1111111111010010101000000000010 - -b1111111111010010101000000000010 5 -b1111111111010010101000000000010 ? -b1111111111010010101000000000010 D -b11111111111011110100010001001100 % -b11111111111011110100010001001100 . -b11111111111011110100010001001100 6 -b11111111111011110100010001001100 @ -b11111111111011110100010001001100 F -b10010101000000000010000000000000 ) -#430784000 -0& -#430800000 -b1101001001011 , -#430816000 -1& -#430832000 -1! -b0 " -b0 4 -b1011011001100110010100001011000 1 -b1011011001100110010100001011000 C -b0 0 -b0 H -19 -08 -b11011010111100110001011111010111 2 -b11011010111100110001011111010111 = -b1111111101111111010111001111111 < -1# -b11011010111100110001011111010111 : -b1011011001100110110100101011000 $ -b1011011001100110110100101011000 - -b1011011001100110110100101011000 5 -b1011011001100110110100101011000 ? -b1011011001100110110100101011000 D -b1111111101111111010111001111111 % -b1111111101111111010111001111111 . -b1111111101111111010111001111111 6 -b1111111101111111010111001111111 @ -b1111111101111111010111001111111 F -b0 ) -b1 ( -#430848000 -0& -#430864000 -b1101001001100 , -#430880000 -1& -#430896000 -0! -b11000011000010010100000000000000 " -b11000011000010010100000000000000 4 -b1000101011001110000110000000100 1 -b1000101011001110000110000000100 C -b11000011000010010100000000000000 0 -b11000011000010010100000000000000 H -09 -08 -b100101011001100111101110110011 2 -b100101011001100111101110110011 = -b11000101111001110110111110001110 < -0# -b100101011001100111101110110011 : -b1011111011111110000110000100101 $ -b1011111011111110000110000100101 - -b1011111011111110000110000100101 5 -b1011111011111110000110000100101 ? -b1011111011111110000110000100101 D -b11000101111001110110111110001110 % -b11000101111001110110111110001110 . -b11000101111001110110111110001110 6 -b11000101111001110110111110001110 @ -b11000101111001110110111110001110 F -b11000011000010010100000000000000 ) -b0 ( -#430912000 -0& -#430928000 -b1101001001101 , -#430944000 -1& -#430960000 -b10101111101111000000000000000000 " -b10101111101111000000000000000000 4 -b1111001111111010000001110000010 1 -b1111001111111010000001110000010 C -b10101111101111000000000000000000 0 -b10101111101111000000000000000000 H -b1111001111111001010111110000001 2 -b1111001111111001010111110000001 = -b1111001111111011000001110010010 < -b1111001111111001010111110000001 : -b11111111111111110010101111101111 $ -b11111111111111110010101111101111 - -b11111111111111110010101111101111 5 -b11111111111111110010101111101111 ? -b11111111111111110010101111101111 D -b1111001111111011000001110010010 % -b1111001111111011000001110010010 . -b1111001111111011000001110010010 6 -b1111001111111011000001110010010 @ -b1111001111111011000001110010010 F -b10101111101111000000000000000000 ) -#430976000 -0& -#430992000 -b1101001001110 , -#431008000 -1& -#431024000 -b11110001110000001110000000000000 " -b11110001110000001110000000000000 4 -b111010000110000011000000101 1 -b111010000110000011000000101 C -b11110001110000001110000000000000 0 -b11110001110000001110000000000000 H -18 -b11100111001010101010010110110100 2 -b11100111001010101010010110110100 = -b10010111011000110001011110101101 < -b11100111001010101010010110110100 : -b1001111110001111000111000000111 $ -b1001111110001111000111000000111 - -b1001111110001111000111000000111 5 -b1001111110001111000111000000111 ? -b1001111110001111000111000000111 D -b10010111011000110001011110101101 % -b10010111011000110001011110101101 . -b10010111011000110001011110101101 6 -b10010111011000110001011110101101 @ -b10010111011000110001011110101101 F -b11110001110000001110000000000000 ) -#431040000 -0& -#431056000 -b1101001001111 , -#431072000 -1& -#431088000 -b11001100101010000000000000000000 " -b11001100101010000000000000000000 4 -b1111000011111010010001000000010 1 -b1111000011111010010001000000010 C -b11001100101010000000000000000000 0 -b11001100101010000000000000000000 H -08 -19 -b11111000011111010010000111111100 2 -b11111000011111010010000111111100 = -b1111110011111010010111011010010 < -b11111000011111010010000111111100 : -b1111001111111111111001100101010 $ -b1111001111111111111001100101010 - -b1111001111111111111001100101010 5 -b1111001111111111111001100101010 ? -b1111001111111111111001100101010 D -b1111110011111010010111011010010 % -b1111110011111010010111011010010 . -b1111110011111010010111011010010 6 -b1111110011111010010111011010010 @ -b1111110011111010010111011010010 F -b11001100101010000000000000000000 ) -#431104000 -0& -#431120000 -b1101001010000 , -#431136000 -1& -#431152000 -b1111100000000000000000000000000 " -b1111100000000000000000000000000 4 -b10111101111001100000000000011010 1 -b10111101111001100000000000011010 C -b1111100000000000000000000000000 0 -b1111100000000000000000000000000 H -18 -09 -b10111011110011011110111011111001 2 -b10111011110011011110111011111001 = -b10111101111001101010010010011010 < -b10111011110011011110111011111001 : -b11111101111001110100101001011111 $ -b11111101111001110100101001011111 - -b11111101111001110100101001011111 5 -b11111101111001110100101001011111 ? -b11111101111001110100101001011111 D -b10111101111001101010010010011010 % -b10111101111001101010010010011010 . -b10111101111001101010010010011010 6 -b10111101111001101010010010011010 @ -b10111101111001101010010010011010 F -b1111100000000000000000000000000 ) -#431168000 -0& -#431184000 -b1101001010001 , -#431200000 -1& -#431216000 -b11101111110101100111001011100000 " -b11101111110101100111001011100000 4 -b11101111011110101011000010000101 1 -b11101111011110101011000010000101 C -b11101111110101100111001011100000 0 -b11101111110101100111001011100000 H -b11101111011110100110110001011100 2 -b11101111011110100110110001011100 = -b11111111111110111011100011000101 < -b11101111011110100110110001011100 : -b11101111011111101011001110010111 $ -b11101111011111101011001110010111 - -b11101111011111101011001110010111 5 -b11101111011111101011001110010111 ? -b11101111011111101011001110010111 D -b11111111111110111011100011000101 % -b11111111111110111011100011000101 . -b11111111111110111011100011000101 6 -b11111111111110111011100011000101 @ -b11111111111110111011100011000101 F -b11101111110101100111001011100000 ) -#431232000 -0& -#431248000 -b1101001010010 , -#431264000 -1& -#431280000 -b11111011111101010011111101001000 " -b11111011111101010011111101001000 4 -b10010001011111101000001111000001 1 -b10010001011111101000001111000001 C -b11111011111101010011111101001000 0 -b11111011111101010011111101001000 H -b10010001011111100011101110101100 2 -b10010001011111100011101110101100 = -b11010001111111111001001111000011 < -b10010001011111100011101110101100 : -b10111111011111101010011111101001 $ -b10111111011111101010011111101001 - -b10111111011111101010011111101001 5 -b10111111011111101010011111101001 ? -b10111111011111101010011111101001 D -b11010001111111111001001111000011 % -b11010001111111111001001111000011 . -b11010001111111111001001111000011 6 -b11010001111111111001001111000011 @ -b11010001111111111001001111000011 F -b11111011111101010011111101001000 ) -#431296000 -0& -#431312000 -b1101001010011 , -#431328000 -1& -#431344000 -b1101110100000000000000000000000 " -b1101110100000000000000000000000 4 -b101101111110100000000001010101 1 -b101101111110100000000001010101 C -b1101110100000000000000000000000 0 -b1101110100000000000000000000000 H -18 -09 -b11101101111110010010101001010100 2 -b11101101111110010010101001010100 = -b10101101111111110000100101110111 < -b11101101111110010010101001010100 : -b111111111110100010000011011101 $ -b111111111110100010000011011101 - -b111111111110100010000011011101 5 -b111111111110100010000011011101 ? -b111111111110100010000011011101 D -b10101101111111110000100101110111 % -b10101101111111110000100101110111 . -b10101101111111110000100101110111 6 -b10101101111111110000100101110111 @ -b10101101111111110000100101110111 F -b1101110100000000000000000000000 ) -#431360000 -0& -#431376000 -b1101001010100 , -#431392000 -1& -#431408000 -b10000000000000000000000000000 " -b10000000000000000000000000000 4 -b1110101000011111000000000001000 1 -b1110101000011111000000000001000 C -b10000000000000000000000000000 0 -b10000000000000000000000000000 H -08 -b1110100110011110001000101100001 2 -b1110100110011110001000101100001 = -b11110111100011111000000001011001 < -b1110100110011110001000101100001 : -b1111101001111111001000100001000 $ -b1111101001111111001000100001000 - -b1111101001111111001000100001000 5 -b1111101001111111001000100001000 ? -b1111101001111111001000100001000 D -b11110111100011111000000001011001 % -b11110111100011111000000001011001 . -b11110111100011111000000001011001 6 -b11110111100011111000000001011001 @ -b11110111100011111000000001011001 F -b10000000000000000000000000000 ) -#431424000 -0& -#431440000 -b1101001010101 , -#431456000 -1& -#431472000 -b11110110111010100101111010000000 " -b11110110111010100101111010000000 4 -b111100101100110101001000100100 1 -b111100101100110101001000100100 C -b11110110111010100101111010000000 0 -b11110110111010100101111010000000 H -b111100011010110101000100011001 2 -b111100011010110101000100011001 = -b1111100101100111111111000100101 < -b111100011010110101000100011001 : -b10111111101101110101001011110100 $ -b10111111101101110101001011110100 - -b10111111101101110101001011110100 5 -b10111111101101110101001011110100 ? -b10111111101101110101001011110100 D -b1111100101100111111111000100101 % -b1111100101100111111111000100101 . -b1111100101100111111111000100101 6 -b1111100101100111111111000100101 @ -b1111100101100111111111000100101 F -b11110110111010100101111010000000 ) -#431488000 -0& -#431504000 -b1101001010110 , -#431520000 -1& -#431536000 -b10111111111111011011000001101000 " -b10111111111111011011000001101000 4 -b1001101111111101000000000000000 1 -b1001101111111101000000000000000 C -b10111111111111011011000001101000 0 -b10111111111111011011000001101000 H -19 -08 -b10101101111111100111101101110101 2 -b10101101111111100111101101110101 = -b1001101111111111010001101000001 < -b10101101111111100111101101110101 : -b1011111111111101101100000110100 $ -b1011111111111101101100000110100 - -b1011111111111101101100000110100 5 -b1011111111111101101100000110100 ? -b1011111111111101101100000110100 D -b1001101111111111010001101000001 % -b1001101111111111010001101000001 . -b1001101111111111010001101000001 6 -b1001101111111111010001101000001 @ -b1001101111111111010001101000001 F -b10111111111111011011000001101000 ) -#431552000 -0& -#431568000 -b1101001010111 , -#431584000 -1& -#431600000 -b11010110101010110000110000000000 " -b11010110101010110000110000000000 4 -b1100101111101010000001000000010 1 -b1100101111101010000001000000010 C -b11010110101010110000110000000000 0 -b11010110101010110000110000000000 H -b11100101111101001010110011001101 2 -b11100101111101001010110011001101 = -b1111101111111110000001000001010 < -b11100101111101001010110011001101 : -b1100111111101011010101011000011 $ -b1100111111101011010101011000011 - -b1100111111101011010101011000011 5 -b1100111111101011010101011000011 ? -b1100111111101011010101011000011 D -b1111101111111110000001000001010 % -b1111101111111110000001000001010 . -b1111101111111110000001000001010 6 -b1111101111111110000001000001010 @ -b1111101111111110000001000001010 F -b11010110101010110000110000000000 ) -#431616000 -0& -#431632000 -b1101001011000 , -#431648000 -1& -#431664000 -b1011001000000000000000000000 " -b1011001000000000000000000000 4 -b111100000011110100000001010001 1 -b111100000011110100000001010001 C -b1011001000000000000000000000 0 -b1011001000000000000000000000 H -b10111011110111101011110000101110 2 -b10111011110111101011110000101110 = -b1111111000011110110001111010101 < -b10111011110111101011110000101110 : -b111100110011110101100001011001 $ -b111100110011110101100001011001 - -b111100110011110101100001011001 5 -b111100110011110101100001011001 ? -b111100110011110101100001011001 D -b1111111000011110110001111010101 % -b1111111000011110110001111010101 . -b1111111000011110110001111010101 6 -b1111111000011110110001111010101 @ -b1111111000011110110001111010101 F -b1011001000000000000000000000 ) -#431680000 -0& -#431696000 -b1101001011001 , -#431712000 -1& -#431728000 -b10111111100100100001101000000000 " -b10111111100100100001101000000000 4 -b1011001100110010100000000001001 1 -b1011001100110010100000000001001 C -b10111111100100100001101000000000 0 -b10111111100100100001101000000000 H -b11010111011110010001101100010110 2 -b11010111011110010001101100010110 = -b1111001100110010101001000001001 < -b11010111011110010001101100010110 : -b1011101110111111100100100001101 $ -b1011101110111111100100100001101 - -b1011101110111111100100100001101 5 -b1011101110111111100100100001101 ? -b1011101110111111100100100001101 D -b1111001100110010101001000001001 % -b1111001100110010101001000001001 . -b1111001100110010101001000001001 6 -b1111001100110010101001000001001 @ -b1111001100110010101001000001001 F -b10111111100100100001101000000000 ) -#431744000 -0& -#431760000 -b1101001011010 , -#431776000 -1& -#431792000 -b110000000000000000000000000000 " -b110000000000000000000000000000 4 -b11010101000110011001011001000000 1 -b11010101000110011001011001000000 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -18 -09 -b11010101000110011000110110110111 2 -b11010101000110011000110110110111 = -b11011111001110011111011101010111 < -b11010101000110011000110110110111 : -b11110101110111111001011001100000 $ -b11110101110111111001011001100000 - -b11110101110111111001011001100000 5 -b11110101110111111001011001100000 ? -b11110101110111111001011001100000 D -b11011111001110011111011101010111 % -b11011111001110011111011101010111 . -b11011111001110011111011101010111 6 -b11011111001110011111011101010111 @ -b11011111001110011111011101010111 F -b110000000000000000000000000000 ) -#431808000 -0& -#431824000 -b1101001011011 , -#431840000 -1& -#431856000 -b11101111110110010111111000000000 " -b11101111110110010111111000000000 4 -b1001001010101011000000000001001 1 -b1001001010101011000000000001001 C -b11101111110110010111111000000000 0 -b11101111110110010111111000000000 H -08 -b1001000110101010111111111001000 2 -b1001000110101010111111111001000 = -b1011111010111011001001100001001 < -b1001000110101010111111111001000 : -b11101001011101111110110010111111 $ -b11101001011101111110110010111111 - -b11101001011101111110110010111111 5 -b11101001011101111110110010111111 ? -b11101001011101111110110010111111 D -b1011111010111011001001100001001 % -b1011111010111011001001100001001 . -b1011111010111011001001100001001 6 -b1011111010111011001001100001001 @ -b1011111010111011001001100001001 F -b11101111110110010111111000000000 ) -#431872000 -0& -#431888000 -b1101001011100 , -#431904000 -1& -#431920000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1011110111110100010010110000100 1 -b1011110111110100010010110000100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b111110111101100001110110000001 2 -b111110111101100001110110000001 = -b11011111111110101110010111111101 < -b111110111101100001110110000001 : -b1011110111110110011011110000100 $ -b1011110111110110011011110000100 - -b1011110111110110011011110000100 5 -b1011110111110110011011110000100 ? -b1011110111110110011011110000100 D -b11011111111110101110010111111101 % -b11011111111110101110010111111101 . -b11011111111110101110010111111101 6 -b11011111111110101110010111111101 @ -b11011111111110101110010111111101 F -b10000000000000000000000000000000 ) -#431936000 -0& -#431952000 -b1101001011101 , -#431968000 -1& -#431984000 -b11111110111010110100000000000000 " -b11111110111010110100000000000000 4 -b1010111110001010000011010000100 1 -b1010111110001010000011010000100 C -b11111110111010110100000000000000 0 -b11111110111010110100000000000000 H -19 -08 -b11010111101001010000010101000000 2 -b11010111101001010000010101000000 = -b1111111110101010001011010001100 < -b11010111101001010000010101000000 : -b1010111110011111110111010110100 $ -b1010111110011111110111010110100 - -b1010111110011111110111010110100 5 -b1010111110011111110111010110100 ? -b1010111110011111110111010110100 D -b1111111110101010001011010001100 % -b1111111110101010001011010001100 . -b1111111110101010001011010001100 6 -b1111111110101010001011010001100 @ -b1111111110101010001011010001100 F -b11111110111010110100000000000000 ) -#432000000 -0& -#432016000 -b1101001011110 , -#432032000 -1& -#432048000 -b10111111010011101110000011010100 " -b10111111010011101110000011010100 4 -b1001111101001010111000000000000 1 -b1001111101001010111000000000000 C -b10111111010011101110000011010100 0 -b10111111010011101110000011010100 H -b11001111101001001110110101101011 2 -b11001111101001001110110101101011 = -b1101111111111010111110100000001 < -b11001111101001001110110101101011 : -b1011111101001110111000001101010 $ -b1011111101001110111000001101010 - -b1011111101001110111000001101010 5 -b1011111101001110111000001101010 ? -b1011111101001110111000001101010 D -b1101111111111010111110100000001 % -b1101111111111010111110100000001 . -b1101111111111010111110100000001 6 -b1101111111111010111110100000001 @ -b1101111111111010111110100000001 F -b10111111010011101110000011010100 ) -#432064000 -0& -#432080000 -b1101001011111 , -#432096000 -1& -#432112000 -1! -b0 " -b0 4 -b1011110011000110010000100000110 1 -b1011110011000110010000100000110 C -b0 0 -b0 H -09 -08 -b1011110011000110001110010000101 2 -b1011110011000110001110010000101 = -b1011110011000111111100100111111 < -1# -b1011110011000110001110010000101 : -b11111111111111110010001101000110 $ -b11111111111111110010001101000110 - -b11111111111111110010001101000110 5 -b11111111111111110010001101000110 ? -b11111111111111110010001101000110 D -b1011110011000111111100100111111 % -b1011110011000111111100100111111 . -b1011110011000111111100100111111 6 -b1011110011000111111100100111111 @ -b1011110011000111111100100111111 F -b0 ) -b1 ( -#432128000 -0& -#432144000 -b1101001100000 , -#432160000 -1& -#432176000 -0! -b11100001011001001100000000000000 " -b11100001011001001100000000000000 4 -b100011000010000000001100100100 1 -b100011000010000000001100100100 C -b11100001011001001100000000000000 0 -b11100001011001001100000000000000 H -b100010100001111101111010010011 2 -b100010100001111101111010010011 = -b101011000010001101001101101101 < -0# -b100010100001111101111010010011 : -b11110111011111110000101100100110 $ -b11110111011111110000101100100110 - -b11110111011111110000101100100110 5 -b11110111011111110000101100100110 ? -b11110111011111110000101100100110 D -b101011000010001101001101101101 % -b101011000010001101001101101101 . -b101011000010001101001101101101 6 -b101011000010001101001101101101 @ -b101011000010001101001101101101 F -b11100001011001001100000000000000 ) -b0 ( -#432192000 -0& -#432208000 -b1101001100001 , -#432224000 -1& -#432240000 -b1111001100000101100000000000000 " -b1111001100000101100000000000000 4 -b10111000000010010000000000001000 1 -b10111000000010010000000000001000 C -b1111001100000101100000000000000 0 -b1111001100000101100000000000000 H -18 -b10111000000010000011000101100011 2 -b10111000000010000011000101100011 = -b11111101110110010000000100001011 < -b10111000000010000011000101100011 : -b10111010001011110011000001011000 $ -b10111010001011110011000001011000 - -b10111010001011110011000001011000 5 -b10111010001011110011000001011000 ? -b10111010001011110011000001011000 D -b11111101110110010000000100001011 % -b11111101110110010000000100001011 . -b11111101110110010000000100001011 6 -b11111101110110010000000100001011 @ -b11111101110110010000000100001011 F -b1111001100000101100000000000000 ) -#432256000 -0& -#432272000 -b1101001100010 , -#432288000 -1& -#432304000 -b11011110000000000000000000000 " -b11011110000000000000000000000 4 -b1111110001111000000100011010100 1 -b1111110001111000000100011010100 C -b11011110000000000000000000000 0 -b11011110000000000000000000000 H -08 -b1111110001111000000011110110011 2 -b1111110001111000000011110110011 = -b11111110001111011011111011010101 < -b1111110001111000000011110110011 : -b1111111111111100100100011011110 $ -b1111111111111100100100011011110 - -b1111111111111100100100011011110 5 -b1111111111111100100100011011110 ? -b1111111111111100100100011011110 D -b11111110001111011011111011010101 % -b11111110001111011011111011010101 . -b11111110001111011011111011010101 6 -b11111110001111011011111011010101 @ -b11111110001111011011111011010101 F -b11011110000000000000000000000 ) -#432320000 -0& -#432336000 -b1101001100011 , -#432352000 -1& -#432368000 -b1011110000000000000000000000 " -b1011110000000000000000000000 4 -b10111100101000100000000101110000 1 -b10111100101000100000000101110000 C -b1011110000000000000000000000 0 -b1011110000000000000000000000 H -18 -b10111100100100011011111101101011 2 -b10111100100100011011111101101011 = -b11111111101011110001110111110011 < -b10111100100100011011111101101011 : -b10111100111000101010000101111000 $ -b10111100111000101010000101111000 - -b10111100111000101010000101111000 5 -b10111100111000101010000101111000 ? -b10111100111000101010000101111000 D -b11111111101011110001110111110011 % -b11111111101011110001110111110011 . -b11111111101011110001110111110011 6 -b11111111101011110001110111110011 @ -b11111111101011110001110111110011 F -b1011110000000000000000000000 ) -#432384000 -0& -#432400000 -b1101001100100 , -#432416000 -1& -#432432000 -b11111110000000000000000000000000 " -b11111110000000000000000000000000 4 -b10011101101101001001100010000 1 -b10011101101101001001100010000 C -b11111110000000000000000000000000 0 -b11111110000000000000000000000000 H -08 -b10011101101100101001100001110 2 -b10011101101100101001100001110 = -b10010011111111111011001100010110 < -b10011101101100101001100001110 : -b1111111101101101001111111111000 $ -b1111111101101101001111111111000 - -b1111111101101101001111111111000 5 -b1111111101101101001111111111000 ? -b1111111101101101001111111111000 D -b10010011111111111011001100010110 % -b10010011111111111011001100010110 . -b10010011111111111011001100010110 6 -b10010011111111111011001100010110 @ -b10010011111111111011001100010110 F -b11111110000000000000000000000000 ) -#432448000 -0& -#432464000 -b1101001100101 , -#432480000 -1& -#432496000 -b11101101010011110000100000000000 " -b11101101010011110000100000000000 4 -b110111100101011010100000000001 1 -b110111100101011010100000000001 C -b11101101010011110000100000000000 0 -b11101101010011110000100000000000 H -19 -08 -b10110111100101010110010111101100 2 -b10110111100101010110010111101100 = -b111111111101111011110000001011 < -b10110111100101010110010111101100 : -b1110111100111011010100111100001 $ -b1110111100111011010100111100001 - -b1110111100111011010100111100001 5 -b1110111100111011010100111100001 ? -b1110111100111011010100111100001 D -b111111111101111011110000001011 % -b111111111101111011110000001011 . -b111111111101111011110000001011 6 -b111111111101111011110000001011 @ -b111111111101111011110000001011 F -b11101101010011110000100000000000 ) -#432512000 -0& -#432528000 -b1101001100110 , -#432544000 -1& -#432560000 -b11001011100110001011000111000000 " -b11001011100110001011000111000000 4 -b1101000101010010000001100000100 1 -b1101000101010010000001100000100 C -b11001011100110001011000111000000 0 -b11001011100110001011000111000000 H -09 -08 -b1101000101001110000001000100000 2 -b1101000101001110000001000100000 = -b1111011111011010111011100000100 < -b1101000101001110000001000100000 : -b11101100101110011000101100011100 $ -b11101100101110011000101100011100 - -b11101100101110011000101100011100 5 -b11101100101110011000101100011100 ? -b11101100101110011000101100011100 D -b1111011111011010111011100000100 % -b1111011111011010111011100000100 . -b1111011111011010111011100000100 6 -b1111011111011010111011100000100 @ -b1111011111011010111011100000100 F -b11001011100110001011000111000000 ) -#432576000 -0& -#432592000 -b1101001100111 , -#432608000 -1& -#432624000 -b10010101000000000000000000000000 " -b10010101000000000000000000000000 4 -b1101111101001101110111001010100 1 -b1101111101001101110111001010100 C -b10010101000000000000000000000000 0 -b10010101000000000000000000000000 H -b1101111101001101110110100101010 2 -b1101111101001101110110100101010 = -b1111111111001101110111011010110 < -b1101111101001101110110100101010 : -b11101111101111111111111001010100 $ -b11101111101111111111111001010100 - -b11101111101111111111111001010100 5 -b11101111101111111111111001010100 ? -b11101111101111111111111001010100 D -b1111111111001101110111011010110 % -b1111111111001101110111011010110 . -b1111111111001101110111011010110 6 -b1111111111001101110111011010110 @ -b1111111111001101110111011010110 F -b10010101000000000000000000000000 ) -#432640000 -0& -#432656000 -b1101001101000 , -#432672000 -1& -#432688000 -b1011111111111001101111010111100 " -b1011111111111001101111010111100 4 -b1000111101011110001000000100010 1 -b1000111101011110001000000100010 C -b1011111111111001101111010111100 0 -b1011111111111001101111010111100 H -b111111101011100100111111010001 2 -b111111101011100100111111010001 = -b11100111101011110001100000100010 < -b111111101011100100111111010001 : -b1010111111111110011011110101111 $ -b1010111111111110011011110101111 - -b1010111111111110011011110101111 5 -b1010111111111110011011110101111 ? -b1010111111111110011011110101111 D -b11100111101011110001100000100010 % -b11100111101011110001100000100010 . -b11100111101011110001100000100010 6 -b11100111101011110001100000100010 @ -b11100111101011110001100000100010 F -b1011111111111001101111010111100 ) -#432704000 -0& -#432720000 -b1101001101001 , -#432736000 -1& -#432752000 -b11001011111101111001100000100000 " -b11001011111101111001100000100000 4 -b1011001011100100000001000000000 1 -b1011001011100100000001000000000 C -b11001011111101111001100000100000 0 -b11001011111101111001100000100000 H -b1010010111100011111100101100111 2 -b1010010111100011111100101100111 = -b11011001011100110000011001100011 < -b1010010111100011111100101100111 : -b1111001011111101111001100000100 $ -b1111001011111101111001100000100 - -b1111001011111101111001100000100 5 -b1111001011111101111001100000100 ? -b1111001011111101111001100000100 D -b11011001011100110000011001100011 % -b11011001011100110000011001100011 . -b11011001011100110000011001100011 6 -b11011001011100110000011001100011 @ -b11011001011100110000011001100011 F -b11001011111101111001100000100000 ) -#432768000 -0& -#432784000 -b1101001101010 , -#432800000 -1& -#432816000 -b11110000000111101100010000000000 " -b11110000000111101100010000000000 4 -b1110111001011000000010100100000 1 -b1110111001011000000010100100000 C -b11110000000111101100010000000000 0 -b11110000000111101100010000000000 H -19 -08 -b11110111001010111010010100011011 2 -b11110111001010111010010100011011 = -b1110111101011111001110101101010 < -b11110111001010111010010100011011 : -b1111111011111000000011110110001 $ -b1111111011111000000011110110001 - -b1111111011111000000011110110001 5 -b1111111011111000000011110110001 ? -b1111111011111000000011110110001 D -b1110111101011111001110101101010 % -b1110111101011111001110101101010 . -b1110111101011111001110101101010 6 -b1110111101011111001110101101010 @ -b1110111101011111001110101101010 F -b11110000000111101100010000000000 ) -#432832000 -0& -#432848000 -b1101001101011 , -#432864000 -1& -#432880000 -b1111100010110000000010000000000 " -b1111100010110000000010000000000 4 -b10101111110110110000001000000000 1 -b10101111110110110000001000000000 C -b1111100010110000000010000000000 0 -b1111100010110000000010000000000 H -18 -09 -b10101111110110100101100001001011 2 -b10101111110110100101100001001011 = -b11101111111110110100001001001010 < -b10101111110110100101100001001011 : -b10111111110111110001011000000001 $ -b10111111110111110001011000000001 - -b10111111110111110001011000000001 5 -b10111111110111110001011000000001 ? -b10111111110111110001011000000001 D -b11101111111110110100001001001010 % -b11101111111110110100001001001010 . -b11101111111110110100001001001010 6 -b11101111111110110100001001001010 @ -b11101111111110110100001001001010 F -b1111100010110000000010000000000 ) -#432896000 -0& -#432912000 -b1101001101100 , -#432928000 -1& -#432944000 -b1000011110000000000000000000000 " -b1000011110000000000000000000000 4 -b1111010110000010000000101000000 1 -b1111010110000010000000101000000 C -b1000011110000000000000000000000 0 -b1000011110000000000000000000000 H -08 -19 -b11111010101110001101100100010000 2 -b11111010101110001101100100010000 = -b1111110110001111001010101010000 < -b11111010101110001101100100010000 : -b1111011111100010100001111000000 $ -b1111011111100010100001111000000 - -b1111011111100010100001111000000 5 -b1111011111100010100001111000000 ? -b1111011111100010100001111000000 D -b1111110110001111001010101010000 % -b1111110110001111001010101010000 . -b1111110110001111001010101010000 6 -b1111110110001111001010101010000 @ -b1111110110001111001010101010000 F -b1000011110000000000000000000000 ) -#432960000 -0& -#432976000 -b1101001101101 , -#432992000 -1& -#433008000 -b1010111001001100000000000000000 " -b1010111001001100000000000000000 4 -b10100111000101110001000000100000 1 -b10100111000101110001000000100000 C -b1010111001001100000000000000000 0 -b1010111001001100000000000000000 H -18 -09 -b10100111000101101110111101010110 2 -b10100111000101101110111101010110 = -b10100111110111111001100000110000 < -b10100111000101101110111101010110 : -b11111111001101110101011100100110 $ -b11111111001101110101011100100110 - -b11111111001101110101011100100110 5 -b11111111001101110101011100100110 ? -b11111111001101110101011100100110 D -b10100111110111111001100000110000 % -b10100111110111111001100000110000 . -b10100111110111111001100000110000 6 -b10100111110111111001100000110000 @ -b10100111110111111001100000110000 F -b1010111001001100000000000000000 ) -#433024000 -0& -#433040000 -b1101001101110 , -#433056000 -1& -#433072000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b10011011001010111010000000001100 1 -b10011011001010111010000000001100 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -19 -18 -b1111010011010110101111110101010 2 -b1111010011010110101111110101010 = -b11011111001111111010110110011100 < -b1111010011010110101111110101010 : -b10011011001010111011001000001110 $ -b10011011001010111011001000001110 - -b10011011001010111011001000001110 5 -b10011011001010111011001000001110 ? -b10011011001010111011001000001110 D -b11011111001111111010110110011100 % -b11011111001111111010110110011100 . -b11011111001111111010110110011100 6 -b11011111001111111010110110011100 @ -b11011111001111111010110110011100 F -b11100000000000000000000000000000 ) -#433088000 -0& -#433104000 -b1101001101111 , -#433120000 -1& -#433136000 -b10111000001000000000000000000000 " -b10111000001000000000000000000000 4 -b111101100011100100100000000000 1 -b111101100011100100100000000000 C -b10111000001000000000000000000000 0 -b10111000001000000000000000000000 H -08 -09 -b111101011011011011011111111010 2 -b111101011011011011011111111010 = -b11111111110011100100100111110010 < -b111101011011011011011111111010 : -b111101100111110110111000001000 $ -b111101100111110110111000001000 - -b111101100111110110111000001000 5 -b111101100111110110111000001000 ? -b111101100111110110111000001000 D -b11111111110011100100100111110010 % -b11111111110011100100100111110010 . -b11111111110011100100100111110010 6 -b11111111110011100100100111110010 @ -b11111111110011100100100111110010 F -b10111000001000000000000000000000 ) -#433152000 -0& -#433168000 -b1101001110000 , -#433184000 -1& -#433200000 -b10111101111110110011100000000000 " -b10111101111110110011100000000000 4 -b11110011110111101011000000000000 1 -b11110011110111101011000000000000 C -b10111101111110110011100000000000 0 -b10111101111110110011100000000000 H -18 -b11110011101111100110111111100100 2 -b11110011101111100110111111100100 = -b11110111110111101011110001100100 < -b11110011101111100110111111100100 : -b11111011110111111011001110000000 $ -b11111011110111111011001110000000 - -b11111011110111111011001110000000 5 -b11111011110111111011001110000000 ? -b11111011110111111011001110000000 D -b11110111110111101011110001100100 % -b11110111110111101011110001100100 . -b11110111110111101011110001100100 6 -b11110111110111101011110001100100 @ -b11110111110111101011110001100100 F -b10111101111110110011100000000000 ) -#433216000 -0& -#433232000 -b1101001110001 , -#433248000 -1& -#433264000 -b11101100010010111010100000000000 " -b11101100010010111010100000000000 4 -b1110101111101000000010010000000 1 -b1110101111101000000010010000000 C -b11101100010010111010100000000000 0 -b11101100010010111010100000000000 H -08 -b1110101111100111000001001111101 2 -b1110101111100111000001001111101 = -b11110111111111010101110010101001 < -b1110101111100111000001001111101 : -b1111101111101100010010111010100 $ -b1111101111101100010010111010100 - -b1111101111101100010010111010100 5 -b1111101111101100010010111010100 ? -b1111101111101100010010111010100 D -b11110111111111010101110010101001 % -b11110111111111010101110010101001 . -b11110111111111010101110010101001 6 -b11110111111111010101110010101001 @ -b11110111111111010101110010101001 F -b11101100010010111010100000000000 ) -#433280000 -0& -#433296000 -b1101001110010 , -#433312000 -1& -#433328000 -b11111111101111011001010111111000 " -b11111111101111011001010111111000 4 -b101111100111011001010100000000 1 -b101111100111011001010100000000 C -b11111111101111011001010111111000 0 -b11111111101111011001010111111000 H -b101111100110110111001011111000 2 -b101111100110110111001011111000 = -b101111110111011101110100000000 < -b101111100110110111001011111000 : -b11111111101111011001010111111000 $ -b11111111101111011001010111111000 - -b11111111101111011001010111111000 5 -b11111111101111011001010111111000 ? -b11111111101111011001010111111000 D -b101111110111011101110100000000 % -b101111110111011101110100000000 . -b101111110111011101110100000000 6 -b101111110111011101110100000000 @ -b101111110111011101110100000000 F -b11111111101111011001010111111000 ) -#433344000 -0& -#433360000 -b1101001110011 , -#433376000 -1& -#433392000 -b10011111011010000110111100000000 " -b10011111011010000110111100000000 4 -b1111101000110101000000011000110 1 -b1111101000110101000000011000110 C -b10011111011010000110111100000000 0 -b10011111011010000110111100000000 H -b1111101000110010111101010100101 2 -b1111101000110010111101010100101 = -b11111101110110101010100111000111 < -b1111101000110010111101010100101 : -b1111111001111101101000011011110 $ -b1111111001111101101000011011110 - -b1111111001111101101000011011110 5 -b1111111001111101101000011011110 ? -b1111111001111101101000011011110 D -b11111101110110101010100111000111 % -b11111101110110101010100111000111 . -b11111101110110101010100111000111 6 -b11111101110110101010100111000111 @ -b11111101110110101010100111000111 F -b10011111011010000110111100000000 ) -#433408000 -0& -#433424000 -b1101001110100 , -#433440000 -1& -#433456000 -b11111010000000000000000000000000 " -b11111010000000000000000000000000 4 -b10111101111000010110010000111000 1 -b10111101111000010110010000111000 C -b11111010000000000000000000000000 0 -b11111010000000000000000000000000 H -18 -b10111011111000010110010000110010 2 -b10111011111000010110010000110010 = -b11111101111000111110110000111000 < -b10111011111000010110010000110010 : -b10111101111111010111011111111010 $ -b10111101111111010111011111111010 - -b10111101111111010111011111111010 5 -b10111101111111010111011111111010 ? -b10111101111111010111011111111010 D -b11111101111000111110110000111000 % -b11111101111000111110110000111000 . -b11111101111000111110110000111000 6 -b11111101111000111110110000111000 @ -b11111101111000111110110000111000 F -b11111010000000000000000000000000 ) -#433472000 -0& -#433488000 -b1101001110101 , -#433504000 -1& -#433520000 -b11100101111010100010001011000000 " -b11100101111010100010001011000000 4 -b1001111100101110000100000000010 1 -b1001111100101110000100000000010 C -b11100101111010100010001011000000 0 -b11100101111010100010001011000000 H -08 -19 -b11001111010011101100010110010001 2 -b11001111010011101100010110010001 = -b1011111101101110001110100000110 < -b11001111010011101100010110010001 : -b1101111100101111010100010001011 $ -b1101111100101111010100010001011 - -b1101111100101111010100010001011 5 -b1101111100101111010100010001011 ? -b1101111100101111010100010001011 D -b1011111101101110001110100000110 % -b1011111101101110001110100000110 . -b1011111101101110001110100000110 6 -b1011111101101110001110100000110 @ -b1011111101101110001110100000110 F -b11100101111010100010001011000000 ) -#433536000 -0& -#433552000 -b1101001110110 , -#433568000 -1& -#433584000 -b1011101110100100010100000000 " -b1011101110100100010100000000 4 -b1101100001011101010100100000100 1 -b1101100001011101010100100000100 C -b1011101110100100010100000000 0 -b1011101110100100010100000000 H -09 -08 -b1101100001011011001010010111010 2 -b1101100001011011001010010111010 = -b1111111111111101010101110100110 < -b1101100001011011001010010111010 : -b11101100001011101110100100010100 $ -b11101100001011101110100100010100 - -b11101100001011101110100100010100 5 -b11101100001011101110100100010100 ? -b11101100001011101110100100010100 D -b1111111111111101010101110100110 % -b1111111111111101010101110100110 . -b1111111111111101010101110100110 6 -b1111111111111101010101110100110 @ -b1111111111111101010101110100110 F -b1011101110100100010100000000 ) -#433600000 -0& -#433616000 -b1101001110111 , -#433632000 -1& -#433648000 -b11100100001001011000110001111110 " -b11100100001001011000110001111110 4 -b1010000000100100000010000000001 1 -b1010000000100100000010000000001 C -b11100100001001011000110001111110 0 -b11100100001001011000110001111110 H -b1001110111011011111001001000000 2 -b1001110111011011111001001000000 = -b11011100110110110010110000000001 < -b1001110111011011111001001000000 : -b1110010000100101100011000111111 $ -b1110010000100101100011000111111 - -b1110010000100101100011000111111 5 -b1110010000100101100011000111111 ? -b1110010000100101100011000111111 D -b11011100110110110010110000000001 % -b11011100110110110010110000000001 . -b11011100110110110010110000000001 6 -b11011100110110110010110000000001 @ -b11011100110110110010110000000001 F -b11100100001001011000110001111110 ) -#433664000 -0& -#433680000 -b1101001111000 , -#433696000 -1& -#433712000 -b10101011000111000000000000000000 " -b10101011000111000000000000000000 4 -b111101111110000001010000000000 1 -b111101111110000001010000000000 C -b10101011000111000000000000000000 0 -b10101011000111000000000000000000 H -b111011111101110001001111111111 2 -b111011111101110001001111111111 = -b11111101111110101011111001110001 < -b111011111101110001001111111111 : -b111101111111000101010110001110 $ -b111101111111000101010110001110 - -b111101111111000101010110001110 5 -b111101111111000101010110001110 ? -b111101111111000101010110001110 D -b11111101111110101011111001110001 % -b11111101111110101011111001110001 . -b11111101111110101011111001110001 6 -b11111101111110101011111001110001 @ -b11111101111110101011111001110001 F -b10101011000111000000000000000000 ) -#433728000 -0& -#433744000 -b1101001111001 , -#433760000 -1& -#433776000 -b10101111100101001010011001100000 " -b10101111100101001010011001100000 4 -b1100011110000010010100100001 1 -b1100011110000010010100100001 C -b10101111100101001010011001100000 0 -b10101111100101001010011001100000 H -18 -b11111100011101101110001001011000 2 -b11111100011101101110001001011000 = -b11001110111110100011110100100101 < -b11111100011101101110001001011000 : -b101101011111001010010100110011 $ -b101101011111001010010100110011 - -b101101011111001010010100110011 5 -b101101011111001010010100110011 ? -b101101011111001010010100110011 D -b11001110111110100011110100100101 % -b11001110111110100011110100100101 . -b11001110111110100011110100100101 6 -b11001110111110100011110100100101 @ -b11001110111110100011110100100101 F -b10101111100101001010011001100000 ) -#433792000 -0& -#433808000 -b1101001111010 , -#433824000 -1& -#433840000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1110110111101001000000000011000 1 -b1110110111101001000000000011000 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b11101101111100110111111110110100 2 -b11101101111100110111111110110100 = -b1110110111111001000001010011100 < -b11101101111100110111111110110100 : -b1110110111101101111110100011000 $ -b1110110111101101111110100011000 - -b1110110111101101111110100011000 5 -b1110110111101101111110100011000 ? -b1110110111101101111110100011000 D -b1110110111111001000001010011100 % -b1110110111111001000001010011100 . -b1110110111111001000001010011100 6 -b1110110111111001000001010011100 @ -b1110110111111001000001010011100 F -b10000000000000000000000000000000 ) -#433856000 -0& -#433872000 -b1101001111011 , -#433888000 -1& -#433904000 -b1001011110111100111011100100110 " -b1001011110111100111011100100110 4 -b100101011011110011100000000001 1 -b100101011011110011100000000001 C -b1001011110111100111011100100110 0 -b1001011110111100111011100100110 H -b10100101011011110011001110110100 2 -b10100101011011110011001110110100 = -b1111111011111111111100000100001 < -b10100101011011110011001110110100 : -b100101111011110011101110010011 $ -b100101111011110011101110010011 - -b100101111011110011101110010011 5 -b100101111011110011101110010011 ? -b100101111011110011101110010011 D -b1111111011111111111100000100001 % -b1111111011111111111100000100001 . -b1111111011111111111100000100001 6 -b1111111011111111111100000100001 @ -b1111111011111111111100000100001 F -b1001011110111100111011100100110 ) -#433920000 -0& -#433936000 -b1101001111100 , -#433952000 -1& -#433968000 -b11110100000000000000000000000 " -b11110100000000000000000000000 4 -b1111111011001110110101000010101 1 -b1111111011001110110101000010101 C -b11110100000000000000000000000 0 -b11110100000000000000000000000 H -b11111111011001110110100010010100 2 -b11111111011001110110100010010100 = -b1111111111111110110101001010111 < -b11111111011001110110100010010100 : -b1111111011001111111111000111101 $ -b1111111011001111111111000111101 - -b1111111011001111111111000111101 5 -b1111111011001111111111000111101 ? -b1111111011001111111111000111101 D -b1111111111111110110101001010111 % -b1111111111111110110101001010111 . -b1111111111111110110101001010111 6 -b1111111111111110110101001010111 @ -b1111111111111110110101001010111 F -b11110100000000000000000000000 ) -#433984000 -0& -#434000000 -b1101001111101 , -#434016000 -1& -#434032000 -b1111101100111111101000000000000 " -b1111101100111111101000000000000 4 -b10110111000111100000010010000000 1 -b10110111000111100000010010000000 C -b1111101100111111101000000000000 0 -b1111101100111111101000000000000 H -18 -09 -b10110111000111100000010001111110 2 -b10110111000111100000010001111110 = -b11111111011111101001110010001010 < -b10110111000111100000010001111110 : -b10110111100111110110011111110100 $ -b10110111100111110110011111110100 - -b10110111100111110110011111110100 5 -b10110111100111110110011111110100 ? -b10110111100111110110011111110100 D -b11111111011111101001110010001010 % -b11111111011111101001110010001010 . -b11111111011111101001110010001010 6 -b11111111011111101001110010001010 @ -b11111111011111101001110010001010 F -b1111101100111111101000000000000 ) -#434048000 -0& -#434064000 -b1101001111110 , -#434080000 -1& -#434096000 -b10111001101100100010000000000000 " -b10111001101100100010000000000000 4 -b111000010100101000000000100000 1 -b111000010100101000000000100000 C -b10111001101100100010000000000000 0 -b10111001101100100010000000000000 H -08 -b110101010100100101111111001110 2 -b110101010100100101111111001110 = -b11111000010101101100010010101100 < -b110101010100100101111111001110 : -b111100111110111001101100100010 $ -b111100111110111001101100100010 - -b111100111110111001101100100010 5 -b111100111110111001101100100010 ? -b111100111110111001101100100010 D -b11111000010101101100010010101100 % -b11111000010101101100010010101100 . -b11111000010101101100010010101100 6 -b11111000010101101100010010101100 @ -b11111000010101101100010010101100 F -b10111001101100100010000000000000 ) -#434112000 -0& -#434128000 -b1101001111111 , -#434144000 -1& -#434160000 -1! -b0 " -b0 4 -b11101101001111001000000000010000 1 -b11101101001111001000000000010000 C -b0 0 -b0 H -18 -b11101011001111000010111000001110 2 -b11101011001111000010111000001110 = -b11101101101111101000010111111110 < -1# -b11101011001111000010111000001110 : -b11111101011111011010100000010000 $ -b11111101011111011010100000010000 - -b11111101011111011010100000010000 5 -b11111101011111011010100000010000 ? -b11111101011111011010100000010000 D -b11101101101111101000010111111110 % -b11101101101111101000010111111110 . -b11101101101111101000010111111110 6 -b11101101101111101000010111111110 @ -b11101101101111101000010111111110 F -b0 ) -b1 ( -#434176000 -0& -#434192000 -b1101010000000 , -#434208000 -1& -#434224000 -0! -b111000000000000000000000000000 " -b111000000000000000000000000000 4 -b11110101110110000101100011000 1 -b11110101110110000101100011000 C -b111000000000000000000000000000 0 -b111000000000000000000000000000 H -08 -b11110101110100010011010110101 2 -b11110101110100010011010110101 = -b11111110111110110000101100011001 < -0# -b11110101110100010011010110101 : -b11111101111110001101110011100 $ -b11111101111110001101110011100 - -b11111101111110001101110011100 5 -b11111101111110001101110011100 ? -b11111101111110001101110011100 D -b11111110111110110000101100011001 % -b11111110111110110000101100011001 . -b11111110111110110000101100011001 6 -b11111110111110110000101100011001 @ -b11111110111110110000101100011001 F -b111000000000000000000000000000 ) -b0 ( -#434240000 -0& -#434256000 -b1101010000001 , -#434272000 -1& -#434288000 -b1010011011101110000000000000000 " -b1010011011101110000000000000000 4 -b11010001101010110100000000010000 1 -b11010001101010110100000000010000 C -b1010011011101110000000000000000 0 -b1010011011101110000000000000000 H -18 -b10110001100101101011110000000111 2 -b10110001100101101011110000000111 = -b11010001111010110110100010010000 < -b10110001100101101011110000000111 : -b11011111101010110101001101110111 $ -b11011111101010110101001101110111 - -b11011111101010110101001101110111 5 -b11011111101010110101001101110111 ? -b11011111101010110101001101110111 D -b11010001111010110110100010010000 % -b11010001111010110110100010010000 . -b11010001111010110110100010010000 6 -b11010001111010110110100010010000 @ -b11010001111010110110100010010000 F -b1010011011101110000000000000000 ) -#434304000 -0& -#434320000 -b1101010000010 , -#434336000 -1& -#434352000 -b10011111111110011111111101001100 " -b10011111111110011111111101001100 4 -b11100011111111100011101000000010 1 -b11100011111111100011101000000010 C -b10011111111110011111111101001100 0 -b10011111111110011111111101001100 H -b11100011111111011011100111010101 2 -b11100011111111011011100111010101 = -b11111011111111110011101000000010 < -b11100011111111011011100111010101 : -b11100111111111100111111111010011 $ -b11100111111111100111111111010011 - -b11100111111111100111111111010011 5 -b11100111111111100111111111010011 ? -b11100111111111100111111111010011 D -b11111011111111110011101000000010 % -b11111011111111110011101000000010 . -b11111011111111110011101000000010 6 -b11111011111111110011101000000010 @ -b11111011111111110011101000000010 F -b10011111111110011111111101001100 ) -#434368000 -0& -#434384000 -b1101010000011 , -#434400000 -1& -#434416000 -b11100100100110100010110000000000 " -b11100100100110100010110000000000 4 -b1011111111110000010001010001010 1 -b1011111111110000010001010001010 C -b11100100100110100010110000000000 0 -b11100100100110100010110000000000 H -08 -b1011111111101110100101000010101 2 -b1011111111101110100101000010101 = -b11011111111111100010001110001010 < -b1011111111101110100101000010101 : -b1111111111110010010011010001011 $ -b1111111111110010010011010001011 - -b1111111111110010010011010001011 5 -b1111111111110010010011010001011 ? -b1111111111110010010011010001011 D -b11011111111111100010001110001010 % -b11011111111111100010001110001010 . -b11011111111111100010001110001010 6 -b11011111111111100010001110001010 @ -b11011111111111100010001110001010 F -b11100100100110100010110000000000 ) -#434432000 -0& -#434448000 -b1101010000100 , -#434464000 -1& -#434480000 -b1001110010101011011000101100000 " -b1001110010101011011000101100000 4 -b1000010100101010000100000001 1 -b1000010100101010000100000001 C -b1001110010101011011000101100000 0 -b1001110010101011011000101100000 H -b111010100100101000010110000 2 -b111010100100101000010110000 = -b10011100110111111010001100100101 < -b111010100100101000010110000 : -b1101010011100101010110110001011 $ -b1101010011100101010110110001011 - -b1101010011100101010110110001011 5 -b1101010011100101010110110001011 ? -b1101010011100101010110110001011 D -b10011100110111111010001100100101 % -b10011100110111111010001100100101 . -b10011100110111111010001100100101 6 -b10011100110111111010001100100101 @ -b10011100110111111010001100100101 F -b1001110010101011011000101100000 ) -#434496000 -0& -#434512000 -b1101010000101 , -#434528000 -1& -#434544000 -b11111100111110011001000111000000 " -b11111100111110011001000111000000 4 -b1000000101110011000000000000000 1 -b1000000101110011000000000000000 C -b11111100111110011001000111000000 0 -b11111100111110011001000111000000 H -b1000000101101110001110111100000 2 -b1000000101101110001110111100000 = -b1000011101111011000110000100000 < -b1000000101101110001110111100000 : -b11111100111110011001000111000000 $ -b11111100111110011001000111000000 - -b11111100111110011001000111000000 5 -b11111100111110011001000111000000 ? -b11111100111110011001000111000000 D -b1000011101111011000110000100000 % -b1000011101111011000110000100000 . -b1000011101111011000110000100000 6 -b1000011101111011000110000100000 @ -b1000011101111011000110000100000 F -b11111100111110011001000111000000 ) -#434560000 -0& -#434576000 -b1101010000110 , -#434592000 -1& -#434608000 -b10110100110000000000000000000000 " -b10110100110000000000000000000000 4 -b101011100011100001000010010010 1 -b101011100011100001000010010010 C -b10110100110000000000000000000000 0 -b10110100110000000000000000000000 H -b100111011111100000100001101001 2 -b100111011111100000100001101001 = -b1111011101011101011000110010110 < -b100111011111100000100001101001 : -b10101011110011110101011011010011 $ -b10101011110011110101011011010011 - -b10101011110011110101011011010011 5 -b10101011110011110101011011010011 ? -b10101011110011110101011011010011 D -b1111011101011101011000110010110 % -b1111011101011101011000110010110 . -b1111011101011101011000110010110 6 -b1111011101011101011000110010110 @ -b1111011101011101011000110010110 F -b10110100110000000000000000000000 ) -#434624000 -0& -#434640000 -b1101010000111 , -#434656000 -1& -#434672000 -b10101101000000000000000000000000 " -b10101101000000000000000000000000 4 -b110100111111100100000101010010 1 -b110100111111100100000101010010 C -b10101101000000000000000000000000 0 -b10101101000000000000000000000000 H -b1110100111111100100000100110001 2 -b1110100111111100100000100110001 = -b110111111111110110101111010111 < -b1110100111111100100000100110001 : -b111100111111101101010101011010 $ -b111100111111101101010101011010 - -b111100111111101101010101011010 5 -b111100111111101101010101011010 ? -b111100111111101101010101011010 D -b110111111111110110101111010111 % -b110111111111110110101111010111 . -b110111111111110110101111010111 6 -b110111111111110110101111010111 @ -b110111111111110110101111010111 F -b10101101000000000000000000000000 ) -#434688000 -0& -#434704000 -b1101010001000 , -#434720000 -1& -#434736000 -b11111111111001010100000100101000 " -b11111111111001010100000100101000 4 -b1111111100010101000000000010 1 -b1111111100010101000000000010 C -b11111111111001010100000100101000 0 -b11111111111001010100000100101000 H -19 -08 -b10001111111011001010010011101100 2 -b10001111111011001010010011101100 = -b1001111111100110101010010100010 < -b10001111111011001010010011101100 : -b111111111110010101000001001010 $ -b111111111110010101000001001010 - -b111111111110010101000001001010 5 -b111111111110010101000001001010 ? -b111111111110010101000001001010 D -b1001111111100110101010010100010 % -b1001111111100110101010010100010 . -b1001111111100110101010010100010 6 -b1001111111100110101010010100010 @ -b1001111111100110101010010100010 F -b11111111111001010100000100101000 ) -#434752000 -0& -#434768000 -b1101010001001 , -#434784000 -1& -#434800000 -b1111100011111100000100110010011 " -b1111100011111100000100110010011 4 -b111100011110000000100000000000 1 -b111100011110000000100000000000 C -b1111100011111100000100110010011 0 -b1111100011111100000100110010011 H -09 -08 -b111011011101111011001110110011 2 -b111011011101111011001110110011 = -b10111110111110011010101000100000 < -b111011011101111011001110110011 : -b1111100011111100000100110010011 $ -b1111100011111100000100110010011 - -b1111100011111100000100110010011 5 -b1111100011111100000100110010011 ? -b1111100011111100000100110010011 D -b10111110111110011010101000100000 % -b10111110111110011010101000100000 . -b10111110111110011010101000100000 6 -b10111110111110011010101000100000 @ -b10111110111110011010101000100000 F -b1111100011111100000100110010011 ) -#434816000 -0& -#434832000 -b1101010001010 , -#434848000 -1& -#434864000 -b11011010111000000000000000000000 " -b11011010111000000000000000000000 4 -b111001111111101000001001010101 1 -b111001111111101000001001010101 C -b11011010111000000000000000000000 0 -b11011010111000000000000000000000 H -19 -08 -b10111001111111101000000101001100 2 -b10111001111111101000000101001100 = -b1111001111111101001101001110101 < -b10111001111111101000000101001100 : -b111111111111111110011011010111 $ -b111111111111111110011011010111 - -b111111111111111110011011010111 5 -b111111111111111110011011010111 ? -b111111111111111110011011010111 D -b1111001111111101001101001110101 % -b1111001111111101001101001110101 . -b1111001111111101001101001110101 6 -b1111001111111101001101001110101 @ -b1111001111111101001101001110101 F -b11011010111000000000000000000000 ) -#434880000 -0& -#434896000 -b1101010001011 , -#434912000 -1& -#434928000 -b11111101011010010110000000000000 " -b11111101011010010110000000000000 4 -b10100001111001111010101001001001 1 -b10100001111001111010101001001001 C -b11111101011010010110000000000000 0 -b11111101011010010110000000000000 H -18 -09 -b10100001110101111010101000011000 2 -b10100001110101111010101000011000 = -b11101011111011111011111011001101 < -b10100001110101111010101000011000 : -b10110101111001111110101101001011 $ -b10110101111001111110101101001011 - -b10110101111001111110101101001011 5 -b10110101111001111110101101001011 ? -b10110101111001111110101101001011 D -b11101011111011111011111011001101 % -b11101011111011111011111011001101 . -b11101011111011111011111011001101 6 -b11101011111011111011111011001101 @ -b11101011111011111011111011001101 F -b11111101011010010110000000000000 ) -#434944000 -0& -#434960000 -b1101010001100 , -#434976000 -1& -#434992000 -b10111111101011000011110100101000 " -b10111111101011000011110100101000 4 -b110101100001011000011110100001 1 -b110101100001011000011110100001 C -b10111111101011000011110100101000 0 -b10111111101011000011110100101000 H -08 -b110101100001010011111110001000 2 -b110101100001010011111110001000 = -b1111101100011111011011111100011 < -b110101100001010011111110001000 : -b10110111111101011000011110100101 $ -b10110111111101011000011110100101 - -b10110111111101011000011110100101 5 -b10110111111101011000011110100101 ? -b10110111111101011000011110100101 D -b1111101100011111011011111100011 % -b1111101100011111011011111100011 . -b1111101100011111011011111100011 6 -b1111101100011111011011111100011 @ -b1111101100011111011011111100011 F -b10111111101011000011110100101000 ) -#435008000 -0& -#435024000 -b1101010001101 , -#435040000 -1& -#435056000 -b11111111110110100111100011001000 " -b11111111110110100111100011001000 4 -b1101111110010100100010000000001 1 -b1101111110010100100010000000001 C -b11111111110110100111100011001000 0 -b11111111110110100111100011001000 H -b1101111110010011011001110111100 2 -b1101111110010011011001110111100 = -b1101111110011100110010010100011 < -b1101111110010011011001110111100 : -b11111111111110110100111100011001 $ -b11111111111110110100111100011001 - -b11111111111110110100111100011001 5 -b11111111111110110100111100011001 ? -b11111111111110110100111100011001 D -b1101111110011100110010010100011 % -b1101111110011100110010010100011 . -b1101111110011100110010010100011 6 -b1101111110011100110010010100011 @ -b1101111110011100110010010100011 F -b11111111110110100111100011001000 ) -#435072000 -0& -#435088000 -b1101010001110 , -#435104000 -1& -#435120000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b11110011111110000010010000001 1 -b11110011111110000010010000001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b11011110011111101111110001000000 2 -b11011110011111101111110001000000 = -b10011111011111111101010010111111 < -b11011110011111101111110001000000 : -b111110111111110010011110000001 $ -b111110111111110010011110000001 - -b111110111111110010011110000001 5 -b111110111111110010011110000001 ? -b111110111111110010011110000001 D -b10011111011111111101010010111111 % -b10011111011111111101010010111111 . -b10011111011111111101010010111111 6 -b10011111011111111101010010111111 @ -b10011111011111111101010010111111 F -b10000000000000000000000000000000 ) -#435136000 -0& -#435152000 -b1101010001111 , -#435168000 -1& -#435184000 -b10110111111111001100010000010000 " -b10110111111111001100010000010000 4 -b1011011111011100000000000000000 1 -b1011011111011100000000000000000 C -b10110111111111001100010000010000 0 -b10110111111111001100010000010000 H -08 -19 -b11011011111011011111001101101001 2 -b11011011111011011111001101101001 = -b1111111111011111001000101100001 < -b11011011111011011111001101101001 : -b1011011111111100110001000001000 $ -b1011011111111100110001000001000 - -b1011011111111100110001000001000 5 -b1011011111111100110001000001000 ? -b1011011111111100110001000001000 D -b1111111111011111001000101100001 % -b1111111111011111001000101100001 . -b1111111111011111001000101100001 6 -b1111111111011111001000101100001 @ -b1111111111011111001000101100001 F -b10110111111111001100010000010000 ) -#435200000 -0& -#435216000 -b1101010010000 , -#435232000 -1& -#435248000 -1! -b0 " -b0 4 -b11101110000110100010000000000100 1 -b11101110000110100010000000000100 C -b0 0 -b0 H -18 -09 -b11101110000110011101111101100010 2 -b11101110000110011101111101100010 = -b11101111010111101010001100011110 < -1# -b11101110000110011101111101100010 : -b11111110101110110011110001000100 $ -b11111110101110110011110001000100 - -b11111110101110110011110001000100 5 -b11111110101110110011110001000100 ? -b11111110101110110011110001000100 D -b11101111010111101010001100011110 % -b11101111010111101010001100011110 . -b11101111010111101010001100011110 6 -b11101111010111101010001100011110 @ -b11101111010111101010001100011110 F -b0 ) -b1 ( -#435264000 -0& -#435280000 -b1101010010001 , -#435296000 -1& -#435312000 -0! -b10111101101101000000000000000000 " -b10111101101101000000000000000000 4 -b1111110001111100010010100110000 1 -b1111110001111100010010100110000 C -b10111101101101000000000000000000 0 -b10111101101101000000000000000000 H -08 -b1111110001111011110010100100100 2 -b1111110001111011110010100100100 = -b11111110011111110010011101110000 < -0# -b1111110001111011110010100100100 : -b1111111101111101011110110110100 $ -b1111111101111101011110110110100 - -b1111111101111101011110110110100 5 -b1111111101111101011110110110100 ? -b1111111101111101011110110110100 D -b11111110011111110010011101110000 % -b11111110011111110010011101110000 . -b11111110011111110010011101110000 6 -b11111110011111110010011101110000 @ -b11111110011111110010011101110000 F -b10111101101101000000000000000000 ) -b0 ( -#435328000 -0& -#435344000 -b1101010010010 , -#435360000 -1& -#435376000 -b101010010100100000000000000000 " -b101010010100100000000000000000 4 -b1010010011100010000000000000001 1 -b1010010011100010000000000000001 C -b101010010100100000000000000000 0 -b101010010100100000000000000000 H -b1010010011100001101011101111010 2 -b1010010011100001101011101111010 = -b11110011011101010100001001010001 < -b1010010011100001101011101111010 : -b1011110111110111001010100101001 $ -b1011110111110111001010100101001 - -b1011110111110111001010100101001 5 -b1011110111110111001010100101001 ? -b1011110111110111001010100101001 D -b11110011011101010100001001010001 % -b11110011011101010100001001010001 . -b11110011011101010100001001010001 6 -b11110011011101010100001001010001 @ -b11110011011101010100001001010001 F -b101010010100100000000000000000 ) -#435392000 -0& -#435408000 -b1101010010011 , -#435424000 -1& -#435440000 -b11011001100100010101110110000000 " -b11011001100100010101110110000000 4 -b1011110100100110000001010100011 1 -b1011110100100110000001010100011 C -b11011001100100010101110110000000 0 -b11011001100100010101110110000000 H -b1011110100011101111001001100010 2 -b1011110100011101111001001100010 = -b1111110110110111100111110100111 < -b1011110100011101111001001100010 : -b11011111101100110010001010111011 $ -b11011111101100110010001010111011 - -b11011111101100110010001010111011 5 -b11011111101100110010001010111011 ? -b11011111101100110010001010111011 D -b1111110110110111100111110100111 % -b1111110110110111100111110100111 . -b1111110110110111100111110100111 6 -b1111110110110111100111110100111 @ -b1111110110110111100111110100111 F -b11011001100100010101110110000000 ) -#435456000 -0& -#435472000 -b1101010010100 , -#435488000 -1& -#435504000 -b11111110110101101011100000000000 " -b11111110110101101011100000000000 4 -b100001011110101101011000011 1 -b100001011110101101011000011 C -b11111110110101101011100000000000 0 -b11111110110101101011100000000000 H -b1110011101011110011011011000010 2 -b1110011101011110011011011000010 = -b1100110011011110101101111101011 < -b1110011101011110011011011000010 : -b1101001111111101101011010111 $ -b1101001111111101101011010111 - -b1101001111111101101011010111 5 -b1101001111111101101011010111 ? -b1101001111111101101011010111 D -b1100110011011110101101111101011 % -b1100110011011110101101111101011 . -b1100110011011110101101111101011 6 -b1100110011011110101101111101011 @ -b1100110011011110101101111101011 F -b11111110110101101011100000000000 ) -#435520000 -0& -#435536000 -b1101010010101 , -#435552000 -1& -#435568000 -b10101111110101101001001010100000 " -b10101111110101101001001010100000 4 -b11110101010110101101001001000000 1 -b11110101010110101101001001000000 C -b10101111110101101001001010100000 0 -b10101111110101101001001010100000 H -18 -b11101101010110101010110110010111 2 -b11101101010110101010110110010111 = -b11110111010111111101101101000011 < -b11101101010110101010110110010111 : -b11110101111110101101001001010100 $ -b11110101111110101101001001010100 - -b11110101111110101101001001010100 5 -b11110101111110101101001001010100 ? -b11110101111110101101001001010100 D -b11110111010111111101101101000011 % -b11110111010111111101101101000011 . -b11110111010111111101101101000011 6 -b11110111010111111101101101000011 @ -b11110111010111111101101101000011 F -b10101111110101101001001010100000 ) -#435584000 -0& -#435600000 -b1101010010110 , -#435616000 -1& -#435632000 -b10010011110011110010101011110000 " -b10010011110011110010101011110000 4 -b1001110001111000000101000000 1 -b1001110001111000000101000000 C -b10010011110011110010101011110000 0 -b10010011110011110010101011110000 H -08 -b1100101101111110101111010111001 2 -b1100101101111110101111010111001 = -b11011110101111100100101000001 < -b1100101101111110101111010111001 : -b1001001111001111001010101111000 $ -b1001001111001111001010101111000 - -b1001001111001111001010101111000 5 -b1001001111001111001010101111000 ? -b1001001111001111001010101111000 D -b11011110101111100100101000001 % -b11011110101111100100101000001 . -b11011110101111100100101000001 6 -b11011110101111100100101000001 @ -b11011110101111100100101000001 F -b10010011110011110010101011110000 ) -#435648000 -0& -#435664000 -b1101010010111 , -#435680000 -1& -#435696000 -b11101110111111111000010011100100 " -b11101110111111111000010011100100 4 -b11110110001101100000001001100000 1 -b11110110001101100000001001100000 C -b11101110111111111000010011100100 0 -b11101110111111111000010011100100 H -18 -b11110101101101100000000101010011 2 -b11110101101101100000000101010011 = -b11111110001101100011111011100001 < -b11110101101101100000000101010011 : -b11110111011111111100001001110010 $ -b11110111011111111100001001110010 - -b11110111011111111100001001110010 5 -b11110111011111111100001001110010 ? -b11110111011111111100001001110010 D -b11111110001101100011111011100001 % -b11111110001101100011111011100001 . -b11111110001101100011111011100001 6 -b11111110001101100011111011100001 @ -b11111110001101100011111011100001 F -b11101110111111111000010011100100 ) -#435712000 -0& -#435728000 -b1101010011000 , -#435744000 -1& -#435760000 -b1100000100000000000000000000000 " -b1100000100000000000000000000000 4 -b111111010011110010001011000001 1 -b111111010011110010001011000001 C -b1100000100000000000000000000000 0 -b1100000100000000000000000000000 H -08 -19 -b10111111001011101010001010011000 2 -b10111111001011101010001010011000 = -b1111111010011110011001111010111 < -b10111111001011101010001010011000 : -b111111110111110110111011000001 $ -b111111110111110110111011000001 - -b111111110111110110111011000001 5 -b111111110111110110111011000001 ? -b111111110111110110111011000001 D -b1111111010011110011001111010111 % -b1111111010011110011001111010111 . -b1111111010011110011001111010111 6 -b1111111010011110011001111010111 @ -b1111111010011110011001111010111 F -b1100000100000000000000000000000 ) -#435776000 -0& -#435792000 -b1101010011001 , -#435808000 -1& -#435824000 -b100110101000000000000000000000 " -b100110101000000000000000000000 4 -b101001101010110001000000110101 1 -b101001101010110001000000110101 C -b100110101000000000000000000000 0 -b100110101000000000000000000000 H -09 -08 -b101001011010101110011000101010 2 -b101001011010101110011000101010 = -b1111011101110110101010011110101 < -b101001011010101110011000101010 : -b10101101101011111001000100110101 $ -b10101101101011111001000100110101 - -b10101101101011111001000100110101 5 -b10101101101011111001000100110101 ? -b10101101101011111001000100110101 D -b1111011101110110101010011110101 % -b1111011101110110101010011110101 . -b1111011101110110101010011110101 6 -b1111011101110110101010011110101 @ -b1111011101110110101010011110101 F -b100110101000000000000000000000 ) -#435840000 -0& -#435856000 -b1101010011010 , -#435872000 -1& -#435888000 -b1111111101110011001000111111000 " -b1111111101110011001000111111000 4 -b11001011101100110000001000000011 1 -b11001011101100110000001000000011 C -b1111111101110011001000111111000 0 -b1111111101110011001000111111000 H -18 -b10111011101010100111010010000010 2 -b10111011101010100111010010000010 = -b11101011101100110100001001000011 < -b10111011101010100111010010000010 : -b11001111111101110011001000111111 $ -b11001111111101110011001000111111 - -b11001111111101110011001000111111 5 -b11001111111101110011001000111111 ? -b11001111111101110011001000111111 D -b11101011101100110100001001000011 % -b11101011101100110100001001000011 . -b11101011101100110100001001000011 6 -b11101011101100110100001001000011 @ -b11101011101100110100001001000011 F -b1111111101110011001000111111000 ) -#435904000 -0& -#435920000 -b1101010011011 , -#435936000 -1& -#435952000 -b11001011001010011100000000000000 " -b11001011001010011100000000000000 4 -b1011011001000100000110000000110 1 -b1011011001000100000110000000110 C -b11001011001010011100000000000000 0 -b11001011001010011100000000000000 H -08 -b1011011001000011000101110110101 2 -b1011011001000011000101110110101 = -b11111011111001100101111100001110 < -b1011011001000011000101110110101 : -b1011111001110110010110010100111 $ -b1011111001110110010110010100111 - -b1011111001110110010110010100111 5 -b1011111001110110010110010100111 ? -b1011111001110110010110010100111 D -b11111011111001100101111100001110 % -b11111011111001100101111100001110 . -b11111011111001100101111100001110 6 -b11111011111001100101111100001110 @ -b11111011111001100101111100001110 F -b11001011001010011100000000000000 ) -#435968000 -0& -#435984000 -b1101010011100 , -#436000000 -1& -#436016000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1001111010010010010000101011110 1 -b1001111010010010010000101011110 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1001111010001001001111101011100 2 -b1001111010001001001111101011100 = -b1011111110010110110110101011110 < -b1001111010001001001111101011100 : -b11101111011110010011000111111110 $ -b11101111011110010011000111111110 - -b11101111011110010011000111111110 5 -b11101111011110010011000111111110 ? -b11101111011110010011000111111110 D -b1011111110010110110110101011110 % -b1011111110010110110110101011110 . -b1011111110010110110110101011110 6 -b1011111110010110110110101011110 @ -b1011111110010110110110101011110 F -b10000000000000000000000000000000 ) -#436032000 -0& -#436048000 -b1101010011101 , -#436064000 -1& -#436080000 -b1111011111001000000000000000000 " -b1111011111001000000000000000000 4 -b1010101101100110101000100000000 1 -b1010101101100110101000100000000 C -b1111011111001000000000000000000 0 -b1111011111001000000000000000000 H -b1010101101100110011000011101101 2 -b1010101101100110011000011101101 = -b11011111101111110101000111001101 < -b1010101101100110011000011101101 : -b1110101111100111101111100100000 $ -b1110101111100111101111100100000 - -b1110101111100111101111100100000 5 -b1110101111100111101111100100000 ? -b1110101111100111101111100100000 D -b11011111101111110101000111001101 % -b11011111101111110101000111001101 . -b11011111101111110101000111001101 6 -b11011111101111110101000111001101 @ -b11011111101111110101000111001101 F -b1111011111001000000000000000000 ) -#436096000 -0& -#436112000 -b1101010011110 , -#436128000 -1& -#436144000 -b10100111111100110100000000000000 " -b10100111111100110100000000000000 4 -b1111100010010000100100000100100 1 -b1111100010010000100100000100100 C -b10100111111100110100000000000000 0 -b10100111111100110100000000000000 H -b1111100010001111100011101100000 2 -b1111100010001111100011101100000 = -b1111100011111010100100000101100 < -b1111100010001111100011101100000 : -b11111111110010100111111100110100 $ -b11111111110010100111111100110100 - -b11111111110010100111111100110100 5 -b11111111110010100111111100110100 ? -b11111111110010100111111100110100 D -b1111100011111010100100000101100 % -b1111100011111010100100000101100 . -b1111100011111010100100000101100 6 -b1111100011111010100100000101100 @ -b1111100011111010100100000101100 F -b10100111111100110100000000000000 ) -#436160000 -0& -#436176000 -b1101010011111 , -#436192000 -1& -#436208000 -b11110110101011101010010010101000 " -b11110110101011101010010010101000 4 -b1111100100101001001010000000001 1 -b1111100100101001001010000000001 C -b11110110101011101010010010101000 0 -b11110110101011101010010010101000 H -b1111100100011000111001011011000 2 -b1111100100011000111001011011000 = -b11111101101101101001111001000011 < -b1111100100011000111001011011000 : -b1111110110101011101010010010101 $ -b1111110110101011101010010010101 - -b1111110110101011101010010010101 5 -b1111110110101011101010010010101 ? -b1111110110101011101010010010101 D -b11111101101101101001111001000011 % -b11111101101101101001111001000011 . -b11111101101101101001111001000011 6 -b11111101101101101001111001000011 @ -b11111101101101101001111001000011 F -b11110110101011101010010010101000 ) -#436224000 -0& -#436240000 -b1101010100000 , -#436256000 -1& -#436272000 -b1111101010101010000001001100000 " -b1111101010101010000001001100000 4 -b101001000101010000001000100000 1 -b101001000101010000001000100000 C -b1111101010101010000001001100000 0 -b1111101010101010000001001100000 H -19 -08 -b10101001000100100101110010000000 2 -b10101001000100100101110010000000 = -b101011101111010101101000100000 < -b10101001000100100101110010000000 : -b1111101010101010000001001100000 $ -b1111101010101010000001001100000 - -b1111101010101010000001001100000 5 -b1111101010101010000001001100000 ? -b1111101010101010000001001100000 D -b101011101111010101101000100000 % -b101011101111010101101000100000 . -b101011101111010101101000100000 6 -b101011101111010101101000100000 @ -b101011101111010101101000100000 F -b1111101010101010000001001100000 ) -#436288000 -0& -#436304000 -b1101010100001 , -#436320000 -1& -#436336000 -b1011100100000000000000000000000 " -b1011100100000000000000000000000 4 -b1011110110010011011000010110001 1 -b1011110110010011011000010110001 C -b1011100100000000000000000000000 0 -b1011100100000000000000000000000 H -09 -08 -b1011110110010011010100001110000 2 -b1011110110010011010100001110000 = -b1011110111110111011010110110111 < -b1011110110010011010100001110000 : -b11111111110011011111001010111001 $ -b11111111110011011111001010111001 - -b11111111110011011111001010111001 5 -b11111111110011011111001010111001 ? -b11111111110011011111001010111001 D -b1011110111110111011010110110111 % -b1011110111110111011010110110111 . -b1011110111110111011010110110111 6 -b1011110111110111011010110110111 @ -b1011110111110111011010110110111 F -b1011100100000000000000000000000 ) -#436352000 -0& -#436368000 -b1101010100010 , -#436384000 -1& -#436400000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b111110010101010000001000111001 1 -b111110010101010000001000111001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -19 -08 -b10111101101101001110110101111000 2 -b10111101101101001110110101111000 = -b1111110010101111010101000111111 < -b10111101101101001110110101111000 : -b111111010111010100001100111001 $ -b111111010111010100001100111001 - -b111111010111010100001100111001 5 -b111111010111010100001100111001 ? -b111111010111010100001100111001 D -b1111110010101111010101000111111 % -b1111110010101111010101000111111 . -b1111110010101111010101000111111 6 -b1111110010101111010101000111111 @ -b1111110010101111010101000111111 F -b10000000000000000000000000000000 ) -#436416000 -0& -#436432000 -b1101010100011 , -#436448000 -1& -#436464000 -b11000000000000000000000000000 " -b11000000000000000000000000000 4 -b1110100101100011001100100100011 1 -b1110100101100011001100100100011 C -b11000000000000000000000000000 0 -b11000000000000000000000000000 H -b11110010101100010111001100011110 2 -b11110010101100010111001100011110 = -b1110100101111111001100110111011 < -b11110010101100010111001100011110 : -b1111101111100011101100101100011 $ -b1111101111100011101100101100011 - -b1111101111100011101100101100011 5 -b1111101111100011101100101100011 ? -b1111101111100011101100101100011 D -b1110100101111111001100110111011 % -b1110100101111111001100110111011 . -b1110100101111111001100110111011 6 -b1110100101111111001100110111011 @ -b1110100101111111001100110111011 F -b11000000000000000000000000000 ) -#436480000 -0& -#436496000 -b1101010100100 , -#436512000 -1& -#436528000 -b11011111110000000110100000000000 " -b11011111110000000110100000000000 4 -b11110000111010000000011000000 1 -b11110000111010000000011000000 C -b11011111110000000110100000000000 0 -b11011111110000000110100000000000 H -09 -08 -b11110000111001100110110110111 2 -b11110000111001100110110110111 = -b11110010111010100110011100111 < -b11110000111001100110110110111 : -b11111111101111111000000011010000 $ -b11111111101111111000000011010000 - -b11111111101111111000000011010000 5 -b11111111101111111000000011010000 ? -b11111111101111111000000011010000 D -b11110010111010100110011100111 % -b11110010111010100110011100111 . -b11110010111010100110011100111 6 -b11110010111010100110011100111 @ -b11110010111010100110011100111 F -b11011111110000000110100000000000 ) -#436544000 -0& -#436560000 -b1101010100101 , -#436576000 -1& -#436592000 -b1011101011001011001111100000000 " -b1011101011001011001111100000000 4 -b11100000010111010100000110001000 1 -b11100000010111010100000110001000 C -b1011101011001011001111100000000 0 -b1011101011001011001111100000000 H -18 -b11011110001110110011011100100111 2 -b11011110001110110011011100100111 = -b11111101110111011101000110001000 < -b11011110001110110011011100100111 : -b11100000010111010110010110011111 $ -b11100000010111010110010110011111 - -b11100000010111010110010110011111 5 -b11100000010111010110010110011111 ? -b11100000010111010110010110011111 D -b11111101110111011101000110001000 % -b11111101110111011101000110001000 . -b11111101110111011101000110001000 6 -b11111101110111011101000110001000 @ -b11111101110111011101000110001000 F -b1011101011001011001111100000000 ) -#436608000 -0& -#436624000 -b1101010100110 , -#436640000 -1& -#436656000 -b11001111110100010010100000000000 " -b11001111110100010010100000000000 4 -b101100101000111111010000001010 1 -b101100101000111111010000001010 C -b11001111110100010010100000000000 0 -b11001111110100010010100000000000 H -08 -19 -b10101100011000111111000001110100 2 -b10101100011000111111000001110100 = -b111100101011111111110000101010 < -b10101100011000111111000001110100 : -b1101111101100111111010001001010 $ -b1101111101100111111010001001010 - -b1101111101100111111010001001010 5 -b1101111101100111111010001001010 ? -b1101111101100111111010001001010 D -b111100101011111111110000101010 % -b111100101011111111110000101010 . -b111100101011111111110000101010 6 -b111100101011111111110000101010 @ -b111100101011111111110000101010 F -b11001111110100010010100000000000 ) -#436672000 -0& -#436688000 -b1101010100111 , -#436704000 -1& -#436720000 -b1101100000000000000000000000000 " -b1101100000000000000000000000000 4 -b100101101111101000001000110000 1 -b100101101111101000001000110000 C -b1101100000000000000000000000000 0 -b1101100000000000000000000000000 H -09 -08 -b100101101111100111000101101111 2 -b100101101111100111000101101111 = -b11101101101111101110101000111001 < -b100101101111100111000101101111 : -b110111111111111000011100110110 $ -b110111111111111000011100110110 - -b110111111111111000011100110110 5 -b110111111111111000011100110110 ? -b110111111111111000011100110110 D -b11101101101111101110101000111001 % -b11101101101111101110101000111001 . -b11101101101111101110101000111001 6 -b11101101101111101110101000111001 @ -b11101101101111101110101000111001 F -b1101100000000000000000000000000 ) -#436736000 -0& -#436752000 -b1101010101000 , -#436768000 -1& -#436784000 -b10000110110001100000000000000000 " -b10000110110001100000000000000000 4 -b1011011100010010000000110001100 1 -b1011011100010010000000110001100 C -b10000110110001100000000000000000 0 -b10000110110001100000000000000000 H -19 -08 -b11011011011010000010111100011011 2 -b11011011011010000010111100011011 = -b1111111110110010010000110001111 < -b11011011011010000010111100011011 : -b1011011100011110000110110001100 $ -b1011011100011110000110110001100 - -b1011011100011110000110110001100 5 -b1011011100011110000110110001100 ? -b1011011100011110000110110001100 D -b1111111110110010010000110001111 % -b1111111110110010010000110001111 . -b1111111110110010010000110001111 6 -b1111111110110010010000110001111 @ -b1111111110110010010000110001111 F -b10000110110001100000000000000000 ) -#436800000 -0& -#436816000 -b1101010101001 , -#436832000 -1& -#436848000 -b1101010001100000000000000000000 " -b1101010001100000000000000000000 4 -b111011111011000000001000000000 1 -b111011111011000000001000000000 C -b1101010001100000000000000000000 0 -b1101010001100000000000000000000 H -b10111011111010111100000111011110 2 -b10111011111010111100000111011110 = -b111011111011111010011101010010 < -b10111011111010111100000111011110 : -b1111111111111000001101010001100 $ -b1111111111111000001101010001100 - -b1111111111111000001101010001100 5 -b1111111111111000001101010001100 ? -b1111111111111000001101010001100 D -b111011111011111010011101010010 % -b111011111011111010011101010010 . -b111011111011111010011101010010 6 -b111011111011111010011101010010 @ -b111011111011111010011101010010 F -b1101010001100000000000000000000 ) -#436864000 -0& -#436880000 -b1101010101010 , -#436896000 -1& -#436912000 -b111111111101110101001010101010 " -b111111111101110101001010101010 4 -b111111111101110001000010000000 1 -b111111111101110001000010000000 C -b111111111101110101001010101010 0 -b111111111101110101001010101010 H -b10111111111011100111000000101010 2 -b10111111111011100111000000101010 = -b1111111111101110001110110000000 < -b10111111111011100111000000101010 : -b111111111101110101001010101010 $ -b111111111101110101001010101010 - -b111111111101110101001010101010 5 -b111111111101110101001010101010 ? -b111111111101110101001010101010 D -b1111111111101110001110110000000 % -b1111111111101110001110110000000 . -b1111111111101110001110110000000 6 -b1111111111101110001110110000000 @ -b1111111111101110001110110000000 F -b111111111101110101001010101010 ) -#436928000 -0& -#436944000 -b1101010101011 , -#436960000 -1& -#436976000 -b11111111111100111000101110001100 " -b11111111111100111000101110001100 4 -b11110111110111000010000001100010 1 -b11110111110111000010000001100010 C -b11111111111100111000101110001100 0 -b11111111111100111000101110001100 H -18 -09 -b11110111110110110000111101000101 2 -b11110111110110110000111101000101 = -b11110111110111100010110001100010 < -b11110111110110110000111101000101 : -b11111111111111001110001011100011 $ -b11111111111111001110001011100011 - -b11111111111111001110001011100011 5 -b11111111111111001110001011100011 ? -b11111111111111001110001011100011 D -b11110111110111100010110001100010 % -b11110111110111100010110001100010 . -b11110111110111100010110001100010 6 -b11110111110111100010110001100010 @ -b11110111110111100010110001100010 F -b11111111111100111000101110001100 ) -#436992000 -0& -#437008000 -b1101010101100 , -#437024000 -1& -#437040000 -b11101011100110000000000000000000 " -b11101011100110000000000000000000 4 -b11001110111011001101001100100000 1 -b11001110111011001101001100100000 C -b11101011100110000000000000000000 0 -b11101011100110000000000000000000 H -b11001110111011001100101010011111 2 -b11001110111011001100101010011111 = -b11001111111111001111001101101111 < -b11001110111011001100101010011111 : -b11111110111011111101011100110000 $ -b11111110111011111101011100110000 - -b11111110111011111101011100110000 5 -b11111110111011111101011100110000 ? -b11111110111011111101011100110000 D -b11001111111111001111001101101111 % -b11001111111111001111001101101111 . -b11001111111111001111001101101111 6 -b11001111111111001111001101101111 @ -b11001111111111001111001101101111 F -b11101011100110000000000000000000 ) -#437056000 -0& -#437072000 -b1101010101101 , -#437088000 -1& -#437104000 -b11111011010010110011001000000000 " -b11111011010010110011001000000000 4 -b1010110010110011000000010001001 1 -b1010110010110011000000010001001 C -b11111011010010110011001000000000 0 -b11111011010010110011001000000000 H -08 -19 -b11010110010110010111111010000010 2 -b11010110010110010111111010000010 = -b1011111110110111101100011101001 < -b11010110010110010111111010000010 : -b1110110011111011010010110011001 $ -b1110110011111011010010110011001 - -b1110110011111011010010110011001 5 -b1110110011111011010010110011001 ? -b1110110011111011010010110011001 D -b1011111110110111101100011101001 % -b1011111110110111101100011101001 . -b1011111110110111101100011101001 6 -b1011111110110111101100011101001 @ -b1011111110110111101100011101001 F -b11111011010010110011001000000000 ) -#437120000 -0& -#437136000 -b1101010101110 , -#437152000 -1& -#437168000 -b1110000000101001110000000000000 " -b1110000000101001110000000000000 4 -b10010001000100001000000000100101 1 -b10010001000100001000000000100101 C -b1110000000101001110000000000000 0 -b1110000000101001110000000000000 H -18 -09 -b10010001000100000111100011010100 2 -b10010001000100000111100011010100 = -b10010001001111001111100000101101 < -b10010001000100000111100011010100 : -b11111111110100111000000010100111 $ -b11111111110100111000000010100111 - -b11111111110100111000000010100111 5 -b11111111110100111000000010100111 ? -b11111111110100111000000010100111 D -b10010001001111001111100000101101 % -b10010001001111001111100000101101 . -b10010001001111001111100000101101 6 -b10010001001111001111100000101101 @ -b10010001001111001111100000101101 F -b1110000000101001110000000000000 ) -#437184000 -0& -#437200000 -b1101010101111 , -#437216000 -1& -#437232000 -b11000010111101100100000000000000 " -b11000010111101100100000000000000 4 -b1111110010011000000000000100000 1 -b1111110010011000000000000100000 C -b11000010111101100100000000000000 0 -b11000010111101100100000000000000 H -08 -b1111110010010110100000000011111 2 -b1111110010010110100000000011111 = -b11111110110011010010100001101101 < -b1111110010010110100000000011111 : -b1111111011111100001011110110010 $ -b1111111011111100001011110110010 - -b1111111011111100001011110110010 5 -b1111111011111100001011110110010 ? -b1111111011111100001011110110010 D -b11111110110011010010100001101101 % -b11111110110011010010100001101101 . -b11111110110011010010100001101101 6 -b11111110110011010010100001101101 @ -b11111110110011010010100001101101 F -b11000010111101100100000000000000 ) -#437248000 -0& -#437264000 -b1101010110000 , -#437280000 -1& -#437296000 -b1011101100000110100000000000000 " -b1011101100000110100000000000000 4 -b1111001110101000110011000001100 1 -b1111001110101000110011000001100 C -b1011101100000110100000000000000 0 -b1011101100000110100000000000000 H -19 -08 -b11111001101011000101110110011011 2 -b11111001101011000101110110011011 = -b1111101110101101110011110001110 < -b11111001101011000101110110011011 : -b1111011110101010111011000001101 $ -b1111011110101010111011000001101 - -b1111011110101010111011000001101 5 -b1111011110101010111011000001101 ? -b1111011110101010111011000001101 D -b1111101110101101110011110001110 % -b1111101110101101110011110001110 . -b1111101110101101110011110001110 6 -b1111101110101101110011110001110 @ -b1111101110101101110011110001110 F -b1011101100000110100000000000000 ) -#437312000 -0& -#437328000 -b1101010110001 , -#437344000 -1& -#437360000 -b11111100011010111110100000000000 " -b11111100011010111110100000000000 4 -b110001011110100011010100000000 1 -b110001011110100011010100000000 C -b11111100011010111110100000000000 0 -b11111100011010111110100000000000 H -b10110001011110001011010011111101 2 -b10110001011110001011010011111101 = -b1111111011110100111111100001001 < -b10110001011110001011010011111101 : -b110001111111100011010111110100 $ -b110001111111100011010111110100 - -b110001111111100011010111110100 5 -b110001111111100011010111110100 ? -b110001111111100011010111110100 D -b1111111011110100111111100001001 % -b1111111011110100111111100001001 . -b1111111011110100111111100001001 6 -b1111111011110100111111100001001 @ -b1111111011110100111111100001001 F -b11111100011010111110100000000000 ) -#437376000 -0& -#437392000 -b1101010110010 , -#437408000 -1& -#437424000 -b11010000110001010010000000000000 " -b11010000110001010010000000000000 4 -b10011101010000100000000000 1 -b10011101010000100000000000 C -b11010000110001010010000000000000 0 -b11010000110001010010000000000000 H -09 -08 -b1100010011100100010010101111110 2 -b1100010011100100010010101111110 = -b110011101010001100100101100 < -b1100010011100100010010101111110 : -b1011011111111010000110001010010 $ -b1011011111111010000110001010010 - -b1011011111111010000110001010010 5 -b1011011111111010000110001010010 ? -b1011011111111010000110001010010 D -b110011101010001100100101100 % -b110011101010001100100101100 . -b110011101010001100100101100 6 -b110011101010001100100101100 @ -b110011101010001100100101100 F -b11010000110001010010000000000000 ) -#437440000 -0& -#437456000 -b1101010110011 , -#437472000 -1& -#437488000 -b11011100000000000000000000000000 " -b11011100000000000000000000000000 4 -b1011011011011010000000100110000 1 -b1011011011011010000000100110000 C -b11011100000000000000000000000000 0 -b11011100000000000000000000000000 H -08 -09 -b1011011011010101011101011101111 2 -b1011011011010101011101011101111 = -b1111111011011010001100100110111 < -b1011011011010101011101011101111 : -b11011011111111011010000110111000 $ -b11011011111111011010000110111000 - -b11011011111111011010000110111000 5 -b11011011111111011010000110111000 ? -b11011011111111011010000110111000 D -b1111111011011010001100100110111 % -b1111111011011010001100100110111 . -b1111111011011010001100100110111 6 -b1111111011011010001100100110111 @ -b1111111011011010001100100110111 F -b11011100000000000000000000000000 ) -#437504000 -0& -#437520000 -b1101010110100 , -#437536000 -1& -#437552000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1000100101011110100010010001111 1 -b1000100101011110100010010001111 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b110100101011110100001110001110 2 -b110100101011110100001110001110 = -b1001111111111110111111010011111 < -b110100101011110100001110001110 : -b11100100101011111100010011101111 $ -b11100100101011111100010011101111 - -b11100100101011111100010011101111 5 -b11100100101011111100010011101111 ? -b11100100101011111100010011101111 D -b1001111111111110111111010011111 % -b1001111111111110111111010011111 . -b1001111111111110111111010011111 6 -b1001111111111110111111010011111 @ -b1001111111111110111111010011111 F -b10000000000000000000000000000000 ) -#437568000 -0& -#437584000 -b1101010110101 , -#437600000 -1& -#437616000 -b11111011111001101000100100000000 " -b11111011111001101000100100000000 4 -b111101000100101100001000001000 1 -b111101000100101100001000001000 C -b11111011111001101000100100000000 0 -b11111011111001101000100100000000 H -19 -08 -b10111101000100101100000110110001 2 -b10111101000100101100000110110001 = -b1111101000101101101101100101000 < -b10111101000100101100000110110001 : -b111111111110111110011010001001 $ -b111111111110111110011010001001 - -b111111111110111110011010001001 5 -b111111111110111110011010001001 ? -b111111111110111110011010001001 D -b1111101000101101101101100101000 % -b1111101000101101101101100101000 . -b1111101000101101101101100101000 6 -b1111101000101101101101100101000 @ -b1111101000101101101101100101000 F -b11111011111001101000100100000000 ) -#437632000 -0& -#437648000 -b1101010110110 , -#437664000 -1& -#437680000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b111101011110110100000000111101 1 -b111101011110110100000000111101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -09 -08 -b111101011110101001111101111010 2 -b111101011110101001111101111010 = -b11111101011110110101100000111101 < -b111101011110101001111101111010 : -b111111111111110100011100111101 $ -b111111111111110100011100111101 - -b111111111111110100011100111101 5 -b111111111111110100011100111101 ? -b111111111111110100011100111101 D -b11111101011110110101100000111101 % -b11111101011110110101100000111101 . -b11111101011110110101100000111101 6 -b11111101011110110101100000111101 @ -b11111101011110110101100000111101 F -b10100000000000000000000000000000 ) -#437696000 -0& -#437712000 -b1101010110111 , -#437728000 -1& -#437744000 -b1000000000000000000000000000 " -b1000000000000000000000000000 4 -b110101011101100000001001000001 1 -b110101011101100000001001000001 C -b1000000000000000000000000000 0 -b1000000000000000000000000000 H -b110101011101011010001000011100 2 -b110101011101011010001000011100 = -b11110111111111100000001101011011 < -b110101011101011010001000011100 : -b111101011101111001111011000001 $ -b111101011101111001111011000001 - -b111101011101111001111011000001 5 -b111101011101111001111011000001 ? -b111101011101111001111011000001 D -b11110111111111100000001101011011 % -b11110111111111100000001101011011 . -b11110111111111100000001101011011 6 -b11110111111111100000001101011011 @ -b11110111111111100000001101011011 F -b1000000000000000000000000000 ) -#437760000 -0& -#437776000 -b1101010111000 , -#437792000 -1& -#437808000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b10010010101101000000100011010101 1 -b10010010101101000000100011010101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -18 -b10001001101100110011100011010010 2 -b10001001101100110011100011010010 = -b11110110101101000010101111011101 < -b10001001101100110011100011010010 : -b10010010111111110000110011110101 $ -b10010010111111110000110011110101 - -b10010010111111110000110011110101 5 -b10010010111111110000110011110101 ? -b10010010111111110000110011110101 D -b11110110101101000010101111011101 % -b11110110101101000010101111011101 . -b11110110101101000010101111011101 6 -b11110110101101000010101111011101 @ -b11110110101101000010101111011101 F -b10100000000000000000000000000000 ) -#437824000 -0& -#437840000 -b1101010111001 , -#437856000 -1& -#437872000 -b11111010001000000000000000000000 " -b11111010001000000000000000000000 4 -b11000101100101010000111001000000 1 -b11000101100101010000111001000000 C -b11111010001000000000000000000000 0 -b11111010001000000000000000000000 H -b11000101100101001110111000110111 2 -b11000101100101001110111000110111 = -b11001111101111111000111011110011 < -b11000101100101001110111000110111 : -b11110101110101010101111101000100 $ -b11110101110101010101111101000100 - -b11110101110101010101111101000100 5 -b11110101110101010101111101000100 ? -b11110101110101010101111101000100 D -b11001111101111111000111011110011 % -b11001111101111111000111011110011 . -b11001111101111111000111011110011 6 -b11001111101111111000111011110011 @ -b11001111101111111000111011110011 F -b11111010001000000000000000000000 ) -#437888000 -0& -#437904000 -b1101010111010 , -#437920000 -1& -#437936000 -b11011110100011001010100010000000 " -b11011110100011001010100010000000 4 -b11010101111000000000000000001 1 -b11010101111000000000000000001 C -b11011110100011001010100010000000 0 -b11011110100011001010100010000000 H -08 -19 -b10011010101110110101111101111000 2 -b10011010101110110101111101111000 = -b1111110111111100100011000100111 < -b10011010101110110101111101111000 : -b11011101111010001100101010001 $ -b11011101111010001100101010001 - -b11011101111010001100101010001 5 -b11011101111010001100101010001 ? -b11011101111010001100101010001 D -b1111110111111100100011000100111 % -b1111110111111100100011000100111 . -b1111110111111100100011000100111 6 -b1111110111111100100011000100111 @ -b1111110111111100100011000100111 F -b11011110100011001010100010000000 ) -#437952000 -0& -#437968000 -b1101010111011 , -#437984000 -1& -#438000000 -b10000110100000001000000000000000 " -b10000110100000001000000000000000 4 -b11100101100000100000110000000001 1 -b11100101100000100000110000000001 C -b10000110100000001000000000000000 0 -b10000110100000001000000000000000 H -18 -09 -b11100101010101010001100101010000 2 -b11100101010101010001100101010000 = -b11111111100000100000110001001111 < -b11100101010101010001100101010000 : -b11100101110100110000110100000001 $ -b11100101110100110000110100000001 - -b11100101110100110000110100000001 5 -b11100101110100110000110100000001 ? -b11100101110100110000110100000001 D -b11111111100000100000110001001111 % -b11111111100000100000110001001111 . -b11111111100000100000110001001111 6 -b11111111100000100000110001001111 @ -b11111111100000100000110001001111 F -b10000110100000001000000000000000 ) -#438016000 -0& -#438032000 -b1101010111100 , -#438048000 -1& -#438064000 -1! -b0 " -b0 4 -b110000011011110000000100011100 1 -b110000011011110000000100011100 C -b0 0 -b0 H -08 -b1110000011011100111111100011010 2 -b1110000011011100111111100011010 = -b110110011011110100000111111110 < -1# -b1110000011011100111111100011010 : -b111001111111110011110100011100 $ -b111001111111110011110100011100 - -b111001111111110011110100011100 5 -b111001111111110011110100011100 ? -b111001111111110011110100011100 D -b110110011011110100000111111110 % -b110110011011110100000111111110 . -b110110011011110100000111111110 6 -b110110011011110100000111111110 @ -b110110011011110100000111111110 F -b0 ) -b1 ( -#438080000 -0& -#438096000 -b1101010111101 , -#438112000 -1& -#438128000 -0! -b10000111010100000000000000000000 " -b10000111010100000000000000000000 4 -b1101010110100000100000110100 1 -b1101010110100000100000110100 C -b10000111010100000000000000000000 0 -b10000111010100000000000000000000 H -08 -09 -b1011010110011110000100101001 2 -b1011010110011110000100101001 = -b1001101111110101101100010110100 < -0# -b1011010110011110000100101001 : -b10111101010111110000100001110101 $ -b10111101010111110000100001110101 - -b10111101010111110000100001110101 5 -b10111101010111110000100001110101 ? -b10111101010111110000100001110101 D -b1001101111110101101100010110100 % -b1001101111110101101100010110100 . -b1001101111110101101100010110100 6 -b1001101111110101101100010110100 @ -b1001101111110101101100010110100 F -b10000111010100000000000000000000 ) -b0 ( -#438144000 -0& -#438160000 -b1101010111110 , -#438176000 -1& -#438192000 -b1001100000000000000000000000 " -b1001100000000000000000000000 4 -b110100101110000000000000000110 1 -b110100101110000000000000000110 C -b1001100000000000000000000000 0 -b1001100000000000000000000000 H -19 -08 -b10110100101101111110011100111100 2 -b10110100101101111110011100111100 = -b1111110101111001010001100010110 < -b10110100101101111110011100111100 : -b110101111110110100010000100110 $ -b110101111110110100010000100110 - -b110101111110110100010000100110 5 -b110101111110110100010000100110 ? -b110101111110110100010000100110 D -b1111110101111001010001100010110 % -b1111110101111001010001100010110 . -b1111110101111001010001100010110 6 -b1111110101111001010001100010110 @ -b1111110101111001010001100010110 F -b1001100000000000000000000000 ) -#438208000 -0& -#438224000 -b1101010111111 , -#438240000 -1& -#438256000 -b10011001101100110000000000000000 " -b10011001101100110000000000000000 4 -b111000111011110001000001100110 1 -b111000111011110001000001100110 C -b10011001101100110000000000000000 0 -b10011001101100110000000000000000 H -b10111000110111100100101111010101 2 -b10111000110111100100101111010101 = -b1111011111011110001100001101111 < -b10111000110111100100101111010101 : -b111100111011110011001101100110 $ -b111100111011110011001101100110 - -b111100111011110011001101100110 5 -b111100111011110011001101100110 ? -b111100111011110011001101100110 D -b1111011111011110001100001101111 % -b1111011111011110001100001101111 . -b1111011111011110001100001101111 6 -b1111011111011110001100001101111 @ -b1111011111011110001100001101111 F -b10011001101100110000000000000000 ) -#438272000 -0& -#438288000 -b1101011000000 , -#438304000 -1& -#438320000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1101000110011110100011101011011 1 -b1101000110011110100011101011011 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -09 -08 -b1100111101011110100011011011010 2 -b1100111101011110100011011011010 = -b11101110110111110110011101111111 < -b1100111101011110100011011011010 : -b1111000110011111101111101011011 $ -b1111000110011111101111101011011 - -b1111000110011111101111101011011 5 -b1111000110011111101111101011011 ? -b1111000110011111101111101011011 D -b11101110110111110110011101111111 % -b11101110110111110110011101111111 . -b11101110110111110110011101111111 6 -b11101110110111110110011101111111 @ -b11101110110111110110011101111111 F -b10000000000000000000000000000000 ) -#438336000 -0& -#438352000 -b1101011000001 , -#438368000 -1& -#438384000 -b10010110001011000000000000000000 " -b10010110001011000000000000000000 4 -b1010101011100100000010100000010 1 -b1010101011100100000010100000010 C -b10010110001011000000000000000000 0 -b10010110001011000000000000000000 H -b110101011100011110101011011101 2 -b110101011100011110101011011101 = -b1010111111101110100010101010010 < -b110101011100011110101011011101 : -b11011101011110101010010110001011 $ -b11011101011110101010010110001011 - -b11011101011110101010010110001011 5 -b11011101011110101010010110001011 ? -b11011101011110101010010110001011 D -b1010111111101110100010101010010 % -b1010111111101110100010101010010 . -b1010111111101110100010101010010 6 -b1010111111101110100010101010010 @ -b1010111111101110100010101010010 F -b10010110001011000000000000000000 ) -#438400000 -0& -#438416000 -b1101011000010 , -#438432000 -1& -#438448000 -b10000100000000000000000000000000 " -b10000100000000000000000000000000 4 -b11100100110100000000001100000 1 -b11100100110100000000001100000 C -b10000100000000000000000000000000 0 -b10000100000000000000000000000000 H -b11100100110011110100001011011 2 -b11100100110011110100001011011 = -b11011110100111111110011011111010 < -b11100100110011110100001011011 : -b111101111110100000000101100001 $ -b111101111110100000000101100001 - -b111101111110100000000101100001 5 -b111101111110100000000101100001 ? -b111101111110100000000101100001 D -b11011110100111111110011011111010 % -b11011110100111111110011011111010 . -b11011110100111111110011011111010 6 -b11011110100111111110011011111010 @ -b11011110100111111110011011111010 F -b10000100000000000000000000000000 ) -#438464000 -0& -#438480000 -b1101011000011 , -#438496000 -1& -#438512000 -b11110111110000011010111001100000 " -b11110111110000011010111001100000 4 -b1010111101111100000100000000001 1 -b1010111101111100000100000000001 C -b11110111110000011010111001100000 0 -b11110111110000011010111001100000 H -b1010111011111011010010101111000 2 -b1010111011111011010010101111000 = -b11111111101111111001100000000101 < -b1010111011111011010010101111000 : -b1010111101111100000110101110011 $ -b1010111101111100000110101110011 - -b1010111101111100000110101110011 5 -b1010111101111100000110101110011 ? -b1010111101111100000110101110011 D -b11111111101111111001100000000101 % -b11111111101111111001100000000101 . -b11111111101111111001100000000101 6 -b11111111101111111001100000000101 @ -b11111111101111111001100000000101 F -b11110111110000011010111001100000 ) -#438528000 -0& -#438544000 -b1101011000100 , -#438560000 -1& -#438576000 -b11010101100101000000000000000000 " -b11010101100101000000000000000000 4 -b10110100001100000110010000000000 1 -b10110100001100000110010000000000 C -b11010101100101000000000000000000 0 -b11010101100101000000000000000000 H -18 -b10110100001011110101101101110111 2 -b10110100001011110101101101110111 = -b11110110101111100110011000010010 < -b10110100001011110101101101110111 : -b10111101011100001111010101100101 $ -b10111101011100001111010101100101 - -b10111101011100001111010101100101 5 -b10111101011100001111010101100101 ? -b10111101011100001111010101100101 D -b11110110101111100110011000010010 % -b11110110101111100110011000010010 . -b11110110101111100110011000010010 6 -b11110110101111100110011000010010 @ -b11110110101111100110011000010010 F -b11010101100101000000000000000000 ) -#438592000 -0& -#438608000 -b1101011000101 , -#438624000 -1& -#438640000 -b11011100000000000000000000000000 " -b11011100000000000000000000000000 4 -b11100110111000000100110010000 1 -b11100110111000000100110010000 C -b11011100000000000000000000000000 0 -b11011100000000000000000000000000 H -08 -19 -b10011100110110101101100110001111 2 -b10011100110110101101100110001111 = -b111111110111001100111111010111 < -b10011100110110101101100110001111 : -b1011100111111100000100110111000 $ -b1011100111111100000100110111000 - -b1011100111111100000100110111000 5 -b1011100111111100000100110111000 ? -b1011100111111100000100110111000 D -b111111110111001100111111010111 % -b111111110111001100111111010111 . -b111111110111001100111111010111 6 -b111111110111001100111111010111 @ -b111111110111001100111111010111 F -b11011100000000000000000000000000 ) -#438656000 -0& -#438672000 -b1101011000110 , -#438688000 -1& -#438704000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b1101000001010000101000110100101 1 -b1101000001010000101000110100101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -b11100111111001111100101101100010 2 -b11100111111001111100101101100010 = -b1111011001011010101100110111101 < -b11100111111001111100101101100010 : -b1101100101110100111000110100101 $ -b1101100101110100111000110100101 - -b1101100101110100111000110100101 5 -b1101100101110100111000110100101 ? -b1101100101110100111000110100101 D -b1111011001011010101100110111101 % -b1111011001011010101100110111101 . -b1111011001011010101100110111101 6 -b1111011001011010101100110111101 @ -b1111011001011010101100110111101 F -b10100000000000000000000000000000 ) -#438720000 -0& -#438736000 -b1101011000111 , -#438752000 -1& -#438768000 -b10001000000000000000000000000000 " -b10001000000000000000000000000000 4 -b1111001010011110000010101110001 1 -b1111001010011110000010101110001 C -b10001000000000000000000000000000 0 -b10001000000000000000000000000000 H -09 -08 -b1110101010011101110010011101100 2 -b1110101010011101110010011101100 = -b1111001111111110101110101111011 < -b1110101010011101110010011101100 : -b11111011010011111000011101110001 $ -b11111011010011111000011101110001 - -b11111011010011111000011101110001 5 -b11111011010011111000011101110001 ? -b11111011010011111000011101110001 D -b1111001111111110101110101111011 % -b1111001111111110101110101111011 . -b1111001111111110101110101111011 6 -b1111001111111110101110101111011 @ -b1111001111111110101110101111011 F -b10001000000000000000000000000000 ) -#438784000 -0& -#438800000 -b1101011001000 , -#438816000 -1& -#438832000 -b10010001010010000000000000000000 " -b10010001010010000000000000000000 4 -b110100100101010000000010000000 1 -b110100100101010000000010000000 C -b10010001010010000000000000000000 0 -b10010001010010000000000000000000 H -19 -08 -b10110010100101001010100000111111 2 -b10110010100101001010100000111111 = -b111101100101111000010110101111 < -b10110010100101001010100000111111 : -b1110100111111010010001010010000 $ -b1110100111111010010001010010000 - -b1110100111111010010001010010000 5 -b1110100111111010010001010010000 ? -b1110100111111010010001010010000 D -b111101100101111000010110101111 % -b111101100101111000010110101111 . -b111101100101111000010110101111 6 -b111101100101111000010110101111 @ -b111101100101111000010110101111 F -b10010001010010000000000000000000 ) -#438848000 -0& -#438864000 -b1101011001001 , -#438880000 -1& -#438896000 -b11001000010000000000000000000000 " -b11001000010000000000000000000000 4 -b10101101100001101000000000000000 1 -b10101101100001101000000000000000 C -b11001000010000000000000000000000 0 -b11001000010000000000000000000000 H -18 -09 -b10101011011001100110110101110000 2 -b10101011011001100110110101110000 = -b11101101110001111010010100110000 < -b10101011011001100110110101110000 : -b10111101100111101100100001000000 $ -b10111101100111101100100001000000 - -b10111101100111101100100001000000 5 -b10111101100111101100100001000000 ? -b10111101100111101100100001000000 D -b11101101110001111010010100110000 % -b11101101110001111010010100110000 . -b11101101110001111010010100110000 6 -b11101101110001111010010100110000 @ -b11101101110001111010010100110000 F -b11001000010000000000000000000000 ) -#438912000 -0& -#438928000 -b1101011001010 , -#438944000 -1& -#438960000 -b1110001111000000000000000000000 " -b1110001111000000000000000000000 4 -b1010101010001000010000000000101 1 -b1010101010001000010000000000101 C -b1110001111000000000000000000000 0 -b1110001111000000000000000000000 H -08 -b1001101010001000001110000000100 2 -b1001101010001000001110000000100 = -b11110111111101111111000001110101 < -b1001101010001000001110000000100 : -b1010101010011000010101110001111 $ -b1010101010011000010101110001111 - -b1010101010011000010101110001111 5 -b1010101010011000010101110001111 ? -b1010101010011000010101110001111 D -b11110111111101111111000001110101 % -b11110111111101111111000001110101 . -b11110111111101111111000001110101 6 -b11110111111101111111000001110101 @ -b11110111111101111111000001110101 F -b1110001111000000000000000000000 ) -#438976000 -0& -#438992000 -b1101011001011 , -#439008000 -1& -#439024000 -b1010001000000000000000000000000 " -b1010001000000000000000000000000 4 -b1011110000111100000000101010000 1 -b1011110000111100000000101010000 C -b1010001000000000000000000000000 0 -b1010001000000000000000000000000 H -b1011110000111011100000010101001 2 -b1011110000111011100000010101001 = -b1011111011111110001000101011000 < -b1011110000111011100000010101001 : -b11111110100111101010111101010001 $ -b11111110100111101010111101010001 - -b11111110100111101010111101010001 5 -b11111110100111101010111101010001 ? -b11111110100111101010111101010001 D -b1011111011111110001000101011000 % -b1011111011111110001000101011000 . -b1011111011111110001000101011000 6 -b1011111011111110001000101011000 @ -b1011111011111110001000101011000 F -b1010001000000000000000000000000 ) -#439040000 -0& -#439056000 -b1101011001100 , -#439072000 -1& -#439088000 -b11001110100000000000000000000000 " -b11001110100000000000000000000000 4 -b11111110010011010101000000010100 1 -b11111110010011010101000000010100 C -b11001110100000000000000000000000 0 -b11001110100000000000000000000000 H -18 -b11111110001010110100111100001001 2 -b11111110001010110100111100001001 = -b11111110110111011101100010010101 < -b11111110001010110100111100001001 : -b11111111010011010111011001110100 $ -b11111111010011010111011001110100 - -b11111111010011010111011001110100 5 -b11111111010011010111011001110100 ? -b11111111010011010111011001110100 D -b11111110110111011101100010010101 % -b11111110110111011101100010010101 . -b11111110110111011101100010010101 6 -b11111110110111011101100010010101 @ -b11111110110111011101100010010101 F -b11001110100000000000000000000000 ) -#439104000 -0& -#439120000 -b1101011001101 , -#439136000 -1& -#439152000 -b10010010000000000000000000000000 " -b10010010000000000000000000000000 4 -b100111000101010000000100001001 1 -b100111000101010000000100001001 C -b10010010000000000000000000000000 0 -b10010010000000000000000000000000 H -08 -19 -b10100111000101000000100100000010 2 -b10100111000101000000100100000010 = -b1101111100101010000011110111001 < -b10100111000101000000100100000010 : -b110111011111110000000101001001 $ -b110111011111110000000101001001 - -b110111011111110000000101001001 5 -b110111011111110000000101001001 ? -b110111011111110000000101001001 D -b1101111100101010000011110111001 % -b1101111100101010000011110111001 . -b1101111100101010000011110111001 6 -b1101111100101010000011110111001 @ -b1101111100101010000011110111001 F -b10010010000000000000000000000000 ) -#439168000 -0& -#439184000 -b1101011001110 , -#439200000 -1& -#439216000 -b10101101001111000110101111000000 " -b10101101001111000110101111000000 4 -b110000110100100100001000000100 1 -b110000110100100100001000000100 C -b10101101001111000110101111000000 0 -b10101101001111000110101111000000 H -b10110000110100100010000111000000 2 -b10110000110100100010000111000000 = -b1110101111111100101101100000100 < -b10110000110100100010000111000000 : -b111010110100111100011010111100 $ -b111010110100111100011010111100 - -b111010110100111100011010111100 5 -b111010110100111100011010111100 ? -b111010110100111100011010111100 D -b1110101111111100101101100000100 % -b1110101111111100101101100000100 . -b1110101111111100101101100000100 6 -b1110101111111100101101100000100 @ -b1110101111111100101101100000100 F -b10101101001111000110101111000000 ) -#439232000 -0& -#439248000 -b1101011001111 , -#439264000 -1& -#439280000 -b11011111110101110101010110100110 " -b11011111110101110101010110100110 4 -b100111011010111010001000000001 1 -b100111011010111010001000000001 C -b11011111110101110101010110100110 0 -b11011111110101110101010110100110 H -b10010111011010110101000011010100 2 -b10010111011010110101000011010100 = -b100111011111111010011000000001 < -b10010111011010110101000011010100 : -b1101111111010111010101011010011 $ -b1101111111010111010101011010011 - -b1101111111010111010101011010011 5 -b1101111111010111010101011010011 ? -b1101111111010111010101011010011 D -b100111011111111010011000000001 % -b100111011111111010011000000001 . -b100111011111111010011000000001 6 -b100111011111111010011000000001 @ -b100111011111111010011000000001 F -b11011111110101110101010110100110 ) -#439296000 -0& -#439312000 -b1101011010000 , -#439328000 -1& -#439344000 -b1010000000000000000000000000000 " -b1010000000000000000000000000000 4 -b11111101101110100111000000010100 1 -b11111101101110100111000000010100 C -b1010000000000000000000000000000 0 -b1010000000000000000000000000000 H -18 -09 -b11111101101101100110111100010001 2 -b11111101101101100110111100010001 = -b11111111111110101111101010111100 < -b11111101101101100110111100010001 : -b11111101101110110111010001010101 $ -b11111101101110110111010001010101 - -b11111101101110110111010001010101 5 -b11111101101110110111010001010101 ? -b11111101101110110111010001010101 D -b11111111111110101111101010111100 % -b11111111111110101111101010111100 . -b11111111111110101111101010111100 6 -b11111111111110101111101010111100 @ -b11111111111110101111101010111100 F -b1010000000000000000000000000000 ) -#439360000 -0& -#439376000 -b1101011010001 , -#439392000 -1& -#439408000 -b110111010101101001010011000000 " -b110111010101101001010011000000 4 -b110111010001000000000010 1 -b110111010001000000000010 C -b110111010101101001010011000000 0 -b110111010101101001010011000000 H -b11100111110111001110111001111001 2 -b11100111110111001110111001111001 = -b100010111111111001010000100110 < -b11100111110111001110111001111001 : -b11000100110111010101101001010011 $ -b11000100110111010101101001010011 - -b11000100110111010101101001010011 5 -b11000100110111010101101001010011 ? -b11000100110111010101101001010011 D -b100010111111111001010000100110 % -b100010111111111001010000100110 . -b100010111111111001010000100110 6 -b100010111111111001010000100110 @ -b100010111111111001010000100110 F -b110111010101101001010011000000 ) -#439424000 -0& -#439440000 -b1101011010010 , -#439456000 -1& -#439472000 -b1001010111100000000000000000000 " -b1001010111100000000000000000000 4 -b1011101110101110100000000010010 1 -b1011101110101110100000000010010 C -b1001010111100000000000000000000 0 -b1001010111100000000000000000000 H -08 -b1011101110101101010101110010001 2 -b1011101110101101010101110010001 = -b11111111110111110110001000110011 < -b1011101110101101010101110010001 : -b1011101111101110100100101011110 $ -b1011101111101110100100101011110 - -b1011101111101110100100101011110 5 -b1011101111101110100100101011110 ? -b1011101111101110100100101011110 D -b11111111110111110110001000110011 % -b11111111110111110110001000110011 . -b11111111110111110110001000110011 6 -b11111111110111110110001000110011 @ -b11111111110111110110001000110011 F -b1001010111100000000000000000000 ) -#439488000 -0& -#439504000 -b1101011010011 , -#439520000 -1& -#439536000 -b11110101001100000000000000000000 " -b11110101001100000000000000000000 4 -b110111110111100001010101010000 1 -b110111110111100001010101010000 C -b11110101001100000000000000000000 0 -b11110101001100000000000000000000 H -19 -08 -b10110111110111010111010010100111 2 -b10110111110111010111010010100111 = -b1110111111111100001010101010100 < -b10110111110111010111010010100111 : -b111111110111110101111101010011 $ -b111111110111110101111101010011 - -b111111110111110101111101010011 5 -b111111110111110101111101010011 ? -b111111110111110101111101010011 D -b1110111111111100001010101010100 % -b1110111111111100001010101010100 . -b1110111111111100001010101010100 6 -b1110111111111100001010101010100 @ -b1110111111111100001010101010100 F -b11110101001100000000000000000000 ) -#439552000 -0& -#439568000 -b1101011010100 , -#439584000 -1& -#439600000 -b11110111110100110111110010010100 " -b11110111110100110111110010010100 4 -b1110010011000011010101000000000 1 -b1110010011000011010101000000000 C -b11110111110100110111110010010100 0 -b11110111110100110111110010010100 H -b11110010010111011010100011001011 2 -b11110010010111011010100011001011 = -b1110110011100111110101010000001 < -b11110010010111011010100011001011 : -b1111011111010011011111001001010 $ -b1111011111010011011111001001010 - -b1111011111010011011111001001010 5 -b1111011111010011011111001001010 ? -b1111011111010011011111001001010 D -b1110110011100111110101010000001 % -b1110110011100111110101010000001 . -b1110110011100111110101010000001 6 -b1110110011100111110101010000001 @ -b1110110011100111110101010000001 F -b11110111110100110111110010010100 ) -#439616000 -0& -#439632000 -b1101011010101 , -#439648000 -1& -#439664000 -b11000110001111000000000000000000 " -b11000110001111000000000000000000 4 -b1101111010001010010001100010000 1 -b1101111010001010010001100010000 C -b11000110001111000000000000000000 0 -b11000110001111000000000000000000 H -09 -08 -b1101110110001010001101010101111 2 -b1101110110001010001101010101111 = -b1111111010001111011011110010001 < -b1101110110001010001101010101111 : -b11101111011111010110001100011110 $ -b11101111011111010110001100011110 - -b11101111011111010110001100011110 5 -b11101111011111010110001100011110 ? -b11101111011111010110001100011110 D -b1111111010001111011011110010001 % -b1111111010001111011011110010001 . -b1111111010001111011011110010001 6 -b1111111010001111011011110010001 @ -b1111111010001111011011110010001 F -b11000110001111000000000000000000 ) -#439680000 -0& -#439696000 -b1101011010110 , -#439712000 -1& -#439728000 -b10110111000110000000000000000000 " -b10110111000110000000000000000000 4 -b11011111100010110001010011100011 1 -b11011111100010110001010011100011 C -b10110111000110000000000000000000 0 -b10110111000110000000000000000000 H -18 -b11011111011010100011010011010110 2 -b11011111011010100011010011010110 = -b11111111110010110001110111110011 < -b11011111011010100011010011010110 : -b11011111100111110001011011100011 $ -b11011111100111110001011011100011 - -b11011111100111110001011011100011 5 -b11011111100111110001011011100011 ? -b11011111100111110001011011100011 D -b11111111110010110001110111110011 % -b11111111110010110001110111110011 . -b11111111110010110001110111110011 6 -b11111111110010110001110111110011 @ -b11111111110010110001110111110011 F -b10110111000110000000000000000000 ) -#439744000 -0& -#439760000 -b1101011010111 , -#439776000 -1& -#439792000 -b110010101000000000000000000000 " -b110010101000000000000000000000 4 -b10100100000100010001100100000 1 -b10100100000100010001100100000 C -b110010101000000000000000000000 0 -b110010101000000000000000000000 H -08 -19 -b10010100011101011010001001011110 2 -b10010100011101011010001001011110 = -b10101111100100010111100110100 < -b10010100011101011010001001011110 : -b1111110100000110111001100101010 $ -b1111110100000110111001100101010 - -b1111110100000110111001100101010 5 -b1111110100000110111001100101010 ? -b1111110100000110111001100101010 D -b10101111100100010111100110100 % -b10101111100100010111100110100 . -b10101111100100010111100110100 6 -b10101111100100010111100110100 @ -b10101111100100010111100110100 F -b110010101000000000000000000000 ) -#439808000 -0& -#439824000 -b1101011011000 , -#439840000 -1& -#439856000 -b11111110110000110010100000000000 " -b11111110110000110010100000000000 4 -b111111111111001000000001010 1 -b111111111111001000000001010 C -b11111110110000110010100000000000 0 -b11111110110000110010100000000000 H -09 -08 -b111111111110100100111010100 2 -b111111111110100100111010100 = -b11111111111111111001100100001010 < -b111111111110100100111010100 : -b111111111111011000011001010 $ -b111111111111011000011001010 - -b111111111111011000011001010 5 -b111111111111011000011001010 ? -b111111111111011000011001010 D -b11111111111111111001100100001010 % -b11111111111111111001100100001010 . -b11111111111111111001100100001010 6 -b11111111111111111001100100001010 @ -b11111111111111111001100100001010 F -b11111110110000110010100000000000 ) -#439872000 -0& -#439888000 -b1101011011001 , -#439904000 -1& -#439920000 -b100001111100000000000000000000 " -b100001111100000000000000000000 4 -b10011000010001010001000000010100 1 -b10011000010001010001000000010100 C -b100001111100000000000000000000 0 -b100001111100000000000000000000 H -18 -b10010100110001001010101100010011 2 -b10010100110001001010101100010011 = -b11011000010011111001100011110100 < -b10010100110001001010101100010011 : -b10111100011101010001001000011111 $ -b10111100011101010001001000011111 - -b10111100011101010001001000011111 5 -b10111100011101010001001000011111 ? -b10111100011101010001001000011111 D -b11011000010011111001100011110100 % -b11011000010011111001100011110100 . -b11011000010011111001100011110100 6 -b11011000010011111001100011110100 @ -b11011000010011111001100011110100 F -b100001111100000000000000000000 ) -#439936000 -0& -#439952000 -b1101011011010 , -#439968000 -1& -#439984000 -b1010110100100000000000000000 " -b1010110100100000000000000000 4 -b11000001001110111000000101100001 1 -b11000001001110111000000101100001 C -b1010110100100000000000000000 0 -b1010110100100000000000000000 H -b11000001001110110011000011011010 2 -b11000001001110110011000011011010 = -b11111101011111111010101101110001 < -b11000001001110110011000011011010 : -b11000011101110111000010101101001 $ -b11000011101110111000010101101001 - -b11000011101110111000010101101001 5 -b11000011101110111000010101101001 ? -b11000011101110111000010101101001 D -b11111101011111111010101101110001 % -b11111101011111111010101101110001 . -b11111101011111111010101101110001 6 -b11111101011111111010101101110001 @ -b11111101011111111010101101110001 F -b1010110100100000000000000000 ) -#440000000 -0& -#440016000 -b1101011011011 , -#440032000 -1& -#440048000 -b1111011000111110110001000000000 " -b1111011000111110110001000000000 4 -b11010110101110010000000010000001 1 -b11010110101110010000000010000001 C -b1111011000111110110001000000000 0 -b1111011000111110110001000000000 H -b11010110101110001001000000111010 2 -b11010110101110001001000000111010 = -b11010110111110110000000010001001 < -b11010110101110001001000000111010 : -b11111111101111011000111110110001 $ -b11111111101111011000111110110001 - -b11111111101111011000111110110001 5 -b11111111101111011000111110110001 ? -b11111111101111011000111110110001 D -b11010110111110110000000010001001 % -b11010110111110110000000010001001 . -b11010110111110110000000010001001 6 -b11010110111110110000000010001001 @ -b11010110111110110000000010001001 F -b1111011000111110110001000000000 ) -#440064000 -0& -#440080000 -b1101011011100 , -#440096000 -1& -#440112000 -b11101110000001111100000000000000 " -b11101110000001111100000000000000 4 -b11010111000111001100000001110 1 -b11010111000111001100000001110 C -b11101110000001111100000000000000 0 -b11101110000001111100000000000000 H -08 -b1111010110100111001000011001101 2 -b1111010110100111001000011001101 = -b11010111011111101100010101110 < -b1111010110100111001000011001101 : -b1011111111000111011100000011111 $ -b1011111111000111011100000011111 - -b1011111111000111011100000011111 5 -b1011111111000111011100000011111 ? -b1011111111000111011100000011111 D -b11010111011111101100010101110 % -b11010111011111101100010101110 . -b11010111011111101100010101110 6 -b11010111011111101100010101110 @ -b11010111011111101100010101110 F -b11101110000001111100000000000000 ) -#440128000 -0& -#440144000 -b1101011011101 , -#440160000 -1& -#440176000 -b10101011101111000011111010111010 " -b10101011101111000011111010111010 4 -b1010101110101100000100001000001 1 -b1010101110101100000100001000001 C -b10101011101111000011111010111010 0 -b10101011101111000011111010111010 H -08 -09 -b1010101110101011100011110011110 2 -b1010101110101011100011110011110 = -b1111111111101111010100001000001 < -b1010101110101011100011110011110 : -b11010101110111100001111101011101 $ -b11010101110111100001111101011101 - -b11010101110111100001111101011101 5 -b11010101110111100001111101011101 ? -b11010101110111100001111101011101 D -b1111111111101111010100001000001 % -b1111111111101111010100001000001 . -b1111111111101111010100001000001 6 -b1111111111101111010100001000001 @ -b1111111111101111010100001000001 F -b10101011101111000011111010111010 ) -#440192000 -0& -#440208000 -b1101011011110 , -#440224000 -1& -#440240000 -b11101101111010000010011001100000 " -b11101101111010000010011001100000 4 -b10001111001010100100000100000001 1 -b10001111001010100100000100000001 C -b11101101111010000010011001100000 0 -b11101101111010000010011001100000 H -18 -b10001110100110100010000001111000 2 -b10001110100110100010000001111000 = -b10101111001010101101111101000101 < -b10001110100110100010000001111000 : -b11011111011011110100000100110011 $ -b11011111011011110100000100110011 - -b11011111011011110100000100110011 5 -b11011111011011110100000100110011 ? -b11011111011011110100000100110011 D -b10101111001010101101111101000101 % -b10101111001010101101111101000101 . -b10101111001010101101111101000101 6 -b10101111001010101101111101000101 @ -b10101111001010101101111101000101 F -b11101101111010000010011001100000 ) -#440256000 -0& -#440272000 -b1101011011111 , -#440288000 -1& -#440304000 -b10110000000000000000000000000000 " -b10110000000000000000000000000000 4 -b101101101001011001000110001000 1 -b101101101001011001000110001000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -08 -19 -b10101101101001010110110110000110 2 -b10101101101001010110110110000110 = -b1111111101101111001100110011010 < -b10101101101001010110110110000110 : -b101101111011011101001111101100 $ -b101101111011011101001111101100 - -b101101111011011101001111101100 5 -b101101111011011101001111101100 ? -b101101111011011101001111101100 D -b1111111101101111001100110011010 % -b1111111101101111001100110011010 . -b1111111101101111001100110011010 6 -b1111111101101111001100110011010 @ -b1111111101101111001100110011010 F -b10110000000000000000000000000000 ) -#440320000 -0& -#440336000 -b1101011100000 , -#440352000 -1& -#440368000 -b10111100101100000000000000000000 " -b10111100101100000000000000000000 4 -b1101100001111100000000010010010 1 -b1101100001111100000000010010010 C -b10111100101100000000000000000000 0 -b10111100101100000000000000000000 H -09 -08 -b1101001001111001101100000101001 2 -b1101001001111001101100000101001 = -b11101100101111100000000010010011 < -b1101001001111001101100000101001 : -b1111100011111101101011110010110 $ -b1111100011111101101011110010110 - -b1111100011111101101011110010110 5 -b1111100011111101101011110010110 ? -b1111100011111101101011110010110 D -b11101100101111100000000010010011 % -b11101100101111100000000010010011 . -b11101100101111100000000010010011 6 -b11101100101111100000000010010011 @ -b11101100101111100000000010010011 F -b10111100101100000000000000000000 ) -#440384000 -0& -#440400000 -b1101011100001 , -#440416000 -1& -#440432000 -b11111001011111111011011001101101 " -b11111001011111111011011001101101 4 -b11111001011111110010010000000000 1 -b11111001011111110010010000000000 C -b11111001011111111011011001101101 0 -b11111001011111111011011001101101 H -18 -b11111001011111110010001101101101 2 -b11111001011111110010001101101101 = -b11111111111111110110110100000000 < -b11111001011111110010001101101101 : -b11111001011111111011011001101101 $ -b11111001011111111011011001101101 - -b11111001011111111011011001101101 5 -b11111001011111111011011001101101 ? -b11111001011111111011011001101101 D -b11111111111111110110110100000000 % -b11111111111111110110110100000000 . -b11111111111111110110110100000000 6 -b11111111111111110110110100000000 @ -b11111111111111110110110100000000 F -b11111001011111111011011001101101 ) -#440448000 -0& -#440464000 -b1101011100010 , -#440480000 -1& -#440496000 -b10101001111101110111110001000000 " -b10101001111101110111110001000000 4 -b110010100001110101100011100000 1 -b110010100001110101100011100000 C -b10101001111101110111110001000000 0 -b10101001111101110111110001000000 H -08 -b110001100001110101011011010111 2 -b110001100001110101011011010111 = -b11111010110111110111100011100110 < -b110001100001110101011011010111 : -b110110101001111101110111110001 $ -b110110101001111101110111110001 - -b110110101001111101110111110001 5 -b110110101001111101110111110001 ? -b110110101001111101110111110001 D -b11111010110111110111100011100110 % -b11111010110111110111100011100110 . -b11111010110111110111100011100110 6 -b11111010110111110111100011100110 @ -b11111010110111110111100011100110 F -b10101001111101110111110001000000 ) -#440512000 -0& -#440528000 -b1101011100011 , -#440544000 -1& -#440560000 -b10100000000000000000000000000000 " -b10100000000000000000000000000000 4 -b1000100011110110000010000011101 1 -b1000100011110110000010000011101 C -b10100000000000000000000000000000 0 -b10100000000000000000000000000000 H -19 -08 -b11000100011110101110001110111010 2 -b11000100011110101110001110111010 = -b1111101111110110101110000011101 < -b11000100011110101110001110111010 : -b1000110011111111000011110011101 $ -b1000110011111111000011110011101 - -b1000110011111111000011110011101 5 -b1000110011111111000011110011101 ? -b1000110011111111000011110011101 D -b1111101111110110101110000011101 % -b1111101111110110101110000011101 . -b1111101111110110101110000011101 6 -b1111101111110110101110000011101 @ -b1111101111110110101110000011101 F -b10100000000000000000000000000000 ) -#440576000 -0& -#440592000 -b1101011100100 , -#440608000 -1& -#440624000 -b11011011100011100000000000000000 " -b11011011100011100000000000000000 4 -b11110011011111111010110010000001 1 -b11110011011111111010110010000001 C -b11011011100011100000000000000000 0 -b11011011100011100000000000000000 H -18 -09 -b11110010111111111001101001011000 2 -b11110010111111111001101001011000 = -b11110111011111111010110010010001 < -b11110010111111111001101001011000 : -b11111011011111111110110111000111 $ -b11111011011111111110110111000111 - -b11111011011111111110110111000111 5 -b11111011011111111110110111000111 ? -b11111011011111111110110111000111 D -b11110111011111111010110010010001 % -b11110111011111111010110010010001 . -b11110111011111111010110010010001 6 -b11110111011111111010110010010001 @ -b11110111011111111010110010010001 F -b11011011100011100000000000000000 ) -#440640000 -0& -#440656000 -b1101011100101 , -#440672000 -1& -#440688000 -b11111000001110100011111000000000 " -b11111000001110100011111000000000 4 -b10011101011110000000000000001000 1 -b10011101011110000000000000001000 C -b11111000001110100011111000000000 0 -b11111000001110100011111000000000 H -19 -18 -b1011101011101000011110000000110 2 -b1011101011101000011110000000110 = -b10111111011111000000000111001000 < -b1011101011101000011110000000110 : -b10011101111110000011101000111110 $ -b10011101111110000011101000111110 - -b10011101111110000011101000111110 5 -b10011101111110000011101000111110 ? -b10011101111110000011101000111110 D -b10111111011111000000000111001000 % -b10111111011111000000000111001000 . -b10111111011111000000000111001000 6 -b10111111011111000000000111001000 @ -b10111111011111000000000111001000 F -b11111000001110100011111000000000 ) -#440704000 -0& -#440720000 -b1101011100110 , -#440736000 -1& -#440752000 -b110000000000000000000000000000 " -b110000000000000000000000000000 4 -b1100011011011110000000110000010 1 -b1100011011011110000000110000010 C -b110000000000000000000000000000 0 -b110000000000000000000000000000 H -08 -09 -b1011011011011100010010110000001 2 -b1011011011011100010010110000001 = -b11110111111011110010001110111011 < -b1011011011011100010010110000001 : -b1100011011111110000000111000110 $ -b1100011011111110000000111000110 - -b1100011011111110000000111000110 5 -b1100011011111110000000111000110 ? -b1100011011111110000000111000110 D -b11110111111011110010001110111011 % -b11110111111011110010001110111011 . -b11110111111011110010001110111011 6 -b11110111111011110010001110111011 @ -b11110111111011110010001110111011 F -b110000000000000000000000000000 ) -#440768000 -0& -#440784000 -b1101011100111 , -#440800000 -1& -#440816000 -b11111111111000110011101101000000 " -b11111111111000110011101101000000 4 -b1001110011111110000100011000000 1 -b1001110011111110000100011000000 C -b11111111111000110011101101000000 0 -b11111111111000110011101101000000 H -19 -08 -b10101110011111101110010010011111 2 -b10101110011111101110010010011111 = -b1011110011111111100101011000101 < -b10101110011111101110010010011111 : -b1001111111111110001100111011010 $ -b1001111111111110001100111011010 - -b1001111111111110001100111011010 5 -b1001111111111110001100111011010 ? -b1001111111111110001100111011010 D -b1011110011111111100101011000101 % -b1011110011111111100101011000101 . -b1011110011111111100101011000101 6 -b1011110011111111100101011000101 @ -b1011110011111111100101011000101 F -b11111111111000110011101101000000 ) -#440832000 -0& -#440848000 -b1101011101000 , -#440864000 -1& -#440880000 -b11111001100000000000000000000000 " -b11111001100000000000000000000000 4 -b1010000011001010000001010000110 1 -b1010000011001010000001010000110 C -b11111001100000000000000000000000 0 -b11111001100000000000000000000000 H -b10101100011001001001101001111100 2 -b10101100011001001001101001111100 = -b1011011011111111001011010010110 < -b10101100011001001001101001111100 : -b1010000111001010000001111100110 $ -b1010000111001010000001111100110 - -b1010000111001010000001111100110 5 -b1010000111001010000001111100110 ? -b1010000111001010000001111100110 D -b1011011011111111001011010010110 % -b1011011011111111001011010010110 . -b1011011011111111001011010010110 6 -b1011011011111111001011010010110 @ -b1011011011111111001011010010110 F -b11111001100000000000000000000000 ) -#440896000 -0& -#440912000 -b1101011101001 , -#440928000 -1& -#440944000 -b11111100010000000000000000000000 " -b11111100010000000000000000000000 4 -b1111110000000000100001111000100 1 -b1111110000000000100001111000100 C -b11111100010000000000000000000000 0 -b11111100010000000000000000000000 H -b11111110000000000100001110011000 2 -b11111110000000000100001110011000 = -b1111110010101010101001111010100 < -b11111110000000000100001110011000 : -b1111111101010101110111111000100 $ -b1111111101010101110111111000100 - -b1111111101010101110111111000100 5 -b1111111101010101110111111000100 ? -b1111111101010101110111111000100 D -b1111110010101010101001111010100 % -b1111110010101010101001111010100 . -b1111110010101010101001111010100 6 -b1111110010101010101001111010100 @ -b1111110010101010101001111010100 F -b11111100010000000000000000000000 ) -#440960000 -0& -#440976000 -b1101011101010 , -#440992000 -1& -#441008000 -b1100110011010000000000000000000 " -b1100110011010000000000000000000 4 -b10100001010000100100010010010 1 -b10100001010000100100010010010 C -b1100110011010000000000000000000 0 -b1100110011010000000000000000000 H -18 -09 -b10110001111010000100011010001100 2 -b10110001111010000100011010001100 = -b10101101010000110110011110010 < -b10110001111010000100011010001100 : -b10011100001111111101100110011010 $ -b10011100001111111101100110011010 - -b10011100001111111101100110011010 5 -b10011100001111111101100110011010 ? -b10011100001111111101100110011010 D -b10101101010000110110011110010 % -b10101101010000110110011110010 . -b10101101010000110110011110010 6 -b10101101010000110110011110010 @ -b10101101010000110110011110010 F -b1100110011010000000000000000000 ) -#441024000 -0& -#441040000 -b1101011101011 , -#441056000 -1& -#441072000 -b11101101000010111001001110000000 " -b11101101000010111001001110000000 4 -b11111100101000000110000000110 1 -b11111100101000000110000000110 C -b11101101000010111001001110000000 0 -b11101101000010111001001110000000 H -08 -b11111100100110011101001110100 2 -b11111100100110011101001110100 = -b111111110111110000110000100110 < -b11111100100110011101001110100 : -b11011111101101000010111001001110 $ -b11011111101101000010111001001110 - -b11011111101101000010111001001110 5 -b11011111101101000010111001001110 ? -b11011111101101000010111001001110 D -b111111110111110000110000100110 % -b111111110111110000110000100110 . -b111111110111110000110000100110 6 -b111111110111110000110000100110 @ -b111111110111110000110000100110 F -b11101101000010111001001110000000 ) -#441088000 -0& -#441104000 -b1101011101100 , -#441120000 -1& -#441136000 -b11010011111000000000000000000000 " -b11010011111000000000000000000000 4 -b10111111010101010001010100110100 1 -b10111111010101010001010100110100 C -b11010011111000000000000000000000 0 -b11010011111000000000000000000000 H -18 -b10111110110101001111001001110010 2 -b10111110110101001111001001110010 = -b11111111010111111101010100110100 < -b10111110110101001111001001110010 : -b10111111011101010001110100111110 $ -b10111111011101010001110100111110 - -b10111111011101010001110100111110 5 -b10111111011101010001110100111110 ? -b10111111011101010001110100111110 D -b11111111010111111101010100110100 % -b11111111010111111101010100110100 . -b11111111010111111101010100110100 6 -b11111111010111111101010100110100 @ -b11111111010111111101010100110100 F -b11010011111000000000000000000000 ) -#441152000 -0& -#441168000 -b1101011101101 , -#441184000 -1& -#441200000 -b11110110100011000000000000000000 " -b11110110100011000000000000000000 4 -b1101110101110110100010000000000 1 -b1101110101110110100010000000000 C -b11110110100011000000000000000000 0 -b11110110100011000000000000000000 H -08 -19 -b11101110101110110100001110111100 2 -b11101110101110110100001110111100 = -b1111110111111110100110100110000 < -b11101110101110110100001110111100 : -b1101111101110111111011010001100 $ -b1101111101110111111011010001100 - -b1101111101110111111011010001100 5 -b1101111101110111111011010001100 ? -b1101111101110111111011010001100 D -b1111110111111110100110100110000 % -b1111110111111110100110100110000 . -b1111110111111110100110100110000 6 -b1111110111111110100110100110000 @ -b1111110111111110100110100110000 F -b11110110100011000000000000000000 ) -#441216000 -0& -#441232000 -b1101011101110 , -#441248000 -1& -#441264000 -b11100100000000000000000000000000 " -b11100100000000000000000000000000 4 -b110111101101111000101110000000 1 -b110111101101111000101110000000 C -b11100100000000000000000000000000 0 -b11100100000000000000000000000000 H -09 -08 -b110111101101110100101101011111 2 -b110111101101110100101101011111 = -b1110111101101111010111110010111 < -b110111101101110100101101011111 : -b10111111111111111001101111001000 $ -b10111111111111111001101111001000 - -b10111111111111111001101111001000 5 -b10111111111111111001101111001000 ? -b10111111111111111001101111001000 D -b1110111101101111010111110010111 % -b1110111101101111010111110010111 . -b1110111101101111010111110010111 6 -b1110111101101111010111110010111 @ -b1110111101101111010111110010111 F -b11100100000000000000000000000000 ) -#441280000 -0& -#441296000 -b1101011101111 , -#441312000 -1& -#441328000 -b1110000000000000000000000000000 " -b1110000000000000000000000000000 4 -b11110111110010010000010000100 1 -b11110111110010010000010000100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -b11110111101010001100010000011 2 -b11110111101010001100010000011 = -b10111111111110111011000010011100 < -b11110111101010001100010000011 : -b1011110111110010110011111100111 $ -b1011110111110010110011111100111 - -b1011110111110010110011111100111 5 -b1011110111110010110011111100111 ? -b1011110111110010110011111100111 D -b10111111111110111011000010011100 % -b10111111111110111011000010011100 . -b10111111111110111011000010011100 6 -b10111111111110111011000010011100 @ -b10111111111110111011000010011100 F -b1110000000000000000000000000000 ) -#441344000 -0& -#441360000 -b1101011110000 , -#441376000 -1& -#441392000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b1001100001010101110100000101100 1 -b1001100001010101110100000101100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -b1001011100110101110010110101000 2 -b1001011100110101110010110101000 = -b1011111011010101111100101111100 < -b1001011100110101110010110101000 : -b11101100001011111110110000101100 $ -b11101100001011111110110000101100 - -b11101100001011111110110000101100 5 -b11101100001011111110110000101100 ? -b11101100001011111110110000101100 D -b1011111011010101111100101111100 % -b1011111011010101111100101111100 . -b1011111011010101111100101111100 6 -b1011111011010101111100101111100 @ -b1011111011010101111100101111100 F -b11000000000000000000000000000000 ) -#441408000 -0& -#441424000 -b1101011110001 , -#441440000 -1& -#441456000 -b11001101100000000000000000000000 " -b11001101100000000000000000000000 4 -b1001011111111111101110001010000 1 -b1001011111111111101110001010000 C -b11001101100000000000000000000000 0 -b11001101100000000000000000000000 H -19 -08 -b10101011111111111101100100101100 2 -b10101011111111111101100100101100 = -b1011111111111111111110001010100 < -b10101011111111111101100100101100 : -b1001011111111111101110011011000 $ -b1001011111111111101110011011000 - -b1001011111111111101110011011000 5 -b1001011111111111101110011011000 ? -b1001011111111111101110011011000 D -b1011111111111111111110001010100 % -b1011111111111111111110001010100 . -b1011111111111111111110001010100 6 -b1011111111111111111110001010100 @ -b1011111111111111111110001010100 F -b11001101100000000000000000000000 ) -#441472000 -0& -#441488000 -b1101011110010 , -#441504000 -1& -#441520000 -b10111010101101111000000000000000 " -b10111010101101111000000000000000 4 -b1001001001011000010000101100 1 -b1001001001011000010000101100 C -b10111010101101111000000000000000 0 -b10111010101101111000000000000000 H -b10001001001001010110001111101001 2 -b10001001001001010110001111101001 = -b1001001001101111000111000101101 < -b10001001001001010110001111101001 : -b111111111011011101010110111100 $ -b111111111011011101010110111100 - -b111111111011011101010110111100 5 -b111111111011011101010110111100 ? -b111111111011011101010110111100 D -b1001001001101111000111000101101 % -b1001001001101111000111000101101 . -b1001001001101111000111000101101 6 -b1001001001101111000111000101101 @ -b1001001001101111000111000101101 F -b10111010101101111000000000000000 ) -#441536000 -0& -#441552000 -b1101011110011 , -#441568000 -1& -#441584000 -b10110000000000000000000000000000 " -b10110000000000000000000000000000 4 -b110001101111110010010000011000 1 -b110001101111110010010000011000 C -b10110000000000000000000000000000 0 -b10110000000000000000000000000000 H -b10110001101111101001101110010111 2 -b10110001101111101001101110010111 = -b1111111101111110110010101111100 < -b10110001101111101001101110010111 : -b110001111111110011011000011011 $ -b110001111111110011011000011011 - -b110001111111110011011000011011 5 -b110001111111110011011000011011 ? -b110001111111110011011000011011 D -b1111111101111110110010101111100 % -b1111111101111110110010101111100 . -b1111111101111110110010101111100 6 -b1111111101111110110010101111100 @ -b1111111101111110110010101111100 F -b10110000000000000000000000000000 ) -#441600000 -0& -#441616000 -b1101011110100 , -#441632000 -1& -#441648000 -b110001110010110001000000000000 " -b110001110010110001000000000000 4 -b11110000111100000001010000000000 1 -b11110000111100000001010000000000 C -b110001110010110001000000000000 0 -b110001110010110001000000000000 H -18 -09 -b11101000111100000001001010111101 2 -b11101000111100000001001010111101 = -b11110101111111001111011000001100 < -b11101000111100000001001010111101 : -b11110010111100110001110010110001 $ -b11110010111100110001110010110001 - -b11110010111100110001110010110001 5 -b11110010111100110001110010110001 ? -b11110010111100110001110010110001 D -b11110101111111001111011000001100 % -b11110101111111001111011000001100 . -b11110101111111001111011000001100 6 -b11110101111111001111011000001100 @ -b11110101111111001111011000001100 F -b110001110010110001000000000000 ) -#441664000 -0& -#441680000 -b1101011110101 , -#441696000 -1& -#441712000 -b1110100000001011000000000000000 " -b1110100000001011000000000000000 4 -b1011001001111000100100000001011 1 -b1011001001111000100100000001011 C -b1110100000001011000000000000000 0 -b1110100000001011000000000000000 H -08 -b1011001001111000011010000011010 2 -b1011001001111000011010000011010 = -b1011011111111110100110000001111 < -b1011001001111000011010000011010 : -b11111101001111001110100000001011 $ -b11111101001111001110100000001011 - -b11111101001111001110100000001011 5 -b11111101001111001110100000001011 ? -b11111101001111001110100000001011 D -b1011011111111110100110000001111 % -b1011011111111110100110000001111 . -b1011011111111110100110000001111 6 -b1011011111111110100110000001111 @ -b1011011111111110100110000001111 F -b1110100000001011000000000000000 ) -#441728000 -0& -#441744000 -b1101011110110 , -#441760000 -1& -#441776000 -b1011111111110100110001100001000 " -b1011111111110100110001100001000 4 -b10010111011110100001100000000010 1 -b10010111011110100001100000000010 C -b1011111111110100110001100001000 0 -b1011111111110100110001100001000 H -18 -b10010111011110011101010011000100 2 -b10010111011110011101010011000100 = -b11111111011110110011110000000010 < -b10010111011110011101010011000100 : -b10010111111111101001100011000010 $ -b10010111111111101001100011000010 - -b10010111111111101001100011000010 5 -b10010111111111101001100011000010 ? -b10010111111111101001100011000010 D -b11111111011110110011110000000010 % -b11111111011110110011110000000010 . -b11111111011110110011110000000010 6 -b11111111011110110011110000000010 @ -b11111111011110110011110000000010 F -b1011111111110100110001100001000 ) -#441792000 -0& -#441808000 -b1101011110111 , -#441824000 -1& -#441840000 -b1111000001111010100000000000000 " -b1111000001111010100000000000000 4 -b10111010111001110000001010001000 1 -b10111010111001110000001010001000 C -b1111000001111010100000000000000 0 -b1111000001111010100000000000000 H -b10111010111001101101101000110011 2 -b10111010111001101101101000110011 = -b10111011111101111101001010001011 < -b10111010111001101101101000110011 : -b11111110111011110000011110101000 $ -b11111110111011110000011110101000 - -b11111110111011110000011110101000 5 -b11111110111011110000011110101000 ? -b11111110111011110000011110101000 D -b10111011111101111101001010001011 % -b10111011111101111101001010001011 . -b10111011111101111101001010001011 6 -b10111011111101111101001010001011 @ -b10111011111101111101001010001011 F -b1111000001111010100000000000000 ) -#441856000 -0& -#441872000 -b1101011111000 , -#441888000 -1& -#441904000 -b11111011011011111101010110100110 " -b11111011011011111101010110100110 4 -b11111100101101111010001010000001 1 -b11111100101101111010001010000001 C -b11111011011011111101010110100110 0 -b11111011011011111101010110100110 H -b11111100011101111010000101110100 2 -b11111100011101111010000101110100 = -b11111110101111111011011010100001 < -b11111100011101111010000101110100 : -b11111101101101111110101011010011 $ -b11111101101101111110101011010011 - -b11111101101101111110101011010011 5 -b11111101101101111110101011010011 ? -b11111101101101111110101011010011 D -b11111110101111111011011010100001 % -b11111110101111111011011010100001 . -b11111110101111111011011010100001 6 -b11111110101111111011011010100001 @ -b11111110101111111011011010100001 F -b11111011011011111101010110100110 ) -#441920000 -0& -#441936000 -b1101011111001 , -#441952000 -1& -#441968000 -b11110111100101001111111111110000 " -b11110111100101001111111111110000 4 -b1111111001110000100001100000100 1 -b1111111001110000100001100000100 C -b11110111100101001111111111110000 0 -b11110111100101001111111111110000 H -08 -19 -b11111111001101111011001100000011 2 -b11111111001101111011001100000011 = -b1111111101111100110001100000100 < -b11111111001101111011001100000011 : -b1111111011110010100111111111111 $ -b1111111011110010100111111111111 - -b1111111011110010100111111111111 5 -b1111111011110010100111111111111 ? -b1111111011110010100111111111111 D -b1111111101111100110001100000100 % -b1111111101111100110001100000100 . -b1111111101111100110001100000100 6 -b1111111101111100110001100000100 @ -b1111111101111100110001100000100 F -b11110111100101001111111111110000 ) -#441984000 -0& -#442000000 -b1101011111010 , -#442016000 -1& -#442032000 -b10110001111011000000000000000000 " -b10110001111011000000000000000000 4 -b11111000110000110000000000010010 1 -b11111000110000110000000000010010 C -b10110001111011000000000000000000 0 -b10110001111011000000000000000000 H -18 -09 -b11111000101000101010110100001101 2 -b11111000101000101010110100001101 = -b11111011110000110000000010010010 < -b11111000101000101010110100001101 : -b11111100110111111010110001111011 $ -b11111100110111111010110001111011 - -b11111100110111111010110001111011 5 -b11111100110111111010110001111011 ? -b11111100110111111010110001111011 D -b11111011110000110000000010010010 % -b11111011110000110000000010010010 . -b11111011110000110000000010010010 6 -b11111011110000110000000010010010 @ -b11111011110000110000000010010010 F -b10110001111011000000000000000000 ) -#442048000 -0& -#442064000 -b1101011111011 , -#442080000 -1& -#442096000 -b11111111001101110111110000000000 " -b11111111001101110111110000000000 4 -b10100101100100010000001001001000 1 -b10100101100100010000001001001000 C -b11111111001101110111110000000000 0 -b11111111001101110111110000000000 H -b10100101100100000111101001000100 2 -b10100101100100000111101001000100 = -b11110111100100010100001011001000 < -b10100101100100000111101001000100 : -b10101101111111110011011101111100 $ -b10101101111111110011011101111100 - -b10101101111111110011011101111100 5 -b10101101111111110011011101111100 ? -b10101101111111110011011101111100 D -b11110111100100010100001011001000 % -b11110111100100010100001011001000 . -b11110111100100010100001011001000 6 -b11110111100100010100001011001000 @ -b11110111100100010100001011001000 F -b11111111001101110111110000000000 ) -#442112000 -0& -#442128000 -b1101011111100 , -#442144000 -1& -#442160000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b110101000000111101100000110100 1 -b110101000000111101100000110100 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -08 -b110100011111111101100000110011 2 -b110100011111111101100000110011 = -b111101010010111101110010111101 < -b110100011111111101100000110011 : -b11110111001100111111101101110110 $ -b11110111001100111111101101110110 - -b11110111001100111111101101110110 5 -b11110111001100111111101101110110 ? -b11110111001100111111101101110110 D -b111101010010111101110010111101 % -b111101010010111101110010111101 . -b111101010010111101110010111101 6 -b111101010010111101110010111101 @ -b111101010010111101110010111101 F -b11000000000000000000000000000000 ) -#442176000 -0& -#442192000 -b1101011111101 , -#442208000 -1& -#442224000 -b10111101111111110010010100010 " -b10111101111111110010010100010 4 -b10010101111110000010010000000 1 -b10010101111110000010010000000 C -b10111101111111110010010100010 0 -b10111101111111110010010100010 H -19 -08 -b10001010011111101110110000100010 2 -b10001010011111101110110000100010 = -b1110010101111110000011110000000 < -b10001010011111101110110000100010 : -b10111101111111110010010100010 $ -b10111101111111110010010100010 - -b10111101111111110010010100010 5 -b10111101111111110010010100010 ? -b10111101111111110010010100010 D -b1110010101111110000011110000000 % -b1110010101111110000011110000000 . -b1110010101111110000011110000000 6 -b1110010101111110000011110000000 @ -b1110010101111110000011110000000 F -b10111101111111110010010100010 ) -#442240000 -0& -#442256000 -b1101011111110 , -#442272000 -1& -#442288000 -b11110011000110111011010100000000 " -b11110011000110111011010100000000 4 -b110101111000110001001000000000 1 -b110101111000110001001000000000 C -b11110011000110111011010100000000 0 -b11110011000110111011010100000000 H -09 -08 -b110101110111101111000110111101 2 -b110101110111101111000110111101 = -b1111101111010111101011000001000 < -b110101110111101111000110111101 : -b10110111111100110001101110110101 $ -b10110111111100110001101110110101 - -b10110111111100110001101110110101 5 -b10110111111100110001101110110101 ? -b10110111111100110001101110110101 D -b1111101111010111101011000001000 % -b1111101111010111101011000001000 . -b1111101111010111101011000001000 6 -b1111101111010111101011000001000 @ -b1111101111010111101011000001000 F -b11110011000110111011010100000000 ) -#442304000 -0& -#442320000 -b1101011111111 , -#442336000 -1& -#442352000 -b1110110011011110000110100100000 " -b1110110011011110000110100100000 4 -b1110010000100010011100001100001 1 -b1110010000100010011100001100001 C -b1110110011011110000110100100000 0 -b1110110011011110000110100100000 H -b1110010000100010011001101001110 2 -b1110010000100010011001101001110 = -b1110110010111011011101011100101 < -b1110010000100010011001101001110 : -b11111011101100110111100001101001 $ -b11111011101100110111100001101001 - -b11111011101100110111100001101001 5 -b11111011101100110111100001101001 ? -b11111011101100110111100001101001 D -b1110110010111011011101011100101 % -b1110110010111011011101011100101 . -b1110110010111011011101011100101 6 -b1110110010111011011101011100101 @ -b1110110010111011011101011100101 F -b1110110011011110000110100100000 ) -#442368000 -0& -#442384000 -b1101100000000 , -#442400000 -1& -#442416000 -b1111110111111111100000000000000 " -b1111110111111111100000000000000 4 -b101001011011010100111011001000 1 -b101001011011010100111011001000 C -b1111110111111111100000000000000 0 -b1111110111111111100000000000000 H -19 -08 -b10100101011011010010111011000011 2 -b10100101011011010010111011000011 = -b1101001011111010100111011001011 < -b10100101011011010010111011000011 : -b111011111011111101111111111000 $ -b111011111011111101111111111000 - -b111011111011111101111111111000 5 -b111011111011111101111111111000 ? -b111011111011111101111111111000 D -b1101001011111010100111011001011 % -b1101001011111010100111011001011 . -b1101001011111010100111011001011 6 -b1101001011111010100111011001011 @ -b1101001011111010100111011001011 F -b1111110111111111100000000000000 ) -#442432000 -0& -#442448000 -b1101100000001 , -#442464000 -1& -#442480000 -b1111100000000000000000000000000 " -b1111100000000000000000000000000 4 -b10000100110100000001000011000 1 -b10000100110100000001000011000 C -b1111100000000000000000000000000 0 -b1111100000000000000000000000000 H -18 -09 -b11110000100101011001101000010100 2 -b11110000100101011001101000010100 = -b11010001100110110000011010011000 < -b11110000100101011001101000010100 : -b11110111110101001001101111100 $ -b11110111110101001001101111100 - -b11110111110101001001101111100 5 -b11110111110101001001101111100 ? -b11110111110101001001101111100 D -b11010001100110110000011010011000 % -b11010001100110110000011010011000 . -b11010001100110110000011010011000 6 -b11010001100110110000011010011000 @ -b11010001100110110000011010011000 F -b1111100000000000000000000000000 ) -#442496000 -0& -#442512000 -b1101100000010 , -#442528000 -1& -#442544000 -b11001100101100000000000000000000 " -b11001100101100000000000000000000 4 -b1101110101111101001100000010010 1 -b1101110101111101001100000010010 C -b11001100101100000000000000000000 0 -b11001100101100000000000000000000 H -08 -b1101110101111101001010000001001 2 -b1101110101111101001010000001001 = -b1111110101111101111101001110011 < -b1101110101111101001010000001001 : -b11101111111111111001100110010110 $ -b11101111111111111001100110010110 - -b11101111111111111001100110010110 5 -b11101111111111111001100110010110 ? -b11101111111111111001100110010110 D -b1111110101111101111101001110011 % -b1111110101111101111101001110011 . -b1111110101111101111101001110011 6 -b1111110101111101111101001110011 @ -b1111110101111101111101001110011 F -b11001100101100000000000000000000 ) -#442560000 -0& -#442576000 -b1101100000011 , -#442592000 -1& -#442608000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b10011111111100110010100000000100 1 -b10011111111100110010100000000100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -18 -b10011111111100101110001011100001 2 -b10011111111100101110001011100001 = -b10011111111110110011100010011101 < -b10011111111100101110001011100001 : -b11111111111101111010101001000100 $ -b11111111111101111010101001000100 - -b11111111111101111010101001000100 5 -b11111111111101111010101001000100 ? -b11111111111101111010101001000100 D -b10011111111110110011100010011101 % -b10011111111110110011100010011101 . -b10011111111110110011100010011101 6 -b10011111111110110011100010011101 @ -b10011111111110110011100010011101 F -b10000000000000000000000000000000 ) -#442624000 -0& -#442640000 -b1101100000100 , -#442656000 -1& -#442672000 -b10011000000000000000000000000000 " -b10011000000000000000000000000000 4 -b1111010001010100010000001001000 1 -b1111010001010100010000001001000 C -b10011000000000000000000000000000 0 -b10011000000000000000000000000000 H -08 -b1111010001010100001111100100101 2 -b1111010001010100001111100100101 = -b1111111011111110011001001011001 < -b1111010001010100001111100100101 : -b11111010101010101110110011001100 $ -b11111010101010101110110011001100 - -b11111010101010101110110011001100 5 -b11111010101010101110110011001100 ? -b11111010101010101110110011001100 D -b1111111011111110011001001011001 % -b1111111011111110011001001011001 . -b1111111011111110011001001011001 6 -b1111111011111110011001001011001 @ -b1111111011111110011001001011001 F -b10011000000000000000000000000000 ) -#442688000 -0& -#442704000 -b1101100000101 , -#442720000 -1& -#442736000 -b10111110001110000000000000000000 " -b10111110001110000000000000000000 4 -b1100010111001101101000101000011 1 -b1100010111001101101000101000011 C -b10111110001110000000000000000000 0 -b10111110001110000000000000000000 H -19 -08 -b11100010111001101100100100111010 2 -b11100010111001101100100100111010 = -b1101011111111111101000101110011 < -b11100010111001101100100100111010 : -b1110110111001101111011111000111 $ -b1110110111001101111011111000111 - -b1110110111001101111011111000111 5 -b1110110111001101111011111000111 ? -b1110110111001101111011111000111 D -b1101011111111111101000101110011 % -b1101011111111111101000101110011 . -b1101011111111111101000101110011 6 -b1101011111111111101000101110011 @ -b1101011111111111101000101110011 F -b10111110001110000000000000000000 ) -#442752000 -0& -#442768000 -b1101100000110 , -#442784000 -1& -#442800000 -b111010111000111010000000000000 " -b111010111000111010000000000000 4 -b1111100101100111010110000101000 1 -b1111100101100111010110000101000 C -b111010111000111010000000000000 0 -b111010111000111010000000000000 H -09 -08 -b1111010011001110101101101100110 2 -b1111010011001110101101101100110 = -b11111101101100111010110100101100 < -b1111010011001110101101101100110 : -b1111100101100111010111000111010 $ -b1111100101100111010111000111010 - -b1111100101100111010111000111010 5 -b1111100101100111010111000111010 ? -b1111100101100111010111000111010 D -b11111101101100111010110100101100 % -b11111101101100111010110100101100 . -b11111101101100111010110100101100 6 -b11111101101100111010110100101100 @ -b11111101101100111010110100101100 F -b111010111000111010000000000000 ) -#442816000 -0& -#442832000 -b1101100000111 , -#442848000 -1& -#442864000 -b10110011100000000000000000000000 " -b10110011100000000000000000000000 4 -b101010100001001111000000110 1 -b101010100001001111000000110 C -b10110011100000000000000000000000 0 -b10110011100000000000000000000000 H -b101010100000111111000000100 2 -b101010100000111111000000100 = -b10100111010101101001111100110110 < -b101010100000111111000000100 : -b1011101111110011101111011001110 $ -b1011101111110011101111011001110 - -b1011101111110011101111011001110 5 -b1011101111110011101111011001110 ? -b1011101111110011101111011001110 D -b10100111010101101001111100110110 % -b10100111010101101001111100110110 . -b10100111010101101001111100110110 6 -b10100111010101101001111100110110 @ -b10100111010101101001111100110110 F -b10110011100000000000000000000000 ) -#442880000 -0& -#442896000 -b1101100001000 , -#442912000 -1& -#442928000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b110100110001010000011010011001 1 -b110100110001010000011010011001 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b110011101010100001111010011000 2 -b110011101010100001111010011000 = -b1110100111001010000011011111111 < -b110011101010100001111010011000 : -b10111110110001010001011110011001 $ -b10111110110001010001011110011001 - -b10111110110001010001011110011001 5 -b10111110110001010001011110011001 ? -b10111110110001010001011110011001 D -b1110100111001010000011011111111 % -b1110100111001010000011011111111 . -b1110100111001010000011011111111 6 -b1110100111001010000011011111111 @ -b1110100111001010000011011111111 F -b10000000000000000000000000000000 ) -#442944000 -0& -#442960000 -b1101100001001 , -#442976000 -1& -#442992000 -b110010110101001000111001000000 " -b110010110101001000111001000000 4 -b1111000010010010001000000000000 1 -b1111000010010010001000000000000 C -b110010110101001000111001000000 0 -b110010110101001000111001000000 H -b1110100001101001000101100111111 2 -b1110100001101001000101100111111 = -b1111011011010010011100100000110 < -b1110100001101001000101100111111 : -b11111000110010110101001000111001 $ -b11111000110010110101001000111001 - -b11111000110010110101001000111001 5 -b11111000110010110101001000111001 ? -b11111000110010110101001000111001 D -b1111011011010010011100100000110 % -b1111011011010010011100100000110 . -b1111011011010010011100100000110 6 -b1111011011010010011100100000110 @ -b1111011011010010011100100000110 F -b110010110101001000111001000000 ) -#443008000 -0& -#443024000 -b1101100001010 , -#443040000 -1& -#443056000 -b11111000000000000000000000000000 " -b11111000000000000000000000000000 4 -b1011011000001110100000000111010 1 -b1011011000001110100000000111010 C -b11111000000000000000000000000000 0 -b11111000000000000000000000000000 H -b1011010111111110010010100111000 2 -b1011010111111110010010100111000 = -b1011111000001110100010011111010 < -b1011010111111110010010100111000 : -b11111011111101111110000000111110 $ -b11111011111101111110000000111110 - -b11111011111101111110000000111110 5 -b11111011111101111110000000111110 ? -b11111011111101111110000000111110 D -b1011111000001110100010011111010 % -b1011111000001110100010011111010 . -b1011111000001110100010011111010 6 -b1011111000001110100010011111010 @ -b1011111000001110100010011111010 F -b11111000000000000000000000000000 ) -#443072000 -0& -#443088000 -b1101100001011 , -#443104000 -1& -#443120000 -b11111100010000000000000000000000 " -b11111100010000000000000000000000 4 -b1000000110101011000010110000000 1 -b1000000110101011000010110000000 C -b11111100010000000000000000000000 0 -b11111100010000000000000000000000 H -b111100110101010100010101110111 2 -b111100110101010100010101110111 = -b1000010110111011011110110010101 < -b111100110101010100010101110111 : -b11111001111101111000011111100010 $ -b11111001111101111000011111100010 - -b11111001111101111000011111100010 5 -b11111001111101111000011111100010 ? -b11111001111101111000011111100010 D -b1000010110111011011110110010101 % -b1000010110111011011110110010101 . -b1000010110111011011110110010101 6 -b1000010110111011011110110010101 @ -b1000010110111011011110110010101 F -b11111100010000000000000000000000 ) -#443136000 -0& -#443152000 -b1101100001100 , -#443168000 -1& -#443184000 -b1110101001010100000000000000000 " -b1110101001010100000000000000000 4 -b1111010100010000010010000000000 1 -b1111010100010000010010000000000 C -b1110101001010100000000000000000 0 -b1110101001010100000000000000000 H -b1111010011001111001101111111010 2 -b1111010011001111001101111111010 = -b1111110110010000010011011010000 < -b1111010011001111001101111111010 : -b11111011100111110111010100101010 $ -b11111011100111110111010100101010 - -b11111011100111110111010100101010 5 -b11111011100111110111010100101010 ? -b11111011100111110111010100101010 D -b1111110110010000010011011010000 % -b1111110110010000010011011010000 . -b1111110110010000010011011010000 6 -b1111110110010000010011011010000 @ -b1111110110010000010011011010000 F -b1110101001010100000000000000000 ) -#443200000 -0& -#443216000 -b1101100001101 , -#443232000 -1& -#443248000 -b10111111001011011101010000000000 " -b10111111001011011101010000000000 4 -b1110001001010010010100001000000 1 -b1110001001010010010100001000000 C -b10111111001011011101010000000000 0 -b10111111001011011101010000000000 H -b1100101001010001010100000111100 2 -b1100101001010001010100000111100 = -b11110011011010010111101001101000 < -b1100101001010001010100000111100 : -b1110001101111110010110111010100 $ -b1110001101111110010110111010100 - -b1110001101111110010110111010100 5 -b1110001101111110010110111010100 ? -b1110001101111110010110111010100 D -b11110011011010010111101001101000 % -b11110011011010010111101001101000 . -b11110011011010010111101001101000 6 -b11110011011010010111101001101000 @ -b11110011011010010111101001101000 F -b10111111001011011101010000000000 ) -#443264000 -0& -#443280000 -b1101100001110 , -#443296000 -1& -#443312000 -1! -b0 " -b0 4 -b1000011011011000000000000011100 1 -b1000011011011000000000000011100 C -b0 0 -b0 H -b1000011011010111100111001011011 2 -b1000011011010111100111001011011 = -b11010111011111100000000000011111 < -1# -b1000011011010111100111001011011 : -b1101011111011011100111000111100 $ -b1101011111011011100111000111100 - -b1101011111011011100111000111100 5 -b1101011111011011100111000111100 ? -b1101011111011011100111000111100 D -b11010111011111100000000000011111 % -b11010111011111100000000000011111 . -b11010111011111100000000000011111 6 -b11010111011111100000000000011111 @ -b11010111011111100000000000011111 F -b0 ) -b1 ( -#443328000 -0& -#443344000 -b1101100001111 , -#443360000 -1& -#443376000 -0! -b111000000000000000000000000000 " -b111000000000000000000000000000 4 -b11111000100100000000000011000 1 -b11111000100100000000000011000 C -b111000000000000000000000000000 0 -b111000000000000000000000000000 H -18 -b11111111000010010101100000010000 2 -b11111111000010010101100000010000 = -b11011111001101100000001011011000 < -0# -b11111111000010010101100000010000 : -b11111110100110101010100111000 $ -b11111110100110101010100111000 - -b11111110100110101010100111000 5 -b11111110100110101010100111000 ? -b11111110100110101010100111000 D -b11011111001101100000001011011000 % -b11011111001101100000001011011000 . -b11011111001101100000001011011000 6 -b11011111001101100000001011011000 @ -b11011111001101100000001011011000 F -b111000000000000000000000000000 ) -b0 ( -#443392000 -0& -#443408000 -b1101100010000 , -#443424000 -1& -#443440000 -b10100010000000000000000000000 " -b10100010000000000000000000000 4 -b11000001011110110000000010000000 1 -b11000001011110110000000010000000 C -b10100010000000000000000000000 0 -b10100010000000000000000000000 H -b11000001011110101111001000110111 2 -b11000001011110101111001000110111 = -b11011111011110110001000110010101 < -b11000001011110101111001000110111 : -b11100001111111111110000010100010 $ -b11100001111111111110000010100010 - -b11100001111111111110000010100010 5 -b11100001111111111110000010100010 ? -b11100001111111111110000010100010 D -b11011111011110110001000110010101 % -b11011111011110110001000110010101 . -b11011111011110110001000110010101 6 -b11011111011110110001000110010101 @ -b11011111011110110001000110010101 F -b10100010000000000000000000000 ) -#443456000 -0& -#443472000 -b1101100010001 , -#443488000 -1& -#443504000 -b11010111000100011000000000000000 " -b11010111000100011000000000000000 4 -b1101110110100110000100000000110 1 -b1101110110100110000100000000110 C -b11010111000100011000000000000000 0 -b11010111000100011000000000000000 H -08 -19 -b11101110110010100110011101010100 2 -b11101110110010100110011101010100 = -b1101111111100110000101100001110 < -b11101110110010100110011101010100 : -b1111110110101110101110001000110 $ -b1111110110101110101110001000110 - -b1111110110101110101110001000110 5 -b1111110110101110101110001000110 ? -b1111110110101110101110001000110 D -b1101111111100110000101100001110 % -b1101111111100110000101100001110 . -b1101111111100110000101100001110 6 -b1101111111100110000101100001110 @ -b1101111111100110000101100001110 F -b11010111000100011000000000000000 ) -#443520000 -0& -#443536000 -b1101100010010 , -#443552000 -1& -#443568000 -b11011001101000010010001110000000 " -b11011001101000010010001110000000 4 -b11011000101100110100000000000111 1 -b11011000101100110100000000000111 C -b11011001101000010010001110000000 0 -b11011001101000010010001110000000 H -18 -09 -b11011000011011101001001101001110 2 -b11011000011011101001001101001110 = -b11011000101110110101000100000111 < -b11011000011011101001001101001110 : -b11111111101100110100001001000111 $ -b11111111101100110100001001000111 - -b11111111101100110100001001000111 5 -b11111111101100110100001001000111 ? -b11111111101100110100001001000111 D -b11011000101110110101000100000111 % -b11011000101110110101000100000111 . -b11011000101110110101000100000111 6 -b11011000101110110101000100000111 @ -b11011000101110110101000100000111 F -b11011001101000010010001110000000 ) -#443584000 -0& -#443600000 -b1101100010011 , -#443616000 -1& -#443632000 -b1100110000000000000000000000000 " -b1100110000000000000000000000000 4 -b11110010010101100000011001000000 1 -b11110010010101100000011001000000 C -b1100110000000000000000000000000 0 -b1100110000000000000000000000000 H -b11110001010101001001110010111110 2 -b11110001010101001001110010111110 = -b11110110111111100001011001011000 < -b11110001010101001001110010111110 : -b11111010010101101000011001100110 $ -b11111010010101101000011001100110 - -b11111010010101101000011001100110 5 -b11111010010101101000011001100110 ? -b11111010010101101000011001100110 D -b11110110111111100001011001011000 % -b11110110111111100001011001011000 . -b11110110111111100001011001011000 6 -b11110110111111100001011001011000 @ -b11110110111111100001011001011000 F -b1100110000000000000000000000000 ) -#443648000 -0& -#443664000 -b1101100010100 , -#443680000 -1& -#443696000 -b111000000000000000000000000000 " -b111000000000000000000000000000 4 -b1011101111101001000100010000011 1 -b1011101111101001000100010000011 C -b111000000000000000000000000000 0 -b111000000000000000000000000000 H -08 -b111101111101000111011001100010 2 -b111101111101000111011001100010 = -b11011101111101011110100011011011 < -b111101111101000111011001100010 : -b1011111111111101000110110000111 $ -b1011111111111101000110110000111 - -b1011111111111101000110110000111 5 -b1011111111111101000110110000111 ? -b1011111111111101000110110000111 D -b11011101111101011110100011011011 % -b11011101111101011110100011011011 . -b11011101111101011110100011011011 6 -b11011101111101011110100011011011 @ -b11011101111101011110100011011011 F -b111000000000000000000000000000 ) -#443712000 -0& -#443728000 -b1101100010101 , -#443744000 -1& -#443760000 -b10100110110110000000000000000000 " -b10100110110110000000000000000000 4 -b10010001111110110011010001010011 1 -b10010001111110110011010001010011 C -b10100110110110000000000000000000 0 -b10100110110110000000000000000000 H -18 -19 -b1110001111110101010101101001110 2 -b1110001111110101010101101001110 = -b11011111111111110011011001110011 < -b1110001111110101010101101001110 : -b10010001111110110111010011011011 $ -b10010001111110110111010011011011 - -b10010001111110110111010011011011 5 -b10010001111110110111010011011011 ? -b10010001111110110111010011011011 D -b11011111111111110011011001110011 % -b11011111111111110011011001110011 . -b11011111111111110011011001110011 6 -b11011111111111110011011001110011 @ -b11011111111111110011011001110011 F -b10100110110110000000000000000000 ) -#443776000 -0& -#443792000 -b1101100010110 , -#443808000 -1& -#443824000 -b111110000000000000000000000000 " -b111110000000000000000000000000 4 -b11101000011011100000000001110100 1 -b11101000011011100000000001110100 C -b111110000000000000000000000000 0 -b111110000000000000000000000000 H -09 -18 -b11101000010111011111110111110011 2 -b11101000010111011111110111110011 = -b11111110011011100011100101110111 < -b11101000010111011111110111110011 : -b11101001111011111100010001111100 $ -b11101001111011111100010001111100 - -b11101001111011111100010001111100 5 -b11101001111011111100010001111100 ? -b11101001111011111100010001111100 D -b11111110011011100011100101110111 % -b11111110011011100011100101110111 . -b11111110011011100011100101110111 6 -b11111110011011100011100101110111 @ -b11111110011011100011100101110111 F -b111110000000000000000000000000 ) -#443840000 -0& -#443856000 -b1101100010111 , -#443872000 -1& -#443888000 -b1111101010000001001101000000000 " -b1111101010000001001101000000000 4 -b110101111100010000001001000000 1 -b110101111100010000001001000000 C -b1111101010000001001101000000000 0 -b1111101010000001001101000000000 H -08 -b110011111100001100110100101110 2 -b110011111100001100110100101110 = -b110101111110111100101011000110 < -b110011111100001100110100101110 : -b11111101111101010000001001101000 $ -b11111101111101010000001001101000 - -b11111101111101010000001001101000 5 -b11111101111101010000001001101000 ? -b11111101111101010000001001101000 D -b110101111110111100101011000110 % -b110101111110111100101011000110 . -b110101111110111100101011000110 6 -b110101111110111100101011000110 @ -b110101111110111100101011000110 F -b1111101010000001001101000000000 ) -#443904000 -0& -#443920000 -b1101100011000 , -#443936000 -1& -#443952000 -b10100011100000000000000000000 " -b10100011100000000000000000000 4 -b10110001001100000010000000000100 1 -b10110001001100000010000000000100 C -b10100011100000000000000000000 0 -b10100011100000000000000000000 H -18 -b10101001001001000001111111011011 2 -b10101001001001000001111111011011 = -b11110111111100101010111010010100 < -b10101001001001000001111111011011 : -b10110001001100010111000101000111 $ -b10110001001100010111000101000111 - -b10110001001100010111000101000111 5 -b10110001001100010111000101000111 ? -b10110001001100010111000101000111 D -b11110111111100101010111010010100 % -b11110111111100101010111010010100 . -b11110111111100101010111010010100 6 -b11110111111100101010111010010100 @ -b11110111111100101010111010010100 F -b10100011100000000000000000000 ) -#443968000 -0& -#443984000 -b1101100011001 , -#444000000 -1& -#444016000 -b10100001100000000000000000000 " -b10100001100000000000000000000 4 -b1111000100010111010000010000010 1 -b1111000100010111010000010000010 C -b10100001100000000000000000000 0 -b10100001100000000000000000000 H -08 -19 -b11110011010110110101010001111001 2 -b11110011010110110101010001111001 = -b1111000110011111011000111110011 < -b11110011010110110101010001111001 : -b1111010100010111010001010000110 $ -b1111010100010111010001010000110 - -b1111010100010111010001010000110 5 -b1111010100010111010001010000110 ? -b1111010100010111010001010000110 D -b1111000110011111011000111110011 % -b1111000110011111011000111110011 . -b1111000110011111011000111110011 6 -b1111000110011111011000111110011 @ -b1111000110011111011000111110011 F -b10100001100000000000000000000 ) -#444032000 -0& -#444048000 -b1101100011010 , -#444064000 -1& -#444080000 -b1011010000000000000000000000000 " -b1011010000000000000000000000000 4 -b100011101000000110100011000 1 -b100011101000000110100011000 C -b1011010000000000000000000000000 0 -b1011010000000000000000000000000 H -18 -09 -b10011011011101000000110011110010 2 -b10011011011101000000110011110010 = -b10010100111101100010110110011000 < -b10011011011101000000110011110010 : -b110011111011101111101011010 $ -b110011111011101111101011010 - -b110011111011101111101011010 5 -b110011111011101111101011010 ? -b110011111011101111101011010 D -b10010100111101100010110110011000 % -b10010100111101100010110110011000 . -b10010100111101100010110110011000 6 -b10010100111101100010110110011000 @ -b10010100111101100010110110011000 F -b1011010000000000000000000000000 ) -#444096000 -0& -#444112000 -b1101100011011 , -#444128000 -1& -#444144000 -b11111100000000000000000000000000 " -b11111100000000000000000000000000 4 -b1100001000010010110100001111000 1 -b1100001000010010110100001111000 C -b11111100000000000000000000000000 0 -b11111100000000000000000000000000 H -08 -19 -b11000100111010010101011101110100 2 -b11000100111010010101011101110100 = -b1100011000111011110100001111000 < -b11000100111010010101011101110100 : -b1100001110010110110111011111100 $ -b1100001110010110110111011111100 - -b1100001110010110110111011111100 5 -b1100001110010110110111011111100 ? -b1100001110010110110111011111100 D -b1100011000111011110100001111000 % -b1100011000111011110100001111000 . -b1100011000111011110100001111000 6 -b1100011000111011110100001111000 @ -b1100011000111011110100001111000 F -b11111100000000000000000000000000 ) -#444160000 -0& -#444176000 -b1101100011100 , -#444192000 -1& -#444208000 -b10011000111011001100000000000000 " -b10011000111011001100000000000000 4 -b110110100100110000110010001000 1 -b110110100100110000110010001000 C -b10011000111011001100000000000000 0 -b10011000111011001100000000000000 H -b10110110100001101000110001100011 2 -b10110110100001101000110001100011 = -b110110111100110110111011001011 < -b10110110100001101000110001100011 : -b1111111100100110001110110011000 $ -b1111111100100110001110110011000 - -b1111111100100110001110110011000 5 -b1111111100100110001110110011000 ? -b1111111100100110001110110011000 D -b110110111100110110111011001011 % -b110110111100110110111011001011 . -b110110111100110110111011001011 6 -b110110111100110110111011001011 @ -b110110111100110110111011001011 F -b10011000111011001100000000000000 ) -#444224000 -0& -#444240000 -b1101100011101 , -#444256000 -1& -#444272000 -b10011000000000000000000000000000 " -b10011000000000000000000000000000 4 -b1001100001001110001110000010011 1 -b1001100001001110001110000010011 C -b10011000000000000000000000000000 0 -b10011000000000000000000000000000 H -09 -08 -b1001011101001101101101100101110 2 -b1001011101001101101101100101110 = -b1011111011101110011110100011011 < -b1001011101001101101101100101110 : -b11101100001011111001111000010011 $ -b11101100001011111001111000010011 - -b11101100001011111001111000010011 5 -b11101100001011111001111000010011 ? -b11101100001011111001111000010011 D -b1011111011101110011110100011011 % -b1011111011101110011110100011011 . -b1011111011101110011110100011011 6 -b1011111011101110011110100011011 @ -b1011111011101110011110100011011 F -b10011000000000000000000000000000 ) -#444288000 -0& -#444304000 -b1101100011110 , -#444320000 -1& -#444336000 -b100000000000000000000000000000 " -b100000000000000000000000000000 4 -b11110011000000000001000000000 1 -b11110011000000000001000000000 C -b100000000000000000000000000000 0 -b100000000000000000000000000000 H -b11101110001111111100010111111 2 -b11101110001111111100010111111 = -b111111011000111111001000011011 < -b11101110001111111100010111111 : -b11011110011001000000011010100100 $ -b11011110011001000000011010100100 - -b11011110011001000000011010100100 5 -b11011110011001000000011010100100 ? -b11011110011001000000011010100100 D -b111111011000111111001000011011 % -b111111011000111111001000011011 . -b111111011000111111001000011011 6 -b111111011000111111001000011011 @ -b111111011000111111001000011011 F -b100000000000000000000000000000 ) -#444352000 -0& -#444368000 -b1101100011111 , -#444384000 -1& -#444400000 -b10000100101000000000000000000000 " -b10000100101000000000000000000000 4 -b1110110001000110110000000100101 1 -b1110110001000110110000000100101 C -b10000100101000000000000000000000 0 -b10000100101000000000000000000000 H -b1110110000101110101000000011010 2 -b1110110000101110101000000011010 = -b1111111001000111110001111110101 < -b1110110000101110101000000011010 : -b11110110111100110110110000100101 $ -b11110110111100110110110000100101 - -b11110110111100110110110000100101 5 -b11110110111100110110110000100101 ? -b11110110111100110110110000100101 D -b1111111001000111110001111110101 % -b1111111001000111110001111110101 . -b1111111001000111110001111110101 6 -b1111111001000111110001111110101 @ -b1111111001000111110001111110101 F -b10000100101000000000000000000000 ) -#444416000 -0& -#444432000 -b1101100100000 , -#444448000 -1& -#444464000 -b1011110100110000000000000000000 " -b1011110100110000000000000000000 4 -b100100111101100100000110010011 1 -b100100111101100100000110010011 C -b1011110100110000000000000000000 0 -b1011110100110000000000000000000 H -19 -08 -b10100001111101010011110110000110 2 -b10100001111101010011110110000110 = -b110100111101101111000110110011 < -b10100001111101010011110110000110 : -b1101100111111100100101111010011 $ -b1101100111111100100101111010011 - -b1101100111111100100101111010011 5 -b1101100111111100100101111010011 ? -b1101100111111100100101111010011 D -b110100111101101111000110110011 % -b110100111101101111000110110011 . -b110100111101101111000110110011 6 -b110100111101101111000110110011 @ -b110100111101101111000110110011 F -b1011110100110000000000000000000 ) -#444480000 -0& -#444496000 -b1101100100001 , -#444512000 -1& -#444528000 -b11010001000000000000000000000 " -b11010001000000000000000000000 4 -b1110010001001000000000100000000 1 -b1110010001001000000000100000000 C -b11010001000000000000000000000 0 -b11010001000000000000000000000 H -b11110010001000111011100011110111 2 -b11110010001000111011100011110111 = -b1111110011111010011010110110011 < -b11110010001000111011100011110111 : -b1110011101001101000001101000100 $ -b1110011101001101000001101000100 - -b1110011101001101000001101000100 5 -b1110011101001101000001101000100 ? -b1110011101001101000001101000100 D -b1111110011111010011010110110011 % -b1111110011111010011010110110011 . -b1111110011111010011010110110011 6 -b1111110011111010011010110110011 @ -b1111110011111010011010110110011 F -b11010001000000000000000000000 ) -#444544000 -0& -#444560000 -b1101100100010 , -#444576000 -1& -#444592000 -b11111111011111011100110000000000 " -b11111111011111011100110000000000 4 -b1101011011111011001001011000000 1 -b1101011011111011001001011000000 C -b11111111011111011100110000000000 0 -b11111111011111011100110000000000 H -09 -08 -b1011011011111010101001010101111 2 -b1011011011111010101001010101111 = -b1101111111111011001001111001001 < -b1011011011111010101001010101111 : -b11101011011111111011111011100110 $ -b11101011011111111011111011100110 - -b11101011011111111011111011100110 5 -b11101011011111111011111011100110 ? -b11101011011111111011111011100110 D -b1101111111111011001001111001001 % -b1101111111111011001001111001001 . -b1101111111111011001001111001001 6 -b1101111111111011001001111001001 @ -b1101111111111011001001111001001 F -b11111111011111011100110000000000 ) -#444608000 -0& -#444624000 -b1101100100011 , -#444640000 -1& -#444656000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b1110000100010000001001100001101 1 -b1110000100010000001001100001101 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -b1101100100001000000111011001100 2 -b1101100100001000000111011001100 = -b1110010110110100111101100011111 < -b1101100100001000000111011001100 : -b11111001101010011001001110101101 $ -b11111001101010011001001110101101 - -b11111001101010011001001110101101 5 -b11111001101010011001001110101101 ? -b11111001101010011001001110101101 D -b1110010110110100111101100011111 % -b1110010110110100111101100011111 . -b1110010110110100111101100011111 6 -b1110010110110100111101100011111 @ -b1110010110110100111101100011111 F -b10000000000000000000000000000000 ) -#444672000 -0& -#444688000 -b1101100100100 , -#444704000 -1& -#444720000 -b11011101111111110011100000000000 " -b11011101111111110011100000000000 4 -b1101001111011001001011010001000 1 -b1101001111011001001011010001000 C -b11011101111111110011100000000000 0 -b11011101111111110011100000000000 H -b1101001110110111001011001000101 2 -b1101001110110111001011001000101 = -b11111011111011001001011010101001 < -b1101001110110111001011001000101 : -b1101101111011101111111110011100 $ -b1101101111011101111111110011100 - -b1101101111011101111111110011100 5 -b1101101111011101111111110011100 ? -b1101101111011101111111110011100 D -b11111011111011001001011010101001 % -b11111011111011001001011010101001 . -b11111011111011001001011010101001 6 -b11111011111011001001011010101001 @ -b11111011111011001001011010101001 F -b11011101111111110011100000000000 ) -#444736000 -0& -#444752000 -b1101100100101 , -#444768000 -1& -#444784000 -1! -b0 " -b0 4 -b1100111100100111010101000000000 1 -b1100111100100111010101000000000 C -b0 0 -b0 H -b1011111100011111010010011011100 2 -b1011111100011111010010011011100 = -b11110111111110111010101010011100 < -1# -b1011111100011111010010011011100 : -b1100111100100111111101001000000 $ -b1100111100100111111101001000000 - -b1100111100100111111101001000000 5 -b1100111100100111111101001000000 ? -b1100111100100111111101001000000 D -b11110111111110111010101010011100 % -b11110111111110111010101010011100 . -b11110111111110111010101010011100 6 -b11110111111110111010101010011100 @ -b11110111111110111010101010011100 F -b0 ) -b1 ( -#444800000 -0& -#444816000 -b1101100100110 , -#444832000 -1& -#444848000 -0! -b101100100000000000000000000000 " -b101100100000000000000000000000 4 -b110101000010010000101100100000 1 -b110101000010010000101100100000 C -b101100100000000000000000000000 0 -b101100100000000000000000000000 H -b110010011010001111011010010010 2 -b110010011010001111011010010010 = -b10111101000111111110101101110010 < -0# -b110010011010001111011010010010 : -b1110101010010010000101100100000 $ -b1110101010010010000101100100000 - -b1110101010010010000101100100000 5 -b1110101010010010000101100100000 ? -b1110101010010010000101100100000 D -b10111101000111111110101101110010 % -b10111101000111111110101101110010 . -b10111101000111111110101101110010 6 -b10111101000111111110101101110010 @ -b10111101000111111110101101110010 F -b101100100000000000000000000000 ) -b0 ( -#444864000 -0& -#444880000 -b1101100100111 , -#444896000 -1& -#444912000 -b11111101000111001011100000000000 " -b11111101000111001011100000000000 4 -b100000111111110010001010000011 1 -b100000111111110010001010000011 C -b11111101000111001011100000000000 0 -b11111101000111001011100000000000 H -b11110111111110001001010000010 2 -b11110111111110001001010000010 = -b1110001111111110110111011101011 < -b11110111111110001001010000010 : -b10101100111111111010001110010111 $ -b10101100111111111010001110010111 - -b10101100111111111010001110010111 5 -b10101100111111111010001110010111 ? -b10101100111111111010001110010111 D -b1110001111111110110111011101011 % -b1110001111111110110111011101011 . -b1110001111111110110111011101011 6 -b1110001111111110110111011101011 @ -b1110001111111110110111011101011 F -b11111101000111001011100000000000 ) -#444928000 -0& -#444944000 -b1101100101000 , -#444960000 -1& -#444976000 -b11110111111110000111001111100000 " -b11110111111110000111001111100000 4 -b111110111011000000000001100000 1 -b111110111011000000000001100000 C -b11110111111110000111001111100000 0 -b11110111111110000111001111100000 H -b111110111010111100111111011111 2 -b111110111010111100111111011111 = -b11111111111011001100000101100011 < -b111110111010111100111111011111 : -b111110111111110000111001111100 $ -b111110111111110000111001111100 - -b111110111111110000111001111100 5 -b111110111111110000111001111100 ? -b111110111111110000111001111100 D -b11111111111011001100000101100011 % -b11111111111011001100000101100011 . -b11111111111011001100000101100011 6 -b11111111111011001100000101100011 @ -b11111111111011001100000101100011 F -b11110111111110000111001111100000 ) -#444992000 -0& -#445008000 -b1101100101001 , -#445024000 -1& -#445040000 -b10100110101110101001110110010000 " -b10100110101110101001110110010000 4 -b11010011010110010100100000000 1 -b11010011010110010100100000000 C -b10100110101110101001110110010000 0 -b10100110101110101001110110010000 H -19 -08 -b10010110011010101101001011011101 2 -b10010110011010101101001011011101 = -b11011111111110010100100000100 < -b10010110011010101101001011011101 : -b1111010011010111010100111011001 $ -b1111010011010111010100111011001 - -b1111010011010111010100111011001 5 -b1111010011010111010100111011001 ? -b1111010011010111010100111011001 D -b11011111111110010100100000100 % -b11011111111110010100100000100 . -b11011111111110010100100000100 6 -b11011111111110010100100000100 @ -b11011111111110010100100000100 F -b10100110101110101001110110010000 ) -#445056000 -0& -#445072000 -b1101100101010 , -#445088000 -1& -#445104000 -b10111011110101001100010101000000 " -b10111011110101001100010101000000 4 -b10010010111011110101001100000100 1 -b10010010111011110101001100000100 C -b10111011110101001100010101000000 0 -b10111011110101001100010101000000 H -18 -b1110010111011110100101011011011 2 -b1110010111011110100101011011011 = -b10011011111111111111011111000110 < -b1110010111011110100101011011011 : -b11010110111011110101001100010101 $ -b11010110111011110101001100010101 - -b11010110111011110101001100010101 5 -b11010110111011110101001100010101 ? -b11010110111011110101001100010101 D -b10011011111111111111011111000110 % -b10011011111111111111011111000110 . -b10011011111111111111011111000110 6 -b10011011111111111111011111000110 @ -b10011011111111111111011111000110 F -b10111011110101001100010101000000 ) -#445120000 -0& -#445136000 -b1101100101011 , -#445152000 -1& -#445168000 -b1000100000110000000000000000 " -b1000100000110000000000000000 4 -b11101101110001100000000000000000 1 -b11101101110001100000000000000000 C -b1000100000110000000000000000 0 -b1000100000110000000000000000 H -09 -18 -b11101101110001011010101111110011 2 -b11101101110001011010101111110011 = -b11101111110111101010001101110000 < -b11101101110001011010101111110011 : -b11111101111001110000100010000011 $ -b11111101111001110000100010000011 - -b11111101111001110000100010000011 5 -b11111101111001110000100010000011 ? -b11111101111001110000100010000011 D -b11101111110111101010001101110000 % -b11101111110111101010001101110000 . -b11101111110111101010001101110000 6 -b11101111110111101010001101110000 @ -b11101111110111101010001101110000 F -b1000100000110000000000000000 ) -#445184000 -0& -#445200000 -b1101100101100 , -#445216000 -1& -#445232000 -b1111010100100110101000000000000 " -b1111010100100110101000000000000 4 -b10110111001111010000000000100 1 -b10110111001111010000000000100 C -b1111010100100110101000000000000 0 -b1111010100100110101000000000000 H -18 -09 -b11110110111001111001111110000001 2 -b11110110111001111001111110000001 = -b11011110111111111111011001001100 < -b11110110111001111001111110000001 : -b10111111001111010100100110101 $ -b10111111001111010100100110101 - -b10111111001111010100100110101 5 -b10111111001111010100100110101 ? -b10111111001111010100100110101 D -b11011110111111111111011001001100 % -b11011110111111111111011001001100 . -b11011110111111111111011001001100 6 -b11011110111111111111011001001100 @ -b11011110111111111111011001001100 F -b1111010100100110101000000000000 ) -#445248000 -0& -#445264000 -b1101100101101 , -#445280000 -1& -#445296000 -b10000000010110000000000000000000 " -b10000000010110000000000000000000 4 -b11110111011111000000000000011 1 -b11110111011111000000000000011 C -b10000000010110000000000000000000 0 -b10000000010110000000000000000000 H -b11111110111011110001110010011110 2 -b11111110111011110001110010011110 = -b11011110111011111000110010010011 < -b11111110111011110001110010011110 : -b11111111111111001000000001011 $ -b11111111111111001000000001011 - -b11111111111111001000000001011 5 -b11111111111111001000000001011 ? -b11111111111111001000000001011 D -b11011110111011111000110010010011 % -b11011110111011111000110010010011 . -b11011110111011111000110010010011 6 -b11011110111011111000110010010011 @ -b11011110111011111000110010010011 F -b10000000010110000000000000000000 ) -#445312000 -0& -#445328000 -b1101100101110 , -#445344000 -1& -#445360000 -b110011000000000000000000000000 " -b110011000000000000000000000000 4 -b101110110110000101000000110000 1 -b101110110110000101000000110000 C -b110011000000000000000000000000 0 -b110011000000000000000000000000 H -08 -b101101110101000100111101100100 2 -b101101110101000100111101100100 = -b11111110111110110111110000110100 < -b101101110101000100111101100100 : -b101110110110001101001100110000 $ -b101110110110001101001100110000 - -b101110110110001101001100110000 5 -b101110110110001101001100110000 ? -b101110110110001101001100110000 D -b11111110111110110111110000110100 % -b11111110111110110111110000110100 . -b11111110111110110111110000110100 6 -b11111110111110110111110000110100 @ -b11111110111110110111110000110100 F -b110011000000000000000000000000 ) -#445376000 -0& -#445392000 -b1101100101111 , -#445408000 -1& -#445424000 -b1110000000000000000000000000 " -b1110000000000000000000000000 4 -b1101110110001011000001000010100 1 -b1101110110001011000001000010100 C -b1110000000000000000000000000 0 -b1110000000000000000000000000 H -b1101110110001010011000011010011 2 -b1101110110001010011000011010011 = -b11101111111111011000101010110111 < -b1101110110001010011000011010011 : -b1111110110001111010011000011100 $ -b1111110110001111010011000011100 - -b1111110110001111010011000011100 5 -b1111110110001111010011000011100 ? -b1111110110001111010011000011100 D -b11101111111111011000101010110111 % -b11101111111111011000101010110111 . -b11101111111111011000101010110111 6 -b11101111111111011000101010110111 @ -b11101111111111011000101010110111 F -b1110000000000000000000000000 ) -#445440000 -0& -#445456000 -b1101100110000 , -#445472000 -1& -#445488000 -b10010000000000000000000000000000 " -b10010000000000000000000000000000 4 -b1111101010101110010000100001000 1 -b1111101010101110010000100001000 C -b10010000000000000000000000000000 0 -b10010000000000000000000000000000 H -19 -08 -b11111100110101100101111100000101 2 -b11111100110101100101111100000101 = -b1111111010101110011110110111100 < -b11111100110101100101111100000101 : -b1111101011111110010000101001001 $ -b1111101011111110010000101001001 - -b1111101011111110010000101001001 5 -b1111101011111110010000101001001 ? -b1111101011111110010000101001001 D -b1111111010101110011110110111100 % -b1111111010101110011110110111100 . -b1111111010101110011110110111100 6 -b1111111010101110011110110111100 @ -b1111111010101110011110110111100 F -b10010000000000000000000000000000 ) -#445504000 -0& -#445520000 -b1101100110001 , -#445536000 -1& -#445552000 -b1000100000110000000000000000000 " -b1000100000110000000000000000000 4 -b100101111101110010001000000000 1 -b100101111101110010001000000000 C -b1000100000110000000000000000000 0 -b1000100000110000000000000000000 H -09 -08 -b11101111101101101000111011101 2 -b11101111101101101000111011101 = -b11100111111111110010111111010001 < -b11101111101101101000111011101 : -b110101111101111010001000001100 $ -b110101111101111010001000001100 - -b110101111101111010001000001100 5 -b110101111101111010001000001100 ? -b110101111101111010001000001100 D -b11100111111111110010111111010001 % -b11100111111111110010111111010001 . -b11100111111111110010111111010001 6 -b11100111111111110010111111010001 @ -b11100111111111110010111111010001 F -b1000100000110000000000000000000 ) -#445568000 -0& -#445584000 -b1101100110010 , -#445600000 -1& -#445616000 -b11111101101001111111001100100000 " -b11111101101001111111001100100000 4 -b1011111100011010000010100000001 1 -b1011111100011010000010100000001 C -b11111101101001111111001100100000 0 -b11111101101001111111001100100000 H -19 -08 -b11011111100011001000010011111110 2 -b11011111100011001000010011111110 = -b1111111100111110100010101100101 < -b11011111100011001000010011111110 : -b1011111111011010011111110011001 $ -b1011111111011010011111110011001 - -b1011111111011010011111110011001 5 -b1011111111011010011111110011001 ? -b1011111111011010011111110011001 D -b1111111100111110100010101100101 % -b1111111100111110100010101100101 . -b1111111100111110100010101100101 6 -b1111111100111110100010101100101 @ -b1111111100111110100010101100101 F -b11111101101001111111001100100000 ) -#445632000 -0& -#445648000 -b1101100110011 , -#445664000 -1& -#445680000 -b1101001110101001010001111100000 " -b1101001110101001010001111100000 4 -b110000010001100000010000000101 1 -b110000010001100000010000000101 C -b1101001110101001010001111100000 0 -b1101001110101001010001111100000 H -18 -09 -b11110000001101011111000101100100 2 -b11110000001101011111000101100100 = -b10110100111001110100110001000101 < -b11110000001101011111000101100100 : -b111011010011101010010100011111 $ -b111011010011101010010100011111 - -b111011010011101010010100011111 5 -b111011010011101010010100011111 ? -b111011010011101010010100011111 D -b10110100111001110100110001000101 % -b10110100111001110100110001000101 . -b10110100111001110100110001000101 6 -b10110100111001110100110001000101 @ -b10110100111001110100110001000101 F -b1101001110101001010001111100000 ) -#445696000 -0& -#445712000 -b1101100110100 , -#445728000 -1& -#445744000 -b11011100010010111101100000000000 " -b11011100010010111101100000000000 4 -b1101010111000100000010101001000 1 -b1101010111000100000010101001000 C -b11011100010010111101100000000000 0 -b11011100010010111101100000000000 H -08 -b1101001111000010111110100110101 2 -b1101001111000010111110100110101 = -b11101010111100110101011101001001 < -b1101001111000010111110100110101 : -b1111110111011100010010111101100 $ -b1111110111011100010010111101100 - -b1111110111011100010010111101100 5 -b1111110111011100010010111101100 ? -b1111110111011100010010111101100 D -b11101010111100110101011101001001 % -b11101010111100110101011101001001 . -b11101010111100110101011101001001 6 -b11101010111100110101011101001001 @ -b11101010111100110101011101001001 F -b11011100010010111101100000000000 ) -#445760000 -0& -#445776000 -b1101100110101 , -#445792000 -1& -#445808000 -b11101011110000110011100110000000 " -b11101011110000110011100110000000 4 -b1100000110101110000010000000011 1 -b1100000110101110000010000000011 C -b11101011110000110011100110000000 0 -b11101011110000110011100110000000 H -b1100000110101101110101001111010 2 -b1100000110101101110101001111010 = -b1110000111111110110010000000111 < -b1100000110101101110101001111010 : -b11101111110101111000011001110011 $ -b11101111110101111000011001110011 - -b11101111110101111000011001110011 5 -b11101111110101111000011001110011 ? -b11101111110101111000011001110011 D -b1110000111111110110010000000111 % -b1110000111111110110010000000111 . -b1110000111111110110010000000111 6 -b1110000111111110110010000000111 @ -b1110000111111110110010000000111 F -b11101011110000110011100110000000 ) -#445824000 -0& -#445840000 -b1101100110110 , -#445856000 -1& -#445872000 -b101010101000100000000000000000 " -b101010101000100000000000000000 4 -b10011111111010000101000000000 1 -b10011111111010000101000000000 C -b101010101000100000000000000000 0 -b101010101000100000000000000000 H -19 -08 -b10010011111111000100100010110010 2 -b10010011111111000100100010110010 = -b10011111111010001111000010000 < -b10010011111111000100100010110010 : -b1111111111111110010101010100010 $ -b1111111111111110010101010100010 - -b1111111111111110010101010100010 5 -b1111111111111110010101010100010 ? -b1111111111111110010101010100010 D -b10011111111010001111000010000 % -b10011111111010001111000010000 . -b10011111111010001111000010000 6 -b10011111111010001111000010000 @ -b10011111111010001111000010000 F -b101010101000100000000000000000 ) -#445888000 -0& -#445904000 -b1101100110111 , -#445920000 -1& -#445936000 -b1100011000000000000000000000000 " -b1100011000000000000000000000000 4 -b1110111111101011010000100000000 1 -b1110111111101011010000100000000 C -b1100011000000000000000000000000 0 -b1100011000000000000000000000000 H -09 -08 -b1110111111101010101100001111011 2 -b1110111111101010101100001111011 = -b1110111111111011011001100011000 < -b1110111111101010101100001111011 : -b11111111111101111010010101100011 $ -b11111111111101111010010101100011 - -b11111111111101111010010101100011 5 -b11111111111101111010010101100011 ? -b11111111111101111010010101100011 D -b1110111111111011011001100011000 % -b1110111111111011011001100011000 . -b1110111111111011011001100011000 6 -b1110111111111011011001100011000 @ -b1110111111111011011001100011000 F -b1100011000000000000000000000000 ) -#445952000 -0& -#445968000 -b1101100111000 , -#445984000 -1& -#446000000 -b11111111011101001111101000000000 " -b11111111011101001111101000000000 4 -b100111101001011001001000101001 1 -b100111101001011001001000101001 C -b11111111011101001111101000000000 0 -b11111111011101001111101000000000 H -b11111101001011000110110100110 2 -b11111101001011000110110100110 = -b10110111101001011101001100101001 < -b11111101001011000110110100110 : -b1100111111111111011101001111101 $ -b1100111111111111011101001111101 - -b1100111111111111011101001111101 5 -b1100111111111111011101001111101 ? -b1100111111111111011101001111101 D -b10110111101001011101001100101001 % -b10110111101001011101001100101001 . -b10110111101001011101001100101001 6 -b10110111101001011101001100101001 @ -b10110111101001011101001100101001 F -b11111111011101001111101000000000 ) -#446016000 -0& -#446032000 -b1101100111001 , -#446048000 -1& -#446064000 -b11010111011100100000000000000000 " -b11010111011100100000000000000000 4 -b101100001110110010111000000100 1 -b101100001110110010111000000100 C -b11010111011100100000000000000000 0 -b11010111011100100000000000000000 H -19 -08 -b10101011111110110010110111110011 2 -b10101011111110110010110111110011 = -b101110101110110111111100001111 < -b10101011111110110010110111110011 : -b1111101001111111010111011100100 $ -b1111101001111111010111011100100 - -b1111101001111111010111011100100 5 -b1111101001111111010111011100100 ? -b1111101001111111010111011100100 D -b101110101110110111111100001111 % -b101110101110110111111100001111 . -b101110101110110111111100001111 6 -b101110101110110111111100001111 @ -b101110101110110111111100001111 F -b11010111011100100000000000000000 ) -#446080000 -0& -#446096000 -b1101100111010 , -#446112000 -1& -#446128000 -1! -b0 " -b0 4 -b101000110100010000010001001010 1 -b101000110100010000010001001010 C -b0 0 -b0 H -09 -08 -b101000110010110000000110101001 2 -b101000110010110000000110101001 = -b1101100111100010000110101011111 < -1# -b101000110010110000000110101001 : -b10111011110110011111010001001010 $ -b10111011110110011111010001001010 - -b10111011110110011111010001001010 5 -b10111011110110011111010001001010 ? -b10111011110110011111010001001010 D -b1101100111100010000110101011111 % -b1101100111100010000110101011111 . -b1101100111100010000110101011111 6 -b1101100111100010000110101011111 @ -b1101100111100010000110101011111 F -b0 ) -b1 ( -#446144000 -0& -#446160000 -b1101100111011 , -#446176000 -1& -#446192000 -0! -b11110111010000000010111000000000 " -b11110111010000000010111000000000 4 -b1011110011000110010000000000001 1 -b1011110011000110010000000000001 C -b11110111010000000010111000000000 0 -b11110111010000000010111000000000 H -19 -08 -b11011110010111101101111100000000 2 -b11011110010111101101111100000000 = -b1111110111000110011111011101001 < -0# -b11011110010111101101111100000000 : -b1011111011110111010000000010111 $ -b1011111011110111010000000010111 - -b1011111011110111010000000010111 5 -b1011111011110111010000000010111 ? -b1011111011110111010000000010111 D -b1111110111000110011111011101001 % -b1111110111000110011111011101001 . -b1111110111000110011111011101001 6 -b1111110111000110011111011101001 @ -b1111110111000110011111011101001 F -b11110111010000000010111000000000 ) -b0 ( -#446208000 -0& -#446224000 -b1101100111100 , -#446240000 -1& -#446256000 -b11111011111111101001111000011100 " -b11111011111111101001111000011100 4 -b1001100111110110000000000000010 1 -b1001100111110110000000000000010 C -b11111011111111101001111000011100 0 -b11111011111111101001111000011100 H -09 -08 -b1001011111110101010011110101001 2 -b1001011111110101010011110101001 = -b11001100111110110000000000100010 < -b1001011111110101010011110101001 : -b1111110111111111010011110000111 $ -b1111110111111111010011110000111 - -b1111110111111111010011110000111 5 -b1111110111111111010011110000111 ? -b1111110111111111010011110000111 D -b11001100111110110000000000100010 % -b11001100111110110000000000100010 . -b11001100111110110000000000100010 6 -b11001100111110110000000000100010 @ -b11001100111110110000000000100010 F -b11111011111111101001111000011100 ) -#446272000 -0& -#446288000 -b1101100111101 , -#446304000 -1& -#446320000 -b111100101101100000000000000000 " -b111100101101100000000000000000 4 -b11000101001001000000000000010001 1 -b11000101001001000000000000010001 C -b111100101101100000000000000000 0 -b111100101101100000000000000000 H -18 -b11000101001000111011111001101100 2 -b11000101001000111011111001101100 = -b11000101101011100010000000010001 < -b11000101001000111011111001101100 : -b11111111011101011001111001011011 $ -b11111111011101011001111001011011 - -b11111111011101011001111001011011 5 -b11111111011101011001111001011011 ? -b11111111011101011001111001011011 D -b11000101101011100010000000010001 % -b11000101101011100010000000010001 . -b11000101101011100010000000010001 6 -b11000101101011100010000000010001 @ -b11000101101011100010000000010001 F -b111100101101100000000000000000 ) -#446336000 -0& -#446352000 -b1101100111110 , -#446368000 -1& -#446384000 -b11110111100110111101010000000000 " -b11110111100110111101010000000000 4 -b11001101011010001011010100000 1 -b11001101011010001011010100000 C -b11110111100110111101010000000000 0 -b11110111100110111101010000000000 H -08 -19 -b10011001101011010000111010001111 2 -b10011001101011010000111010001111 = -b111001101111011101011011100111 < -b10011001101011010000111010001111 : -b1011111111011110011011110101000 $ -b1011111111011110011011110101000 - -b1011111111011110011011110101000 5 -b1011111111011110011011110101000 ? -b1011111111011110011011110101000 D -b111001101111011101011011100111 % -b111001101111011101011011100111 . -b111001101111011101011011100111 6 -b111001101111011101011011100111 @ -b111001101111011101011011100111 F -b11110111100110111101010000000000 ) -#446400000 -0& -#446416000 -b1101100111111 , -#446432000 -1& -#446448000 -1! -b0 " -b0 4 -b111101100100010101010100011000 1 -b111101100100010101010100011000 C -b0 0 -b0 H -09 -08 -b111101100100001011010011110101 2 -b111101100100001011010011110101 = -b111111100101010101110100011101 < -1# -b111101100100001011010011110101 : -b11111101111110110101011111011000 $ -b11111101111110110101011111011000 - -b11111101111110110101011111011000 5 -b11111101111110110101011111011000 ? -b11111101111110110101011111011000 D -b111111100101010101110100011101 % -b111111100101010101110100011101 . -b111111100101010101110100011101 6 -b111111100101010101110100011101 @ -b111111100101010101110100011101 F -b0 ) -b1 ( -#446464000 -0& -#446480000 -b1101101000000 , -#446496000 -1& -#446512000 -0! -b11101111111001010110101110000000 " -b11101111111001010110101110000000 4 -b1110111001101110010100000000100 1 -b1110111001101110010100000000100 C -b11101111111001010110101110000000 0 -b11101111111001010110101110000000 H -b1101111001101101001100000000001 2 -b1101111001101101001100000000001 = -b11110111101101110110110010100101 < -0# -b1101111001101101001100000000001 : -b1110111011111110010101101011100 $ -b1110111011111110010101101011100 - -b1110111011111110010101101011100 5 -b1110111011111110010101101011100 ? -b1110111011111110010101101011100 D -b11110111101101110110110010100101 % -b11110111101101110110110010100101 . -b11110111101101110110110010100101 6 -b11110111101101110110110010100101 @ -b11110111101101110110110010100101 F -b11101111111001010110101110000000 ) -b0 ( -#446528000 -0& -#446544000 -b1101101000001 , -#446560000 -1& -#446576000 -b11110110111001001101001000000000 " -b11110110111001001101001000000000 4 -b1001001011100110111000000101001 1 -b1001001011100110111000000101001 C -b11110110111001001101001000000000 0 -b11110110111001001101001000000000 H -19 -08 -b11000101011011101110011010010010 2 -b11000101011011101110011010010010 = -b1111001111100110111010000101001 < -b11000101011011101110011010010010 : -b1001011011110110111001001101001 $ -b1001011011110110111001001101001 - -b1001011011110110111001001101001 5 -b1001011011110110111001001101001 ? -b1001011011110110111001001101001 D -b1111001111100110111010000101001 % -b1111001111100110111010000101001 . -b1111001111100110111010000101001 6 -b1111001111100110111010000101001 @ -b1111001111100110111010000101001 F -b11110110111001001101001000000000 ) -#446592000 -0& -#446608000 -b1101101000010 , -#446624000 -1& -#446640000 -b10010110000000000000000000000000 " -b10010110000000000000000000000000 4 -b101101110110111000000000001001 1 -b101101110110111000000000001001 C -b10010110000000000000000000000000 0 -b10010110000000000000000000000000 H -b10101101110101110001011101100100 2 -b10101101110101110001011101100100 = -b101111110110111001001000011001 < -b10101101110101110001011101100100 : -b1111101111110111000010101001011 $ -b1111101111110111000010101001011 - -b1111101111110111000010101001011 5 -b1111101111110111000010101001011 ? -b1111101111110111000010101001011 D -b101111110110111001001000011001 % -b101111110110111001001000011001 . -b101111110110111001001000011001 6 -b101111110110111001001000011001 @ -b101111110110111001001000011001 F -b10010110000000000000000000000000 ) -#446656000 -0& -#446672000 -b1101101000011 , -#446688000 -1& -#446704000 -b10111011100000000000000000000000 " -b10111011100000000000000000000000 4 -b10111101011111110001001001000110 1 -b10111101011111110001001001000110 C -b10111011100000000000000000000000 0 -b10111011100000000000000000000000 H -18 -09 -b10111101011111110001001001000100 2 -b10111101011111110001001001000100 = -b11111101011111110101001101010110 < -b10111101011111110001001001000100 : -b10111111111111111011111011101110 $ -b10111111111111111011111011101110 - -b10111111111111111011111011101110 5 -b10111111111111111011111011101110 ? -b10111111111111111011111011101110 D -b11111101011111110101001101010110 % -b11111101011111110101001101010110 . -b11111101011111110101001101010110 6 -b11111101011111110101001101010110 @ -b11111101011111110101001101010110 F -b10111011100000000000000000000000 ) -#446720000 -0& -#446736000 -b1101101000100 , -#446752000 -1& -#446768000 -b11111111010000010110010101000000 " -b11111111010000010110010101000000 4 -b1001011111111010000010010000100 1 -b1001011111111010000010010000100 C -b11111111010000010110010101000000 0 -b11111111010000010110010101000000 H -08 -b1000111111111010000010000011011 2 -b1000111111111010000010000011011 = -b11101011111111111111111010000110 < -b1000111111111010000010000011011 : -b1011011111111010000010110010101 $ -b1011011111111010000010110010101 - -b1011011111111010000010110010101 5 -b1011011111111010000010110010101 ? -b1011011111111010000010110010101 D -b11101011111111111111111010000110 % -b11101011111111111111111010000110 . -b11101011111111111111111010000110 6 -b11101011111111111111111010000110 @ -b11101011111111111111111010000110 F -b11111111010000010110010101000000 ) -#446784000 -0& -#446800000 -b1101101000101 , -#446816000 -1& -#446832000 -b11001101111100101001000111000000 " -b11001101111100101001000111000000 4 -b110111000101010000100001000110 1 -b110111000101010000100001000110 C -b11001101111100101001000111000000 0 -b11001101111100101001000111000000 H -19 -08 -b10110110110101001101001100101101 2 -b10110110110101001101001100101101 = -b111111100111010000100011100110 < -b10110110110101001101001100101101 : -b1110111001101111100101001000111 $ -b1110111001101111100101001000111 - -b1110111001101111100101001000111 5 -b1110111001101111100101001000111 ? -b1110111001101111100101001000111 D -b111111100111010000100011100110 % -b111111100111010000100011100110 . -b111111100111010000100011100110 6 -b111111100111010000100011100110 @ -b111111100111010000100011100110 F -b11001101111100101001000111000000 ) -#446848000 -0& -#446864000 -b1101101000110 , -#446880000 -1& -#446896000 -b11100000000000000000000000000000 " -b11100000000000000000000000000000 4 -b1011100001001011000110000011000 1 -b1011100001001011000110000011000 C -b11100000000000000000000000000000 0 -b11100000000000000000000000000000 H -09 -08 -b111011110101010111100111010111 2 -b111011110101010111100111010111 = -b11011100101011111000110010111011 < -b111011110101010111100111010111 : -b1011111001001011110110100011100 $ -b1011111001001011110110100011100 - -b1011111001001011110110100011100 5 -b1011111001001011110110100011100 ? -b1011111001001011110110100011100 D -b11011100101011111000110010111011 % -b11011100101011111000110010111011 . -b11011100101011111000110010111011 6 -b11011100101011111000110010111011 @ -b11011100101011111000110010111011 F -b11100000000000000000000000000000 ) -#446912000 -0& -#446928000 -b1101101000111 , -#446944000 -1& -#446960000 -b1010001000000000000000000000000 " -b1010001000000000000000000000000 4 -b111111101101110101110101000100 1 -b111111101101110101110101000100 C -b1010001000000000000000000000000 0 -b1010001000000000000000000000000 H -19 -08 -b10111111101101110101101010011010 2 -b10111111101101110101101010011010 = -b111111111111111111110101010110 < -b10111111101101110101101010011010 : -b1111111101101110101110101000100 $ -b1111111101101110101110101000100 - -b1111111101101110101110101000100 5 -b1111111101101110101110101000100 ? -b1111111101101110101110101000100 D -b111111111111111111110101010110 % -b111111111111111111110101010110 . -b111111111111111111110101010110 6 -b111111111111111111110101010110 @ -b111111111111111111110101010110 F -b1010001000000000000000000000000 ) -#446976000 -0& -#446992000 -b1101101001000 , -#447008000 -1& -#447024000 -b10000010010000000000000000000000 " -b10000010010000000000000000000000 4 -b110101111111011011000000000000 1 -b110101111111011011000000000000 C -b10000010010000000000000000000000 0 -b10000010010000000000000000000000 H -09 -08 -b1110101111110111010111001111111 2 -b1110101111110111010111001111111 = -b110111111111011011110001110110 < -b1110101111110111010111001111111 : -b111101111111011111001000001001 $ -b111101111111011111001000001001 - -b111101111111011111001000001001 5 -b111101111111011111001000001001 ? -b111101111111011111001000001001 D -b110111111111011011110001110110 % -b110111111111011011110001110110 . -b110111111111011011110001110110 6 -b110111111111011011110001110110 @ -b110111111111011011110001110110 F -b10000010010000000000000000000000 ) -#447040000 -0& -#447056000 -b1101101001001 , -#447072000 -1& -#447088000 -b11000111001000000000000000000000 " -b11000111001000000000000000000000 4 -b1101010101100100111110000110000 1 -b1101010101100100111110000110000 C -b11000111001000000000000000000000 0 -b11000111001000000000000000000000 H -08 -09 -b1101010101100100111101100100110 2 -b1101010101100100111101100100110 = -b1101011111110111111111010110100 < -b1101010101100100111101100100110 : -b11111110101101100111110001110010 $ -b11111110101101100111110001110010 - -b11111110101101100111110001110010 5 -b11111110101101100111110001110010 ? -b11111110101101100111110001110010 D -b1101011111110111111111010110100 % -b1101011111110111111111010110100 . -b1101011111110111111111010110100 6 -b1101011111110111111111010110100 @ -b1101011111110111111111010110100 F -b11000111001000000000000000000000 ) -#447104000 -0& -#447120000 -b1101101001010 , -#447136000 -1& -#447152000 -b11010010000001101010101000000000 " -b11010010000001101010101000000000 4 -b11110101011010000000000101000001 1 -b11110101011010000000000101000001 C -b11010010000001101010101000000000 0 -b11010010000001101010101000000000 H -18 -b11110101011001110000100100011110 2 -b11110101011001110000100100011110 = -b11110111011111100000010111001001 < -b11110101011001110000100100011110 : -b11111101111010010000001101010101 $ -b11111101111010010000001101010101 - -b11111101111010010000001101010101 5 -b11111101111010010000001101010101 ? -b11111101111010010000001101010101 D -b11110111011111100000010111001001 % -b11110111011111100000010111001001 . -b11110111011111100000010111001001 6 -b11110111011111100000010111001001 @ -b11110111011111100000010111001001 F -b11010010000001101010101000000000 ) -#447168000 -0& -#447184000 -b1101101001011 , -#447200000 -1& -#447216000 -b1110000000000000000000000000000 " -b1110000000000000000000000000000 4 -b1110110001101101000011011000100 1 -b1110110001101101000011011000100 C -b1110000000000000000000000000000 0 -b1110000000000000000000000000000 H -08 -19 -b11101101101101010111011010100011 2 -b11101101101101010111011010100011 = -b1110111011101101110111011011100 < -b11101101101101010111011010100011 : -b1110110001111101000011111000111 $ -b1110110001111101000011111000111 - -b1110110001111101000011111000111 5 -b1110110001111101000011111000111 ? -b1110110001111101000011111000111 D -b1110111011101101110111011011100 % -b1110111011101101110111011011100 . -b1110111011101101110111011011100 6 -b1110111011101101110111011011100 @ -b1110111011101101110111011011100 F -b1110000000000000000000000000000 ) -#447232000 -0& -#447248000 -b1101101001100 , -#447264000 -1& -#447280000 -b1101101000000000000000000000000 " -b1101101000000000000000000000000 4 -b10001010011010110000000100010100 1 -b10001010011010110000000100010100 C -b1101101000000000000000000000000 0 -b1101101000000000000000000000000 H -18 -09 -b10001010010110100001110100001010 2 -b10001010010110100001110100001010 = -b10011111011011110000001101010110 < -b10001010010110100001110100001010 : -b11101010111010110001100110110100 $ -b11101010111010110001100110110100 - -b11101010111010110001100110110100 5 -b11101010111010110001100110110100 ? -b11101010111010110001100110110100 D -b10011111011011110000001101010110 % -b10011111011011110000001101010110 . -b10011111011011110000001101010110 6 -b10011111011011110000001101010110 @ -b10011111011011110000001101010110 F -b1101101000000000000000000000000 ) -#447296000 -0& -#447312000 -b1101101001101 , -#447328000 -1& -#447344000 -b11011100000000000000000000000000 " -b11011100000000000000000000000000 4 -b1000100000000101000001010010 1 -b1000100000000101000001010010 C -b11011100000000000000000000000000 0 -b11011100000000000000000000000000 H -08 -19 -b10001000010000000100111011010001 2 -b10001000010000000100111011010001 = -b1011010101000011111010001011010 < -b10001000010000000100111011010001 : -b101101100111100101101001110111 $ -b101101100111100101101001110111 - -b101101100111100101101001110111 5 -b101101100111100101101001110111 ? -b101101100111100101101001110111 D -b1011010101000011111010001011010 % -b1011010101000011111010001011010 . -b1011010101000011111010001011010 6 -b1011010101000011111010001011010 @ -b1011010101000011111010001011010 F -b11011100000000000000000000000000 ) -#447360000 -0& -#447376000 -b1101101001110 , -#447392000 -1& -#447408000 -1! -b0 " -b0 4 -b1101100101000000010010000000 1 -b1101100101000000010010000000 C -b0 0 -b0 H -09 -08 -b1101100101000000010000111110 2 -b1101100101000000010000111110 = -b10011101101101001110011110011110 < -1# -b1101100101000000010000111110 : -b1101111110111110001110010100000 $ -b1101111110111110001110010100000 - -b1101111110111110001110010100000 5 -b1101111110111110001110010100000 ? -b1101111110111110001110010100000 D -b10011101101101001110011110011110 % -b10011101101101001110011110011110 . -b10011101101101001110011110011110 6 -b10011101101101001110011110011110 @ -b10011101101101001110011110011110 F -b0 ) -b1 ( -#447424000 -0& -#447440000 -b1101101001111 , -#447456000 -1& -#447472000 -0! -b11101011100111000101100110100100 " -b11101011100111000101100110100100 4 -b1110101110011100010010010000000 1 -b1110101110011100010010010000000 C -b11101011100111000101100110100100 0 -b11101011100111000101100110100100 H -b1110101110011011001001001010011 2 -b1110101110011011001001001010011 = -b11111111111111110110010110000001 < -0# -b1110101110011011001001001010011 : -b1110101110011100010110011010010 $ -b1110101110011100010110011010010 - -b1110101110011100010110011010010 5 -b1110101110011100010110011010010 ? -b1110101110011100010110011010010 D -b11111111111111110110010110000001 % -b11111111111111110110010110000001 . -b11111111111111110110010110000001 6 -b11111111111111110110010110000001 @ -b11111111111111110110010110000001 F -b11101011100111000101100110100100 ) -b0 ( -#447488000 -0& -#447504000 -b1101101010000 , -#447520000 -1& -#447536000 -b1101100000000000000000000000000 " -b1101100000000000000000000000000 4 -b1111110010000110000000001011010 1 -b1111110010000110000000001011010 C -b1101100000000000000000000000000 0 -b1101100000000000000000000000000 H -b1111110010000101011100110110101 2 -b1111110010000101011100110110101 = -b11111110011000110001000001011010 < -b1111110010000101011100110110101 : -b1111111110111111010100101011011 $ -b1111111110111111010100101011011 - -b1111111110111111010100101011011 5 -b1111111110111111010100101011011 ? -b1111111110111111010100101011011 D -b11111110011000110001000001011010 % -b11111110011000110001000001011010 . -b11111110011000110001000001011010 6 -b11111110011000110001000001011010 @ -b11111110011000110001000001011010 F -b1101100000000000000000000000000 ) -#447552000 -0& -#447568000 -b1101101010001 , -#447584000 -1& -#447600000 -b11100110010001100010101000110000 " -b11100110010001100010101000110000 4 -b10111110011001000000000000100000 1 -b10111110011001000000000000100000 C -b11100110010001100010101000110000 0 -b11100110010001100010101000110000 H -18 -b10111110011000000110111011000111 2 -b10111110011000000110111011000111 = -b11111111111111000000110000100100 < -b10111110011000000110111011000111 : -b10111110011001000110001010100011 $ -b10111110011001000110001010100011 - -b10111110011001000110001010100011 5 -b10111110011001000110001010100011 ? -b10111110011001000110001010100011 D -b11111111111111000000110000100100 % -b11111111111111000000110000100100 . -b11111111111111000000110000100100 6 -b11111111111111000000110000100100 @ -b11111111111111000000110000100100 F -b11100110010001100010101000110000 ) -#447616000 -0& -#447632000 -b1101101010010 , -#447648000 -1& -#447664000 -b10000000000000000000000000000000 " -b10000000000000000000000000000000 4 -b101111101101111000000000000100 1 -b101111101101111000000000000100 C -b10000000000000000000000000000000 0 -b10000000000000000000000000000000 H -08 -19 -b10101111101101110000111100000001 2 -b10101111101101110000111100000001 = -b1111111101111111000000010011101 < -b10101111101101110000111100000001 : -b101111111101111000111001100100 $ -b101111111101111000111001100100 - -b101111111101111000111001100100 5 -b101111111101111000111001100100 ? -b101111111101111000111001100100 D -b1111111101111111000000010011101 % -b1111111101111111000000010011101 . -b1111111101111111000000010011101 6 -b1111111101111111000000010011101 @ -b1111111101111111000000010011101 F -b10000000000000000000000000000000 ) -#447680000 -0& -#447696000 -b1101101010011 , -#447712000 -1& -#447728000 -b11011010100001010101111110000000 " -b11011010100001010101111110000000 4 -b1100111101100010000001000000111 1 -b1100111101100010000001000000111 C -b11011010100001010101111110000000 0 -b11011010100001010101111110000000 H -09 -08 -b1011111011011100110110011000110 2 -b1011111011011100110110011000110 = -b11110111101110010110001000000111 < -b1011111011011100110110011000110 : -b1100111101101010000101010111111 $ -b1100111101101010000101010111111 - -b1100111101101010000101010111111 5 -b1100111101101010000101010111111 ? -b1100111101101010000101010111111 D -b11110111101110010110001000000111 % -b11110111101110010110001000000111 . -b11110111101110010110001000000111 6 -b11110111101110010110001000000111 @ -b11110111101110010110001000000111 F -b11011010100001010101111110000000 ) -#447744000 -0& -#447760000 -b1101101010100 , -#447776000 -1& -#447792000 -b111000000000000000000000000000 " -b111000000000000000000000000000 4 -b1010011111011010000000010001010 1 -b1010011111011010000000010001010 C -b111000000000000000000000000000 0 -b111000000000000000000000000000 H -b1010011111011001111010001101000 2 -b1010011111011001111010001101000 = -b1110111111111110011000110011010 < -b1010011111011001111010001101000 : -b11011011111011011100001011001110 $ -b11011011111011011100001011001110 - -b11011011111011011100001011001110 5 -b11011011111011011100001011001110 ? -b11011011111011011100001011001110 D -b1110111111111110011000110011010 % -b1110111111111110011000110011010 . -b1110111111111110011000110011010 6 -b1110111111111110011000110011010 @ -b1110111111111110011000110011010 F -b111000000000000000000000000000 ) -#447808000 -0& -#447824000 -b1101101010101 , -#447840000 -1& -#447856000 -b11111101111111110111000111011000 " -b11111101111111110111000111011000 4 -b1001111011011111000000001100010 1 -b1001111011011111000000001100010 C -b11111101111111110111000111011000 0 -b11111101111111110111000111011000 H -b1001110111011110101111001011000 2 -b1001110111011110101111001011000 = -b11001111011011111000000111100010 < -b1001110111011110101111001011000 : -b1111111011111111101110001110110 $ -b1111111011111111101110001110110 - -b1111111011111111101110001110110 5 -b1111111011111111101110001110110 ? -b1111111011111111101110001110110 D -b11001111011011111000000111100010 % -b11001111011011111000000111100010 . -b11001111011011111000000111100010 6 -b11001111011011111000000111100010 @ -b11001111011011111000000111100010 F -b11111101111111110111000111011000 ) -#447872000 -0& -#447888000 -b1101101010110 , -#447904000 -1& -#447920000 -b10111101111110111000001010100000 " -b10111101111110111000001010100000 4 -b1111001110011111010000000100000 1 -b1111001110011111010000000100000 C -b10111101111110111000001010100000 0 -b10111101111110111000001010100000 H -19 -08 -b11111001110011110101100111001110 2 -b11111001110011110101100111001110 = -b1111101111011111010000110100100 < -b11111001110011110101100111001110 : -b1111011110111111011100000101010 $ -b1111011110111111011100000101010 - -b1111011110111111011100000101010 5 -b1111011110111111011100000101010 ? -b1111011110111111011100000101010 D -b1111101111011111010000110100100 % -b1111101111011111010000110100100 . -b1111101111011111010000110100100 6 -b1111101111011111010000110100100 @ -b1111101111011111010000110100100 F -b10111101111110111000001010100000 ) -#447936000 -0& -#447952000 -b1101101010111 , -#447968000 -1& -#447984000 -b11110111101110001100100001000000 " -b11110111101110001100100001000000 4 -b1001110111100100001000100000000 1 -b1001110111100100001000100000000 C -b11110111101110001100100001000000 0 -b11110111101110001100100001000000 H -b11001110111010010111000011001011 2 -b11001110111010010111000011001011 = -b1101111111100100101011111000011 < -b11001110111010010111000011001011 : -b1011110111101110001100100001000 $ -b1011110111101110001100100001000 - -b1011110111101110001100100001000 5 -b1011110111101110001100100001000 ? -b1011110111101110001100100001000 D -b1101111111100100101011111000011 % -b1101111111100100101011111000011 . -b1101111111100100101011111000011 6 -b1101111111100100101011111000011 @ -b1101111111100100101011111000011 F -b11110111101110001100100001000000 ) -#448000000 -0& -#448016000 -b1101101011000 , -#448032000 -1& -#448048000 -b11000000000000000000000000000 " -b11000000000000000000000000000 4 -b11011011111011110111000100000010 1 -b11011011111011110111000100000010 C -b11000000000000000000000000000 0 -b11000000000000000000000000000 H -18 -09 -b11011011111011110110110100000000 2 -b11011011111011110110110100000000 = -b11011111111011111111100111111010 < -b11011011111011110110110100000000 : -b11111011111111110111001100000110 $ -b11111011111111110111001100000110 - -b11111011111111110111001100000110 5 -b11111011111111110111001100000110 ? -b11111011111111110111001100000110 D -b11011111111011111111100111111010 % -b11011111111011111111100111111010 . -b11011111111011111111100111111010 6 -b11011111111011111111100111111010 @ -b11011111111011111111100111111010 F -b11000000000000000000000000000 ) -#448064000 -0& -#448080000 -b1101101011001 , -#448096000 -1& -#448112000 -b10101100000000000000000000000000 " -b10101100000000000000000000000000 4 -b10010110101011110101001000101010 1 -b10010110101011110101001000101010 C -b10101100000000000000000000000000 0 -b10101100000000000000000000000000 H -b10010110101011110101000110100101 2 -b10010110101011110101000110100101 = -b11010111111111111111101001111010 < -b10010110101011110101000110100101 : -b10111110101011110101011100101011 $ -b10111110101011110101011100101011 - -b10111110101011110101011100101011 5 -b10111110101011110101011100101011 ? -b10111110101011110101011100101011 D -b11010111111111111111101001111010 % -b11010111111111111111101001111010 . -b11010111111111111111101001111010 6 -b11010111111111111111101001111010 @ -b11010111111111111111101001111010 F -b10101100000000000000000000000000 ) -#448128000 -0& -#448144000 -b1101101011010 , -#448160000 -1& -#448176000 -b11011100100100000000000000000000 " -b11011100100100000000000000000000 4 -b1111011100010110000110001000000 1 -b1111011100010110000110001000000 C -b11011100100100000000000000000000 0 -b11011100100100000000000000000000 H -08 -b1111011100010110000110000011101 2 -b1111011100010110000110000011101 = -b11111011100010111110111001010100 < -b1111011100010110000110000011101 : -b1111111111111110001110111001001 $ -b1111111111111110001110111001001 - -b1111111111111110001110111001001 5 -b1111111111111110001110111001001 ? -b1111111111111110001110111001001 D -b11111011100010111110111001010100 % -b11111011100010111110111001010100 . -b11111011100010111110111001010100 6 -b11111011100010111110111001010100 @ -b11111011100010111110111001010100 F -b11011100100100000000000000000000 ) -#448192000 -0& -#448208000 -b1101101011011 , -#448224000 -1& -#448240000 -b1101001110101010100110110101000 " -b1101001110101010100110110101000 4 -b100001110100010000100100001 1 -b100001110100010000100100001 C -b1101001110101010100110110101000 0 -b1101001110101010100110110101000 H -18 -b11000100001110011101101100011000 2 -b11000100001110011101101100011000 = -b10110110111111110011000101100011 < -b11000100001110011101101100011000 : -b1101001110101010100110110101 $ -b1101001110101010100110110101 - -b1101001110101010100110110101 5 -b1101001110101010100110110101 ? -b1101001110101010100110110101 D -b10110110111111110011000101100011 % -b10110110111111110011000101100011 . -b10110110111111110011000101100011 6 -b10110110111111110011000101100011 @ -b10110110111111110011000101100011 F -b1101001110101010100110110101000 ) -#448256000 -0& -#448272000 -b1101101011100 , -#448288000 -1& -#448304000 -b11011111010000000000000000000000 " -b11011111010000000000000000000000 4 -b101111011110111000000101110100 1 -b101111011110111000000101110100 C -b11011111010000000000000000000000 0 -b11011111010000000000000000000000 H -08 -b101111011110110111010011110011 2 -b101111011110110111010011110011 = -b10111111011110111000000101110110 < -b101111011110110111010011110011 : -b1101111111111111111001101111101 $ -b1101111111111111111001101111101 - -b1101111111111111111001101111101 5 -b1101111111111111111001101111101 ? -b1101111111111111111001101111101 D -b10111111011110111000000101110110 % -b10111111011110111000000101110110 . -b10111111011110111000000101110110 6 -b10111111011110111000000101110110 @ -b10111111011110111000000101110110 F -b11011111010000000000000000000000 ) -#448320000 -0& -#448336000 -b1101101011101 , -#448352000 -1& -#448368000 -b10011001000111000000000000000000 " -b10011001000111000000000000000000 4 -b10001010011110110110001000000010 1 -b10001010011110110110001000000010 C -b10011001000111000000000000000000 0 -b10011001000111000000000000000000 H -18 -19 -b1111001111110110100100011011001 2 -b1111001111110110100100011011001 = -b11101010011111111110001010010010 < -b1111001111110110100100011011001 : -b10001111011110110110011001000111 $ -b10001111011110110110011001000111 - -b10001111011110110110011001000111 5 -b10001111011110110110011001000111 ? -b10001111011110110110011001000111 D -b11101010011111111110001010010010 % -b11101010011111111110001010010010 . -b11101010011111111110001010010010 6 -b11101010011111111110001010010010 @ -b11101010011111111110001010010010 F -b10011001000111000000000000000000 ) -#448384000 -0& -#448400000 -b1101101011110 , -#448416000 -1& -#448432000 -b11011011111111101100111110011100 " -b11011011111111101100111110011100 4 -b1110110101111100011001110000010 1 -b1110110101111100011001110000010 C -b11011011111111101100111110011100 0 -b11011011111111101100111110011100 H -08 -09 -b1110101101111100010101101101001 2 -b1110101101111100010101101101001 = -b1111110101111100111011110000010 < -b1110101101111100010101101101001 : -b11110110111111111011001111100111 $ -b11110110111111111011001111100111 - -b11110110111111111011001111100111 5 -b11110110111111111011001111100111 ? -b11110110111111111011001111100111 D -b1111110101111100111011110000010 % -b1111110101111100111011110000010 . -b1111110101111100111011110000010 6 -b1111110101111100111011110000010 @ -b1111110101111100111011110000010 F -b11011011111111101100111110011100 ) -#448448000 -0& -#448464000 -b1101101011111 , -#448480000 -1& -#448496000 -b11011110000000000000000000000000 " -b11011110000000000000000000000000 4 -b1101011101110110010000001011000 1 -b1101011101110110010000001011000 C -b11011110000000000000000000000000 0 -b11011110000000000000000000000000 H -19 -08 -b11101011101101101101111001010110 2 -b11101011101101101101111001010110 = -b1101011101110110011110001111000 < -b11101011101101101101111001010110 : -b1111111111110111010000111011110 $ -b1111111111110111010000111011110 - -b1111111111110111010000111011110 5 -b1111111111110111010000111011110 ? -b1111111111110111010000111011110 D -b1101011101110110011110001111000 % -b1101011101110110011110001111000 . -b1101011101110110011110001111000 6 -b1101011101110110011110001111000 @ -b1101011101110110011110001111000 F -b11011110000000000000000000000000 ) -#448512000 -0& -#448528000 -b1101101100000 , -#448544000 -1& -#448560000 -b1001101011111001000101100001100 " -b1001101011111001000101100001100 4 -b10011010101110000001010000010 1 -b10011010101110000001010000010 C -b1001101011111001000101100001100 0 -b1001101011111001000101100001100 H -09 -08 -b1001111010101100010111001100101 2 -b1001111010101100010111001100101 = -b111011111101110000101110100010 < -b1001111010101100010111001100101 : -b10011010111110010001011000011 $ -b10011010111110010001011000011 - -b10011010111110010001011000011 5 -b10011010111110010001011000011 ? -b10011010111110010001011000011 D -b111011111101110000101110100010 % -b111011111101110000101110100010 . -b111011111101110000101110100010 6 -b111011111101110000101110100010 @ -b111011111101110000101110100010 F -b1001101011111001000101100001100 ) -#448576000 -0& -#448592000 -b1101101100001 , -#448608000 -1& -#448624000 -b10111000111001001001000000000000 " -b10111000111001001001000000000000 4 -b11011000111011100001100000000000 1 -b11011000111011100001100000000000 C -b10111000111001001001000000000000 0 -b10111000111001001001000000000000 H -18 -b10111000111011010001000111101110 2 -b10111000111011010001000111101110 = -b11011001111111101101100011001010 < -b10111000111011010001000111101110 : -b11011110111011100011100100100100 $ -b11011110111011100011100100100100 - -b11011110111011100011100100100100 5 -b11011110111011100011100100100100 ? -b11011110111011100011100100100100 D -b11011001111111101101100011001010 % -b11011001111111101101100011001010 . -b11011001111111101101100011001010 6 -b11011001111111101101100011001010 @ -b11011001111111101101100011001010 F -b10111000111001001001000000000000 ) -#448640000 -0& -#448656000 -b1101101100010 , -#448672000 -1& -#448688000 -b11101110011111001111010111100000 " -b11101110011111001111010111100000 4 -b11111000100111110011100100100000 1 -b11111000100111110011100100100000 C -b11101110011111001111010111100000 0 -b11101110011111001111010111100000 H -b11111000100111101111100010011010 2 -b11111000100111101111100010011010 = -b11111100111111111011101100100010 < -b11111000100111101111100010011010 : -b11111011100111110011110101111000 $ -b11111011100111110011110101111000 - -b11111011100111110011110101111000 5 -b11111011100111110011110101111000 ? -b11111011100111110011110101111000 D -b11111100111111111011101100100010 % -b11111100111111111011101100100010 . -b11111100111111111011101100100010 6 -b11111100111111111011101100100010 @ -b11111100111111111011101100100010 F -b11101110011111001111010111100000 ) -#448704000 -0& -#448720000 -b1101101100011 , -#448736000 -1& -#448752000 -b11111111001000011000011010000000 " -b11111111001000011000011010000000 4 -b1011011111111000100000100000101 1 -b1011011111111000100000100000101 C -b11111111001000011000011010000000 0 -b11111111001000011000011010000000 H -08 -b1011011111110111011010011110100 2 -b1011011111110111011010011110100 = -b1111111111111010111000111100111 < -b1011011111110111011010011110100 : -b11011011111111100100001100001101 $ -b11011011111111100100001100001101 - -b11011011111111100100001100001101 5 -b11011011111111100100001100001101 ? -b11011011111111100100001100001101 D -b1111111111111010111000111100111 % -b1111111111111010111000111100111 . -b1111111111111010111000111100111 6 -b1111111111111010111000111100111 @ -b1111111111111010111000111100111 F -b11111111001000011000011010000000 ) -#448768000 -0& -#448784000 -b1101101100100 , -#448800000 -1& -#448816000 -b1110110110110111001000111010000 " -b1110110110110111001000111010000 4 -b1000011011010011010000100000100 1 -b1000011011010011010000100000100 C -b1110110110110111001000111010000 0 -b1110110110110111001000111010000 H -19 -08 -b11000011011010011001101001000001 2 -b11000011011010011001101001000001 = -b1001011111110111110000100100100 < -b11000011011010011001101001000001 : -b1110111011011011011100100011101 $ -b1110111011011011011100100011101 - -b1110111011011011011100100011101 5 -b1110111011011011011100100011101 ? -b1110111011011011011100100011101 D -b1001011111110111110000100100100 % -b1001011111110111110000100100100 . -b1001011111110111110000100100100 6 -b1001011111110111110000100100100 @ -b1001011111110111110000100100100 F -b1110110110110111001000111010000 ) -#448832000 -0& -#448848000 -b1101101100101 , -#448864000 -1& -#448880000 -b1101000000000000000000000000000 " -b1101000000000000000000000000000 4 -b111001010010010001110000011010 1 -b111001010010010001110000011010 C -b1101000000000000000000000000000 0 -b1101000000000000000000000000000 H -09 -08 -b111000110010010001101111010100 2 -b111000110010010001101111010100 = -b11111011011011111111111110111010 < -b111000110010010001101111010100 : -b111101010110010001110000011010 $ -b111101010110010001110000011010 - -b111101010110010001110000011010 5 -b111101010110010001110000011010 ? -b111101010110010001110000011010 D -b11111011011011111111111110111010 % -b11111011011011111111111110111010 . -b11111011011011111111111110111010 6 -b11111011011011111111111110111010 @ -b11111011011011111111111110111010 F -b1101000000000000000000000000000 ) -#448896000 -0& -#448912000 -b1101101100110 , -#448928000 -1& -#448944000 -b10100011000000000000000000000000 " -b10100011000000000000000000000000 4 -b111110101111010000100101000110 1 -b111110101111010000100101000110 C -b10100011000000000000000000000000 0 -b10100011000000000000000000000000 H -b111101101111010000010010011101 2 -b111101101111010000010010011101 = -b11111110101111010010101101010111 < -b111101101111010000010010011101 : -b111110111111111101100101000110 $ -b111110111111111101100101000110 - -b111110111111111101100101000110 5 -b111110111111111101100101000110 ? -b111110111111111101100101000110 D -b11111110101111010010101101010111 % -b11111110101111010010101101010111 . -b11111110101111010010101101010111 6 -b11111110101111010010101101010111 @ -b11111110101111010010101101010111 F -b10100011000000000000000000000000 ) -#448960000 -0& -#448976000 -b1101101100111 , -#448992000 -1& -#449008000 -b10110111110110001100101010000000 " -b10110111110110001100101010000000 4 -b10010001011011110000000100000101 1 -b10010001011011110000000100000101 C -b10110111110110001100101010000000 0 -b10110111110110001100101010000000 H -18 -19 -b1010001011011101011010010111100 2 -b1010001011011101011010010111100 = -b10111111111111110000001100100111 < -b1010001011011101011010010111100 : -b10010001011011111011000110010101 $ -b10010001011011111011000110010101 - -b10010001011011111011000110010101 5 -b10010001011011111011000110010101 ? -b10010001011011111011000110010101 D -b10111111111111110000001100100111 % -b10111111111111110000001100100111 . -b10111111111111110000001100100111 6 -b10111111111111110000001100100111 @ -b10111111111111110000001100100111 F -b10110111110110001100101010000000 ) -#449024000 -0& -#449040000 -b1101101101000 , -#449056000 -1& -#449072000 -b1001110111101001011000110110000 " -b1001110111101001011000110110000 4 -b1010011101001010010010001100000 1 -b1010011101001010010010001100000 C -b1001110111101001011000110110000 0 -b1001110111101001011000110110000 H -08 -09 -b1000111101001010010000011001110 2 -b1000111101001010010000011001110 = -b11110011111001111111010001100010 < -b1000111101001010010000011001110 : -b1010011101111010010110001101100 $ -b1010011101111010010110001101100 - -b1010011101111010010110001101100 5 -b1010011101111010010110001101100 ? -b1010011101111010010110001101100 D -b11110011111001111111010001100010 % -b11110011111001111111010001100010 . -b11110011111001111111010001100010 6 -b11110011111001111111010001100010 @ -b11110011111001111111010001100010 F -b1001110111101001011000110110000 ) -#449088000 -0& -#449104000 -b1101101101001 , -#449120000 -1& -#449136000 -b11111110000110111100101000000000 " -b11111110000110111100101000000000 4 -b10101101101100000000000000001 1 -b10101101101100000000000000001 C -b11111110000110111100101000000000 0 -b11111110000110111100101000000000 H -18 -b11101011101101010101111111101110 2 -b11101011101101010101111111101110 = -b1010101101101100101001000001001 < -b11101011101101010101111111101110 : -b10010101111111110000110111100101 $ -b10010101111111110000110111100101 - -b10010101111111110000110111100101 5 -b10010101111111110000110111100101 ? -b10010101111111110000110111100101 D -b1010101101101100101001000001001 % -b1010101101101100101001000001001 . -b1010101101101100101001000001001 6 -b1010101101101100101001000001001 @ -b1010101101101100101001000001001 F -b11111110000110111100101000000000 ) -#449152000 -0& -#449168000 -b1101101101010 , -#449184000 -1& -#449200000 -b10001000110001000000000000000000 " -b10001000110001000000000000000000 4 -b11111111101110000000000000110000 1 -b11111111101110000000000000110000 C -b10001000110001000000000000000000 0 -b10001000110001000000000000000000 H -b11111111101101110110101111100011 2 -b11111111101101110110101111100011 = -b11111111111111110100100110110010 < -b11111111101101110110101111100011 : -b11111111101110000010001000110001 $ -b11111111101110000010001000110001 - -b11111111101110000010001000110001 5 -b11111111101110000010001000110001 ? -b11111111101110000010001000110001 D -b11111111111111110100100110110010 % -b11111111111111110100100110110010 . -b11111111111111110100100110110010 6 -b11111111111111110100100110110010 @ -b11111111111111110100100110110010 F -b10001000110001000000000000000000 ) -#449216000 -0& -#449232000 -b1101101101011 , -#449248000 -1& -#449264000 -b11110000 " -b11110000 4 -b100 1 -b100 C -b11110000 0 -b11110000 H -08 -b10011 2 -b10011 = -b100 < -b10011 : -b1111 $ -b1111 - -b1111 5 -b1111 ? -b1111 D -b100 % -b100 . -b100 6 -b100 @ -b100 F -b11110000 ) -#449280000 -0& -#449296000 -b1101101101100 , -#449312000 -1& -#449328000 -b11110000000000000000000000000000 " -b11110000000000000000000000000000 4 -b11100 1 -b11100 C -b11110000000000000000000000000000 0 -b11110000000000000000000000000000 H -08 -09 -b11011 2 -b11011 = -b11100 < -b11011 : -b11111111111111111111111111111111 $ -b11111111111111111111111111111111 - -b11111111111111111111111111111111 5 -b11111111111111111111111111111111 ? -b11111111111111111111111111111111 D -b11100 % -b11100 . -b11100 6 -b11100 @ -b11100 F -b11110000000000000000000000000000 ) -#449344000 -0& -#449360000 -b1101101101101 , -#449376000 -1& -#449392000 -b11000000000000000000000000000000 " -b11000000000000000000000000000000 4 -b0 1 -b0 C -b11000000000000000000000000000000 0 -b11000000000000000000000000000000 H -18 -b11110000000000000000000000000010 2 -b11110000000000000000000000000010 = -b10 < -b11110000000000000000000000000010 : -b11110000000000000000000000000000 $ -b11110000000000000000000000000000 - -b11110000000000000000000000000000 5 -b11110000000000000000000000000000 ? -b11110000000000000000000000000000 D -b10 % -b10 . -b10 6 -b10 @ -b10 F -b11000000000000000000000000000000 ) -#449408000 -0& -#449424000 -b1101101101110 , -#449440000 -1& -#449456000 -b10010001101000101011001110 " -b10010001101000101011001110 4 -b1 1 -b1 C -b10010001101000101011001110 0 -b10010001101000101011001110 H -08 -b1001000110100010101101000 2 -b1001000110100010101101000 = -b1 < -b1001000110100010101101000 : -b1001000110100010101100111 $ -b1001000110100010101100111 - -b1001000110100010101100111 5 -b1001000110100010101100111 ? -b1001000110100010101100111 D -b1 % -b1 . -b1 6 -b1 @ -b1 F -b10010001101000101011001110 ) -#449472000 -0& -#449488000 -b1101101101111 , -#449504000 -1& -#449520000 -b1111111 " -b1111111 4 -b11111111111111101111111111011110 1 -b11111111111111101111111111011110 C -b1111111 0 -b1111111 H -19 -08 -1; -b1 7 -b1 A -b1 E -b10100001010010011010100100101110 2 -b10100001010010011010100100101110 = -b100001010010110100110010100111 < -b1001 * -b1001 / -b10100001010010011010100100101110 : -b1111111111111100101110010000110 $ -b1111111111111100101110010000110 - -b1111111111111100101110010000110 5 -b1111111111111100101110010000110 ? -b1111111111111100101110010000110 D -b11011110101101001011001101011000 % -b11011110101101001011001101011000 . -b11011110101101001011001101011000 6 -b11011110101101001011001101011000 @ -b11011110101101001011001101011000 F -b1111111 ) -#449536000 -0& -#449552000 -b1101101110000 , -#449568000 -1& -#449584000 -b101010111101 " -b101010111101 4 -b11111011111111110110010010111101 1 -b11111011111111110110010010111101 C -b101010111101 0 -b101010111101 H -18 -09 -b110010000001001101111001011 < -b10110010000100101110000010001001 2 -b10110010000100101110000010001001 = -b10110010000100101110000010001001 : -b10101011110100100100010010111101 $ -b10101011110100100100010010111101 - -b10101011110100100100010010111101 5 -b10101011110100100100010010111101 ? -b10101011110100100100010010111101 D -b11111001101111110110010000110100 % -b11111001101111110110010000110100 . -b11111001101111110110010000110100 6 -b11111001101111110110010000110100 @ -b11111001101111110110010000110100 F -b101010111101 ) -#449600000 -0& -#449616000 -b1101101110001 , -#449632000 -1& -#449648000 -b101110 " -b101110 4 -b11111111111111101111111011111010 1 -b11111111111111101111111011111010 C -b101110 0 -b101110 H -b100000101010010010000101100101 < -b11011100100101110101100001010110 2 -b11011100100101110101100001010110 = -b11011100100101110101100001010110 : -b10111011111011100011011011110000 $ -b10111011111011100011011011110000 - -b10111011111011100011011011110000 5 -b10111011111011100011011011110000 ? -b10111011111011100011011011110000 D -b11011111010101101101111010011010 % -b11011111010101101101111010011010 . -b11011111010101101101111010011010 6 -b11011111010101101101111010011010 @ -b11011111010101101101111010011010 F -b101110 ) -#449664000 -0& -#449680000 -b1101101110010 , -#449696000 -1& -#449712000 -b11111101101111110 " -b11111101101111110 4 -b11111111111111111110000110101110 1 -b11111111111111111110000110101110 C -b11111101101111110 0 -b11111101101111110 H -08 -19 -b1010010100010001111001110001 < -b10001001001100001010000000010100 2 -b10001001001100001010000000010100 = -b10001001001100001010000000010100 : -b1111110110111111000000110100010 $ -b1111110110111111000000110100010 - -b1111110110111111000000110100010 5 -b1111110110111111000000110100010 ? -b1111110110111111000000110100010 D -b11110101101011101110000110001110 % -b11110101101011101110000110001110 . -b11110101101011101110000110001110 6 -b11110101101011101110000110001110 @ -b11110101101011101110000110001110 F -b11111101101111110 ) -#449728000 -0& -#449744000 -b1101101110011 , -#449760000 -1& -#449776000 -b111110111111 " -b111110111111 4 -b1111101111111111110101111111111 1 -b1111101111111111110101111111111 C -b111110111111 0 -b111110111111 H -09 -08 -b10000010011000011011010100101100 < -b10111011001100010101010 2 -b10111011001100010101010 = -b10111011001100010101010 : -b1111101111110111110001101111101 $ -b1111101111110111110001101111101 - -b1111101111110111110001101111101 5 -b1111101111110111110001101111101 ? -b1111101111110111110001101111101 D -b1111101100111100100101011010011 % -b1111101100111100100101011010011 . -b1111101100111100100101011010011 6 -b1111101100111100100101011010011 @ -b1111101100111100100101011010011 F -b111110111111 ) -#449792000 -0& -#449808000 -b1101101110100 , -#449824000 -1& -#449840000 -b10001100101100111110000110 " -b10001100101100111110000110 4 -b11011111111111111111101110111110 1 -b11011111111111111111101110111110 C -b10001100101100111110000110 0 -b10001100101100111110000110 H -18 -b100000001100001000010101011001 < -b10101100111001000110011011110110 2 -b10101100111001000110011011110110 = -b10101100111001000110011011110110 : -b10001100101100111110000110011100 $ -b10001100101100111110000110011100 - -b10001100101100111110000110011100 5 -b10001100101100111110000110011100 ? -b10001100101100111110000110011100 D -b11011111110011110111101010100110 % -b11011111110011110111101010100110 . -b11011111110011110111101010100110 6 -b11011111110011110111101010100110 @ -b11011111110011110111101010100110 F -b10001100101100111110000110 ) -#449856000 -0& -#449872000 -b1101101110101 , -#449888000 -1& -#449904000 -b1 " -b1 4 -b11110111111101111110110101111110 1 -b11110111111101111110110101111110 C -b1 0 -b1 H -08 -b1000110010001101111010100001 < -b1111110101111101010110000000110 2 -b1111110101111101010110000000110 = -b1111110101111101010110000000110 : -b1110101111101011100110101100100 $ -b1110101111101011100110101100100 - -b1110101111101011100110101100100 5 -b1110101111101011100110101100100 ? -b1110101111101011100110101100100 D -b11110111001101110010000101011110 % -b11110111001101110010000101011110 . -b11110111001101110010000101011110 6 -b11110111001101110010000101011110 @ -b11110111001101110010000101011110 F -b1 ) -#449920000 -0& -#449936000 -b1101101110110 , -#449952000 -1& -#449968000 -b111111111111011 " -b111111111111011 4 -b11111111111101111110111110111101 1 -b11111111111101111110111110111101 C -b111111111111011 0 -b111111111111011 H -08 -09 -b1000010000010000011000101101110 < -b1000010000000000001110010011011 2 -b1000010000000000001110010011011 = -b1000010000000000001110010011011 : -b11111111111101111110101100101100 $ -b11111111111101111110101100101100 - -b11111111111101111110101100101100 5 -b11111111111101111110101100101100 ? -b11111111111101111110101100101100 D -b10111101111101111100111010010001 % -b10111101111101111100111010010001 . -b10111101111101111100111010010001 6 -b10111101111101111100111010010001 @ -b10111101111101111100111010010001 F -b111111111111011 ) -#449984000 -0& -#450000000 -b1101101110111 , -#450016000 -1& -#450032000 -b111 " -b111 4 -b11111111111011111000110101011111 1 -b11111111111011111000110101011111 C -b111 0 -b111 H -b1000000010100011111001110100010 < -b110000001000011000000100000010 2 -b110000001000011000000100000010 = -b110000001000011000000100000010 : -b11101111110011111000110101011111 $ -b11101111110011111000110101011111 - -b11101111110011111000110101011111 5 -b11101111110011111000110101011111 ? -b11101111110011111000110101011111 D -b10111111101011100000110001011101 % -b10111111101011100000110001011101 . -b10111111101011100000110001011101 6 -b10111111101011100000110001011101 @ -b10111111101011100000110001011101 F -b111 ) -#450048000 -0& -#450064000 -b1101101111000 , -#450080000 -1& -#450096000 -b11111001111 " -b11111001111 4 -b11111001111011111001111111111111 1 -b11111001111011111001111111111111 C -b11111001111 0 -b11111001111 H -b1100110000101000110000000001010 < -b1011111111110111111101111111010 2 -b1011111111110111111101111111010 = -b1011111111110111111101111111010 : -b11111001111001111001101111101111 $ -b11111001111001111001101111101111 - -b11111001111001111001101111101111 5 -b11111001111001111001101111101111 ? -b11111001111001111001101111101111 D -b10011001111010111001111111110101 % -b10011001111010111001111111110101 . -b10011001111010111001111111110101 6 -b10011001111010111001111111110101 @ -b10011001111010111001111111110101 F -b11111001111 ) -#450112000 -0& -#450128000 -b1101101111001 , -#450144000 -1& -#450160000 -b11111011101111101110000000011 " -b11111011101111101110000000011 4 -b11111011111111111111110100011111 1 -b11111011111111111111110100011111 C -b11111011101111101110000000011 0 -b11111011101111101110000000011 H -18 -b11101110000001101000001011111100 < -b11101001110001010110001100011011 2 -b11101001110001010110001100011011 = -b11101001110001010110001100011011 : -b11111011101111101110000000011110 $ -b11111011101111101110000000011110 - -b11111011101111101110000000011110 5 -b11111011101111101110000000011110 ? -b11111011101111101110000000011110 D -b10001111110010111110100000011 % -b10001111110010111110100000011 . -b10001111110010111110100000011 6 -b10001111110010111110100000011 @ -b10001111110010111110100000011 F -b11111011101111101110000000011 ) -#450176000 -0& -#450192000 -b1101101111010 , -#450208000 -1& -#450224000 -b111100111110101 " -b111100111110101 4 -b11111111111111111101011111010001 1 -b11111111111111111101011111010001 C -b111100111110101 0 -b111100111110101 H -19 -08 -b1011000000000000110101100101111 < -b11010001111101100011001010000001 2 -b11010001111101100011001010000001 = -b11010001111101100011001010000001 : -b1111001111101011100011101010001 $ -b1111001111101011100011101010001 - -b1111001111101011100011101010001 5 -b1111001111101011100011101010001 ? -b1111001111101011100011101010001 D -b10100111111111111001010011010000 % -b10100111111111111001010011010000 . -b10100111111111111001010011010000 6 -b10100111111111111001010011010000 @ -b10100111111111111001010011010000 F -b111100111110101 ) -#450240000 -0& -#450256000 -b1101101111011 , -#450272000 -1& -#450288000 -b1111111101011101101110 " -b1111111101011101101110 4 -b11111111111111011011111111101010 1 -b11111111111111011011111111101010 C -b1111111101011101101110 0 -b1111111101011101101110 H -09 -18 -b11011001010011111111100001110101 < -b11011000101011011011010001100000 2 -b11011000101011011011010001100000 = -b11011000101011011011010001100000 : -b11111111010111011011101111101010 $ -b11111111010111011011101111101010 - -b11111111010111011011101111101010 5 -b11111111010111011011101111101010 ? -b11111111010111011011101111101010 D -b100110101100000000011110001010 % -b100110101100000000011110001010 . -b100110101100000000011110001010 6 -b100110101100000000011110001010 @ -b100110101100000000011110001010 F -b1111111101011101101110 ) -#450304000 -0& -#450320000 -b1101101111100 , -#450336000 -1& -#450352000 -b1100111100111111110111 " -b1100111100111111110111 4 -b1111111111111111110111111111001 1 -b1111111111111111110111111111001 C -b1100111100111111110111 0 -b1100111100111111110111 H -08 -b10100000100100010101100010010110 < -b1000001100010100011101101000 2 -b1000001100010100011101101000 = -b1000001100010100011101101000 : -b1100111100111111110111011010001 $ -b1100111100111111110111011010001 - -b1100111100111111110111011010001 5 -b1100111100111111110111011010001 ? -b1100111100111111110111011010001 D -b1011111011011101010011101101001 % -b1011111011011101010011101101001 . -b1011111011011101010011101101001 6 -b1011111011011101010011101101001 @ -b1011111011011101010011101101001 F -b1100111100111111110111 ) -#450368000 -0& -#450384000 -b1101101111101 , -#450400000 -1& -#450416000 -b11 " -b11 4 -b11111111111111111101101110111101 1 -b11111111111111111101101110111101 C -b11 0 -b11 H -19 -08 -b100000100001010010101000010 < -b10000001011010100111111011010011 2 -b10000001011010100111111011010011 = -b10000001011010100111111011010011 : -b1111101010110011101100110010000 $ -b1111101010110011101100110010000 - -b1111101010110011101100110010000 5 -b1111101010110011101100110010000 ? -b1111101010110011101100110010000 D -b11111011111011110101101010111101 % -b11111011111011110101101010111101 . -b11111011111011110101101010111101 6 -b11111011111011110101101010111101 @ -b11111011111011110101101010111101 F -b11 ) -#450432000 -0& -#450448000 -b1101101111110 , -#450464000 -1& -#450480000 -b11111101111101101110 " -b11111101111101101110 4 -b1111111111110111111111001001111 1 -b1111111111110111111111001001111 C -b11111101111101101110 0 -b11111101111101101110 H -09 -08 -b11001100100001010100001110110100 < -b1001011100000001011010111111010 2 -b1001011100000001011010111111010 = -b1001011100000001011010111111010 : -b1111110111110110111001001000101 $ -b1111110111110110111001001000101 - -b1111110111110110111001001000101 5 -b1111110111110110111001001000101 ? -b1111110111110110111001001000101 D -b110011011110101011110001001011 % -b110011011110101011110001001011 . -b110011011110101011110001001011 6 -b110011011110101011110001001011 @ -b110011011110101011110001001011 F -b11111101111101101110 ) -#450496000 -0& -#450512000 -b1101101111111 , -#450528000 -1& -#450544000 -b111010011101101111111100110 " -b111010011101101111111100110 4 -b11111111111111111111110111000101 1 -b11111111111111111111110111000101 C -b111010011101101111111100110 0 -b111010011101101111111100110 H -08 -b101000010000000001101000111010 < -b10010000111000001011011111011 2 -b10010000111000001011011111011 = -b10010000111000001011011111011 : -b11101001110110111111110011000000 $ -b11101001110110111111110011000000 - -b11101001110110111111110011000000 5 -b11101001110110111111110011000000 ? -b11101001110110111111110011000000 D -b11010111101111111110010111000101 % -b11010111101111111110010111000101 . -b11010111101111111110010111000101 6 -b11010111101111111110010111000101 @ -b11010111101111111110010111000101 F -b111010011101101111111100110 ) -#450560000 -0& -#450576000 -b1101110000000 , -#450592000 -1& -#450608000 -b1111011110111001110110110001 " -b1111011110111001110110110001 4 -b11110111111110011101111111011110 1 -b11110111111110011101111111011110 C -b1111011110111001110110110001 0 -b1111011110111001110110110001 H -b1101100000111111111001000111011 < -b1100011110110011100110101011010 2 -b1100011110110011100110101011010 = -b1100011110110011100110101011010 : -b11110111101110011101101100011110 $ -b11110111101110011101101100011110 - -b11110111101110011101101100011110 5 -b11110111101110011101101100011110 ? -b11110111101110011101101100011110 D -b10010011111000000000110111000100 % -b10010011111000000000110111000100 . -b10010011111000000000110111000100 6 -b10010011111000000000110111000100 @ -b10010011111000000000110111000100 F -b1111011110111001110110110001 ) -#450624000 -0& -#450640000 -b1101110000001 , -#450656000 -1& -#450672000 -b11001100110111101111011010000 " -b11001100110111101111011010000 4 -b11111111111111111111111110000111 1 -b11111111111111111111111110000111 C -b11001100110111101111011010000 0 -b11001100110111101111011010000 H -18 -19 -b10000000000110000101010011111100 < -b1001100111101110100101110000001 2 -b1001100111101110100101110000001 = -b1001100111101110100101110000001 : -b11001100110111101111011010000100 $ -b11001100110111101111011010000100 - -b11001100110111101111011010000100 5 -b11001100110111101111011010000100 ? -b11001100110111101111011010000100 D -b1111111111001111010101100000011 % -b1111111111001111010101100000011 . -b1111111111001111010101100000011 6 -b1111111111001111010101100000011 @ -b1111111111001111010101100000011 F -b11001100110111101111011010000 ) -#450688000 -0& -#450704000 -b1101110000010 , -#450720000 -1& -#450736000 -b1110101111111011010111 " -b1110101111111011010111 4 -b11111111111111111111111011101111 1 -b11111111111111111111111011101111 C -b1110101111111011010111 0 -b1110101111111011010111 H -08 -b10000000000000010001111110110 < -b10000101111111011101001011011101 2 -b10000101111111011101001011011101 = -b10000101111111011101001011011101 : -b1110101111111011010111011100110 $ -b1110101111111011010111011100110 - -b1110101111111011010111011100110 5 -b1110101111111011010111011100110 ? -b1110101111111011010111011100110 D -b11101111111111111101110000001001 % -b11101111111111111101110000001001 . -b11101111111111111101110000001001 6 -b11101111111111111101110000001001 @ -b11101111111111111101110000001001 F -b1110101111111011010111 ) -#450752000 -0& -#450768000 -b1101110000011 , -#450784000 -1& -#450800000 -b11111111110111111011000001110100 " -b11111111110111111011000001110100 4 -b11111111111111111111101101110100 1 -b11111111111111111111101101110100 C -b11111111110111111011000001110100 0 -b11111111110111111011000001110100 H -09 -08 -b1011001000000001010010011011111 < -b1011000111000000101010101010100 2 -b1011000111000000101010101010100 = -b1011000111000000101010101010100 : -b11111111110111111011000001110100 $ -b11111111110111111011000001110100 - -b11111111110111111011000001110100 5 -b11111111110111111011000001110100 ? -b11111111110111111011000001110100 D -b10100110111111110101101100100000 % -b10100110111111110101101100100000 . -b10100110111111110101101100100000 6 -b10100110111111110101101100100000 @ -b10100110111111110101101100100000 F -b11111111110111111011000001110100 ) -#450816000 -0& -#450832000 -b1101110000100 , -#450848000 -1& -#450864000 -b1011010011111101111 " -b1011010011111101111 4 -b11111111111111011111011111101111 1 -b11111111111111011111011111101111 C -b1011010011111101111 0 -b1011010011111101111 H -18 -19 -b10000000100100101000100001110010 < -b110101100100000110100101100001 2 -b110101100100000110100101100001 = -b110101100100000110100101100001 : -b10110100111111011110000011101110 $ -b10110100111111011110000011101110 - -b10110100111111011110000011101110 5 -b10110100111111011110000011101110 ? -b10110100111111011110000011101110 D -b1111111011011010111011110001101 % -b1111111011011010111011110001101 . -b1111111011011010111011110001101 6 -b1111111011011010111011110001101 @ -b1111111011011010111011110001101 F -b1011010011111101111 ) -#450880000 -0& -#450896000 -b1101110000101 , -#450912000 -1& -#450928000 -b111110010111010011100 " -b111110010111010011100 4 -b11111111111111101110010010111111 1 -b11111111111111101110010010111111 C -b111110010111010011100 0 -b111110010111010011100 H -b10000000000000011001101101110100 < -b1111001011101100111110000101100 2 -b1111001011101100111110000101100 = -b1111001011101100111110000101100 : -b11111001011101001110000010110111 $ -b11111001011101001110000010110111 - -b11111001011101001110000010110111 5 -b11111001011101001110000010110111 ? -b11111001011101001110000010110111 D -b1111111111111100110010010001011 % -b1111111111111100110010010001011 . -b1111111111111100110010010001011 6 -b1111111111111100110010010001011 @ -b1111111111111100110010010001011 F -b111110010111010011100 ) -#450944000 -0& -#450960000 -b1101110000110 , -#450976000 -1& -#450992000 -b11111100111101011011110100 " -b11111100111101011011110100 4 -b1111111111111111101111111110010 1 -b1111111111111111101111111110010 C -b11111100111101011011110100 0 -b11111100111101011011110100 H -09 -18 -b10000000000000100110001111011101 < -b10001111110100011011111110110000 2 -b10001111110100011011111110110000 = -b10001111110100011011111110110000 : -b1111110011110101101111010010 $ -b1111110011110101101111010010 - -b1111110011110101101111010010 5 -b1111110011110101101111010010 ? -b1111110011110101101111010010 D -b1111111111111011001110000100010 % -b1111111111111011001110000100010 . -b1111111111111011001110000100010 6 -b1111111111111011001110000100010 @ -b1111111111111011001110000100010 F -b11111100111101011011110100 ) -#451008000 -0& -#451024000 -b1101110000111 , -#451040000 -1& -#451056000 -b11 " -b11 4 -b11111111101101110111101111011111 1 -b11111111101101110111101111011111 C -b11 0 -b11 H -08 -b10001110110101000010010100001 < -b1111100011011110111100100101 2 -b1111100011011110111100100101 = -b1111100011011110111100100101 : -b11111101101100110110101010000011 $ -b11111101101100110110101010000011 - -b11111101101100110110101010000011 5 -b11111101101100110110101010000011 ? -b11111101101100110110101010000011 D -b11101110001001010111101101011110 % -b11101110001001010111101101011110 . -b11101110001001010111101101011110 6 -b11101110001001010111101101011110 @ -b11101110001001010111101101011110 F -b11 ) -#451072000 -0& -#451088000 -b1101110001000 , -#451104000 -1& -#451120000 -b11111101111111111100010100 " -b11111101111111111100010100 4 -b11111111111111111110010100101110 1 -b11111111111111111110010100101110 C -b11111101111111111100010100 0 -b11111101111111111100010100 H -b101101101010100101101011011001 < -b101011101010100010000000000100 2 -b101011101010100010000000000100 = -b101011101010100010000000000100 : -b11111101111111111100010100101010 $ -b11111101111111111100010100101010 - -b11111101111111111100010100101010 5 -b11111101111111111100010100101010 ? -b11111101111111111100010100101010 D -b11010010010101011010010100100110 % -b11010010010101011010010100100110 . -b11010010010101011010010100100110 6 -b11010010010101011010010100100110 @ -b11010010010101011010010100100110 F -b11111101111111111100010100 ) -#451136000 -0& -#451152000 -b1101110001001 , -#451168000 -1& -#451184000 -b110011111111111100011011111 " -b110011111111111100011011111 4 -b11101111111111111101101111101101 1 -b11101111111111111101101111101101 C -b110011111111111100011011111 0 -b110011111111111100011011111 H -19 -18 -b10010001001010000010010110011010 < -b1100001001001110100000110000111 2 -b1100001001001110100000110000111 = -b1100001001001110100000110000111 : -b11001111111111110001101111101100 $ -b11001111111111110001101111101100 - -b11001111111111110001101111101100 5 -b11001111111111110001101111101100 ? -b11001111111111110001101111101100 D -b1101110110101111101101001100101 % -b1101110110101111101101001100101 . -b1101110110101111101101001100101 6 -b1101110110101111101101001100101 @ -b1101110110101111101101001100101 F -b110011111111111100011011111 ) -#451200000 -0& -#451216000 -b1101110001010 , -#451232000 -1& -#451248000 -b1 " -b1 4 -b11111111111111111111111101011111 1 -b11111111111111111111111101011111 C -b1 0 -b1 H -08 -19 -b11100001011100011000110100001 < -b10011011111011000110010010110111 2 -b10011011111011000110010010110111 = -b10011011111011000110010010110111 : -b1111111101111100011001100010101 $ -b1111111101111100011001100010101 - -b1111111101111100011001100010101 5 -b1111111101111100011001100010101 ? -b1111111101111100011001100010101 D -b11100011110100011100111001011110 % -b11100011110100011100111001011110 . -b11100011110100011100111001011110 6 -b11100011110100011100111001011110 @ -b11100011110100011100111001011110 F -b1 ) -#451264000 -0& -#451280000 -b1101110001011 , -#451296000 -1& -#451312000 -b101111010111011111100101000111 " -b101111010111011111100101000111 4 -b11111111111111111111111110111110 1 -b11111111111111111111111110111110 C -b101111010111011111100101000111 0 -b101111010111011111100101000111 H -18 -19 -b10001001000001101010010101011101 < -b1000110011111101000101001111100 2 -b1000110011111101000101001111100 = -b1000110011111101000101001111100 : -b10111101011101111110010100011110 $ -b10111101011101111110010100011110 - -b10111101011101111110010100011110 5 -b10111101011101111110010100011110 ? -b10111101011101111110010100011110 D -b1110110111110010101101010100010 % -b1110110111110010101101010100010 . -b1110110111110010101101010100010 6 -b1110110111110010101101010100010 @ -b1110110111110010101101010100010 F -b101111010111011111100101000111 ) -#451328000 -0& -#451344000 -b1101110001100 , -#451360000 -1& -#451376000 -b11 " -b11 4 -b1111110111111110101010000111111 1 -b1111110111111110101010000111111 C -b11 0 -b11 H -08 -09 -b10100001000001101110111111000010 < -b100000000001100011001111100010 2 -b100000000001100011001111100010 = -b100000000001100011001111100010 : -b1111110111111110100010000011111 $ -b1111110111111110100010000011111 - -b1111110111111110100010000011111 5 -b1111110111111110100010000011111 ? -b1111110111111110100010000011111 D -b1011110111110010001000000111101 % -b1011110111110010001000000111101 . -b1011110111110010001000000111101 6 -b1011110111110010001000000111101 @ -b1011110111110010001000000111101 F -b11 ) -#451392000 -0& -#451408000 -b1101110001101 , -#451424000 -1& -#451440000 -b111111111 " -b111111111 4 -b11111111110111111111110110110110 1 -b11111111110111111111110110110110 C -b111111111 0 -b111111111 H -19 -08 -b110000101001100110001101001001 < -b10110000011111100101000001001010 2 -b10110000011111100101000001001010 = -b10110000011111100101000001001010 : -b1111111110101111110110100000000 $ -b1111111110101111110110100000000 - -b1111111110101111110110100000000 5 -b1111111110101111110110100000000 ? -b1111111110101111110110100000000 D -b11001111010110011001110010110110 % -b11001111010110011001110010110110 . -b11001111010110011001110010110110 6 -b11001111010110011001110010110110 @ -b11001111010110011001110010110110 F -b111111111 ) -#451456000 -0& -#451472000 -b1101110001110 , -#451488000 -1& -#451504000 -b110110011111111110010110001 " -b110110011111111110010110001 4 -b11111111111111111111011001111101 1 -b11111111111111111111011001111101 C -b110110011111111110010110001 0 -b110110011111111110010110001 H -18 -b10001000010101100000101110011010 < -b1100010010101011010000111010011 2 -b1100010010101011010000111010011 = -b1100010010101011010000111010011 : -b11011001111111111001011000111000 $ -b11011001111111111001011000111000 - -b11011001111111111001011000111000 5 -b11011001111111111001011000111000 ? -b11011001111111111001011000111000 D -b1110111101010011111010001100101 % -b1110111101010011111010001100101 . -b1110111101010011111010001100101 6 -b1110111101010011111010001100101 @ -b1110111101010011111010001100101 F -b110110011111111110010110001 ) -#451520000 -0& -#451536000 -b1101110001111 , -#451552000 -1& -#451568000 -b10100100011111101001011 " -b10100100011111101001011 4 -b1110110101111111111101111011000 1 -b1110110101111111111101111011000 C -b10100100011111101001011 0 -b10100100011111101001011 H -09 -18 -b10001001010011000000011110110111 < -b11011011100010110101001110001000 2 -b11011011100010110101001110001000 = -b11011011100010110101001110001000 : -b1010010001111110100101111010000 $ -b1010010001111110100101111010000 - -b1010010001111110100101111010000 5 -b1010010001111110100101111010000 ? -b1010010001111110100101111010000 D -b1110110101100111111100001001000 % -b1110110101100111111100001001000 . -b1110110101100111111100001001000 6 -b1110110101100111111100001001000 @ -b1110110101100111111100001001000 F -b10100100011111101001011 ) -#451584000 -0& -#451600000 -b1101110010000 , -#451616000 -1& -#451632000 -b110011101 " -b110011101 4 -b11111111111111111011111011110110 1 -b11111111111111111011111011110110 C -b110011101 0 -b110011101 H -08 -b1100001101001001 < -b1100111100000000101000110101100 2 -b1100111100000000101000110101100 = -b1100111100000000101000110101100 : -b1100111011111111000111001100010 $ -b1100111011111111000111001100010 - -b1100111011111111000111001100010 5 -b1100111011111111000111001100010 ? -b1100111011111111000111001100010 D -b11111111111111110011110010110110 % -b11111111111111110011110010110110 . -b11111111111111110011110010110110 6 -b11111111111111110011110010110110 @ -b11111111111111110011110010110110 F -b110011101 ) -#451648000 -0& -#451664000 -b1101110010001 , -#451680000 -1& -#451696000 -b111 " -b111 4 -b11111111110111111110101111011101 1 -b11111111110111111110101111011101 C -b111 0 -b111 H -08 -b100000001100000001010000100010 < -b100000000011110001011111111000 2 -b100000000011110001011111111000 = -b100000000011110001011111111000 : -b11111111110111110000001111010101 $ -b11111111110111110000001111010101 - -b11111111110111110000001111010101 5 -b11111111110111110000001111010101 ? -b11111111110111110000001111010101 D -b11011111110011111110101111011101 % -b11011111110011111110101111011101 . -b11011111110011111110101111011101 6 -b11011111110011111110101111011101 @ -b11011111110011111110101111011101 F -b111 ) -#451712000 -0& -#451728000 -b1101110010010 , -#451744000 -1& -#451760000 -b111111111101111111011010001101 " -b111111111101111111011010001101 4 -b11111111111111111110111101111011 1 -b11111111111111111110111101111011 C -b111111111101111111011010001101 0 -b111111111101111111011010001101 H -19 -08 -b10010100000110111100110011110 < -b10010010011100110110011010111010 2 -b10010010011100110110011010111010 = -b10010010011100110110011010111010 : -b1111111111011111110110100011011 $ -b1111111111011111110110100011011 - -b1111111111011111110110100011011 5 -b1111111111011111110110100011011 ? -b1111111111011111110110100011011 D -b11101101011111001000011001100001 % -b11101101011111001000011001100001 . -b11101101011111001000011001100001 6 -b11101101011111001000011001100001 @ -b11101101011111001000011001100001 F -b111111111101111111011010001101 ) -#451776000 -0& -#451792000 -b1101110010011 , -#451808000 -1& -#451824000 -b111110101110101101000010110010 " -b111110101110101101000010110010 4 -b1111111111111111010100111100101 1 -b1111111111111111010100111100101 C -b111110101110101101000010110010 0 -b111110101110101101000010110010 H -09 -08 -b10011000010000011111011101111110 < -b10101101101111001100011100100 2 -b10101101101111001100011100100 = -b10101101101111001100011100100 : -b1111101011101011010000101100101 $ -b1111101011101011010000101100101 - -b1111101011101011010000101100101 5 -b1111101011101011010000101100101 ? -b1111101011101011010000101100101 D -b1100111101111100000100010000001 % -b1100111101111100000100010000001 . -b1100111101111100000100010000001 6 -b1100111101111100000100010000001 @ -b1100111101111100000100010000001 F -b111110101110101101000010110010 ) -#451840000 -0& -#451856000 -b1101110010100 , -#451872000 -1& -#451888000 -b100110111111110110010 " -b100110111111110110010 4 -b10011111111111111011001111001111 1 -b10011111111111111011001111001111 C -b100110111111110110010 0 -b100110111111110110010 H -b1111000001000011100111110110100 < -b10100000111110110001110000010 2 -b10100000111110110001110000010 = -b10100000111110110001110000010 : -b10011011111111011001001111001101 $ -b10011011111111011001001111001101 - -b10011011111111011001001111001101 5 -b10011011111111011001001111001101 ? -b10011011111111011001001111001101 D -b10000111110111100011000001001011 % -b10000111110111100011000001001011 . -b10000111110111100011000001001011 6 -b10000111110111100011000001001011 @ -b10000111110111100011000001001011 F -b100110111111110110010 ) -#451904000 -0& -#451920000 -b1101110010101 , -#451936000 -1& -#451952000 -b1010111101111101010011100010100 " -b1010111101111101010011100010100 4 -b11111111111111110111111010101001 1 -b11111111111111110111111010101001 C -b1010111101111101010011100010100 0 -b1010111101111101010011100010100 H -18 -19 -b10001001000110001000010101111110 < -b111000100101011101001110101000 2 -b111000100101011101001110101000 = -b111000100101011101001110101000 : -b10101111011111010100111000101001 $ -b10101111011111010100111000101001 - -b10101111011111010100111000101001 5 -b10101111011111010100111000101001 ? -b10101111011111010100111000101001 D -b1110110111001110111101010000001 % -b1110110111001110111101010000001 . -b1110110111001110111101010000001 6 -b1110110111001110111101010000001 @ -b1110110111001110111101010000001 F -b1010111101111101010011100010100 ) -#451968000 -0& -#451984000 -b1101110010110 , -#452000000 -1& -#452016000 -b10111111111010111000110111100 " -b10111111111010111000110111100 4 -b10111111111111111010110111100011 1 -b10111111111111111010110111100011 C -b10111111111010111000110111100 0 -b10111111111010111000110111100 H -09 -18 -b11110000110000000101001010011100 < -b10110000101010111110000001111111 2 -b10110000101010111110000001111111 = -b10110000101010111110000001111111 : -b10111111111010111000110111100010 $ -b10111111111010111000110111100010 - -b10111111111010111000110111100010 5 -b10111111111010111000110111100010 ? -b10111111111010111000110111100010 D -b1111001111111010110101100011 % -b1111001111111010110101100011 . -b1111001111111010110101100011 6 -b1111001111111010110101100011 @ -b1111001111111010110101100011 F -b10111111111010111000110111100 ) -#452032000 -0& -#452048000 -b1101110010111 , -#452064000 -1& -#452080000 -b10010111101010100111 " -b10010111101010100111 4 -b11101111110111111011111001111011 1 -b11101111110111111011111001111011 C -b10010111101010100111 0 -b10010111101010100111 H -08 -b10000011001000110010111010100 < -b1011100001110011010010000110000 2 -b1011100001110011010010000110000 = -b1011100001110011010010000110000 : -b1001011110101010011111001011011 $ -b1001011110101010011111001011011 - -b1001011110101010011111001011011 5 -b1001011110101010011111001011011 ? -b1001011110101010011111001011011 D -b11101111100110111001101000101011 % -b11101111100110111001101000101011 . -b11101111100110111001101000101011 6 -b11101111100110111001101000101011 @ -b11101111100110111001101000101011 F -b10010111101010100111 ) -#452096000 -0& -#452112000 -b1101110011000 , -#452128000 -1& -#452144000 -b1111110111101110101110 " -b1111110111101110101110 4 -b1111111111111111111111111001111 1 -b1111111111111111111111111001111 C -b1111110111101110101110 0 -b1111110111101110101110 H -09 -08 -b10001100000100000001010010110110 < -b1011000001110111001001000110 2 -b1011000001110111001001000110 = -b1011000001110111001001000110 : -b1111110111101110101110110001111 $ -b1111110111101110101110110001111 - -b1111110111101110101110110001111 5 -b1111110111101110101110110001111 ? -b1111110111101110101110110001111 D -b1110011111011111110101101001001 % -b1110011111011111110101101001001 . -b1110011111011111110101101001001 6 -b1110011111011111110101101001001 @ -b1110011111011111110101101001001 F -b1111110111101110101110 ) -#452160000 -0& -#452176000 -b1101110011001 , -#452192000 -1& -#452208000 -b1101111111111101001010 " -b1101111111111101001010 4 -b1111111111111110111101111101111 1 -b1111111111111110111101111101111 C -b1101111111111101001010 0 -b1101111111111101001010 H -18 -b10010110010000111000011000010111 < -b11001110010000101101000011011111 2 -b11001110010000101101000011011111 = -b11001110010000101101000011011111 : -b110111111111110100101011000111 $ -b110111111111110100101011000111 - -b110111111111110100101011000111 5 -b110111111111110100101011000111 ? -b110111111111110100101011000111 D -b1101001101111000111100111101000 % -b1101001101111000111100111101000 . -b1101001101111000111100111101000 6 -b1101001101111000111100111101000 @ -b1101001101111000111100111101000 F -b1101111111111101001010 ) -#452224000 -0& -#452240000 -b1101110011010 , -#452256000 -1& -#452272000 -b11101100101 " -b11101100101 4 -b11101111101110010101001110111111 1 -b11101111101110010101001110111111 C -b11101100101 0 -b11101100101 H -19 -18 -b10010000011001111111111111001010 < -b1111101000110010101001110001010 2 -b1111101000110010101001110001010 = -b1111101000110010101001110001010 : -b11101100101100010101001110111111 $ -b11101100101100010101001110111111 - -b11101100101100010101001110111111 5 -b11101100101100010101001110111111 ? -b11101100101100010101001110111111 D -b1101111100110000000000000110101 % -b1101111100110000000000000110101 . -b1101111100110000000000000110101 6 -b1101111100110000000000000110101 @ -b1101111100110000000000000110101 F -b11101100101 ) -#452288000 -0& -#452304000 -b1101110011011 , -#452320000 -1& -#452336000 -b11010010110001111101000101000 " -b11010010110001111101000101000 4 -b11111111111111111111101101100111 1 -b11111111111111111111101101100111 C -b11010010110001111101000101000 0 -b11010010110001111101000101000 H -09 -18 -b10010010000001100010110011100 < -b11100101000010001001011011100001 2 -b11100101000010001001011011100001 = -b11100101000010001001011011100001 : -b11010010110001111101000101000100 $ -b11010010110001111101000101000100 - -b11010010110001111101000101000100 5 -b11010010110001111101000101000100 ? -b11010010110001111101000101000100 D -b11101101101111110011101001100011 % -b11101101101111110011101001100011 . -b11101101101111110011101001100011 6 -b11101101101111110011101001100011 @ -b11101101101111110011101001100011 F -b11010010110001111101000101000 ) -#452352000 -0& -#452368000 -b1101110011100 , -#452384000 -1& -#452400000 -b10011011111010101 " -b10011011111010101 4 -b1101111111111011101010101101110 1 -b1101111111111011101010101101110 C -b10011011111010101 0 -b10011011111010101 H -18 -b10010101110000110110101010010001 < -b11100011101110001010111010011010 2 -b11100011101110001010111010011010 = -b11100011101110001010111010011010 : -b1001101111101010100010000001000 $ -b1001101111101010100010000001000 - -b1001101111101010100010000001000 5 -b1001101111101010100010000001000 ? -b1001101111101010100010000001000 D -b1101010001111001001010101101110 % -b1101010001111001001010101101110 . -b1101010001111001001010101101110 6 -b1101010001111001001010101101110 @ -b1101010001111001001010101101110 F -b10011011111010101 ) -#452416000 -0& -#452432000 -b1101110011101 , -#452448000 -1& -#452464000 -b10111111101011101100001111100 " -b10111111101011101100001111100 4 -b11111111111111111111011111100011 1 -b11111111111111111111011111100011 C -b10111111101011101100001111100 0 -b10111111101011101100001111100 H -19 -18 -b10111000100001000100100110111100 < -b1111000001100110000110110011111 2 -b1111000001100110000110110011111 = -b1111000001100110000110110011111 : -b10111111101011101100001111100010 $ -b10111111101011101100001111100010 - -b10111111101011101100001111100010 5 -b10111111101011101100001111100010 ? -b10111111101011101100001111100010 D -b1000111011110111011011001000011 % -b1000111011110111011011001000011 . -b1000111011110111011011001000011 6 -b1000111011110111011011001000011 @ -b1000111011110111011011001000011 F -b10111111101011101100001111100 ) -#452480000 -0& -#452496000 -b1101110011110 , -#452512000 -1& -#452528000 -b1111111101 " -b1111111101 4 -b11111111011111010111110111110111 1 -b11111111011111010111110111110111 C -b1111111101 0 -b1111111101 H -09 -18 -b11000100101000101100101100001001 < -b11000100000101110010010001011111 2 -b11000100000101110010010001011111 = -b11000100000101110010010001011111 : -b11111111011101000101100101010101 $ -b11111111011101000101100101010101 - -b11111111011101000101100101010101 5 -b11111111011101000101100101010101 ? -b11111111011101000101100101010101 D -b111011010111010011010011110110 % -b111011010111010011010011110110 . -b111011010111010011010011110110 6 -b111011010111010011010011110110 @ -b111011010111010011010011110110 F -b1111111101 ) -#452544000 -0& -#452560000 -b1101110011111 , -#452576000 -1& -#452592000 -b1111110110111001101010 " -b1111110110111001101010 4 -b11111111111111110011010101110111 1 -b11111111111111110011010101110111 C -b1111110110111001101010 0 -b1111110110111001101010 H -08 -09 -b1000000100001101111110111000 < -b100111110010000001010011101100 2 -b100111110010000001010011101100 = -b100111110010000001010011101100 : -b11111101101110011010100110011 $ -b11111101101110011010100110011 - -b11111101101110011010100110011 5 -b11111101101110011010100110011 ? -b11111101101110011010100110011 D -b11110111111011110010000001000111 % -b11110111111011110010000001000111 . -b11110111111011110010000001000111 6 -b11110111111011110010000001000111 @ -b11110111111011110010000001000111 F -b1111110110111001101010 ) -#452608000 -0& -#452624000 -b1101110100000 , -#452640000 -1& -#452656000 -b11011110010 " -b11011110010 4 -b11111111011111110010100101111101 1 -b11111111011111110010100101111101 C -b11011110010 0 -b11011110010 H -b101011001101011010101011 < -b1101111110110001111111011011001 2 -b1101111110110001111111011011001 = -b1101111110110001111111011011001 : -b1101111001011000010100000101101 $ -b1101111001011000010100000101101 - -b1101111001011000010100000101101 5 -b1101111001011000010100000101101 ? -b1101111001011000010100000101101 D -b11111111010100110010100101010100 % -b11111111010100110010100101010100 . -b11111111010100110010100101010100 6 -b11111111010100110010100101010100 @ -b11111111010100110010100101010100 F -b11011110010 ) -#452672000 -0& -#452688000 -b1101110100001 , -#452704000 -1& -#452720000 -b11011111110111111 " -b11011111110111111 4 -b11111111111111111111101111101110 1 -b11111111111111111111101111101110 C -b11011111110111111 0 -b11011111110111111 H -19 -08 -b101001000001101101110000010001 < -b10011000111101101101010010010110 2 -b10011000111101101101010010010110 = -b10011000111101101101010010010110 : -b1101111111011111111100010000100 $ -b1101111111011111111100010000100 - -b1101111111011111111100010000100 5 -b1101111111011111111100010000100 ? -b1101111111011111111100010000100 D -b11010110111110010010001111101110 % -b11010110111110010010001111101110 . -b11010110111110010010001111101110 6 -b11010110111110010010001111101110 @ -b11010110111110010010001111101110 F -b11011111110111111 ) -#452736000 -0& -#452752000 -b1101110100010 , -#452768000 -1& -#452784000 -b11011111101111101 " -b11011111101111101 4 -b1111111111111111101101111101111 1 -b1111111111111111101101111101111 C -b11011111101111101 0 -b11011111101111101 H -09 -08 -b11100001000000000110111101010001 < -b1010000110111111011101010110011 2 -b1010000110111111011101010110011 = -b1010000110111111011101010110011 : -b1101111110111110100101101100001 $ -b1101111110111110100101101100001 - -b1101111110111110100101101100001 5 -b1101111110111110100101101100001 ? -b1101111110111110100101101100001 D -b11110111111111001000010101110 % -b11110111111111001000010101110 . -b11110111111111001000010101110 6 -b11110111111111001000010101110 @ -b11110111111111001000010101110 F -b11011111101111101 ) -#452800000 -0& -#452816000 -b1101110100011 , -#452832000 -1& -#452848000 -b111111111100111110100 " -b111111111100111110100 4 -b11111111110111111110111111101111 1 -b11111111110111111110111111101111 C -b111111111100111110100 0 -b111111111100111110100 H -18 -b11001000001000000011000001010100 < -b11000111111011111101001110100001 2 -b11000111111011111101001110100001 = -b11000111111011111101001110100001 : -b11111111110011111010001101001100 $ -b11111111110011111010001101001100 - -b11111111110011111010001101001100 5 -b11111111110011111010001101001100 ? -b11111111110011111010001101001100 D -b110111110111111100111110101011 % -b110111110111111100111110101011 . -b110111110111111100111110101011 6 -b110111110111111100111110101011 @ -b110111110111111100111110101011 F -b111111111100111110100 ) -#452864000 -0& -#452880000 -b1101110100100 , -#452896000 -1& -#452912000 -b111111 " -b111111 4 -b11111111111111110101101111111110 1 -b11111111111111110101101111111110 C -b111111 0 -b111111 H -08 -b101000000011001010010001100101 < -b100111100010001011110101011100 2 -b100111100010001011110101011100 = -b100111100010001011110101011100 : -b11111111011111000001100011110110 $ -b11111111011111000001100011110110 - -b11111111011111000001100011110110 5 -b11111111011111000001100011110110 ? -b11111111011111000001100011110110 D -b11010111111100110101101110011010 % -b11010111111100110101101110011010 . -b11010111111100110101101110011010 6 -b11010111111100110101101110011010 @ -b11010111111100110101101110011010 F -b111111 ) -#452928000 -0& -#452944000 -b1101110100101 , -#452960000 -1& -#452976000 -b111111110011111111101101 " -b111111110011111111101101 4 -b11111111111111111110110111111001 1 -b11111111111111111110110111111001 C -b111111110011111111101101 0 -b111111110011111111101101 H -b1000000000000001111001110111 < -b111010000000000101111110001 2 -b111010000000000101111110001 = -b111010000000000101111110001 : -b11111111001111111110110101111001 $ -b11111111001111111110110101111001 - -b11111111001111111110110101111001 5 -b11111111001111111110110101111001 ? -b11111111001111111110110101111001 D -b11110111111111111110000110001000 % -b11110111111111111110000110001000 . -b11110111111111111110000110001000 6 -b11110111111111111110000110001000 @ -b11110111111111111110000110001000 F -b111111110011111111101101 ) -#452992000 -0& -#453008000 -b1101110100110 , -#453024000 -1& -#453040000 -b111111001111110110011100000010 " -b111111001111110110011100000010 4 -b11111110111111111111110101001010 1 -b11111110111111111111110101001010 C -b111111001111110110011100000010 0 -b111111001111110110011100000010 H -18 -b1000000001000001010111101 < -b11111101111111100001111011000110 2 -b11111101111111100001111011000110 = -b11111101111111100001111011000110 : -b11111100111111011001110000001000 $ -b11111100111111011001110000001000 - -b11111100111111011001110000001000 5 -b11111100111111011001110000001000 ? -b11111100111111011001110000001000 D -b11111110111111110111110101000010 % -b11111110111111110111110101000010 . -b11111110111111110111110101000010 6 -b11111110111111110111110101000010 @ -b11111110111111110111110101000010 F -b111111001111110110011100000010 ) -#453056000 -0& -#453072000 -b1101110100111 , -#453088000 -1& -#453104000 -b11111111111101110 " -b11111111111101110 4 -b11111111111101110101111011101111 1 -b11111111111101110101111011101111 C -b11111111111101110 0 -b11111111111101110 H -18 -b10100000010010001111010100010000 < -b10100000010000000101000101111011 2 -b10100000010000000101000101111011 = -b10100000010000000101000101111011 : -b11111111111101110101110001101010 $ -b11111111111101110101110001101010 - -b11111111111101110101110001101010 5 -b11111111111101110101110001101010 ? -b11111111111101110101110001101010 D -b1011111101101110000101011101111 % -b1011111101101110000101011101111 . -b1011111101101110000101011101111 6 -b1011111101101110000101011101111 @ -b1011111101101110000101011101111 F -b11111111111101110 ) -#453120000 -0& -#453136000 -b1101110101000 , -#453152000 -1& -#453168000 -b110111111111111111000000000 " -b110111111111111111000000000 4 -b11011111111111111100000010100111 1 -b11011111111111111100000010100111 C -b110111111111111111000000000 0 -b110111111111111111000000000 H -08 -b110100100110000111111101011010 < -b10100100110000011111101011101 2 -b10100100110000011111101011101 = -b10100100110000011111101011101 : -b11011111111111111100000000000010 $ -b11011111111111111100000000000010 - -b11011111111111111100000000000010 5 -b11011111111111111100000000000010 ? -b11011111111111111100000000000010 D -b11001011011001111000000010100101 % -b11001011011001111000000010100101 . -b11001011011001111000000010100101 6 -b11001011011001111000000010100101 @ -b11001011011001111000000010100101 F -b110111111111111111000000000 ) -#453184000 -0& -#453200000 -b1101110101001 , -#453216000 -1& -#453232000 -b110 " -b110 4 -b11111111111111111111111011111111 1 -b11111111111111111111111011111111 C -b110 0 -b110 H -18 -b1100000001111000100100010 < -b11011001010111001110100000001110 2 -b11011001010111001110100000001110 = -b11011001010111001110100000001110 : -b11010111110110111111011011101011 $ -b11010111110110111111011011101011 - -b11010111110110111111011011101011 5 -b11010111110110111111011011101011 ? -b11010111110110111111011011101011 D -b11111110011111110000111011011101 % -b11111110011111110000111011011101 . -b11111110011111110000111011011101 6 -b11111110011111110000111011011101 @ -b11111110011111110000111011011101 F -b110 ) -#453248000 -0& -#453264000 -b1101110101010 , -#453280000 -1& -#453296000 -b111111111101100000111111 " -b111111111101100000111111 4 -b1111111111111110100011111101101 1 -b1111111111111110100011111101101 C -b111111111101100000111111 0 -b111111111101100000111111 H -18 -b10000100000010011011110100011010 < -b10100100000001001100010100000100 2 -b10100100000001001100010100000100 = -b10100100000001001100010100000100 : -b11111111110110000011111101001 $ -b11111111110110000011111101001 - -b11111111110110000011111101001 5 -b11111111110110000011111101001 ? -b11111111110110000011111101001 D -b1111011111101100100001011100101 % -b1111011111101100100001011100101 . -b1111011111101100100001011100101 6 -b1111011111101100100001011100101 @ -b1111011111101100100001011100101 F -b111111111101100000111111 ) -#453312000 -0& -#453328000 -b1101110101011 , -#453344000 -1& -#453360000 -b11011111101110101 " -b11011111101110101 4 -b11111111101110101111111101111 1 -b11111111101110101111111101111 C -b11011111101110101 0 -b11011111101110101 H -b11100000010110011111000001010011 < -b11111100010100010100101101000011 2 -b11111100010100010100101101000011 = -b11111100010100010100101101000011 : -b11011111101110101101011101111 $ -b11011111101110101101011101111 - -b11011111101110101101011101111 5 -b11011111101110101101011101111 ? -b11011111101110101101011101111 D -b11111101001100000111110101100 % -b11111101001100000111110101100 . -b11111101001100000111110101100 6 -b11111101001100000111110101100 @ -b11111101001100000111110101100 F -b11011111101110101 ) -#453376000 -0& -#453392000 -b1101110101100 , -#453408000 -1& -#453424000 -b111100100101 " -b111100100101 4 -b11110110110110110110100011010111 1 -b11110110110110110110100011010111 C -b111100100101 0 -b111100100101 H -b11001001001001011101011101101011 < -b10111011100000010011111110111111 2 -b10111011100000010011111110111111 = -b10111011100000010011111110111111 : -b11110010010110110110100001010011 $ -b11110010010110110110100001010011 - -b11110010010110110110100001010011 5 -b11110010010110110110100001010011 ? -b11110010010110110110100001010011 D -b110110110110100010100010010100 % -b110110110110100010100010010100 . -b110110110110100010100010010100 6 -b110110110110100010100010010100 @ -b110110110110100010100010010100 F -b111100100101 ) -#453440000 -0& -#453456000 -b1101110101101 , -#453472000 -1& -#453488000 -b1111101011101001101001 " -b1111101011101001101001 4 -b10111111111111100111100101101101 1 -b10111111111111100111100101101101 C -b1111101011101001101001 0 -b1111101011101001101001 H -19 -08 -b1011000000000011110111110110111 < -b10010110101111000101100100100101 2 -b10010110101111000101100100100101 = -b10010110101111000101100100100101 : -b111110101110100110100101101101 $ -b111110101110100110100101101101 - -b111110101110100110100101101101 5 -b111110101110100110100101101101 ? -b111110101110100110100101101101 D -b10100111111111100001000001001000 % -b10100111111111100001000001001000 . -b10100111111111100001000001001000 6 -b10100111111111100001000001001000 @ -b10100111111111100001000001001000 F -b1111101011101001101001 ) -#453504000 -0& -#453520000 -b1101110101110 , -#453536000 -1& -#453552000 -b11111111010111111010011011 " -b11111111010111111010011011 4 -b11111111011111111010111111101110 1 -b11111111011111111010111111101110 C -b11111111010111111010011011 0 -b11111111010111111010011011 H -09 -08 -b10000100000011111011011011001 < -b1111111000011001110111001000 2 -b1111111000011001110111001000 = -b1111111000011001110111001000 : -b11111111010111111010011011101110 $ -b11111111010111111010011011101110 - -b11111111010111111010011011101110 5 -b11111111010111111010011011101110 ? -b11111111010111111010011011101110 D -b11101111011111100000100100100110 % -b11101111011111100000100100100110 . -b11101111011111100000100100100110 6 -b11101111011111100000100100100110 @ -b11101111011111100000100100100110 F -b11111111010111111010011011 ) -#453568000 -0& -#453584000 -b1101110101111 , -#453600000 -1& -#453616000 -b1101010101111101 " -b1101010101111101 4 -b11111101111111111111111111110010 1 -b11111101111111111111111111110010 C -b1101010101111101 0 -b1101010101111101 H -19 -18 -b10010010010000001010100000001111 < -b1100111101111101010001010010010 2 -b1100111101111101010001010010010 = -b1100111101111101010001010010010 : -b11010101011111011111101010000010 $ -b11010101011111011111101010000010 - -b11010101011111011111101010000010 5 -b11010101011111011111101010000010 ? -b11010101011111011111101010000010 D -b1101101101111110101011111110000 % -b1101101101111110101011111110000 . -b1101101101111110101011111110000 6 -b1101101101111110101011111110000 @ -b1101101101111110101011111110000 F -b1101010101111101 ) -#453632000 -0& -#453648000 -b1101110110000 , -#453664000 -1& -#453680000 -b11001011110 " -b11001011110 4 -b11011011111111110101011001110101 1 -b11011011111111110101011001110101 C -b11001011110 0 -b11001011110 H -09 -18 -b100110000010001110101110101010 < -b11110001110101100010111000001111 2 -b11110001110101100010111000001111 = -b11110001110101100010111000001111 : -b11001011110011010100001001100100 $ -b11001011110011010100001001100100 - -b11001011110011010100001001100100 5 -b11001011110011010100001001100100 ? -b11001011110011010100001001100100 D -b11011001111101110001010001010101 % -b11011001111101110001010001010101 . -b11011001111101110001010001010101 6 -b11011001111101110001010001010101 @ -b11011001111101110001010001010101 F -b11001011110 ) -#453696000 -0& -#453712000 -b1101110110001 , -#453728000 -1& -#453744000 -b1010111101001100101 " -b1010111101001100101 4 -b11111111111111111111111111111100 1 -b11111111111111111111111111111100 C -b1010111101001100101 0 -b1010111101001100101 H -08 -b10001000000000000000000010011 < -b1101000101001100101001011000100 2 -b1101000101001100101001011000100 = -b1101000101001100101001011000100 : -b1010111101001100101001010110000 $ -b1010111101001100101001010110000 - -b1010111101001100101001010110000 5 -b1010111101001100101001010110000 ? -b1010111101001100101001010110000 D -b11101110111111111111111111101100 % -b11101110111111111111111111101100 . -b11101110111111111111111111101100 6 -b11101110111111111111111111101100 @ -b11101110111111111111111111101100 F -b1010111101001100101 ) -#453760000 -0& -#453776000 -b1101110110010 , -#453792000 -1& -#453808000 -b11110110111011110110 " -b11110110111011110110 4 -b11110111111111110110000111011111 1 -b11110111111111110110000111011111 C -b11110110111011110110 0 -b11110110111011110110 H -18 -09 -b11101100000000001011111000110011 < -b11100010111100000001111010001011 2 -b11100010111100000001111010001011 = -b11100010111100000001111010001011 : -b11110110111011110110000001010111 $ -b11110110111011110110000001010111 - -b11110110111011110110000001010111 5 -b11110110111011110110000001010111 ? -b11110110111011110110000001010111 D -b10011111111110100000111001100 % -b10011111111110100000111001100 . -b10011111111110100000111001100 6 -b10011111111110100000111001100 @ -b10011111111110100000111001100 F -b11110110111011110110 ) -#453824000 -0& -#453840000 -b1101110110011 , -#453856000 -1& -#453872000 -b11101011101011101111 " -b11101011101011101111 4 -b11101111111111101111101110101100 1 -b11101111111111101111101110101100 C -b11101011101011101111 0 -b11101011101011101111 H -08 -b11001000000010111110001010011 < -b100101100000111010101111100 2 -b100101100000111010101111100 = -b100101100000111010101111100 : -b11101011101011101111100100101000 $ -b11101011101011101111100100101000 - -b11101011101011101111100100101000 5 -b11101011101011101111100100101000 ? -b11101011101011101111100100101000 D -b11100110111111101000001110101100 % -b11100110111111101000001110101100 . -b11100110111111101000001110101100 6 -b11100110111111101000001110101100 @ -b11100110111111101000001110101100 F -b11101011101011101111 ) -#453888000 -0& -#453904000 -b1101110110100 , -#453920000 -1& -#453936000 -b11011110101011100011001 " -b11011110101011100011001 4 -b11111111111111111011111111101011 1 -b11111111111111111011111111101011 C -b11011110101011100011001 0 -b11011110101011100011001 H -19 -18 -b10001100000001100110000010010110 < -b1101010101101001001001110000010 2 -b1101010101101001001001110000010 = -b1101010101101001001001110000010 : -b11011110101011100011001011101011 $ -b11011110101011100011001011101011 - -b11011110101011100011001011101011 5 -b11011110101011100011001011101011 ? -b11011110101011100011001011101011 D -b1110011111110011001111101101001 % -b1110011111110011001111101101001 . -b1110011111110011001111101101001 6 -b1110011111110011001111101101001 @ -b1110011111110011001111101101001 F -b11011110101011100011001 ) -#453952000 -0& -#453968000 -b1101110110101 , -#453984000 -1& -#454000000 -b111101111101100110000010111 " -b111101111101100110000010111 4 -b11111111111111111001001011110111 1 -b11111111111111111001001011110111 C -b111101111101100110000010111 0 -b111101111101100110000010111 H -09 -18 -b11100000000000001110110100011010 < -b11010111110110100111000000001101 2 -b11010111110110100111000000001101 = -b11010111110110100111000000001101 : -b11110111110110011000001011110010 $ -b11110111110110011000001011110010 - -b11110111110110011000001011110010 5 -b11110111110110011000001011110010 ? -b11110111110110011000001011110010 D -b11111111111110001001011100101 % -b11111111111110001001011100101 . -b11111111111110001001011100101 6 -b11111111111110001001011100101 @ -b11111111111110001001011100101 F -b111101111101100110000010111 ) -#454016000 -0& -#454032000 -b1101110110110 , -#454048000 -1& -#454064000 -b110 " -b110 4 -b11111111111111110001101011111111 1 -b11111111111111110001101011111111 C -b110 0 -b110 H -b1001110010100000010 < -b11000111011000111110110110000001 2 -b11000111011000111110110110000001 = -b11000111011000111110110110000001 : -b11000111010111110000100001111110 $ -b11000111010111110000100001111110 - -b11000111010111110000100001111110 5 -b11000111010111110000100001111110 ? -b11000111010111110000100001111110 D -b11111111111110110001101011111101 % -b11111111111110110001101011111101 . -b11111111111110110001101011111101 6 -b11111111111110110001101011111101 @ -b11111111111110110001101011111101 F -b110 ) -#454080000 -0& -#454096000 -b1101110110111 , -#454112000 -1& -#454128000 -b100011111111101100 " -b100011111111101100 4 -b11110111111111111011111111111101 1 -b11110111111111111011111111111101 C -b100011111111101100 0 -b100011111111101100 H -08 -b1000100001000100001000010010 < -b1010000100000011101000011001011 2 -b1010000100000011101000011001011 = -b1010000100000011101000011001011 : -b1000111111111011000111010111000 $ -b1000111111111011000111010111000 - -b1000111111111011000111010111000 5 -b1000111111111011000111010111000 ? -b1000111111111011000111010111000 D -b11110111011110111011110111101101 % -b11110111011110111011110111101101 . -b11110111011110111011110111101101 6 -b11110111011110111011110111101101 @ -b11110111011110111011110111101101 F -b100011111111101100 ) -#454144000 -0& -#454160000 -b1101110111000 , -#454176000 -1& -#454192000 -b1001111101101 " -b1001111101101 4 -b1111111111111110111111110110010 1 -b1111111111111110111111110110010 C -b1001111101101 0 -b1001111101101 H -18 -b10000000101000111000100101101101 < -b11010000010110101011100100010000 2 -b11010000010110101011100100010000 = -b11010000010110101011100100010000 : -b1001111101101110010111110100010 $ -b1001111101101110010111110100010 - -b1001111101101110010111110100010 5 -b1001111101101110010111110100010 ? -b1001111101101110010111110100010 D -b1111111010111000111011010010010 % -b1111111010111000111011010010010 . -b1111111010111000111011010010010 6 -b1111111010111000111011010010010 @ -b1111111010111000111011010010010 F -b1001111101101 ) -#454208000 -0& -#454224000 -b1101110111001 , -#454240000 -1& -#454256000 -b111 " -b111 4 -b11101110111011100111111110111101 1 -b11101110111011100111111110111101 C -b111 0 -b111 H -18 -b10110001000111011010010101000010 < -b10011100000010111101001100000000 2 -b10011100000010111101001100000000 = -b10011100000010111101001100000000 : -b11101010111011100010110110111101 $ -b11101010111011100010110110111101 - -b11101010111011100010110110111101 5 -b11101010111011100010110110111101 ? -b11101010111011100010110110111101 D -b1001110111000100101101010111101 % -b1001110111000100101101010111101 . -b1001110111000100101101010111101 6 -b1001110111000100101101010111101 @ -b1001110111000100101101010111101 F -b111 ) -#454272000 -0& -#454288000 -b1101110111010 , -#454304000 -1& -#454320000 -b1111111111111111001110111111101 1 -b1111111111111111001110111111101 C -18 -b10000010000000011110111010000011 < -b11111001100100011000110001100001 2 -b11111001100100011000110001100001 = -b11111001100100011000110001100001 : -b1110111100011111001110111011101 $ -b1110111100011111001110111011101 - -b1110111100011111001110111011101 5 -b1110111100011111001110111011101 ? -b1110111100011111001110111011101 D -b1111101111111100001000101111100 % -b1111101111111100001000101111100 . -b1111101111111100001000101111100 6 -b1111101111111100001000101111100 @ -b1111101111111100001000101111100 F -#454336000 -0& -#454352000 -b1101110111011 , -#454368000 -1& -#454384000 -b1101001011100 " -b1101001011100 4 -b1111111111111010100101010110101 1 -b1111111111111010100101010110101 C -b1101001011100 0 -b1101001011100 H -b10000000001100101011010101101110 < -b10110100111010111011110110010011 2 -b10110100111010111011110110010011 = -b10110100111010111011110110010011 : -b110100101110010000100000100100 $ -b110100101110010000100000100100 - -b110100101110010000100000100100 5 -b110100101110010000100000100100 ? -b110100101110010000100000100100 D -b1111111110011010100101010010001 % -b1111111110011010100101010010001 . -b1111111110011010100101010010001 6 -b1111111110011010100101010010001 @ -b1111111110011010100101010010001 F -b1101001011100 ) -#454400000 -0& -#454416000 -b1101110111100 , -#454432000 -1& -#454448000 -b11111 " -b11111 4 -b11111111111111110110101010111011 1 -b11111111111111110110101010111011 C -b11111 0 -b11111 H -08 -b1001100101000001001111111000100 < -b1001010100110011010101001001101 2 -b1001010100110011010101001001101 = -b1001010100110011010101001001101 : -b11111101111110010000101010001000 $ -b11111101111110010000101010001000 - -b11111101111110010000101010001000 5 -b11111101111110010000101010001000 ? -b11111101111110010000101010001000 D -b10110011010111110110000000111011 % -b10110011010111110110000000111011 . -b10110011010111110110000000111011 6 -b10110011010111110110000000111011 @ -b10110011010111110110000000111011 F -b11111 ) -#454464000 -0& -#454480000 -b1101110111101 , -#454496000 -1& -#454512000 -b1111111111111101010101101100101 " -b1111111111111101010101101100101 4 -b11111111111111111111111111101011 1 -b11111111111111111111111111101011 C -b1111111111111101010101101100101 0 -b1111111111111101010101101100101 H -18 -b11100100110000010100001000011110 < -b11100100101111101001100011101001 2 -b11100100101111101001100011101001 = -b11100100101111101001100011101001 : -b11111111111111010101011011001010 $ -b11111111111111010101011011001010 - -b11111111111111010101011011001010 5 -b11111111111111010101011011001010 ? -b11111111111111010101011011001010 D -b11011001111101011110111100001 % -b11011001111101011110111100001 . -b11011001111101011110111100001 6 -b11011001111101011110111100001 @ -b11011001111101011110111100001 F -b1111111111111101010101101100101 ) -#454528000 -0& -#454544000 -b1101110111110 , -#454560000 -1& -#454576000 -b11111110101101 " -b11111110101101 4 -b11111111111111111011111110110011 1 -b11111111111111111011111110110011 C -b11111110101101 0 -b11111110101101 H -08 -b1000001100000001001111 < -b111111110011100100010101110011 2 -b111111110011100100010101110011 = -b111111110011100100010101110011 : -b111111101011011000010100100011 $ -b111111101011011000010100100011 - -b111111101011011000010100100011 5 -b111111101011011000010100100011 ? -b111111101011011000010100100011 D -b11111111110111110011111110110000 % -b11111111110111110011111110110000 . -b11111111110111110011111110110000 6 -b11111111110111110011111110110000 @ -b11111111110111110011111110110000 F -b11111110101101 ) -#454592000 -0& -#454608000 -b1101110111111 , -#454624000 -1& -#454640000 -b10110111010011110010 " -b10110111010011110010 4 -b1111011111001111101011010101011 1 -b1111011111001111101011010101011 C -b10110111010011110010 0 -b10110111010011110010 H -18 -b10000100000111011011101111010100 < -b11011111110001010101001001110101 2 -b11011111110001010101001001110101 = -b11011111110001010101001001110101 : -b1011011101001111001011010100000 $ -b1011011101001111001011010100000 - -b1011011101001111001011010100000 5 -b1011011101001111001011010100000 ? -b1011011101001111001011010100000 D -b1111011111000100100010000101011 % -b1111011111000100100010000101011 . -b1111011111000100100010000101011 6 -b1111011111000100100010000101011 @ -b1111011111000100100010000101011 F -b10110111010011110010 ) -#454656000 -0& -#454672000 -b1101111000000 , -#454688000 -1& -#454704000 -b11100011100011110101010 " -b11100011100011110101010 4 -b11110111111111110101011011101111 1 -b11110111111111110101011011101111 C -b11100011100011110101010 0 -b11100011100011110101010 H -18 -b1001100001001011100110010110 < -b11101101000101000000111001011110 2 -b11101101000101000000111001011110 = -b11101101000101000000111001011110 : -b11100011100011110101010011000111 $ -b11100011100011110101010011000111 - -b11100011100011110101010011000111 5 -b11100011100011110101010011000111 ? -b11100011100011110101010011000111 D -b11110110011110110100011001101001 % -b11110110011110110100011001101001 . -b11110110011110110100011001101001 6 -b11110110011110110100011001101001 @ -b11110110011110110100011001101001 F -b11100011100011110101010 ) -#454720000 -0& -#454736000 -b1101111000001 , -#454752000 -1& -#454768000 -b101001011111110011 " -b101001011111110011 4 -b11111111111111011111111110101111 1 -b11111111111111011111111110101111 C -b101001011111110011 0 -b101001011111110011 H -b100000010100101110000101010001 < -b11000110010011111110000001110111 2 -b11000110010011111110000001110111 = -b11000110010011111110000001110111 : -b10100101111111001111111100100101 $ -b10100101111111001111111100100101 - -b10100101111111001111111100100101 5 -b10100101111111001111111100100101 ? -b10100101111111001111111100100101 D -b11011111101011010001111010101110 % -b11011111101011010001111010101110 . -b11011111101011010001111010101110 6 -b11011111101011010001111010101110 @ -b11011111101011010001111010101110 F -b101001011111110011 ) -#454784000 -0& -#454800000 -b1101111000010 , -#454816000 -1& -#454832000 -b11111101011 " -b11111101011 4 -b1111110101111101111111111111111 1 -b1111110101111101111111111111111 C -b11111101011 0 -b11111101011 H -08 -b10000101011000010000000000001011 < -b100000111110101110101101011 2 -b100000111110101110101101011 = -b100000111110101110101101011 : -b1111110101111100101110101011111 $ -b1111110101111100101110101011111 - -b1111110101111100101110101011111 5 -b1111110101111100101110101011111 ? -b1111110101111100101110101011111 D -b1111010100111101111111111110100 % -b1111010100111101111111111110100 . -b1111010100111101111111111110100 6 -b1111010100111101111111111110100 @ -b1111010100111101111111111110100 F -b11111101011 ) -#454848000 -0& -#454864000 -b1101111000011 , -#454880000 -1& -#454896000 -b1101111001111000 " -b1101111001111000 4 -b1101111101111110111111110111111 1 -b1101111101111110111111110111111 C -b1101111001111000 0 -b1101111001111000 H -08 -b11010000010001001100000011010000 < -b111111100000010000101001101010 2 -b111111100000010000101001101010 = -b111111100000010000101001101010 : -b1101111001111000100100110011001 $ -b1101111001111000100100110011001 - -b1101111001111000100100110011001 5 -b1101111001111000100100110011001 ? -b1101111001111000100100110011001 D -b101111101110110011111100101111 % -b101111101110110011111100101111 . -b101111101110110011111100101111 6 -b101111101110110011111100101111 @ -b101111101110110011111100101111 F -b1101111001111000 ) -#454912000 -0& -#454928000 -b1101111000100 , -#454944000 -1& -#454960000 -b1111110111110101010111 " -b1111110111110101010111 4 -b10111111111111110101011111111010 1 -b10111111111111110101011111111010 C -b1111110111110101010111 0 -b1111110111110101010111 H -19 -08 -b1001010001000001010100000110111 < -b10001001100111011111111101110010 2 -b10001001100111011111111101110010 = -b10001001100111011111111101110010 : -b111111011111010101011100111010 $ -b111111011111010101011100111010 - -b111111011111010101011100111010 5 -b111111011111010101011100111010 ? -b111111011111010101011100111010 D -b10110101110111110101011111001000 % -b10110101110111110101011111001000 . -b10110101110111110101011111001000 6 -b10110101110111110101011111001000 @ -b10110101110111110101011111001000 F -b1111110111110101010111 ) -#454976000 -0& -#454992000 -b1101111000101 , -#455008000 -1& -#455024000 -b1101101101001001 " -b1101101101001001 4 -b1111111111111110100011111111110 1 -b1111111111111110100011111111110 C -b1101101101001001 0 -b1101101101001001 H -18 -09 -b10000100000000101111101111110001 < -b10111010110101010011111111100010 2 -b10111010110101010011111111100010 = -b10111010110101010011111111100010 : -b110110110100100100001111110000 $ -b110110110100100100001111110000 - -b110110110100100100001111110000 5 -b110110110100100100001111110000 ? -b110110110100100100001111110000 D -b1111011111111010000010000001110 % -b1111011111111010000010000001110 . -b1111011111111010000010000001110 6 -b1111011111111010000010000001110 @ -b1111011111111010000010000001110 F -b1101101101001001 ) -#455040000 -0& -#455056000 -b1101111000110 , -#455072000 -1& -#455088000 -b111010111110000101 " -b111010111110000101 4 -b11110101111100111111111101101111 1 -b11110101111100111111111101101111 C -b111010111110000101 0 -b111010111110000101 H -08 -19 -b101011000011000000001010010010 < -b10100000111111001010110111111001 2 -b10100000111111001010110111111001 = -b10100000111111001010110111111001 : -b1110101111100001010101101100110 $ -b1110101111100001010101101100110 - -b1110101111100001010101101100110 5 -b1110101111100001010101101100110 ? -b1110101111100001010101101100110 D -b11010100111100111111110101101101 % -b11010100111100111111110101101101 . -b11010100111100111111110101101101 6 -b11010100111100111111110101101101 @ -b11010100111100111111110101101101 F -b111010111110000101 ) -#455104000 -0& -#455120000 -b1101111000111 , -#455136000 -1& -#455152000 -b111000101100101100 " -b111000101100101100 4 -b11111011111111111100111111001101 1 -b11111011111111111100111111001101 C -b111000101100101100 0 -b111000101100101100 H -09 -08 -b101011001001011101000110010 < -b1110110110010100100010011111111 2 -b1110110110010100100010011111111 = -b1110110110010100100010011111111 : -b1110001011001011000101011001100 $ -b1110001011001011000101011001100 - -b1110001011001011000101011001100 5 -b1110001011001011000101011001100 ? -b1110001011001011000101011001100 D -b11111010100110110100010111001101 % -b11111010100110110100010111001101 . -b11111010100110110100010111001101 6 -b11111010100110110100010111001101 @ -b11111010100110110100010111001101 F -b111000101100101100 ) -#455168000 -0& -#455184000 -b1101111001000 , -#455200000 -1& -#455216000 -b111111100111011111 " -b111111100111011111 4 -b11111110111111111111111100111111 1 -b11111110111111111111111100111111 C -b111111100111011111 0 -b111111100111011111 H -18 -09 -b1000000001010000011010001 < -b11111111011110001001100011101101 2 -b11111111011110001001100011101101 = -b11111111011110001001100011101101 : -b11111110011101111111100000011011 $ -b11111110011101111111100000011011 - -b11111110011101111111100000011011 5 -b11111110011101111111100000011011 ? -b11111110011101111111100000011011 D -b11111110111111110101111100101110 % -b11111110111111110101111100101110 . -b11111110111111110101111100101110 6 -b11111110111111110101111100101110 @ -b11111110111111110101111100101110 F -b111111100111011111 ) -#455232000 -0& -#455248000 -b1101111001001 , -#455264000 -1& -#455280000 -b1111011110111111110001111001 " -b1111011110111111110001111001 4 -b11111111111111111100111111010101 1 -b11111111111111111100111111010101 C -b1111011110111111110001111001 0 -b1111011110111111110001111001 H -19 -18 -b10000110000001000111010110111011 < -b1111101110001000011110101010001 2 -b1111101110001000011110101010001 = -b1111101110001000011110101010001 : -b11110111101111111100011110010101 $ -b11110111101111111100011110010101 - -b11110111101111111100011110010101 5 -b11110111101111111100011110010101 ? -b11110111101111111100011110010101 D -b1111001111110111000101001000100 % -b1111001111110111000101001000100 . -b1111001111110111000101001000100 6 -b1111001111110111000101001000100 @ -b1111001111110111000101001000100 F -b1111011110111111110001111001 ) -#455296000 -0& -#455312000 -b1101111001010 , -#455328000 -1& -#455344000 -b1011011001101111000110101000100 " -b1011011001101111000110101000100 4 -b11110110111111111001101011001001 1 -b11110110111111111001101011001001 C -b1011011001101111000110101000100 0 -b1011011001101111000110101000100 H -09 -18 -b11101001001100110011100111110 < -b11010011100101011000000111000111 2 -b11010011100101011000000111000111 = -b11010011100101011000000111000111 : -b10110110011011110001101010001000 $ -b10110110011011110001101010001000 - -b10110110011011110001101010001000 5 -b10110110011011110001101010001000 ? -b10110110011011110001101010001000 D -b11100010110110011001100011000001 % -b11100010110110011001100011000001 . -b11100010110110011001100011000001 6 -b11100010110110011001100011000001 @ -b11100010110110011001100011000001 F -b1011011001101111000110101000100 ) -#455360000 -0& -#455376000 -b1101111001011 , -#455392000 -1& -#455408000 -b111111111 " -b111111111 4 -b11111111111111111100011111111111 1 -b11111111111111111100011111111111 C -b111111111 0 -b111111111 H -18 -b10100011000001101111100110001000 < -b10100011000001101011111101010010 2 -b10100011000001101011111101010010 = -b10100011000001101011111101010010 : -b11111111111111111100010111001001 $ -b11111111111111111100010111001001 - -b11111111111111111100010111001001 5 -b11111111111111111100010111001001 ? -b11111111111111111100010111001001 D -b1011100111110010000011001110111 % -b1011100111110010000011001110111 . -b1011100111110010000011001110111 6 -b1011100111110010000011001110111 @ -b1011100111110010000011001110111 F -b111111111 ) -#455424000 -0& -#455440000 -b1101111001100 , -#455456000 -1& -#455472000 -b111110110111111101110 " -b111110110111111101110 4 -b11111111101111111111100110101011 1 -b11111111101111111111100110101011 C -b111110110111111101110 0 -b111110110111111101110 H -19 -08 -b101010000001001011001010101 < -b10000011000000000100111111010111 2 -b10000011000000000100111111010111 = -b10000011000000000100111111010111 : -b1111101101111111011100110000001 $ -b1111101101111111011100110000001 - -b1111101101111111011100110000001 5 -b1111101101111111011100110000001 ? -b1111101101111111011100110000001 D -b11111010101111110110100110101010 % -b11111010101111110110100110101010 . -b11111010101111110110100110101010 6 -b11111010101111110110100110101010 @ -b11111010101111110110100110101010 F -b111110110111111101110 ) -#455488000 -0& -#455504000 -b1101111001101 , -#455520000 -1& -#455536000 -b111111111011100111000011 " -b111111111011100111000011 4 -b11111111111111101111111111011111 1 -b11111111111111101111111111011111 C -b111111111011100111000011 0 -b111111111011100111000011 H -b1000000010100010000000001111000 < -b11000000001011011110001001010110 2 -b11000000001011011110001001010110 = -b11000000001011011110001001010110 : -b1111111110111001110000111011101 $ -b1111111110111001110000111011101 - -b1111111110111001110000111011101 5 -b1111111110111001110000111011101 ? -b1111111110111001110000111011101 D -b10111111101011101111111110000111 % -b10111111101011101111111110000111 . -b10111111101011101111111110000111 6 -b10111111101011101111111110000111 @ -b10111111101011101111111110000111 F -b111111111011100111000011 ) -#455552000 -0& -#455568000 -b1101111001110 , -#455584000 -1& -#455600000 -b1111 " -b1111 4 -b11111111111111111101101111111010 1 -b11111111111111111101101111111010 C -b1111 0 -b1111 H -09 -08 -b1100100011110000000101 < -b111111101100010001010101011000 2 -b111111101100010001010101011000 = -b111111101100010001010101011000 : -b111111011111101101100101010010 $ -b111111011111101101100101010010 - -b111111011111101101100101010010 5 -b111111011111101101100101010010 ? -b111111011111101101100101010010 D -b11111111110011011100001111111010 % -b11111111110011011100001111111010 . -b11111111110011011100001111111010 6 -b11111111110011011100001111111010 @ -b11111111110011011100001111111010 F -b1111 ) -#455616000 -0& -#455632000 -b1101111001111 , -#455648000 -1& -#455664000 -b1000 " -b1000 4 -b11111111111111111111001111111101 1 -b11111111111111111111001111111101 C -b1000 0 -b1000 H -18 -b1000000001000001111000100011 < -b10010101000001000001000111010001 2 -b10010101000001000001000111010001 = -b10010101000001000001000111010001 : -b10001100111111111111001110101101 $ -b10001100111111111111001110101101 - -b10001100111111111111001110101101 5 -b10001100111111111111001110101101 ? -b10001100111111111111001110101101 D -b11110111111110111110000111011100 % -b11110111111110111110000111011100 . -b11110111111110111110000111011100 6 -b11110111111110111110000111011100 @ -b11110111111110111110000111011100 F -b1000 ) -#455680000 -0& -#455696000 -b1101111010000 , -#455712000 -1& -#455728000 -b11 " -b11 4 -b1111111111111111011001100111101 1 -b1111111111111111011001100111101 C -b11 0 -b11 H -08 -b11101000110010011100110011000010 < -b1101000110001010110111011011111 2 -b1101000110001010110111011011111 = -b1101000110001010110111011011111 : -b1111111111110111010001000011100 $ -b1111111111110111010001000011100 - -b1111111111110111010001000011100 5 -b1111111111110111010001000011100 ? -b1111111111110111010001000011100 D -b10111001101100011001100111101 % -b10111001101100011001100111101 . -b10111001101100011001100111101 6 -b10111001101100011001100111101 @ -b10111001101100011001100111101 F -b11 ) -#455744000 -0& -#455760000 -b1101111010001 , -#455776000 -1& -#455792000 -b111101111110111001010101001101 " -b111101111110111001010101001101 4 -b11111111111011101101011111110110 1 -b11111111111011101101011111110110 C -b111101111110111001010101001101 0 -b111101111110111001010101001101 H -08 -b1100100100110010010110000011101 < -b1011100100001111000000101010100 2 -b1011100100001111000000101010100 = -b1011100100001111000000101010100 : -b11110111111011100101010100110110 $ -b11110111111011100101010100110110 - -b11110111111011100101010100110110 5 -b11110111111011100101010100110110 ? -b11110111111011100101010100110110 D -b10011011011001101101001111100010 % -b10011011011001101101001111100010 . -b10011011011001101101001111100010 6 -b10011011011001101101001111100010 @ -b10011011011001101101001111100010 F -b111101111110111001010101001101 ) -#455808000 -0& -#455824000 -b1101111010010 , -#455840000 -1& -#455856000 -b10111110010111 " -b10111110010111 4 -b11111111111111111111111110111011 1 -b11111111111111111111111110111011 C -b10111110010111 0 -b10111110010111 H -18 -b101000010101001010010101101101 < -b11100110101101001001110100010111 2 -b11100110101101001001110100010111 = -b11100110101101001001110100010111 : -b10111110010111111111011110101001 $ -b10111110010111111111011110101001 - -b10111110010111111111011110101001 5 -b10111110010111111111011110101001 ? -b10111110010111111111011110101001 D -b11010111101010110101101010010010 % -b11010111101010110101101010010010 . -b11010111101010110101101010010010 6 -b11010111101010110101101010010010 @ -b11010111101010110101101010010010 F -b10111110010111 ) -#455872000 -0& -#455888000 -b1101111010011 , -#455904000 -1& -#455920000 -b111111100011111111 " -b111111100011111111 4 -b1111111111111111111111100101111 1 -b1111111111111111111111100101111 C -b111111100011111111 0 -b111111100011111111 H -08 -b10001000000000000010011111010010 < -b111001000000001011011110110 2 -b111001000000001011011110110 = -b111001000000001011011110110 : -b1111111000111111110111100100011 $ -b1111111000111111110111100100011 - -b1111111000111111110111100100011 5 -b1111111000111111110111100100011 ? -b1111111000111111110111100100011 D -b1110111111111111101100000101101 % -b1110111111111111101100000101101 . -b1110111111111111101100000101101 6 -b1110111111111111101100000101101 @ -b1110111111111111101100000101101 F -b111111100011111111 ) -#455936000 -0& -#455952000 -b1101111010100 , -#455968000 -1& -#455984000 -b101111100011 " -b101111100011 4 -b10111111011111111011011110111111 1 -b10111111011111111011011110111111 C -b101111100011 0 -b101111100011 H -b1001000101000000100111001001011 < -b110110111100111010011101011 2 -b110110111100111010011101011 = -b110110111100111010011101011 : -b10111110001111100010011010011111 $ -b10111110001111100010011010011111 - -b10111110001111100010011010011111 5 -b10111110001111100010011010011111 ? -b10111110001111100010011010011111 D -b10110111010111111011000110110100 % -b10110111010111111011000110110100 . -b10110111010111111011000110110100 6 -b10110111010111111011000110110100 @ -b10110111010111111011000110110100 F -b101111100011 ) -#456000000 -0& -#456016000 -b1101111010101 , -#456032000 -1& -#456048000 -b1 " -b1 4 -b11111111111111111011110111111111 1 -b11111111111111111011110111111111 C -b1 0 -b1 H -18 -b100011101001110000000 < -b10111100000001111000010100001011 2 -b10111100000001111000010100001011 = -b10111100000001111000010100001011 : -b10111011111101011011000110001010 $ -b10111011111101011011000110001010 - -b10111011111101011011000110001010 5 -b10111011111101011011000110001010 ? -b10111011111101011011000110001010 D -b11111111111011100010110001111111 % -b11111111111011100010110001111111 . -b11111111111011100010110001111111 6 -b11111111111011100010110001111111 @ -b11111111111011100010110001111111 F -b1 ) -#456064000 -0& -#456080000 -b1101111010110 , -#456096000 -1& -#456112000 -b111111001111111111101100 " -b111111001111111111101100 4 -b1111110111111111111011001000111 1 -b1111110111111111111011001000111 C -b111111001111111111101100 0 -b111111001111111111101100 H -08 -b11101001001000100110101111111000 < -b1100111101000100110001000111001 2 -b1100111101000100110001000111001 = -b1100111101000100110001000111001 : -b1111110011111111111011001000000 $ -b1111110011111111111011001000000 - -b1111110011111111111011001000000 5 -b1111110011111111111011001000000 ? -b1111110011111111111011001000000 D -b10110110111011001010000000111 % -b10110110111011001010000000111 . -b10110110111011001010000000111 6 -b10110110111011001010000000111 @ -b10110110111011001010000000111 F -b111111001111111111101100 ) -#456128000 -0& -#456144000 -b1101111010111 , -#456160000 -1& -#456176000 -b11110011111111010101 " -b11110011111111010101 4 -b11111011111111111010111110101011 1 -b11111011111111111010111110101011 C -b11110011111111010101 0 -b11110011111111010101 H -19 -08 -b1010100001111001101100011010100 < -b11001110001110111000010001011101 2 -b11001110001110111000010001011101 = -b11001110001110111000010001011101 : -b1111001111111101010101110001000 $ -b1111001111111101010101110001000 - -b1111001111111101010101110001000 5 -b1111001111111101010101110001000 ? -b1111001111111101010101110001000 D -b10101011110000110010011100101011 % -b10101011110000110010011100101011 . -b10101011110000110010011100101011 6 -b10101011110000110010011100101011 @ -b10101011110000110010011100101011 F -b11110011111111010101 ) -#456192000 -0& -#456208000 -b1101111011000 , -#456224000 -1& -#456240000 -b10001011 " -b10001011 4 -b10101111111111110110101010011110 1 -b10101111111111110110101010011110 C -b10001011 0 -b10001011 H -18 -09 -b1010010000100001111111111100111 < -b11011101101100000110101010000110 2 -b11011101101100000110101010000110 = -b11011101101100000110101010000110 : -b10001011100111110110101010011110 $ -b10001011100111110110101010011110 - -b10001011100111110110101010011110 5 -b10001011100111110110101010011110 ? -b10001011100111110110101010011110 D -b10101101111011110000000000011000 % -b10101101111011110000000000011000 . -b10101101111011110000000000011000 6 -b10101101111011110000000000011000 @ -b10101101111011110000000000011000 F -b10001011 ) -#456256000 -0& -#456272000 -b1101111011001 , -#456288000 -1& -#456304000 -b111100110010110100000000001 " -b111100110010110100000000001 4 -b1111111110011111110011100101111 1 -b1111111110011111110011100101111 C -b111100110010110100000000001 0 -b111100110010110100000000001 H -b10000000001100000101100011011100 < -b10111100111110111001100011101011 2 -b10111100111110111001100011101011 = -b10111100111110111001100011101011 : -b111100110010110100000000001110 $ -b111100110010110100000000001110 - -b111100110010110100000000001110 5 -b111100110010110100000000001110 ? -b111100110010110100000000001110 D -b1111111110011111010011100100011 % -b1111111110011111010011100100011 . -b1111111110011111010011100100011 6 -b1111111110011111010011100100011 @ -b1111111110011111010011100100011 F -b111100110010110100000000001 ) -#456320000 -0& -#456336000 -b1101111011010 , -#456352000 -1& -#456368000 -b111001110111111010001011110 " -b111001110111111010001011110 4 -b11111111111111111111011011110011 1 -b11111111111111111111011011110011 C -b111001110111111010001011110 0 -b111001110111111010001011110 H -08 -b101110111100 < -b111001110111111010111010101110 2 -b111001110111111010111010101110 = -b111001110111111010111010101110 : -b111001110111111010001011110001 $ -b111001110111111010001011110001 - -b111001110111111010001011110001 5 -b111001110111111010001011110001 ? -b111001110111111010001011110001 D -b11111111111111111111010001000011 % -b11111111111111111111010001000011 . -b11111111111111111111010001000011 6 -b11111111111111111111010001000011 @ -b11111111111111111111010001000011 F -b111001110111111010001011110 ) -#456384000 -0& -#456400000 -b1101111011011 , -#456416000 -1& -#456432000 -b110111110101101110101110010010 " -b110111110101101110101110010010 4 -b11111111110110111010111101101010 1 -b11111111110110111010111101101010 C -b110111110101101110101110010010 0 -b110111110101101110101110010010 H -19 -18 -b10000000011001100111101011011101 < -b1011111110000100010100100100110 2 -b1011111110000100010100100100110 = -b1011111110000100010100100100110 : -b11011111010110111010111001001000 $ -b11011111010110111010111001001000 - -b11011111010110111010111001001000 5 -b11011111010110111010111001001000 ? -b11011111010110111010111001001000 D -b1111111100110011000010100100010 % -b1111111100110011000010100100010 . -b1111111100110011000010100100010 6 -b1111111100110011000010100100010 @ -b1111111100110011000010100100010 F -b110111110101101110101110010010 ) -#456448000 -0& -#456464000 -b1101111011100 , -#456480000 -1& -#456496000 -b11111110110110110000111000000 " -b11111110110110110000111000000 4 -b11111111110111110010111011100111 1 -b11111111110111110010111011100111 C -b11111110110110110000111000000 0 -b11111110110110110000111000000 H -09 -18 -b11101000011000001101100100011100 < -b11100111001110111110011100100100 2 -b11100111001110111110011100100100 = -b11100111001110111110011100100100 : -b11111110110110110000111000000111 $ -b11111110110110110000111000000111 - -b11111110110110110000111000000111 5 -b11111110110110110000111000000111 ? -b11111110110110110000111000000111 D -b10111100111110010011011100011 % -b10111100111110010011011100011 . -b10111100111110010011011100011 6 -b10111100111110010011011100011 @ -b10111100111110010011011100011 F -b11111110110110110000111000000 ) -#456512000 -0& -#456528000 -b1101111011101 , -#456544000 -1& -#456560000 -b101101110 " -b101101110 4 -b1111111111111110110111111010111 1 -b1111111111111110110111111010111 C -b101101110 0 -b101101110 H -18 -b10010001000101001111000001101001 < -b11101100110100110101110100101011 2 -b11101100110100110101110100101011 = -b11101100110100110101110100101011 : -b1011011101111100110110011000001 $ -b1011011101111100110110011000001 - -b1011011101111100110110011000001 5 -b1011011101111100110110011000001 ? -b1011011101111100110110011000001 D -b1101110111010110000111110010110 % -b1101110111010110000111110010110 . -b1101110111010110000111110010110 6 -b1101110111010110000111110010110 @ -b1101110111010110000111110010110 F -b101101110 ) -#456576000 -0& -#456592000 -b1101111011110 , -#456608000 -1& -#456624000 -b110110110 " -b110110110 4 -b11111111111111110111011101111110 1 -b11111111111111110111011101111110 C -b110110110 0 -b110110110 H -08 -b100100000111111100110001001 < -b1110010010000110110101011111000 2 -b1110010010000110110101011111000 = -b1110010010000110110101011111000 : -b1101101101111110111000101101110 $ -b1101101101111110111000101101110 - -b1101101101111110111000101101110 5 -b1101101101111110111000101101110 ? -b1101101101111110111000101101110 D -b11111011011111000000011001110110 % -b11111011011111000000011001110110 . -b11111011011111000000011001110110 6 -b11111011011111000000011001110110 @ -b11111011011111000000011001110110 F -b110110110 ) -#456640000 -0& -#456656000 -b1101111011111 , -#456672000 -1& -#456688000 -b10010110111111111001000 " -b10010110111111111001000 4 -b11101111011111111100111111101111 1 -b11101111011111111100111111101111 C -b10010110111111111001000 0 -b10010110111111111001000 H -b10011111010001011100000010111 < -b1011111011010001000000100000111 2 -b1011111011010001000000100000111 = -b1011111011010001000000100000111 : -b1001011011111111100100011101111 $ -b1001011011111111100100011101111 - -b1001011011111111100100011101111 5 -b1001011011111111100100011101111 ? -b1001011011111111100100011101111 D -b11101100000101110100011111101000 % -b11101100000101110100011111101000 . -b11101100000101110100011111101000 6 -b11101100000101110100011111101000 @ -b11101100000101110100011111101000 F -b10010110111111111001000 ) -#456704000 -0& -#456720000 -b1101111100000 , -#456736000 -1& -#456752000 -b1111111110101100 " -b1111111110101100 4 -b11111111101111110111111111111011 1 -b11111111101111110111111111111011 C -b1111111110101100 0 -b1111111110101100 H -18 -09 -b10000100010000001110001100101111 < -b10000011111011010101111001011011 2 -b10000011111011010101111001011011 = -b10000011111011010101111001011011 : -b11111111101011000111101100101011 $ -b11111111101011000111101100101011 - -b11111111101011000111101100101011 5 -b11111111101011000111101100101011 ? -b11111111101011000111101100101011 D -b1111011101111110001110011010000 % -b1111011101111110001110011010000 . -b1111011101111110001110011010000 6 -b1111011101111110001110011010000 @ -b1111011101111110001110011010000 F -b1111111110101100 ) -#456768000 -0& -#456784000 -b1101111100001 , -#456800000 -1& -#456816000 -b111111011000111 " -b111111011000111 4 -b11111101111111111111011011011101 1 -b11111101111111111111011011011101 C -b111111011000111 0 -b111111011000111 H -08 -b1010100000001111100101101110 < -b1000000100001110101111001011 2 -b1000000100001110101111001011 = -b1000000100001110101111001011 : -b11111101100011111111001001011100 $ -b11111101100011111111001001011100 - -b11111101100011111111001001011100 5 -b11111101100011111111001001011100 ? -b11111101100011111111001001011100 D -b11110101011111110000011010010001 % -b11110101011111110000011010010001 . -b11110101011111110000011010010001 6 -b11110101011111110000011010010001 @ -b11110101011111110000011010010001 F -b111111011000111 ) -#456832000 -0& -#456848000 -b1101111100010 , -#456864000 -1& -#456880000 -b101010111101111 " -b101010111101111 4 -b11111111111111111101101011110101 1 -b11111111111111111101101011110101 C -b101010111101111 0 -b101010111101111 H -b1000010011010010101101111 < -b1010110111110010111111001010101 2 -b1010110111110010111111001010101 = -b1010110111110010111111001010101 : -b1010101111011111101100011100101 $ -b1010101111011111101100011100101 - -b1010101111011111101100011100101 5 -b1010101111011111101100011100101 ? -b1010101111011111101100011100101 D -b11111110111101100101101010010000 % -b11111110111101100101101010010000 . -b11111110111101100101101010010000 6 -b11111110111101100101101010010000 @ -b11111110111101100101101010010000 F -b101010111101111 ) -#456896000 -0& -#456912000 -b1101111100011 , -#456928000 -1& -#456944000 -b1110101111111111101101111000 " -b1110101111111111101101111000 4 -b11101011111111111011011111000101 1 -b11101011111111111011011111000101 C -b1110101111111111101101111000 0 -b1110101111111111101101111000 H -08 -b1010100000001000101100110111011 < -b1000000000001000001000101000001 2 -b1000000000001000001000101000001 = -b1000000000001000001000101000001 : -b11101011111111111011011110000101 $ -b11101011111111111011011110000101 - -b11101011111111111011011110000101 5 -b11101011111111111011011110000101 ? -b11101011111111111011011110000101 D -b10101011111110111010011001000100 % -b10101011111110111010011001000100 . -b10101011111110111010011001000100 6 -b10101011111110111010011001000100 @ -b10101011111110111010011001000100 F -b1110101111111111101101111000 ) -#456960000 -0& -#456976000 -b1101111100100 , -#456992000 -1& -#457008000 -b111101111 " -b111101111 4 -b11111111111111110111110110010111 1 -b11111111111111110111110110010111 C -b111101111 0 -b111101111 H -b1000000100010001101001001101000 < -b111000100010000100011100000000 2 -b111000100010000100011100000000 = -b111000100010000100011100000000 : -b11110111111111110111010010010111 $ -b11110111111111110111010010010111 - -b11110111111111110111010010010111 5 -b11110111111111110111010010010111 ? -b11110111111111110111010010010111 D -b10111111011101110010110110010111 % -b10111111011101110010110110010111 . -b10111111011101110010110110010111 6 -b10111111011101110010110110010111 @ -b10111111011101110010110110010111 F -b111101111 ) -#457024000 -0& -#457040000 -b1101111100101 , -#457056000 -1& -#457072000 -b110011111101111011000 " -b110011111101111011000 4 -b10110111111111111111001011011001 1 -b10110111111111111111001011011001 C -b110011111101111011000 0 -b110011111101111011000 H -b1001011000000100010110101110110 < -b1111110111110011101111001010000 2 -b1111110111110011101111001010000 = -b1111110111110011101111001010000 : -b110011111101111011000011011001 $ -b110011111101111011000011011001 - -b110011111101111011000011011001 5 -b110011111101111011000011011001 ? -b110011111101111011000011011001 D -b10110100111111011101001010001001 % -b10110100111111011101001010001001 . -b10110100111111011101001010001001 6 -b10110100111111011101001010001001 @ -b10110100111111011101001010001001 F -b110011111101111011000 ) -#457088000 -0& -#457104000 -b1101111100110 , -#457120000 -1& -#457136000 -b1111011111 " -b1111011111 4 -b11111011111101111110111011111111 1 -b11111011111101111110111011111111 C -b1111011111 0 -b1111011111 H -19 -08 -b110000010000001111111001010 < -b10000001111111101010111010101010 2 -b10000001111111101010111010101010 = -b10000001111111101010111010101010 : -b1111011111101101000111011011111 $ -b1111011111101101000111011011111 - -b1111011111101101000111011011111 5 -b1111011111101101000111011011111 ? -b1111011111101101000111011011111 D -b11111001111101111110000000110101 % -b11111001111101111110000000110101 . -b11111001111101111110000000110101 6 -b11111001111101111110000000110101 @ -b11111001111101111110000000110101 F -b1111011111 ) -#457152000 -0& -#457168000 -b1101111100111 , -#457184000 -1& -#457200000 -b111011110100110110111000100011 " -b111011110100110110111000100011 4 -b11101111111111111011110010001111 1 -b11101111111111111011110010001111 C -b111011110100110110111000100011 0 -b111011110100110110111000100011 H -18 -09 -b10000010001011111101111111101 < -b11111111100100111011010010001101 2 -b11111111100100111011010010001101 = -b11111111100100111011010010001101 : -b11101111010011011011100010001111 $ -b11101111010011011011100010001111 - -b11101111010011011011100010001111 5 -b11101111010011011011100010001111 ? -b11101111010011011011100010001111 D -b11101111101110100000010000000010 % -b11101111101110100000010000000010 . -b11101111101110100000010000000010 6 -b11101111101110100000010000000010 @ -b11101111101110100000010000000010 F -b111011110100110110111000100011 ) -#457216000 -0& -#457232000 -b1101111101000 , -#457248000 -1& -#457264000 -b10111 " -b10111 4 -b1111101111111110101111111111010 1 -b1111101111111110101111111111010 C -b10111 0 -b10111 H -18 -b10001010100010011111000000100101 < -b11100111010110010100000011001110 2 -b11100111010110010100000011001110 = -b11100111010110010100000011001110 : -b1011100110011110101000010101000 $ -b1011100110011110101000010101000 - -b1011100110011110101000010101000 5 -b1011100110011110101000010101000 ? -b1011100110011110101000010101000 D -b1110101011101100000111111011010 % -b1110101011101100000111111011010 . -b1110101011101100000111111011010 6 -b1110101011101100000111111011010 @ -b1110101011101100000111111011010 F -b10111 ) -#457280000 -0& -#457296000 -b1101111101001 , -#457312000 -1& -#457328000 -b11110111011111100100000011010111 " -b11110111011111100100000011010111 4 -b11111111111111101110011111010111 1 -b11111111111111101110011111010111 C -b11110111011111100100000011010111 0 -b11110111011111100100000011010111 H -08 -b10001000000010101100010111111 < -b1000011111111001100110010111 2 -b1000011111111001100110010111 = -b1000011111111001100110010111 : -b11110111011111100100000011010111 $ -b11110111011111100100000011010111 - -b11110111011111100100000011010111 5 -b11110111011111100100000011010111 ? -b11110111011111100100000011010111 D -b11101110111111101010011101000000 % -b11101110111111101010011101000000 . -b11101110111111101010011101000000 6 -b11101110111111101010011101000000 @ -b11101110111111101010011101000000 F -b11110111011111100100000011010111 ) -#457344000 -0& -#457360000 -b1101111101010 , -#457376000 -1& -#457392000 -b101111101101011111 " -b101111101101011111 4 -b1111111111011111111011111101111 1 -b1111111111011111111011111101111 C -b101111101101011111 0 -b101111101101011111 H -18 -b10000100010100000100111110110010 < -b11100011101111000011011101011101 2 -b11100011101111000011011101011101 = -b11100011101111000011011101011101 : -b1011111011010111110011110101010 $ -b1011111011010111110011110101010 - -b1011111011010111110011110101010 5 -b1011111011010111110011110101010 ? -b1011111011010111110011110101010 D -b1111011101011111011000001001101 % -b1111011101011111011000001001101 . -b1111011101011111011000001001101 6 -b1111011101011111011000001001101 @ -b1111011101011111011000001001101 F -b101111101101011111 ) -#457408000 -0& -#457424000 -b1101111101011 , -#457440000 -1& -#457456000 -b111011110 " -b111011110 4 -b11111111111111110101001001011111 1 -b11111111111111110101001001011111 C -b111011110 0 -b111011110 H -19 -18 -b10000100010000001010110110101000 < -b1110011101011111110111000000100 2 -b1110011101011111110111000000100 = -b1110011101011111110111000000100 : -b11101111011011110100000001011011 $ -b11101111011011110100000001011011 - -b11101111011011110100000001011011 5 -b11101111011011110100000001011011 ? -b11101111011011110100000001011011 D -b1111011101111110101001001010111 % -b1111011101111110101001001010111 . -b1111011101111110101001001010111 6 -b1111011101111110101001001010111 @ -b1111011101111110101001001010111 F -b111011110 ) -#457472000 -0& -#457488000 -b1101111101100 , -#457504000 -1& -#457520000 -b11111111 " -b11111111 4 -b11111111111110111101111111011000 1 -b11111111111110111101111111011000 C -b11111111 0 -b11111111 H -09 -18 -b11010010000001001110010101100111 < -b11010001111000001010101101000000 2 -b11010001111000001010101101000000 = -b11010001111000001010101101000000 : -b11111111110110111100010111011000 $ -b11111111110110111100010111011000 - -b11111111110110111100010111011000 5 -b11111111110110111100010111011000 ? -b11111111110110111100010111011000 D -b101101111110110001101010011000 % -b101101111110110001101010011000 . -b101101111110110001101010011000 6 -b101101111110110001101010011000 @ -b101101111110110001101010011000 F -b11111111 ) -#457536000 -0& -#457552000 -b1101111101101 , -#457568000 -1& -#457584000 -b111100111110010011010000010 " -b111100111110010011010000010 4 -b11111100111111110011010101110011 1 -b11111100111111110011010101110011 C -b111100111110010011010000010 0 -b111100111110010011010000010 H -08 -b11000000001100111010011100 < -b111111111110100000001010101111 2 -b111111111110100000001010101111 = -b111111111110100000001010101111 : -b111100111110010011010000010010 $ -b111100111110010011010000010010 - -b111100111110010011010000010010 5 -b111100111110010011010000010010 ? -b111100111110010011010000010010 D -b11111100111111110011000101100011 % -b11111100111111110011000101100011 . -b11111100111111110011000101100011 6 -b11111100111111110011000101100011 @ -b11111100111111110011000101100011 F -b111100111110010011010000010 ) -#457600000 -0& -#457616000 -b1101111101110 , -#457632000 -1& -#457648000 -b11 " -b11 4 -b11111111111111110111111001111111 1 -b11111111111111110111111001111111 C -b11 0 -b11 H -18 -b100100100001011011110000001 < -b11110010100011011110110110011011 2 -b11110010100011011110110110011011 = -b11110010100011011110110110011011 : -b11101101111111010011011000011001 $ -b11101101111111010011011000011001 - -b11101101111111010011011000011001 5 -b11101101111111010011011000011001 ? -b11101101111111010011011000011001 D -b11111011011011110100100001111110 % -b11111011011011110100100001111110 . -b11111011011011110100100001111110 6 -b11111011011011110100100001111110 @ -b11111011011011110100100001111110 F -b11 ) -#457664000 -0& -#457680000 -b1101111101111 , -#457696000 -1& -#457712000 -b1111111101111111111100 " -b1111111101111111111100 4 -b1111111111111111111110011111001 1 -b1111111111111111111110011111001 C -b1111111101111111111100 0 -b1111111101111111111100 H -b10000000000101000011001101010110 < -b11111111110101000010110000100111 2 -b11111111110101000010110000100111 = -b11111111110101000010110000100111 : -b1111111101111111111100011010000 $ -b1111111101111111111100011010000 - -b1111111101111111111100011010000 5 -b1111111101111111111100011010000 ? -b1111111101111111111100011010000 D -b1111111111010111100110010101001 % -b1111111111010111100110010101001 . -b1111111111010111100110010101001 6 -b1111111111010111100110010101001 @ -b1111111111010111100110010101001 F -b1111111101111111111100 ) -#457728000 -0& -#457744000 -b1101111110000 , -#457760000 -1& -#457776000 -b111111011111110111011 " -b111111011111110111011 4 -b11111111111111111111111111111011 1 -b11111111111111111111111111111011 C -b111111011111110111011 0 -b111111011111110111011 H -18 -b11011000110000011000101100010100 < -b11010110101111110110101010001000 2 -b11010110101111110110101010001000 = -b11010110101111110110101010001000 : -b11111101111111011101111101110011 $ -b11111101111111011101111101110011 - -b11111101111111011101111101110011 5 -b11111101111111011101111101110011 ? -b11111101111111011101111101110011 D -b100111001111100111010011101011 % -b100111001111100111010011101011 . -b100111001111100111010011101011 6 -b100111001111100111010011101011 @ -b100111001111100111010011101011 F -b111111011111110111011 ) -#457792000 -0& -#457808000 -b1101111110001 , -#457824000 -1& -#457840000 -b1111011111111011000010000 " -b1111011111111011000010000 4 -b11111111111111110001100101001111 1 -b11111111111111110001100101001111 C -b1111011111111011000010000 0 -b1111011111111011000010000 H -b11110000000100001110111010111000 < -b11101000000010111111011011000100 2 -b11101000000010111111011011000100 = -b11101000000010111111011011000100 : -b11110111111110110000100000001011 $ -b11110111111110110000100000001011 - -b11110111111110110000100000001011 5 -b11110111111110110000100000001011 ? -b11110111111110110000100000001011 D -b1111111011110001000101000111 % -b1111111011110001000101000111 . -b1111111011110001000101000111 6 -b1111111011110001000101000111 @ -b1111111011110001000101000111 F -b1111011111111011000010000 ) -#457856000 -0& -#457872000 -b1101111110010 , -#457888000 -1& -#457904000 -b1111110011111001000000011000 " -b1111110011111001000000011000 4 -b11111111111111011111011111000011 1 -b11111111111111011111011111000011 C -b1111110011111001000000011000 0 -b1111110011111001000000011000 H -19 -08 -b1000000000110101000100000111100 < -b10111110100101110000100100000000 2 -b10111110100101110000100100000000 = -b10111110100101110000100100000000 : -b1111110011111001000000011000011 $ -b1111110011111001000000011000011 - -b1111110011111001000000011000011 5 -b1111110011111001000000011000011 ? -b1111110011111001000000011000011 D -b10111111111001010111011111000011 % -b10111111111001010111011111000011 . -b10111111111001010111011111000011 6 -b10111111111001010111011111000011 @ -b10111111111001010111011111000011 F -b1111110011111001000000011000 ) -#457920000 -0& -#457936000 -b1101111110011 , -#457952000 -1& -#457968000 -b11111011101100010100 " -b11111011101100010100 4 -b11111111101110111100011110101100 1 -b11111111101110111100011110101100 C -b11111011101100010100 0 -b11111011101100010100 H -09 -18 -b1111101010111110011010011 < -b11111101101001101100001101111000 2 -b11111101101001101100001101111000 = -b11111101101001101100001101111000 : -b11111011101100010100011010100100 $ -b11111011101100010100011010100100 - -b11111011101100010100011010100100 5 -b11111011101100010100011010100100 ? -b11111011101100010100011010100100 D -b11111110000010101000001100101100 % -b11111110000010101000001100101100 . -b11111110000010101000001100101100 6 -b11111110000010101000001100101100 @ -b11111110000010101000001100101100 F -b11111011101100010100 ) -#457984000 -0& -#458000000 -b1101111110100 , -#458016000 -1& -#458032000 -b110111 " -b110111 4 -b11111111111111110100011100111010 1 -b11111111111111110100011100111010 C -b110111 0 -b110111 H -b10100001100101011101011000101 < -b11110010101100011111110011010000 2 -b11110010101100011111110011010000 = -b11110010101100011111110011010000 : -b11011110011111110100001000001010 $ -b11011110011111110100001000001010 - -b11011110011111110100001000001010 5 -b11011110011111110100001000001010 ? -b11011110011111110100001000001010 D -b11101011110011010100010100111010 % -b11101011110011010100010100111010 . -b11101011110011010100010100111010 6 -b11101011110011010100010100111010 @ -b11101011110011010100010100111010 F -b110111 ) -#458048000 -0& -#458064000 -b1101111110101 , -#458080000 -1& -#458096000 -b111111000011011101110110 " -b111111000011011101110110 4 -b11111110101111110111011101101111 1 -b11111110101111110111011101101111 C -b111111000011011101110110 0 -b111111000011011101110110 H -18 -b11000001010001101100111010110111 < -b10111101011111100100010011100111 2 -b10111101011111100100010011100111 = -b10111101011111100100010011100111 : -b11111100001101110111011000101111 $ -b11111100001101110111011000101111 - -b11111100001101110111011000101111 5 -b11111100001101110111011000101111 ? -b11111100001101110111011000101111 D -b111110101110010011000101001000 % -b111110101110010011000101001000 . -b111110101110010011000101001000 6 -b111110101110010011000101001000 @ -b111110101110010011000101001000 F -b111111000011011101110110 ) -#458112000 -0& -#458128000 -b1101111110110 , -#458144000 -1& -#458160000 -b111011 " -b111011 4 -b11101111111111111101111110111011 1 -b11101111111111111101111110111011 C -b111011 0 -b111011 H -08 -b11000010001000111000001000101 < -b1000000010110100100111110001 2 -b1000000010110100100111110001 = -b1000000010110100100111110001 : -b11101111110001101101100110101011 $ -b11101111110001101101100110101011 - -b11101111110001101101100110101011 5 -b11101111110001101101100110101011 ? -b11101111110001101101100110101011 D -b11100111101110111000111110111010 % -b11100111101110111000111110111010 . -b11100111101110111000111110111010 6 -b11100111101110111000111110111010 @ -b11100111101110111000111110111010 F -b111011 ) -#458176000 -0& -#458192000 -b1101111110111 , -#458208000 -1& -#458224000 -b11100100100111110101001111010 " -b11100100100111110101001111010 4 -b11111111011101111101110011110101 1 -b11111111011101111101110011110101 C -b11100100100111110101001111010 0 -b11100100100111110101001111010 H -b1000100010000110011101011110 < -b1000001101100000011110001010011 2 -b1000001101100000011110001010011 = -b1000001101100000011110001010011 : -b111001001001111101010011110100 $ -b111001001001111101010011110100 - -b111001001001111101010011110100 5 -b111001001001111101010011110100 ? -b111001001001111101010011110100 D -b11110111011101111001100010100001 % -b11110111011101111001100010100001 . -b11110111011101111001100010100001 6 -b11110111011101111001100010100001 @ -b11110111011101111001100010100001 F -b11100100100111110101001111010 ) -#458240000 -0& -#458256000 -b1101111111000 , -#458272000 -1& -#458288000 -b11111111110011 " -b11111111110011 4 -b11111111111001111111110110110011 1 -b11111111111001111111110110110011 C -b11111111110011 0 -b11111111110011 H -19 -08 -b110000110100000001101001110 < -b10000110000000010011100001110010 2 -b10000110000000010011100001110010 = -b10000110000000010011100001110010 : -b1111111111001110011010100100011 $ -b1111111111001110011010100100011 - -b1111111111001110011010100100011 5 -b1111111111001110011010100100011 ? -b1111111111001110011010100100011 D -b11111001111001011111110010110001 % -b11111001111001011111110010110001 . -b11111001111001011111110010110001 6 -b11111001111001011111110010110001 @ -b11111001111001011111110010110001 F -b11111111110011 ) -#458304000 -0& -#458320000 -b1101111111001 , -#458336000 -1& -#458352000 -b111 " -b111 4 -b11111111111111111011111011111101 1 -b11111111111111111011111011111101 C -b111 0 -b111 H -09 -08 -b100101010100001100000010 < -b11011000110100101011000 2 -b11011000110100101011000 = -b11011000110100101011000 : -b11111111110101110010011001010101 $ -b11111111110101110010011001010101 - -b11111111110101110010011001010101 5 -b11111111110101110010011001010101 ? -b11111111110101110010011001010101 D -b11111111011010101011110011111101 % -b11111111011010101011110011111101 . -b11111111011010101011110011111101 6 -b11111111011010101011110011111101 @ -b11111111011010101011110011111101 F -b111 ) -#458368000 -0& -#458384000 -b1101111111010 , -#458400000 -1& -#458416000 -b1011001111101 " -b1011001111101 4 -b11111111111011111011111111111111 1 -b11111111111011111011111111111111 C -b1011001111101 0 -b1011001111101 H -18 -b110000001100010100101101101100 < -b11100100001000001000011011011010 2 -b11100100001000001000011011011010 = -b11100100001000001000011011011010 : -b10110011111011110011101101101101 $ -b10110011111011110011101101101101 - -b10110011111011110011101101101101 5 -b10110011111011110011101101101101 ? -b10110011111011110011101101101101 D -b11001111110011101011010010010011 % -b11001111110011101011010010010011 . -b11001111110011101011010010010011 6 -b11001111110011101011010010010011 @ -b11001111110011101011010010010011 F -b1011001111101 ) -#458432000 -0& -#458448000 -b1101111111011 , -#458464000 -1& -#458480000 -b11011111111111 " -b11011111111111 4 -b11101111111111110011111011110101 1 -b11101111111111110011111011110101 C -b11011111111111 0 -b11011111111111 H -08 -19 -b10000001001101110000100001110 < -b10000000001001100001101111100100 2 -b10000000001001100001101111100100 = -b10000000001001100001101111100100 : -b1101111111111110011101011010101 $ -b1101111111111110011101011010101 - -b1101111111111110011101011010101 5 -b1101111111111110011101011010101 ? -b1101111111111110011101011010101 D -b11101111110110010001111011110001 % -b11101111110110010001111011110001 . -b11101111110110010001111011110001 6 -b11101111110110010001111011110001 @ -b11101111110110010001111011110001 F -b11011111111111 ) -#458496000 -0& -#458512000 -b1101111111100 , -#458528000 -1& -#458544000 -b10010001111111101001101110010000 " -b10010001111111101001101110010000 4 -b10110111111111111101111110010000 1 -b10110111111111111101111110010000 C -b10010001111111101001101110010000 0 -b10010001111111101001101110010000 H -18 -19 -b11001000010001000010000011111111 < -b1011010010000101011110010010000 2 -b1011010010000101011110010010000 = -b1011010010000101011110010010000 : -b10010001111111101001101110010000 $ -b10010001111111101001101110010000 - -b10010001111111101001101110010000 5 -b10010001111111101001101110010000 ? -b10010001111111101001101110010000 D -b110111101110111101111100000000 % -b110111101110111101111100000000 . -b110111101110111101111100000000 6 -b110111101110111101111100000000 @ -b110111101110111101111100000000 F -b10010001111111101001101110010000 ) -#458560000 -0& -#458576000 -b1101111111101 , -#458592000 -1& -#458608000 -b1111110111111010101001111 " -b1111110111111010101001111 4 -b11111111111110101111111111101111 1 -b11111111111110101111111111101111 C -b1111110111111010101001111 0 -b1111110111111010101001111 H -19 -18 -b10000000010101010000000001011000 < -b1111110010011111010100001000100 2 -b1111110010011111010100001000100 = -b1111110010011111010100001000100 : -b11111101111110101010011111101011 $ -b11111101111110101010011111101011 - -b11111101111110101010011111101011 5 -b11111101111110101010011111101011 ? -b11111101111110101010011111101011 D -b1111111101010101111111110100111 % -b1111111101010101111111110100111 . -b1111111101010101111111110100111 6 -b1111111101010101111111110100111 @ -b1111111101010101111111110100111 F -b1111110111111010101001111 ) -#458624000 -0& -#458640000 -b1101111111110 , -#458656000 -1& -#458672000 -b11110111 " -b11110111 4 -b11111011111111110011100101110111 1 -b11111011111111110011100101110111 C -b11110111 0 -b11110111 H -08 -b1100110110001001100011010001000 < -b11100010101000111100011111101110 2 -b11100010101000111100011111101110 = -b11100010101000111100011111101110 : -b1111011110111110000000101100101 $ -b1111011110111110000000101100101 - -b1111011110111110000000101100101 5 -b1111011110111110000000101100101 ? -b1111011110111110000000101100101 D -b10011001001110110011100101110111 % -b10011001001110110011100101110111 . -b10011001001110110011100101110111 6 -b10011001001110110011100101110111 @ -b10011001001110110011100101110111 F -b11110111 ) -#458688000 -0& -#458704000 -b1101111111111 , -#458720000 -1& -#458736000 -b111110111101110111000111110 " -b111110111101110111000111110 4 -b11111011111111011111011111001101 1 -b11111011111111011111011111001101 C -b111110111101110111000111110 0 -b111110111101110111000111110 H -09 -08 -b100100010000101000111100111010 < -b100000001000000101011100001000 2 -b100000001000000101011100001000 = -b100000001000000101011100001000 : -b11111011110111011100011111001101 $ -b11111011110111011100011111001101 - -b11111011110111011100011111001101 5 -b11111011110111011100011111001101 ? -b11111011110111011100011111001101 D -b11011011101111010111000011000101 % -b11011011101111010111000011000101 . -b11011011101111010111000011000101 6 -b11011011101111010111000011000101 @ -b11011011101111010111000011000101 F -b111110111101110111000111110 ) -#458752000 -0& -#458768000 -b1110000000000 , -#458784000 -1& -#458800000 -b111010110111101 " -b111010110111101 4 -b11111111111110111111011010011011 1 -b11111111111110111111011010011011 C -b111010110111101 0 -b111010110111101 H -b1100000010101000010101101101110 < -b1001011110011111010000101111001 2 -b1001011110011111010000101111001 = -b1001011110011111010000101111001 : -b11101011011110110111011000001010 $ -b11101011011110110111011000001010 - -b11101011011110110111011000001010 5 -b11101011011110110111011000001010 ? -b11101011011110110111011000001010 D -b10011111101010111101010010010001 % -b10011111101010111101010010010001 . -b10011111101010111101010010010001 6 -b10011111101010111101010010010001 @ -b10011111101010111101010010010001 F -b111010110111101 ) -#458816000 -0& -#458832000 -b1110000000001 , -#458848000 -1& -#458864000 -b110110011111011100011001001 " -b110110011111011100011001001 4 -b11111111111111111111101111100101 1 -b11111111111111111111101111100101 C -b110110011111011100011001001 0 -b110110011111011100011001001 H -b1000000010001000000110100011010 < -b11010001110110010011000111011 2 -b11010001110110010011000111011 = -b11010001110110010011000111011 : -b11011001111101110001100100100000 $ -b11011001111101110001100100100000 - -b11011001111101110001100100100000 5 -b11011001111101110001100100100000 ? -b11011001111101110001100100100000 D -b10111111101110111111001011100101 % -b10111111101110111111001011100101 . -b10111111101110111111001011100101 6 -b10111111101110111111001011100101 @ -b10111111101110111111001011100101 F -b110110011111011100011001001 ) -#458880000 -0& -#458896000 -b1110000000010 , -#458912000 -1& -#458928000 -b101111110101111 " -b101111110101111 4 -b10111111111111111111011011010101 1 -b10111111111111111111011011010101 C -b101111110101111 0 -b101111110101111 H -19 -18 -b11000000010100110011100110101110 < -b1111111101100100110110010000011 2 -b1111111101100100110110010000011 = -b1111111101100100110110010000011 : -b10111111010111110011001011010100 $ -b10111111010111110011001011010100 - -b10111111010111110011001011010100 5 -b10111111010111110011001011010100 ? -b10111111010111110011001011010100 D -b111111101011001100011001010001 % -b111111101011001100011001010001 . -b111111101011001100011001010001 6 -b111111101011001100011001010001 @ -b111111101011001100011001010001 F -b101111110101111 ) -#458944000 -0& -#458960000 -b1110000000011 , -#458976000 -1& -#458992000 -b111011011111111001101110011 " -b111011011111111001101110011 4 -b1111110111111111011111110111100 1 -b1111110111111111011111110111100 C -b111011011111111001101110011 0 -b111011011111111001101110011 H -09 -b10000101100000100101000001111011 < -b11111100100000011000011110110100 2 -b11111100100000011000011110110100 = -b11111100100000011000011110110100 : -b1110110111111110011011100111000 $ -b1110110111111110011011100111000 - -b1110110111111110011011100111000 5 -b1110110111111110011011100111000 ? -b1110110111111110011011100111000 D -b1111010011111011010111110000100 % -b1111010011111011010111110000100 . -b1111010011111011010111110000100 6 -b1111010011111011010111110000100 @ -b1111010011111011010111110000100 F -b111011011111111001101110011 ) -#459008000 -0& -#459024000 -b1110000000100 , -#459040000 -1& -#459056000 -b110111111111011 " -b110111111111011 4 -b1111111111111111011111110111000 1 -b1111111111111111011111110111000 C -b110111111111011 0 -b110111111111011 H -08 -b11100000100010010101110101001111 < -b1010000100001000111101011101000 2 -b1010000100001000111101011101000 = -b1010000100001000111101011101000 : -b1101111111110110001110110011000 $ -b1101111111110110001110110011000 - -b1101111111110110001110110011000 5 -b1101111111110110001110110011000 ? -b1101111111110110001110110011000 D -b11111011101101010001010110000 % -b11111011101101010001010110000 . -b11111011101101010001010110000 6 -b11111011101101010001010110000 @ -b11111011101101010001010110000 F -b110111111111011 ) -#459072000 -0& -#459088000 -b1110000000101 , -#459104000 -1& -#459120000 -b1101110011111101001000 " -b1101110011111101001000 4 -b11111101111111111011101010111110 1 -b11111101111111111011101010111110 C -b1101110011111101001000 0 -b1101110011111101001000 H -18 -b11000010010000000100011101110101 < -b10011111001111010110100110110100 2 -b10011111001111010110100110110100 = -b10011111001111010110100110110100 : -b11011100111111010010001000111110 $ -b11011100111111010010001000111110 - -b11011100111111010010001000111110 5 -b11011100111111010010001000111110 ? -b11011100111111010010001000111110 D -b111101101111111011100010001010 % -b111101101111111011100010001010 . -b111101101111111011100010001010 6 -b111101101111111011100010001010 @ -b111101101111111011100010001010 F -b1101110011111101001000 ) -#459136000 -0& -#459152000 -b1110000000110 , -#459168000 -1& -#459184000 -b111101 " -b111101 4 -b11111111111111111110111111111111 1 -b11111111111111111110111111111111 C -b111101 0 -b111101 H -19 -18 -b10000000000000000001001010000101 < -b1110111110011011111111001100011 2 -b1110111110011011111111001100011 = -b1110111110011011111111001100011 : -b11110111110011011110101111011101 $ -b11110111110011011110101111011101 - -b11110111110011011110101111011101 5 -b11110111110011011110101111011101 ? -b11110111110011011110101111011101 D -b1111111111111111110110101111010 % -b1111111111111111110110101111010 . -b1111111111111111110110101111010 6 -b1111111111111111110110101111010 @ -b1111111111111111110110101111010 F -b111101 ) -#459200000 -0& -#459216000 -b1110000000111 , -#459232000 -1& -#459248000 -1! -b0 " -b0 4 -b1111111111111111111100011111111 1 -b1111111111111111111100011111111 C -b0 0 -b0 H -09 -18 -b10000000000000100011111111100000 < -b11011011100100010111100011000110 2 -b11011011100100010111100011000110 = -1# -b11011011100100010111100011000110 : -b1011011100011110011100011100101 $ -b1011011100011110011100011100101 - -b1011011100011110011100011100101 5 -b1011011100011110011100011100101 ? -b1011011100011110011100011100101 D -b1111111111111011100000000011111 % -b1111111111111011100000000011111 . -b1111111111111011100000000011111 6 -b1111111111111011100000000011111 @ -b1111111111111011100000000011111 F -b0 ) -b1 ( -#459264000 -0& -#459280000 -b1110000001000 , -#459296000 -1& -#459312000 -0! -b11011111110101110110011110000 " -b11011111110101110110011110000 4 -b1111111111011111011001111000010 1 -b1111111111011111011001111000010 C -b11011111110101110110011110000 0 -b11011111110101110110011110000 H -08 -b11100000000110010100111101111101 < -b1010000000001010000001100111110 2 -b1010000000001010000001100111110 = -0# -b1010000000001010000001100111110 : -b1101111111010111011001111000000 $ -b1101111111010111011001111000000 - -b1101111111010111011001111000000 5 -b1101111111010111011001111000000 ? -b1101111111010111011001111000000 D -b11111111001101011000010000010 % -b11111111001101011000010000010 . -b11111111001101011000010000010 6 -b11111111001101011000010000010 @ -b11111111001101011000010000010 F -b11011111110101110110011110000 ) -b0 ( -#459328000 -0& -#459344000 -b1110000001001 , -#459360000 -1& -#459376000 -b110101111111111 " -b110101111111111 4 -b11101111111111111111111101111011 1 -b11101111111111111111111101111011 C -b110101111111111 0 -b110101111111111 H -19 -08 -b110000100011000010100010001111 < -b10011100100010111001001011111011 2 -b10011100100010111001001011111011 = -b10011100100010111001001011111011 : -b1101011111111110110101001101011 $ -b1101011111111110110101001101011 - -b1101011111111110110101001101011 5 -b1101011111111110110101001101011 ? -b1101011111111110110101001101011 D -b11001111011100111101011101110000 % -b11001111011100111101011101110000 . -b11001111011100111101011101110000 6 -b11001111011100111101011101110000 @ -b11001111011100111101011101110000 F -b110101111111111 ) -#459392000 -0& -#459408000 -b1110000001010 , -#459424000 -1& -#459440000 -b10111111011 " -b10111111011 4 -b1111111111111110111110101110110 1 -b1111111111111110111110101110110 C -b10111111011 0 -b10111111011 H -18 -09 -b10000010000010101000011010101011 < -b11100001110010011001001100001110 2 -b11100001110010011001001100001110 = -b11100001110010011001001100001110 : -b1011111101111110000110001100010 $ -b1011111101111110000110001100010 - -b1011111101111110000110001100010 5 -b1011111101111110000110001100010 ? -b1011111101111110000110001100010 D -b1111101111101010111100101010100 % -b1111101111101010111100101010100 . -b1111101111101010111100101010100 6 -b1111101111101010111100101010100 @ -b1111101111101010111100101010100 F -b10111111011 ) -#459456000 -0& -#459472000 -b1110000001011 , -#459488000 -1& -#459504000 -b1111111110111111 " -b1111111110111111 4 -b11111111111111111111111101111000 1 -b11111111111111111111111101111000 C -b1111111110111111 0 -b1111111110111111 H -08 -b100000000101100010001111 < -b10000000011000100001000 2 -b10000000011000100001000 = -b10000000011000100001000 : -b11111111101111111101100001111000 $ -b11111111101111111101100001111000 - -b11111111101111111101100001111000 5 -b11111111101111111101100001111000 ? -b11111111101111111101100001111000 D -b11111111011111111010011101110000 % -b11111111011111111010011101110000 . -b11111111011111111010011101110000 6 -b11111111011111111010011101110000 @ -b11111111011111111010011101110000 F -b1111111110111111 ) -#459520000 -0& -#459536000 -b1110000001100 , -#459552000 -1& -#459568000 -b110 " -b110 4 -b11111110111110111111111011011101 1 -b11111110111110111111111011011101 C -b110 0 -b110 H -18 -b11000001000101001100000111100010 < -b10011011101001011010011010101111 2 -b10011011101001011010011010101111 = -b10011011101001011010011010101111 : -b11011010100100001110010011001100 $ -b11011010100100001110010011001100 - -b11011010100100001110010011001100 5 -b11011010100100001110010011001100 ? -b11011010100100001110010011001100 D -b111110111010110011111000011101 % -b111110111010110011111000011101 . -b111110111010110011111000011101 6 -b111110111010110011111000011101 @ -b111110111010110011111000011101 F -b110 ) -#459584000 -0& -#459600000 -b1110000001101 , -#459616000 -1& -#459632000 -b11111111111010010100100 " -b11111111111010010100100 4 -b11111111111010110100110111101001 1 -b11111111111010110100110111101001 C -b11111111111010010100100 0 -b11111111111010010100100 H -08 -b101001001111001111001000010110 < -b101001001001100011101011110111 2 -b101001001001100011101011110111 = -b101001001001100011101011110111 : -b11111111111010010100100011100000 $ -b11111111111010010100100011100000 - -b11111111111010010100100011100000 5 -b11111111111010010100100011100000 ? -b11111111111010010100100011100000 D -b11010110110000110000110111101001 % -b11010110110000110000110111101001 . -b11010110110000110000110111101001 6 -b11010110110000110000110111101001 @ -b11010110110000110000110111101001 F -b11111111111010010100100 ) -#459648000 -0& -#459664000 -b1110000001110 , -#459680000 -1& -#459696000 -b101111111 " -b101111111 4 -b1011111111111110110111111010110 1 -b1011111111111110110111111010110 C -b101111111 0 -b101111111 H -08 -b10101001000000001101011111101001 < -b1000111110000010011110101100 2 -b1000111110000010011110101100 = -b1000111110000010011110101100 : -b1011111111101110100111111000010 $ -b1011111111101110100111111000010 - -b1011111111101110100111111000010 5 -b1011111111101110100111111000010 ? -b1011111111101110100111111000010 D -b1010110111111110010100000010110 % -b1010110111111110010100000010110 . -b1010110111111110010100000010110 6 -b1010110111111110010100000010110 @ -b1010110111111110010100000010110 F -b101111111 ) -#459712000 -0& -#459728000 -b1110000001111 , -#459744000 -1& -#459760000 -b1111011111111101011110 " -b1111011111111101011110 4 -b11111111111111111111111011111110 1 -b11111111111111111111111011111110 C -b1111011111111101011110 0 -b1111011111111101011110 H -19 -18 -b10000000100001000101101101010101 < -b1111000100000011101011000101010 2 -b1111000100000011101011000101010 = -b1111000100000011101011000101010 : -b11110111111111010111101011010100 $ -b11110111111111010111101011010100 - -b11110111111111010111101011010100 5 -b11110111111111010111101011010100 ? -b11110111111111010111101011010100 D -b1111111011110111010010010101010 % -b1111111011110111010010010101010 . -b1111111011110111010010010101010 6 -b1111111011110111010010010101010 @ -b1111111011110111010010010101010 F -b1111011111111101011110 ) -#459776000 -0& -#459792000 -b1110000010000 , -#459808000 -1& -#459824000 -b11011110100110110111000100 " -b11011110100110110111000100 4 -b11111111111110110111110110000110 1 -b11111111111110110111110110000110 C -b11011110100110110111000100 0 -b11011110100110110111000100 H -08 -09 -b1000000100001001100001101111001 < -b11111001000000011010001111010 2 -b11111001000000011010001111010 = -b11111001000000011010001111010 : -b11011110100110110111000100000000 $ -b11011110100110110111000100000000 - -b11011110100110110111000100000000 5 -b11011110100110110111000100000000 ? -b11011110100110110111000100000000 D -b10111111011110110011110010000110 % -b10111111011110110011110010000110 . -b10111111011110110011110010000110 6 -b10111111011110110011110010000110 @ -b10111111011110110011110010000110 F -b11011110100110110111000100 ) -#459840000 -0& -#459856000 -b1110000010001 , -#459872000 -1& -#459888000 -b1001110011111110001 " -b1001110011111110001 4 -b11011111111111110011111101101101 1 -b11011111111111110011111101101101 C -b1001110011111110001 0 -b1001110011111110001 H -18 -b100000100001001100010010010010 < -b10111101100000101111100110110011 2 -b10111101100000101111100110110011 = -b10111101100000101111100110110011 : -b10011100111111100011010100100000 $ -b10011100111111100011010100100000 - -b10011100111111100011010100100000 5 -b10011100111111100011010100100000 ? -b10011100111111100011010100100000 D -b11011111011110110011101101101101 % -b11011111011110110011101101101101 . -b11011111011110110011101101101101 6 -b11011111011110110011101101101101 @ -b11011111011110110011101101101101 F -b1001110011111110001 ) -#459904000 -0& -#459920000 -b1110000010010 , -#459936000 -1& -#459952000 -b11011101100 " -b11011101100 4 -b11011111111111111111110110111111 1 -b11011111111111111111110110111111 C -b11011101100 0 -b11011101100 H -b10101000000000000000101101001010 < -b10000101100111110001100001100101 2 -b10000101100111110001100001100101 = -b10000101100111110001100001100101 : -b11011101100111110000110100011010 $ -b11011101100111110000110100011010 - -b11011101100111110000110100011010 5 -b11011101100111110000110100011010 ? -b11011101100111110000110100011010 D -b1010111111111111111010010110101 % -b1010111111111111111010010110101 . -b1010111111111111111010010110101 6 -b1010111111111111111010010110101 @ -b1010111111111111111010010110101 F -b11011101100 ) -#459968000 -0& -#459984000 -b1110000010011 , -#460000000 -1& -#460016000 -b1111100010111101011100000 " -b1111100010111101011100000 4 -b11111011111111110111110111110111 1 -b11111011111111110111110111110111 C -b1111100010111101011100000 0 -b1111100010111101011100000 H -b100001010001110001000011000 < -b11111100111001100101001001001101 2 -b11111100111001100101001001001101 = -b11111100111001100101001001001101 : -b11111000101111010111000000110100 $ -b11111000101111010111000000110100 - -b11111000101111010111000000110100 5 -b11111000101111010111000000110100 ? -b11111000101111010111000000110100 D -b11111011110101110001110111100111 % -b11111011110101110001110111100111 . -b11111011110101110001110111100111 6 -b11111011110101110001110111100111 @ -b11111011110101110001110111100111 F -b1111100010111101011100000 ) -#460032000 -0& -#460048000 -b1110000010100 , -#460064000 -1& -#460080000 -b1 " -b1 4 -b1111111111101111101111111111111 1 -b1111111111101111101111111111111 C -b1 0 -b1 H -18 -b10000001000010001110100000000001 < -b11111000101100001011001101101011 2 -b11111000101100001011001101101011 = -b11111000101100001011001101101011 : -b1110111101001111100101101101001 $ -b1110111101001111100101101101001 - -b1110111101001111100101101101001 5 -b1110111101001111100101101101001 ? -b1110111101001111100101101101001 D -b1111110111101110001011111111110 % -b1111110111101110001011111111110 . -b1111110111101110001011111111110 6 -b1111110111101110001011111111110 @ -b1111110111101110001011111111110 F -b1 ) -#460096000 -0& -#460112000 -b1110000010101 , -#460128000 -1& -#460144000 -b1001 " -b1001 4 -b1101111111111111110111101111011 1 -b1101111111111111110111101111011 C -b1001 0 -b1001 H -08 -b11011001100010010001010110000100 < -b101001011101100010001010000111 2 -b101001011101100010001010000111 = -b101001011101100010001010000111 : -b1001111111011010000110100000010 $ -b1001111111011010000110100000010 - -b1001111111011010000110100000010 5 -b1001111111011010000110100000010 ? -b1001111111011010000110100000010 D -b100110011101101110101001111011 % -b100110011101101110101001111011 . -b100110011101101110101001111011 6 -b100110011101101110101001111011 @ -b100110011101101110101001111011 F -b1001 ) -#460160000 -0& -#460176000 -b1110000010110 , -#460192000 -1& -#460208000 -b1011 " -b1011 4 -b1111011111011111111111111011011 1 -b1111011111011111111111111011011 C -b1011 0 -b1011 H -18 -b10000100000100100010001000100100 < -b11011111000000010100100111101110 2 -b11011111000000010100100111101110 = -b11011111000000010100100111101110 : -b1011010111011110010011111001001 $ -b1011010111011110010011111001001 - -b1011010111011110010011111001001 5 -b1011010111011110010011111001001 ? -b1011010111011110010011111001001 D -b1111011111011011101110111011011 % -b1111011111011011101110111011011 . -b1111011111011011101110111011011 6 -b1111011111011011101110111011011 @ -b1111011111011011101110111011011 F -b1011 ) -#460224000 -0& -#460240000 -b1110000010111 , -#460256000 -1& -#460272000 -b101011111111011111001001110 " -b101011111111011111001001110 4 -b10101111111101111100101111011111 1 -b10101111111101111100101111011111 C -b101011111111011111001001110 0 -b101011111111011111001001110 H -18 -b11010100000110000111010111111010 < -b10000100000100000011111111011001 2 -b10000100000100000011111111011001 = -b10000100000100000011111111011001 : -b10101111111101111100100111011110 $ -b10101111111101111100100111011110 - -b10101111111101111100100111011110 5 -b10101111111101111100100111011110 ? -b10101111111101111100100111011110 D -b101011111001111000101000000101 % -b101011111001111000101000000101 . -b101011111001111000101000000101 6 -b101011111001111000101000000101 @ -b101011111001111000101000000101 F -b101011111111011111001001110 ) -#460288000 -0& -#460304000 -b1110000011000 , -#460320000 -1& -#460336000 -b111111101110111 " -b111111101110111 4 -b11111111111111110111101110110010 1 -b11111111111111110111101110110010 C -b111111101110111 0 -b111111101110111 H -08 -b1001000110011001111 < -b1111111011110111011011101010010 2 -b1111111011110111011011101010010 = -b1111111011110111011011101010010 : -b1111111011101110010101010000010 $ -b1111111011101110010101010000010 - -b1111111011101110010101010000010 5 -b1111111011101110010101010000010 ? -b1111111011101110010101010000010 D -b11111111111110110111001100110000 % -b11111111111110110111001100110000 . -b11111111111110110111001100110000 6 -b11111111111110110111001100110000 @ -b11111111111110110111001100110000 F -b111111101110111 ) -#460352000 -0& -#460368000 -b1110000011001 , -#460384000 -1& -#460400000 -b1101010111101110 " -b1101010111101110 4 -b11101011111111111111010101111111 1 -b11101011111111111111010101111111 C -b1101010111101110 0 -b1101010111101110 H -19 -08 -b1011100111001000000101011010000 < -b11000111110110110100101101000101 2 -b11000111110110110100101101000101 = -b11000111110110110100101101000101 : -b1101010111101110100000001110100 $ -b1101010111101110100000001110100 - -b1101010111101110100000001110100 5 -b1101010111101110100000001110100 ? -b1101010111101110100000001110100 D -b10100011000110111111010100101111 % -b10100011000110111111010100101111 . -b10100011000110111111010100101111 6 -b10100011000110111111010100101111 @ -b10100011000110111111010100101111 F -b1101010111101110 ) -#460416000 -0& -#460432000 -b1110000011010 , -#460448000 -1& -#460464000 -b111111101110111110 " -b111111101110111110 4 -b11111110111111111111100111101111 1 -b11111110111111111111100111101111 C -b111111101110111110 0 -b111111101110111110 H -09 -08 -b11101100000100011111001010001 < -b11100011100011111011100011111 2 -b11100011100011111011100011111 = -b11100011100011111011100011111 : -b11111110111011111011100011001101 $ -b11111110111011111011100011001101 - -b11111110111011111011100011001101 5 -b11111110111011111011100011001101 ? -b11111110111011111011100011001101 D -b11100010011111011100000110101110 % -b11100010011111011100000110101110 . -b11100010011111011100000110101110 6 -b11100010011111011100000110101110 @ -b11100010011111011100000110101110 F -b111111101110111110 ) -#460480000 -0& -#460496000 -b1110000011011 , -#460512000 -1& -#460528000 -b10111111011010111001000110101100 " -b10111111011010111001000110101100 4 -b11111111011111111001011110101100 1 -b11111111011111111001011110101100 C -b10111111011010111001000110101100 0 -b10111111011010111001000110101100 H -18 -b111000100000110111100011011111 < -b11110111111011110000101010001100 2 -b11110111111011110000101010001100 = -b11110111111011110000101010001100 : -b10111111011010111001000110101100 $ -b10111111011010111001000110101100 - -b10111111011010111001000110101100 5 -b10111111011010111001000110101100 ? -b10111111011010111001000110101100 D -b11000111011111001000011100100000 % -b11000111011111001000011100100000 . -b11000111011111001000011100100000 6 -b11000111011111001000011100100000 @ -b11000111011111001000011100100000 F -b10111111011010111001000110101100 ) -#460544000 -0& -#460560000 -b1110000011100 , -#460576000 -1& -#460592000 -b1001011001110100111011 " -b1001011001110100111011 4 -b1101011111111111111111010111101 1 -b1101011111111111111111010111101 C -b1001011001110100111011 0 -b1001011001110100111011 H -b10010101000000000001010101010110 < -b11100000001110101000101101101100 2 -b11100000001110101000101101101100 = -b11100000001110101000101101101100 : -b1001011001110100111011000010101 $ -b1001011001110100111011000010101 - -b1001011001110100111011000010101 5 -b1001011001110100111011000010101 ? -b1001011001110100111011000010101 D -b1101010111111111110101010101001 % -b1101010111111111110101010101001 . -b1101010111111111110101010101001 6 -b1101010111111111110101010101001 @ -b1101010111111111110101010101001 F -b1001011001110100111011 ) -#460608000 -0& -#460624000 -b1110000011101 , -#460640000 -1& -#460656000 -b10110011111010 " -b10110011111010 4 -b11111110111111110110010101001111 1 -b11111110111111110110010101001111 C -b10110011111010 0 -b10110011111010 H -08 -b1010101011111101010110000 < -b10111110100110101111010111111 2 -b10111110100110101111010111111 = -b10111110100110101111010111111 : -b10110011111010110010000001110 $ -b10110011111010110010000001110 - -b10110011111010110010000001110 5 -b10110011111010110010000001110 ? -b10110011111010110010000001110 D -b11111110101010100000010101001111 % -b11111110101010100000010101001111 . -b11111110101010100000010101001111 6 -b11111110101010100000010101001111 @ -b11111110101010100000010101001111 F -b10110011111010 ) -#460672000 -0& -#460688000 -b1110000011110 , -#460704000 -1& -#460720000 -b1 " -b1 4 -b11111001111111111110100011011111 1 -b11111001111111111110100011011111 C -b1 0 -b1 H -18 -b111011011100101111100100000 < -b11010001011011011100011100100011 2 -b11010001011011011100011100100011 = -b11010001011011011100011100100011 : -b11001001111111110110100000000010 $ -b11001001111111110110100000000010 - -b11001001111111110110100000000010 5 -b11001001111111110110100000000010 ? -b11001001111111110110100000000010 D -b11111000100100011010000011011111 % -b11111000100100011010000011011111 . -b11111000100100011010000011011111 6 -b11111000100100011010000011011111 @ -b11111000100100011010000011011111 F -b1 ) -#460736000 -0& -#460752000 -b1110000011111 , -#460768000 -1& -#460784000 -b1100 " -b1100 4 -b11011111111111111101110001111101 1 -b11011111111111111101110001111101 C -b1100 0 -b1100 H -19 -18 -b10101000010000001111011111000011 < -b1110111001111111101010000000101 2 -b1110111001111111101010000000101 = -b1110111001111111101010000000101 : -b11001110111111101101110001000001 $ -b11001110111111101101110001000001 - -b11001110111111101101110001000001 5 -b11001110111111101101110001000001 ? -b11001110111111101101110001000001 D -b1010111101111110000100000111100 % -b1010111101111110000100000111100 . -b1010111101111110000100000111100 6 -b1010111101111110000100000111100 @ -b1010111101111110000100000111100 F -b1100 ) -#460800000 -0& -#460816000 -b1110000100000 , -#460832000 -1& -#460848000 -b1100111111111000011000 " -b1100111111111000011000 4 -b11111111111111110110111111111111 1 -b11111111111111110110111111111111 C -b1100111111111000011000 0 -b1100111111111000011000 H -09 -18 -b10000001101001001010101 < -b11010000001110010011010111001011 2 -b11010000001110010011010111001011 = -b11010000001110010011010111001011 : -b11001111111110000110001101110101 $ -b11001111111110000110001101110101 - -b11001111111110000110001101110101 5 -b11001111111110000110001101110101 ? -b11001111111110000110001101110101 D -b11111111101111110010110110101010 % -b11111111101111110010110110101010 . -b11111111101111110010110110101010 6 -b11111111101111110010110110101010 @ -b11111111101111110010110110101010 F -b1100111111111000011000 ) -#460864000 -0& -#460880000 -b1110000100001 , -#460896000 -1& -#460912000 -1! -b0 " -b0 4 -b11111111111111111111111111011111 1 -b11111111111111111111111111011111 C -b0 0 -b0 H -08 -19 -b100010000000011000010010100000 < -b10100010000000010101000101100110 2 -b10100010000000010101000101100110 = -1# -b10100010000000010101000101100110 : -b1111111111111111100110011000101 $ -b1111111111111111100110011000101 - -b1111111111111111100110011000101 5 -b1111111111111111100110011000101 ? -b1111111111111111100110011000101 D -b11011101111111100111101101011111 % -b11011101111111100111101101011111 . -b11011101111111100111101101011111 6 -b11011101111111100111101101011111 @ -b11011101111111100111101101011111 F -b0 ) -b1 ( -#460928000 -0& -#460944000 -b1110000100010 , -#460960000 -1& -#460976000 -0! -b101001011101 " -b101001011101 4 -b11111101111111111101011111110111 1 -b11111101111111111101011111110111 C -b101001011101 0 -b101001011101 H -18 -19 -b10000010000001000110101101001011 < -b100111111000111011111010010011 2 -b100111111000111011111010010011 = -0# -b100111111000111011111010010011 : -b10100101110111110101001101000111 $ -b10100101110111110101001101000111 - -b10100101110111110101001101000111 5 -b10100101110111110101001101000111 ? -b10100101110111110101001101000111 D -b1111101111110111001010010110100 % -b1111101111110111001010010110100 . -b1111101111110111001010010110100 6 -b1111101111110111001010010110100 @ -b1111101111110111001010010110100 F -b101001011101 ) -b0 ( -#460992000 -0& -#461008000 -b1110000100011 , -#461024000 -1& -#461040000 -b110101101111010 " -b110101101111010 4 -b11111111111111011110111101010101 1 -b11111111111111011110111101010101 C -b110101101111010 0 -b110101101111010 H -08 -09 -b1000010100101111001000011101110 < -b11001100011010101101100110100 2 -b11001100011010101101100110100 = -b11001100011010101101100110100 : -b11010110111101011100101001000101 $ -b11010110111101011100101001000101 - -b11010110111101011100101001000101 5 -b11010110111101011100101001000101 ? -b11010110111101011100101001000101 D -b10111101011010000110111100010001 % -b10111101011010000110111100010001 . -b10111101011010000110111100010001 6 -b10111101011010000110111100010001 @ -b10111101011010000110111100010001 F -b110101101111010 ) -#461056000 -0& -#461072000 -b1110000100100 , -#461088000 -1& -#461104000 -b111011 " -b111011 4 -b11101111111111111101101010111110 1 -b11101111111111111101101010111110 C -b111011 0 -b111011 H -18 -b10000000001010010011111100101 < -b11111100100001001111101010001100 2 -b11111100100001001111101010001100 = -b11111100100001001111101010001100 : -b11101100011111111101001010100110 $ -b11101100011111111101001010100110 - -b11101100011111111101001010100110 5 -b11101100011111111101001010100110 ? -b11101100011111111101001010100110 D -b11101111111110101101100000011010 % -b11101111111110101101100000011010 . -b11101111111110101101100000011010 6 -b11101111111110101101100000011010 @ -b11101111111110101101100000011010 F -b111011 ) -#461120000 -0& -#461136000 -b1110000100101 , -#461152000 -1& -#461168000 -b111110 " -b111110 4 -b11111011111111110111111110011011 1 -b11111011111111110111111110011011 C -b111110 0 -b111110 H -08 -b1100000000001001101001100101 < -b101111111111111100001100111 2 -b101111111111111100001100111 = -b101111111111111100001100111 : -b11111001111111110101111000000001 $ -b11111001111111110101111000000001 - -b11111001111111110101111000000001 5 -b11111001111111110101111000000001 ? -b11111001111111110101111000000001 D -b11110011111111110110010110011010 % -b11110011111111110110010110011010 . -b11110011111111110110010110011010 6 -b11110011111111110110010110011010 @ -b11110011111111110110010110011010 F -b111110 ) -#461184000 -0& -#461200000 -b1110000100110 , -#461216000 -1& -#461232000 -b11001101111110111010000 " -b11001101111110111010000 4 -b11011111111111111011000111111011 1 -b11011111111111111011000111111011 C -b11001101111110111010000 0 -b11001101111110111010000 H -19 -18 -b10101001100000101110111000010110 < -b1110111011111101000111101010010 2 -b1110111011111101000111101010010 = -b1110111011111101000111101010010 : -b11001101111110111010000100111011 $ -b11001101111110111010000100111011 - -b11001101111110111010000100111011 5 -b11001101111110111010000100111011 ? -b11001101111110111010000100111011 D -b1010110011111010001000111101001 % -b1010110011111010001000111101001 . -b1010110011111010001000111101001 6 -b1010110011111010001000111101001 @ -b1010110011111010001000111101001 F -b11001101111110111010000 ) -#461248000 -0& -#461264000 -b1110000100111 , -#461280000 -1& -#461296000 -b111101111111111111011110101 " -b111101111111111111011110101 4 -b11111111111111111111111010100111 1 -b11111111111111111111111010100111 C -b111101111111111111011110101 0 -b111101111111111111011110101 H -09 -08 -b10100010010000101001111111010 < -b1100010010000011001010100010 2 -b1100010010000011001010100010 = -b1100010010000011001010100010 : -b11110111111111111101111010100111 $ -b11110111111111111101111010100111 - -b11110111111111111101111010100111 5 -b11110111111111111101111010100111 ? -b11110111111111111101111010100111 D -b11101011101101111010110000000101 % -b11101011101101111010110000000101 . -b11101011101101111010110000000101 6 -b11101011101101111010110000000101 @ -b11101011101101111010110000000101 F -b111101111111111111011110101 ) -#461312000 -0& -#461328000 -b1110000101000 , -#461344000 -1& -#461360000 -b10111001110111110011011 " -b10111001110111110011011 4 -b11111001111111111111111111111011 1 -b11111001111111111111111111111011 C -b10111001110111110011011 0 -b10111001110111110011011 H -18 -b101110100010100011001010010110 < -b11101000011010010110101001101001 2 -b11101000011010010110101001101001 = -b11101000011010010110101001101001 : -b10111001110111110011011111010010 $ -b10111001110111110011011111010010 - -b10111001110111110011011111010010 5 -b10111001110111110011011111010010 ? -b10111001110111110011011111010010 D -b11010001011101011100110101101001 % -b11010001011101011100110101101001 . -b11010001011101011100110101101001 6 -b11010001011101011100110101101001 @ -b11010001011101011100110101101001 F -b10111001110111110011011 ) -#461376000 -0& -#461392000 -b1110000101001 , -#461408000 -1& -#461424000 -b11111011 " -b11111011 4 -b11111111101111111011011111111011 1 -b11111111101111111011011111111011 C -b11111011 0 -b11111011 H -08 -b10000011000000100100001100111 < -b1100000111111110110011100011 2 -b1100000111111110110011100011 = -b1100000111111110110011100011 : -b11111011101111111010010001111011 $ -b11111011101111111010010001111011 - -b11111011101111111010010001111011 5 -b11111011101111111010010001111011 ? -b11111011101111111010010001111011 D -b11101111100111111011011110011000 % -b11101111100111111011011110011000 . -b11101111100111111011011110011000 6 -b11101111100111111011011110011000 @ -b11101111100111111011011110011000 F -b11111011 ) -#461440000 -0& -#461456000 -b1110000101010 , -#461472000 -1& -#461488000 -b111110010111111 " -b111110010111111 4 -b11111111111111111110111110111100 1 -b11111111111111111110111110111100 C -b111110010111111 0 -b111110010111111 H -08 -b1011000011001111 < -b1111100110000001001010101101100 2 -b1111100110000001001010101101100 = -b1111100110000001001010101101100 : -b1111100101111111110010010011100 $ -b1111100101111111110010010011100 - -b1111100101111111110010010011100 5 -b1111100101111111110010010011100 ? -b1111100101111111110010010011100 D -b11111111111111110100111100110000 % -b11111111111111110100111100110000 . -b11111111111111110100111100110000 6 -b11111111111111110100111100110000 @ -b11111111111111110100111100110000 F -b111110010111111 ) -#461504000 -0& -#461520000 -b1110000101011 , -#461536000 -1& -#461552000 -b11111001110110101 " -b11111001110110101 4 -b11111101111111111001101101011111 1 -b11111101111111111001101101011111 C -b11111001110110101 0 -b11111001110110101 H -19 -18 -b10000010000110000110011010110000 < -b1111011111100110000001000001111 2 -b1111011111100110000001000001111 = -b1111011111100110000001000001111 : -b11111001110110101001101101011110 $ -b11111001110110101001101101011110 - -b11111001110110101001101101011110 5 -b11111001110110101001101101011110 ? -b11111001110110101001101101011110 D -b1111101111001111001100101001111 % -b1111101111001111001100101001111 . -b1111101111001111001100101001111 6 -b1111101111001111001100101001111 @ -b1111101111001111001100101001111 F -b11111001110110101 ) -#461568000 -0& -#461584000 -b1110000101100 , -#461600000 -1& -#461616000 -b11011111111111110100 " -b11011111111111110100 4 -b11111111111111111010000111101111 1 -b11111111111111111010000111101111 C -b11011111111111110100 0 -b11011111111111110100 H -08 -b1000000001001111111111001110100 < -b10110000001001111001111111011001 2 -b10110000001001111001111111011001 = -b10110000001001111001111111011001 : -b1101111111111111010000101100100 $ -b1101111111111111010000101100100 - -b1101111111111111010000101100100 5 -b1101111111111111010000101100100 ? -b1101111111111111010000101100100 D -b10111111110110000000000110001011 % -b10111111110110000000000110001011 . -b10111111110110000000000110001011 6 -b10111111110110000000000110001011 @ -b10111111110110000000000110001011 F -b11011111111111110100 ) -#461632000 -0& -#461648000 -b1110000101101 , -#461664000 -1& -#461680000 -b11101111100111001010 " -b11101111100111001010 4 -b11111111111111110101101111111011 1 -b11111111111111110101101111111011 C -b11101111100111001010 0 -b11101111100111001010 H -b1000100000000001011010100110100 < -b10111011110011110000100011100110 2 -b10111011110011110000100011100110 = -b10111011110011110000100011100110 : -b1110111110011100101001110110001 $ -b1110111110011100101001110110001 - -b1110111110011100101001110110001 5 -b1110111110011100101001110110001 ? -b1110111110011100101001110110001 D -b10111011111111110100101011001011 % -b10111011111111110100101011001011 . -b10111011111111110100101011001011 6 -b10111011111111110100101011001011 @ -b10111011111111110100101011001011 F -b11101111100111001010 ) -#461696000 -0& -#461712000 -b1110000101110 , -#461728000 -1& -#461744000 -b1101110111011101001 " -b1101110111011101001 4 -b1111111111011111111101111111110 1 -b1111111111011111111101111111110 C -b1101110111011101001 0 -b1101110111011101001 H -18 -09 -b10000000000110000000011100010011 < -b11101111000001101001101100001110 2 -b11101111000001101001101100001110 = -b11101111000001101001101100001110 : -b1101110111011101001001111111010 $ -b1101110111011101001001111111010 - -b1101110111011101001001111111010 5 -b1101110111011101001001111111010 ? -b1101110111011101001001111111010 D -b1111111111001111111100011101100 % -b1111111111001111111100011101100 . -b1111111111001111111100011101100 6 -b1111111111001111111100011101100 @ -b1111111111001111111100011101100 F -b1101110111011101001 ) -#461760000 -0& -#461776000 -b1110000101111 , -#461792000 -1& -#461808000 -b1100111111011001001010 " -b1100111111011001001010 4 -b11011111111111110110101011101011 1 -b11011111111111110110101011101011 C -b1100111111011001001010 0 -b1100111111011001001010 H -19 -18 -b10100100100000001011010100110101 < -b1110100010110011110000000010111 2 -b1110100010110011110000000010111 = -b1110100010110011110000000010111 : -b11001111110110010010101011100001 $ -b11001111110110010010101011100001 - -b11001111110110010010101011100001 5 -b11001111110110010010101011100001 ? -b11001111110110010010101011100001 D -b1011011011111110100101011001010 % -b1011011011111110100101011001010 . -b1011011011111110100101011001010 6 -b1011011011111110100101011001010 @ -b1011011011111110100101011001010 F -b1100111111011001001010 ) -#461824000 -0& -#461840000 -b1110000110000 , -#461856000 -1& -#461872000 -b11000111 " -b11000111 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b11000111 0 -b11000111 H -08 -19 -b100000000000010010010100001000 < -b10000011101000000101110100000010 2 -b10000011101000000101110100000010 = -b10000011101000000101110100000010 : -b1100011100111110011011111111001 $ -b1100011100111110011011111111001 - -b1100011100111110011011111111001 5 -b1100011100111110011011111111001 ? -b1100011100111110011011111111001 D -b11011111111111101101101011110111 % -b11011111111111101101101011110111 . -b11011111111111101101101011110111 6 -b11011111111111101101101011110111 @ -b11011111111111101101101011110111 F -b11000111 ) -#461888000 -0& -#461904000 -b1110000110001 , -#461920000 -1& -#461936000 -b100111111111 " -b100111111111 4 -b10111111111111111111110011110110 1 -b10111111111111111111110011110110 C -b100111111111 0 -b100111111111 H -18 -19 -b11000100001100000000001110001011 < -b1100100001011110101110001010010 2 -b1100100001011110101110001010010 = -b1100100001011110101110001010010 : -b10011111111111110101100011000110 $ -b10011111111111110101100011000110 - -b10011111111111110101100011000110 5 -b10011111111111110101100011000110 ? -b10011111111111110101100011000110 D -b111011110011111111110001110100 % -b111011110011111111110001110100 . -b111011110011111111110001110100 6 -b111011110011111111110001110100 @ -b111011110011111111110001110100 F -b100111111111 ) -#461952000 -0& -#461968000 -b1110000110010 , -#461984000 -1& -#462000000 -b111111111111111111011010011 " -b111111111111111111011010011 4 -b11111111111111111111111010011011 1 -b11111111111111111111111010011011 C -b111111111111111111011010011 0 -b111111111111111111011010011 H -08 -09 -b1001010000110010101111100 < -b1000001001010000101110000010110 2 -b1000001001010000101110000010110 = -b1000001001010000101110000010110 : -b111111111111111111011010011001 $ -b111111111111111111011010011001 - -b111111111111111111011010011001 5 -b111111111111111111011010011001 ? -b111111111111111111011010011001 D -b11111110110101111001101010000011 % -b11111110110101111001101010000011 . -b11111110110101111001101010000011 6 -b11111110110101111001101010000011 @ -b11111110110101111001101010000011 F -b111111111111111111011010011 ) -#462016000 -0& -#462032000 -b1110000110011 , -#462048000 -1& -#462064000 -b100110111110 " -b100110111110 4 -b1011111111101110101111111111111 1 -b1011111111101110101111111111111 C -b100110111110 0 -b100110111110 H -b11100001000010001010011000101100 < -b101110111111101100010100011001 2 -b101110111111101100010100011001 = -b101110111111101100010100011001 : -b1001101111101100001111011101100 $ -b1001101111101100001111011101100 - -b1001101111101100001111011101100 5 -b1001101111101100001111011101100 ? -b1001101111101100001111011101100 D -b11110111101110101100111010011 % -b11110111101110101100111010011 . -b11110111101110101100111010011 6 -b11110111101110101100111010011 @ -b11110111101110101100111010011 F -b100110111110 ) -#462080000 -0& -#462096000 -b1110000110100 , -#462112000 -1& -#462128000 -b1111111111111100000000111 " -b1111111111111100000000111 4 -b11111111111111110010101111100110 1 -b11111111111111110010101111100110 C -b1111111111111100000000111 0 -b1111111111111100000000111 H -19 -08 -b110100010010001101010010011001 < -b10110100010001101101011001111100 2 -b10110100010001101101011001111100 = -b10110100010001101101011001111100 : -b1111111111111100000000111100010 $ -b1111111111111100000000111100010 - -b1111111111111100000000111100010 5 -b1111111111111100000000111100010 ? -b1111111111111100000000111100010 D -b11001011101101110010101101100110 % -b11001011101101110010101101100110 . -b11001011101101110010101101100110 6 -b11001011101101110010101101100110 @ -b11001011101101110010101101100110 F -b1111111111111100000000111 ) -#462144000 -0& -#462160000 -b1110000110101 , -#462176000 -1& -#462192000 -b101111011111110000111100010 " -b101111011111110000111100010 4 -b1011111111111110101111100101100 1 -b1011111111111110101111100101100 C -b101111011111110000111100010 0 -b101111011111110000111100010 H -09 -08 -b11100000000100101011100011111011 < -b111111000100001101011100100100 2 -b111111000100001101011100100100 = -b111111000100001101011100100100 : -b1011110111111100001111000101000 $ -b1011110111111100001111000101000 - -b1011110111111100001111000101000 5 -b1011110111111100001111000101000 ? -b1011110111111100001111000101000 D -b11111111011010100011100000100 % -b11111111011010100011100000100 . -b11111111011010100011100000100 6 -b11111111011010100011100000100 @ -b11111111011010100011100000100 F -b101111011111110000111100010 ) -#462208000 -0& -#462224000 -b1110000110110 , -#462240000 -1& -#462256000 -b1100110001110111 " -b1100110001110111 4 -b11110110011111111111111101101111 1 -b11110110011111111111111101101111 C -b1100110001110111 0 -b1100110001110111 H -b1001101000000110111111110000 < -b1101111110111000110111101011111 2 -b1101111110111000110111101011111 = -b1101111110111000110111101011111 : -b1100110001110111111111101101110 $ -b1100110001110111111111101101110 - -b1100110001110111111111101101110 5 -b1100110001110111111111101101110 ? -b1100110001110111111111101101110 D -b11110110010111111001000000001111 % -b11110110010111111001000000001111 . -b11110110010111111001000000001111 6 -b11110110010111111001000000001111 @ -b11110110010111111001000000001111 F -b1100110001110111 ) -#462272000 -0& -#462288000 -b1110000110111 , -#462304000 -1& -#462320000 -b110010001111010010100000 " -b110010001111010010100000 4 -b1111111111110111111001101111111 1 -b1111111111110111111001101111111 C -b110010001111010010100000 0 -b110010001111010010100000 H -18 -b10000000000001000001110010011000 < -b11100100011111100110110011110111 2 -b11100100011111100110110011110111 = -b11100100011111100110110011110111 : -b1100100011110100101000001011110 $ -b1100100011110100101000001011110 - -b1100100011110100101000001011110 5 -b1100100011110100101000001011110 ? -b1100100011110100101000001011110 D -b1111111111110111110001101100111 % -b1111111111110111110001101100111 . -b1111111111110111110001101100111 6 -b1111111111110111110001101100111 @ -b1111111111110111110001101100111 F -b110010001111010010100000 ) -#462336000 -0& -#462352000 -b1110000111000 , -#462368000 -1& -#462384000 -b10111111101 " -b10111111101 4 -b11111111101111110111010100110101 1 -b11111111101111110111010100110101 C -b10111111101 0 -b10111111101 H -19 -18 -b10010010010001001000101111001010 < -b1010010000000101111110011111100 2 -b1010010000000101111110011111100 = -b1010010000000101111110011111100 : -b10111111101111100111000100110001 $ -b10111111101111100111000100110001 - -b10111111101111100111000100110001 5 -b10111111101111100111000100110001 ? -b10111111101111100111000100110001 D -b1101101101110110111010000110101 % -b1101101101110110111010000110101 . -b1101101101110110111010000110101 6 -b1101101101110110111010000110101 @ -b1101101101110110111010000110101 F -b10111111101 ) -#462400000 -0& -#462416000 -b1110000111001 , -#462432000 -1& -#462448000 -b1011010111001 " -b1011010111001 4 -b1011111111111111111010011011011 1 -b1011111111111111111010011011011 C -b1011010111001 0 -b1011010111001 H -09 -08 -b10101010000001001010101101101101 < -b100111011000110000000110111 2 -b100111011000110000000110111 = -b100111011000110000000110111 : -b1011010111001111011010011001001 $ -b1011010111001111011010011001001 - -b1011010111001111011010011001001 5 -b1011010111001111011010011001001 ? -b1011010111001111011010011001001 D -b1010101111110110101010010010010 % -b1010101111110110101010010010010 . -b1010101111110110101010010010010 6 -b1010101111110110101010010010010 @ -b1010101111110110101010010010010 F -b1011010111001 ) -#462464000 -0& -#462480000 -b1110000111010 , -#462496000 -1& -#462512000 -b110101101110011110000001101 " -b110101101110011110000001101 4 -b1101111011110111101111111011111 1 -b1101111011110111101111111011111 C -b110101101110011110000001101 0 -b110101101110011110000001101 H -18 -b10010000110001100010000010111011 < -b11111100001110011110000110010111 2 -b11111100001110011110000110010111 = -b11111100001110011110000110010111 : -b1101011011100111100000011011011 $ -b1101011011100111100000011011011 - -b1101011011100111100000011011011 5 -b1101011011100111100000011011011 ? -b1101011011100111100000011011011 D -b1101111001110011101111101000100 % -b1101111001110011101111101000100 . -b1101111001110011101111101000100 6 -b1101111001110011101111101000100 @ -b1101111001110011101111101000100 F -b110101101110011110000001101 ) -#462528000 -0& -#462544000 -b1110000111011 , -#462560000 -1& -#462576000 -b111010110 " -b111010110 4 -b11111011111111111011101101111111 1 -b11111011111111111011101101111111 C -b111010110 0 -b111010110 H -18 -b100010100000110010110001000 < -b11101111101001111001111010110011 2 -b11101111101001111001111010110011 = -b11101111101001111001111010110011 : -b11101011010101110011100100101010 $ -b11101011010101110011100100101010 - -b11101011010101110011100100101010 5 -b11101011010101110011100100101010 ? -b11101011010101110011100100101010 D -b11111011101011111001101001110111 % -b11111011101011111001101001110111 . -b11111011101011111001101001110111 6 -b11111011101011111001101001110111 @ -b11111011101011111001101001110111 F -b111010110 ) -#462592000 -0& -#462608000 -b1110000111100 , -#462624000 -1& -#462640000 -b11111011111010110010101000110 " -b11111011111010110010101000110 4 -b11111111111110111011101101110011 1 -b11111111111110111011101101110011 C -b11111011111010110010101000110 0 -b11111011111010110010101000110 H -18 -b1000110111010111100 < -b11111011111011111001100011101101 2 -b11111011111011111001100011101101 = -b11111011111011111001100011101101 : -b11111011111010110010101000110000 $ -b11111011111010110010101000110000 - -b11111011111010110010101000110000 5 -b11111011111010110010101000110000 ? -b11111011111010110010101000110000 D -b11111111111110111001000101000011 % -b11111111111110111001000101000011 . -b11111111111110111001000101000011 6 -b11111111111110111001000101000011 @ -b11111111111110111001000101000011 F -b11111011111010110010101000110 ) -#462656000 -0& -#462672000 -b1110000111101 , -#462688000 -1& -#462704000 -b111011111111110100100111 " -b111011111111110100100111 4 -b11111111111111110010111101001111 1 -b11111111111111110010111101001111 C -b111011111111110100100111 0 -b111011111111110100100111 H -b110010101011111010110110111 < -b11110110010100110001110011111111 2 -b11110110010100110001110011111111 = -b11110110010100110001110011111111 : -b11101111111111010010011101000111 $ -b11101111111111010010011101000111 - -b11101111111111010010011101000111 5 -b11101111111111010010011101000111 ? -b11101111111111010010011101000111 D -b11111001101010100000101001001000 % -b11111001101010100000101001001000 . -b11111001101010100000101001001000 6 -b11111001101010100000101001001000 @ -b11111001101010100000101001001000 F -b111011111111110100100111 ) -#462720000 -0& -#462736000 -b1110000111110 , -#462752000 -1& -#462768000 -b11111111111010110 " -b11111111111010110 4 -b11111111111111111111111111101101 1 -b11111111111111111111111111101101 C -b11111111111010110 0 -b11111111111010110 H -08 -b100010000100000000100110010 < -b1000100001111001101010010010100 2 -b1000100001111001101010010010100 = -b1000100001111001101010010010100 : -b111111111110101101001101100001 $ -b111111111110101101001101100001 - -b111111111110101101001101100001 5 -b111111111110101101001101100001 ? -b111111111110101101001101100001 D -b11111011101111011111111011001101 % -b11111011101111011111111011001101 . -b11111011101111011111111011001101 6 -b11111011101111011111111011001101 @ -b11111011101111011111111011001101 F -b11111111111010110 ) -#462784000 -0& -#462800000 -b1110000111111 , -#462816000 -1& -#462832000 -b11111111111111 " -b11111111111111 4 -b1111111111111111111101101010111 1 -b1111111111111111111101101010111 C -b11111111111111 0 -b11111111111111 H -09 -08 -b11111000001000000010010110101110 < -b1111000000111101001100011000110 2 -b1111000000111101001100011000110 = -b1111000000111101001100011000110 : -b1111111111111100111001100010111 $ -b1111111111111100111001100010111 - -b1111111111111100111001100010111 5 -b1111111111111100111001100010111 ? -b1111111111111100111001100010111 D -b111110111111101101001010001 % -b111110111111101101001010001 . -b111110111111101101001010001 6 -b111110111111101101001010001 @ -b111110111111101101001010001 F -b11111111111111 ) -#462848000 -0& -#462864000 -b1110001000000 , -#462880000 -1& -#462896000 -b11111111101111110110111100111110 " -b11111111101111110110111100111110 4 -b11111111111111111111111101111110 1 -b11111111111111111111111101111110 C -b11111111101111110110111100111110 0 -b11111111101111110110111100111110 H -08 -b1110100000001000100101110111111 < -b1110011110000111011101011111110 2 -b1110011110000111011101011111110 = -b1110011110000111011101011111110 : -b11111111101111110110111100111110 $ -b11111111101111110110111100111110 - -b11111111101111110110111100111110 5 -b11111111101111110110111100111110 ? -b11111111101111110110111100111110 D -b10001011111110111011010001000000 % -b10001011111110111011010001000000 . -b10001011111110111011010001000000 6 -b10001011111110111011010001000000 @ -b10001011111110111011010001000000 F -b11111111101111110110111100111110 ) -#462912000 -0& -#462928000 -b1110001000001 , -#462944000 -1& -#462960000 -b11011111111111101111000100 " -b11011111111111101111000100 4 -b11011111111111111111010110010110 1 -b11011111111111111111010110010110 C -b11011111111111101111000100 0 -b11011111111111101111000100 H -b100101000010101001101101111001 < -b101000010011000110010001110 2 -b101000010011000110010001110 = -b101000010011000110010001110 : -b11011111111111101111000100010100 $ -b11011111111111101111000100010100 - -b11011111111111101111000100010100 5 -b11011111111111101111000100010100 ? -b11011111111111101111000100010100 D -b11011010111101010110010010000110 % -b11011010111101010110010010000110 . -b11011010111101010110010010000110 6 -b11011010111101010110010010000110 @ -b11011010111101010110010010000110 F -b11011111111111101111000100 ) -#462976000 -0& -#462992000 -b1110001000010 , -#463008000 -1& -#463024000 -b111011011101010111 " -b111011011101010111 4 -b11101111111111111111111111111111 1 -b11101111111111111111111111111111 C -b111011011101010111 0 -b111011011101010111 H -b110000000100010110000011010001 < -b11101111001110100011110101111 2 -b11101111001110100011110101111 = -b11101111001110100011110101111 : -b11101101110101011110011011011101 $ -b11101101110101011110011011011101 - -b11101101110101011110011011011101 5 -b11101101110101011110011011011101 ? -b11101101110101011110011011011101 D -b11001111111011101001111100101110 % -b11001111111011101001111100101110 . -b11001111111011101001111100101110 6 -b11001111111011101001111100101110 @ -b11001111111011101001111100101110 F -b111011011101010111 ) -#463040000 -0& -#463056000 -b1110001000011 , -#463072000 -1& -#463088000 -b10 " -b10 4 -b10011111111111110011010110111110 1 -b10011111111111110011010110111110 C -b10 0 -b10 H -08 -b1100010000000101110101101100001 < -b1111000100001110100001000 2 -b1111000100001110100001000 = -b1111000100001110100001000 : -b10011111110111110011000110100110 $ -b10011111110111110011000110100110 - -b10011111110111110011000110100110 5 -b10011111110111110011000110100110 ? -b10011111110111110011000110100110 D -b10011101111111010001010010011110 % -b10011101111111010001010010011110 . -b10011101111111010001010010011110 6 -b10011101111111010001010010011110 @ -b10011101111111010001010010011110 F -b10 ) -#463104000 -0& -#463120000 -b1110001000100 , -#463136000 -1& -#463152000 -b10111110001111110000 " -b10111110001111110000 4 -b10111111101111111001110111111110 1 -b10111111101111111001110111111110 C -b10111110001111110000 0 -b10111110001111110000 H -18 -19 -b11000000010001110110011100010011 < -b1111110100001100110110001001110 2 -b1111110100001100110110001001110 = -b1111110100001100110110001001110 : -b10111110001111110000010100111010 $ -b10111110001111110000010100111010 - -b10111110001111110000010100111010 5 -b10111110001111110000010100111010 ? -b10111110001111110000010100111010 D -b111111101110001001100011101100 % -b111111101110001001100011101100 . -b111111101110001001100011101100 6 -b111111101110001001100011101100 @ -b111111101110001001100011101100 F -b10111110001111110000 ) -#463168000 -0& -#463184000 -b1110001000101 , -#463200000 -1& -#463216000 -b1111110101001 " -b1111110101001 4 -b11111101111111111111011010111111 1 -b11111101111111111111011010111111 C -b1111110101001 0 -b1111110101001 H -09 -18 -b11001010010000000000110111101100 < -b11000111100011100111010010101010 2 -b11000111100011100111010010101010 = -b11000111100011100111010010101010 : -b11111101010011100110011010111101 $ -b11111101010011100110011010111101 - -b11111101010011100110011010111101 5 -b11111101010011100110011010111101 ? -b11111101010011100110011010111101 D -b110101101111111111001000010011 % -b110101101111111111001000010011 . -b110101101111111111001000010011 6 -b110101101111111111001000010011 @ -b110101101111111111001000010011 F -b1111110101001 ) -#463232000 -0& -#463248000 -b1110001000110 , -#463264000 -1& -#463280000 -b110111010 " -b110111010 4 -b11111111111111111111010011010111 1 -b11111111111111111111010011010111 C -b110111010 0 -b110111010 H -b10000101101101101000 < -b11011101011110000100111110101001 2 -b11011101011110000100111110101001 = -b11011101011110000100111110101001 : -b11011101011011111111010001000000 $ -b11011101011011111111010001000000 - -b11011101011011111111010001000000 5 -b11011101011011111111010001000000 ? -b11011101011011111111010001000000 D -b11111111111101111010010010010111 % -b11111111111101111010010010010111 . -b11111111111101111010010010010111 6 -b11111111111101111010010010010111 @ -b11111111111101111010010010010111 F -b110111010 ) -#463296000 -0& -#463312000 -b1110001000111 , -#463328000 -1& -#463344000 -b11111111110101111111010010011010 " -b11111111110101111111010010011010 4 -b11111111111111111111110011111010 1 -b11111111111111111111110011111010 C -b11111111110101111111010010011010 0 -b11111111110101111111010010011010 H -08 -b1000000000001101011001100011111 < -b111111110111101010011110111010 2 -b111111110111101010011110111010 = -b111111110111101010011110111010 : -b11111111110101111111010010011010 $ -b11111111110101111111010010011010 - -b11111111110101111111010010011010 5 -b11111111110101111111010010011010 ? -b11111111110101111111010010011010 D -b10111111111110010100110011100000 % -b10111111111110010100110011100000 . -b10111111111110010100110011100000 6 -b10111111111110010100110011100000 @ -b10111111111110010100110011100000 F -b11111111110101111111010010011010 ) -#463360000 -0& -#463376000 -b1110001001000 , -#463392000 -1& -#463408000 -b1011110100111000101011010 " -b1011110100111000101011010 4 -b11111110111111011111111010010111 1 -b11111110111111011111111010010111 C -b1011110100111000101011010 0 -b1011110100111000101011010 H -08 -b1000000100000011101111001 < -b1011111100111100101111000001101 2 -b1011111100111100101111000001101 = -b1011111100111100101111000001101 : -b1011110100111000101011010010011 $ -b1011110100111000101011010010011 - -b1011110100111000101011010010011 5 -b1011110100111000101011010010011 ? -b1011110100111000101011010010011 D -b11111110111111011111100010000110 % -b11111110111111011111100010000110 . -b11111110111111011111100010000110 6 -b11111110111111011111100010000110 @ -b11111110111111011111100010000110 F -b1011110100111000101011010 ) -#463424000 -0& -#463440000 -b1110001001001 , -#463456000 -1& -#463472000 -b11101111010101 " -b11101111010101 4 -b11111111111011111110110100111101 1 -b11111111111011111110110100111101 C -b11101111010101 0 -b11101111010101 H -19 -08 -b1100010000100000101111011101110 < -b11011001101110111100110000101011 2 -b11011001101110111100110000101011 = -b11011001101110111100110000101011 : -b1110111101010110110110100111100 $ -b1110111101010110110110100111100 - -b1110111101010110110110100111100 5 -b1110111101010110110110100111100 ? -b1110111101010110110110100111100 D -b10011101111011111010000100010001 % -b10011101111011111010000100010001 . -b10011101111011111010000100010001 6 -b10011101111011111010000100010001 @ -b10011101111011111010000100010001 F -b11101111010101 ) -#463488000 -0& -#463504000 -b1110001001010 , -#463520000 -1& -#463536000 -b111 " -b111 4 -b1111111111111111010111110011100 1 -b1111111111111111010111110011100 C -b111 0 -b111 H -09 -08 -b11000000000000001111000111100011 < -b110001111111111001100101101100 2 -b110001111111111001100101101100 = -b110001111111111001100101101100 : -b1110001111111101010011110001000 $ -b1110001111111101010011110001000 - -b1110001111111101010011110001000 5 -b1110001111111101010011110001000 ? -b1110001111111101010011110001000 D -b111111111111110000111000011100 % -b111111111111110000111000011100 . -b111111111111110000111000011100 6 -b111111111111110000111000011100 @ -b111111111111110000111000011100 F -b111 ) -#463552000 -0& -#463568000 -b1110001001011 , -#463584000 -1& -#463600000 -b11111111111111101000 " -b11111111111111101000 4 -b1111111111111110111010111111111 1 -b1111111111111110111010111111111 C -b11111111111111101000 0 -b11111111111111101000 H -b10101111100100001000101000110100 < -b101111100011111100111110110010 2 -b101111100011111100111110110010 = -b101111100011111100111110110010 : -b1111111111111110100010101111101 $ -b1111111111111110100010101111101 - -b1111111111111110100010101111101 5 -b1111111111111110100010101111101 ? -b1111111111111110100010101111101 D -b1010000011011110111010111001011 % -b1010000011011110111010111001011 . -b1010000011011110111010111001011 6 -b1010000011011110111010111001011 @ -b1010000011011110111010111001011 F -b11111111111111101000 ) -#463616000 -0& -#463632000 -b1110001001100 , -#463648000 -1& -#463664000 -b1001101111111001101010 " -b1001101111111001101010 4 -b11111111111110111111110011111011 1 -b11111111111110111111110011111011 C -b1001101111111001101010 0 -b1001101111111001101010 H -18 -19 -b10010000000101001000001110010101 < -b101100000011100010110001101111 2 -b101100000011100010110001101111 = -b101100000011100010110001101111 : -b10011011111110011010100011011001 $ -b10011011111110011010100011011001 - -b10011011111110011010100011011001 5 -b10011011111110011010100011011001 ? -b10011011111110011010100011011001 D -b1101111111010110111110001101010 % -b1101111111010110111110001101010 . -b1101111111010110111110001101010 6 -b1101111111010110111110001101010 @ -b1101111111010110111110001101010 F -b1001101111111001101010 ) -#463680000 -0& -#463696000 -b1110001001101 , -#463712000 -1& -#463728000 -1! -b0 " -b0 4 -b1111111111101011011100111111111 1 -b1111111111101011011100111111111 C -b0 0 -b0 H -08 -09 -b11101000111011111100111000000000 < -b1011000111001011000011110111001 2 -b1011000111001011000011110111001 = -1# -b1011000111001011000011110111001 : -b1101111111101011011100110111000 $ -b1101111111101011011100110111000 - -b1101111111101011011100110111000 5 -b1101111111101011011100110111000 ? -b1101111111101011011100110111000 D -b10111000100000011000111111111 % -b10111000100000011000111111111 . -b10111000100000011000111111111 6 -b10111000100000011000111111111 @ -b10111000100000011000111111111 F -b0 ) -b1 ( -#463744000 -0& -#463760000 -b1110001001110 , -#463776000 -1& -#463792000 -0! -b11 " -b11 4 -b11101111111110111111101111111111 1 -b11101111111110111111101111111111 C -b11 0 -b11 H -19 -08 -b1110100000001100100110010000010 < -b11100011101100100001011100001110 2 -b11100011101100100001011100001110 = -0# -b11100011101100100001011100001110 : -b1101111101010111100101010001011 $ -b1101111101010111100101010001011 - -b1101111101010111100101010001011 5 -b1101111101010111100101010001011 ? -b1101111101010111100101010001011 D -b10001011111110011011001101111101 % -b10001011111110011011001101111101 . -b10001011111110011011001101111101 6 -b10001011111110011011001101111101 @ -b10001011111110011011001101111101 F -b11 ) -b0 ( -#463808000 -0& -#463824000 -b1110001001111 , -#463840000 -1& -#463856000 -b110111001110101011101 " -b110111001110101011101 4 -b11111111111010111110111011101011 1 -b11111111111010111110111011101011 C -b110111001110101011101 0 -b110111001110101011101 H -18 -b10000000000101100001000110110100 < -b1011101000000010000000001010101 2 -b1011101000000010000000001010101 = -b1011101000000010000000001010101 : -b11011100111010101110111010100000 $ -b11011100111010101110111010100000 - -b11011100111010101110111010100000 5 -b11011100111010101110111010100000 ? -b11011100111010101110111010100000 D -b1111111111010011110111001001011 % -b1111111111010011110111001001011 . -b1111111111010011110111001001011 6 -b1111111111010011110111001001011 @ -b1111111111010011110111001001011 F -b110111001110101011101 ) -#463872000 -0& -#463888000 -b1110001010000 , -#463904000 -1& -#463920000 -b10111011101110100101100 " -b10111011101110100101100 4 -b10111111101110110111100110101101 1 -b10111111101110110111100110101101 C -b10111011101110100101100 0 -b10111011101110100101100 H -09 -18 -b11010000010011001101111001110110 < -b10001100000001110011100000100011 2 -b10001100000001110011100000100011 = -b10001100000001110011100000100011 : -b10111011101110100101100110101100 $ -b10111011101110100101100110101100 - -b10111011101110100101100110101100 5 -b10111011101110100101100110101100 ? -b10111011101110100101100110101100 D -b101111101100110010000110001001 % -b101111101100110010000110001001 . -b101111101100110010000110001001 6 -b101111101100110010000110001001 @ -b101111101100110010000110001001 F -b10111011101110100101100 ) -#463936000 -0& -#463952000 -b1110001010001 , -#463968000 -1& -#463984000 -b11111 " -b11111 4 -b11111111111111101000110101111111 1 -b11111111111111101000110101111111 C -b11111 0 -b11111 H -19 -18 -b10000000011000010111111110100100 < -b1111010010111000000110011100011 2 -b1111010010111000000110011100011 = -b1111010010111000000110011100011 : -b11111001111110101000110100111110 $ -b11111001111110101000110100111110 - -b11111001111110101000110100111110 5 -b11111001111110101000110100111110 ? -b11111001111110101000110100111110 D -b1111111100111101000000001011011 % -b1111111100111101000000001011011 . -b1111111100111101000000001011011 6 -b1111111100111101000000001011011 @ -b1111111100111101000000001011011 F -b11111 ) -#464000000 -0& -#464016000 -b1110001010010 , -#464032000 -1& -#464048000 -b100111111111110100011000 " -b100111111111110100011000 4 -b11011111111111111010110001101111 1 -b11011111111111111010110001101111 C -b100111111111110100011000 0 -b100111111111110100011000 H -08 -b1101000000000100101011110011000 < -b10111000000000001110001110100001 2 -b10111000000000001110001110100001 = -b10111000000000001110001110100001 : -b1001111111111101000110000001000 $ -b1001111111111101000110000001000 - -b1001111111111101000110000001000 5 -b1001111111111101000110000001000 ? -b1001111111111101000110000001000 D -b10010111111111011010100001100111 % -b10010111111111011010100001100111 . -b10010111111111011010100001100111 6 -b10010111111111011010100001100111 @ -b10010111111111011010100001100111 F -b100111111111110100011000 ) -#464064000 -0& -#464080000 -b1110001010011 , -#464096000 -1& -#464112000 -b11111010 " -b11111010 4 -b11111111111111111011110111110111 1 -b11111111111111111011110111110111 C -b11111010 0 -b11111010 H -09 -08 -b100000000110000100011110001001 < -b1011110110101100111010100111011 2 -b1011110110101100111010100111011 = -b1011110110101100111010100111011 : -b111110101111100010110110110001 $ -b111110101111100010110110110001 - -b111110101111100010110110110001 5 -b111110101111100010110110110001 ? -b111110101111100010110110110001 D -b11011111111001111011100001110110 % -b11011111111001111011100001110110 . -b11011111111001111011100001110110 6 -b11011111111001111011100001110110 @ -b11011111111001111011100001110110 F -b11111010 ) -#464128000 -0& -#464144000 -b1110001010100 , -#464160000 -1& -#464176000 -b101111110011011 " -b101111110011011 4 -b11011111111111111010010101110010 1 -b11011111111111111010010101110010 C -b101111110011011 0 -b101111110011011 H -19 -08 -b101011000000100101101110001111 < -b10001010100111100000000011110010 2 -b10001010100111100000000011110010 = -b10001010100111100000000011110010 : -b1011111100110111010010101100010 $ -b1011111100110111010010101100010 - -b1011111100110111010010101100010 5 -b1011111100110111010010101100010 ? -b1011111100110111010010101100010 D -b11010100111111011010010001110000 % -b11010100111111011010010001110000 . -b11010100111111011010010001110000 6 -b11010100111111011010010001110000 @ -b11010100111111011010010001110000 F -b101111110011011 ) -#464192000 -0& -#464208000 -b1110001010101 , -#464224000 -1& -#464240000 -b10111111111111110011011 " -b10111111111111110011011 4 -b10111111111111111111111110011001 1 -b10111111111111111111111110011001 C -b10111111111111110011011 0 -b10111111111111110011011 H -18 -09 -b11000010000110100010010101110110 < -b10000010000110010101110010001111 2 -b10000010000110010101110010001111 = -b10000010000110010101110010001111 : -b10111111111111110011011100011000 $ -b10111111111111110011011100011000 - -b10111111111111110011011100011000 5 -b10111111111111110011011100011000 ? -b10111111111111110011011100011000 D -b111101111001011101101010001001 % -b111101111001011101101010001001 . -b111101111001011101101010001001 6 -b111101111001011101101010001001 @ -b111101111001011101101010001001 F -b10111111111111110011011 ) -#464256000 -0& -#464272000 -b1110001010110 , -#464288000 -1& -#464304000 -b1110111011 " -b1110111011 4 -b11111111011111111011111110011111 1 -b11111111011111111011111110011111 C -b1110111011 0 -b1110111011 H -08 -b1100000001111001111101010 < -b1111000111111101010111101110110 2 -b1111000111111101010111101110110 = -b1111000111111101010111101110110 : -b1110111011111011011101110001011 $ -b1110111011111011011101110001011 - -b1110111011111011011101110001011 5 -b1110111011111011011101110001011 ? -b1110111011111011011101110001011 D -b11111110011111110000110000010101 % -b11111110011111110000110000010101 . -b11111110011111110000110000010101 6 -b11111110011111110000110000010101 @ -b11111110011111110000110000010101 F -b1110111011 ) -#464320000 -0& -#464336000 -b1110001010111 , -#464352000 -1& -#464368000 -b11011011011111111010 " -b11011011011111111010 4 -b1111101111111111101010110111111 1 -b1111101111111111101010110111111 C -b11011011011111111010 0 -b11011011011111111010 H -18 -b10000111100000100010111111010100 < -b11110101010000100000010101110001 2 -b11110101010000100000010101110001 = -b11110101010000100000010101110001 : -b1101101101111111101010110011100 $ -b1101101101111111101010110011100 - -b1101101101111111101010110011100 5 -b1101101101111111101010110011100 ? -b1101101101111111101010110011100 D -b1111000011111011101000000101011 % -b1111000011111011101000000101011 . -b1111000011111011101000000101011 6 -b1111000011111011101000000101011 @ -b1111000011111011101000000101011 F -b11011011011111111010 ) -#464384000 -0& -#464400000 -b1110001011000 , -#464416000 -1& -#464432000 -b111111011100111011001 " -b111111011100111011001 4 -b11111111111111101111111110011011 1 -b11111111111111101111111110011011 C -b111111011100111011001 0 -b111111011100111011001 H -b10010000000010111100110011110100 < -b10001101110110101001101010001111 2 -b10001101110110101001101010001111 = -b10001101110110101001101010001111 : -b11111101110011101100110110011010 $ -b11111101110011101100110110011010 - -b11111101110011101100110110011010 5 -b11111101110011101100110110011010 ? -b11111101110011101100110110011010 D -b1101111111101000011001100001011 % -b1101111111101000011001100001011 . -b1101111111101000011001100001011 6 -b1101111111101000011001100001011 @ -b1101111111101000011001100001011 F -b111111011100111011001 ) -#464448000 -0& -#464464000 -b1110001011001 , -#464480000 -1& -#464496000 -b111 " -b111 4 -b11111111111111111011011111111110 1 -b11111111111111111011011111111110 C -b111 0 -b111 H -19 -08 -b1110110011000000110111110100011 < -b11110110010010100010011110001010 2 -b11110110010010100010011110001010 = -b11110110010010100010011110001010 : -b1111111111010011011011111100110 $ -b1111111111010011011011111100110 - -b1111111111010011011011111100110 5 -b1111111111010011011011111100110 ? -b1111111111010011011011111100110 D -b10001001100111111001000001011100 % -b10001001100111111001000001011100 . -b10001001100111111001000001011100 6 -b10001001100111111001000001011100 @ -b10001001100111111001000001011100 F -b111 ) -#464512000 -0& -#464528000 -b1110001011010 , -#464544000 -1& -#464560000 -b1010111110110111111101011010000 " -b1010111110110111111101011010000 4 -b11111111101111111111010110100001 1 -b11111111101111111111010110100001 C -b1010111110110111111101011010000 0 -b1010111110110111111101011010000 H -09 -18 -b1000010000001100101111111110 < -b10110111111110001100000110011111 2 -b10110111111110001100000110011111 = -b10110111111110001100000110011111 : -b10101111101101111111010110100000 $ -b10101111101101111111010110100000 - -b10101111101101111111010110100000 5 -b10101111101101111111010110100000 ? -b10101111101101111111010110100000 D -b11110111101111110011010000000001 % -b11110111101111110011010000000001 . -b11110111101111110011010000000001 6 -b11110111101111110011010000000001 @ -b11110111101111110011010000000001 F -b1010111110110111111101011010000 ) -#464576000 -0& -#464592000 -b1110001011011 , -#464608000 -1& -#464624000 -b11101111011 " -b11101111011 4 -b11111111111111111111111111110110 1 -b11111111111111111111111111110110 C -b11101111011 0 -b11101111011 H -19 -08 -b1000010000100000000000010001011 < -b10111001110011101000010100010010 2 -b10111001110011101000010100010010 = -b10111001110011101000010100010010 : -b1110111101111101000010010000110 $ -b1110111101111101000010010000110 - -b1110111101111101000010010000110 5 -b1110111101111101000010010000110 ? -b1110111101111101000010010000110 D -b10111101111011111111111101110100 % -b10111101111011111111111101110100 . -b10111101111011111111111101110100 6 -b10111101111011111111111101110100 @ -b10111101111011111111111101110100 F -b11101111011 ) -#464640000 -0& -#464656000 -b1110001011100 , -#464672000 -1& -#464688000 -b11 " -b11 4 -b111110111111111111111111111101 1 -b111110111111111111111111111101 C -b11 0 -b11 H -09 -08 -b11100001010000100110000000100011 < -b10111110000010100010011000101 2 -b10111110000010100010011000101 = -b10111110000010100010011000101 : -b110110011111101110010010100001 $ -b110110011111101110010010100001 - -b110110011111101110010010100001 5 -b110110011111101110010010100001 ? -b110110011111101110010010100001 D -b11110101111011001111111011100 % -b11110101111011001111111011100 . -b11110101111011001111111011100 6 -b11110101111011001111111011100 @ -b11110101111011001111111011100 F -b11 ) -#464704000 -0& -#464720000 -b1110001011101 , -#464736000 -1& -#464752000 -b1110101011111 " -b1110101011111 4 -b11111111011111011111011110011010 1 -b11111111011111011111011110011010 C -b1110101011111 0 -b1110101011111 H -b101110100000110001101101 < -b1110110001101111111000001110110 2 -b1110110001101111111000001110110 = -b1110110001101111111000001110110 : -b1110101011111011110010000001000 $ -b1110101011111011110010000001000 - -b1110101011111011110010000001000 5 -b1110101011111011110010000001000 ? -b1110101011111011110010000001000 D -b11111111010001011111001110010010 % -b11111111010001011111001110010010 . -b11111111010001011111001110010010 6 -b11111111010001011111001110010010 @ -b11111111010001011111001110010010 F -b1110101011111 ) -#464768000 -0& -#464784000 -b1110001011110 , -#464800000 -1& -#464816000 -b1111111101101 " -b1111111101101 4 -b11111111111010111111111111110011 1 -b11111111111010111111111111110011 C -b1111111101101 0 -b1111111101101 H -18 -09 -b10000101010101000100100010001100 < -b10000100101111100011010101110000 2 -b10000100101111100011010101110000 = -b10000100101111100011010101110000 : -b11111111011010011110110011100011 $ -b11111111011010011110110011100011 - -b11111111011010011110110011100011 5 -b11111111011010011110110011100011 ? -b11111111011010011110110011100011 D -b1111010101010111011011101110011 % -b1111010101010111011011101110011 . -b1111010101010111011011101110011 6 -b1111010101010111011011101110011 @ -b1111010101010111011011101110011 F -b1111111101101 ) -#464832000 -0& -#464848000 -b1110001011111 , -#464864000 -1& -#464880000 -b11101111 " -b11101111 4 -b11111111111111111101111111010111 1 -b11111111111111111101111111010111 C -b11101111 0 -b11101111 H -08 -09 -b1000000010001000101010 < -b11110000111110010110010101101 2 -b11110000111110010110010101101 = -b11110000111110010110010101101 : -b11101111111110000101010000010 $ -b11101111111110000101010000010 - -b11101111111110000101010000010 5 -b11101111111110000101010000010 ? -b11101111111110000101010000010 D -b11111111110111111101110111010101 % -b11111111110111111101110111010101 . -b11111111110111111101110111010101 6 -b11111111110111111101110111010101 @ -b11111111110111111101110111010101 F -b11101111 ) -#464896000 -0& -#464912000 -b1110001100000 , -#464928000 -1& -#464944000 -b1111111011111111011111010 " -b1111111011111111011111010 4 -b11111110111111110111110111101111 1 -b11111110111111110111110111101111 C -b1111111011111111011111010 0 -b1111111011111111011111010 H -18 -b10000001010000001010001000011000 < -b10000000010000000001111100101000 2 -b10000000010000000001111100101000 = -b10000000010000000001111100101000 : -b11111110111111110111110100001111 $ -b11111110111111110111110100001111 - -b11111110111111110111110100001111 5 -b11111110111111110111110100001111 ? -b11111110111111110111110100001111 D -b1111110101111110101110111100111 % -b1111110101111110101110111100111 . -b1111110101111110101110111100111 6 -b1111110101111110101110111100111 @ -b1111110101111110101110111100111 F -b1111111011111111011111010 ) -#464960000 -0& -#464976000 -b1110001100001 , -#464992000 -1& -#465008000 -1! -b0 " -b0 4 -b1111111111111110010111111111111 1 -b1111111111111110010111111111111 C -b0 0 -b0 H -18 -09 -b10000100001000001101001000000000 < -b11110011000111111101110101101110 2 -b11110011000111111101110101101110 = -1# -b11110011000111111101110101101110 : -b1101110111111110000101101101101 $ -b1101110111111110000101101101101 - -b1101110111111110000101101101101 5 -b1101110111111110000101101101101 ? -b1101110111111110000101101101101 D -b1111011110111110010110111111111 % -b1111011110111110010110111111111 . -b1111011110111110010110111111111 6 -b1111011110111110010110111111111 @ -b1111011110111110010110111111111 F -b0 ) -b1 ( -#465024000 -0& -#465040000 -b1110001100010 , -#465056000 -1& -#465072000 -0! -b111111111011100110111001 " -b111111111011100110111001 4 -b11111111111110111111110110011001 1 -b11111111111110111111110110011001 C -b111111111011100110111001 0 -b111111111011100110111001 H -08 -b10001101001000101111110111 < -b1111011100100010110001001 2 -b1111011100100010110001001 = -0# -b1111011100100010110001001 : -b11111111101110011011100110010001 $ -b11111111101110011011100110010001 - -b11111111101110011011100110010001 5 -b11111111101110011011100110010001 ? -b11111111101110011011100110010001 D -b11111101110010110111010000001000 % -b11111101110010110111010000001000 . -b11111101110010110111010000001000 6 -b11111101110010110111010000001000 @ -b11111101110010110111010000001000 F -b111111111011100110111001 ) -b0 ( -#465088000 -0& -#465104000 -b1110001100011 , -#465120000 -1& -#465136000 -b1110101011101111010111011100110 " -b1110101011101111010111011100110 4 -b11111110111011110111111111101101 1 -b11111110111011110111111111101101 C -b1110101011101111010111011100110 0 -b1110101011101111010111011100110 H -18 -b11000001100100001000000000011110 < -b10101100011111111101110111101011 2 -b10101100011111111101110111101011 = -b10101100011111111101110111101011 : -b11101010111011110101110111001100 $ -b11101010111011110101110111001100 - -b11101010111011110101110111001100 5 -b11101010111011110101110111001100 ? -b11101010111011110101110111001100 D -b111110011011110111111111100001 % -b111110011011110111111111100001 . -b111110011011110111111111100001 6 -b111110011011110111111111100001 @ -b111110011011110111111111100001 F -b1110101011101111010111011100110 ) -#465152000 -0& -#465168000 -b1110001100100 , -#465184000 -1& -#465200000 -b1011 " -b1011 4 -b11111111111111011111111011011101 1 -b11111111111111011111111011011101 C -b1011 0 -b1011 H -09 -18 -b1000000100100100110101100011 < -b11000100000001110011100110111101 2 -b11000100000001110011100110111101 = -b11000100000001110011100110111101 : -b10111011111101001110110001011001 $ -b10111011111101001110110001011001 - -b10111011111101001110110001011001 5 -b10111011111101001110110001011001 ? -b10111011111101001110110001011001 D -b11110111111011011011001010011100 % -b11110111111011011011001010011100 . -b11110111111011011011001010011100 6 -b11110111111011011011001010011100 @ -b11110111111011011011001010011100 F -b1011 ) -#465216000 -0& -#465232000 -b1110001100101 , -#465248000 -1& -#465264000 -b111111111110 " -b111111111110 4 -b1111111111101110110001100110011 1 -b1111111111101110110001100110011 C -b111111111110 0 -b111111111110 H -08 -b10100010100010001101111011001100 < -b100010100000000010000011011101 2 -b100010100000000010000011011101 = -b100010100000000010000011011101 : -b1111111111101110100001000010000 $ -b1111111111101110100001000010000 - -b1111111111101110100001000010000 5 -b1111111111101110100001000010000 ? -b1111111111101110100001000010000 D -b1011101011101110010000100110011 % -b1011101011101110010000100110011 . -b1011101011101110010000100110011 6 -b1011101011101110010000100110011 @ -b1011101011101110010000100110011 F -b111111111110 ) -#465280000 -0& -#465296000 -b1110001100110 , -#465312000 -1& -#465328000 -b11011111111100100101011101010 " -b11011111111100100101011101010 4 -b11011111111111110101011101010111 1 -b11011111111111110101011101010111 C -b11011111111100100101011101010 0 -b11011111111100100101011101010 H -18 -b10101000111100101011101110111100 < -b10001000111001010001001100010001 2 -b10001000111001010001001100010001 = -b10001000111001010001001100010001 : -b11011111111100100101011101010100 $ -b11011111111100100101011101010100 - -b11011111111100100101011101010100 5 -b11011111111100100101011101010100 ? -b11011111111100100101011101010100 D -b1010111000011010100010001000011 % -b1010111000011010100010001000011 . -b1010111000011010100010001000011 6 -b1010111000011010100010001000011 @ -b1010111000011010100010001000011 F -b11011111111100100101011101010 ) -#465344000 -0& -#465360000 -b1110001100111 , -#465376000 -1& -#465392000 -b1111011 " -b1111011 4 -b11111111111111111111111110011111 1 -b11111111111111111111111110011111 C -b1111011 0 -b1111011 H -08 -b10010100000000000111001100110 < -b1010010011110010110010000110 2 -b1010010011110010110010000110 = -b1010010011110010110010000110 : -b11110111110011110001111000011111 $ -b11110111110011110001111000011111 - -b11110111110011110001111000011111 5 -b11110111110011110001111000011111 ? -b11110111110011110001111000011111 D -b11101101011111111111000110011001 % -b11101101011111111111000110011001 . -b11101101011111111111000110011001 6 -b11101101011111111111000110011001 @ -b11101101011111111111000110011001 F -b1111011 ) -#465408000 -0& -#465424000 -b1110001101000 , -#465440000 -1& -#465456000 -b1011011011101111 " -b1011011011101111 4 -b11111111111111111011000111111011 1 -b11111111111111111011000111111011 C -b1011011011101111 0 -b1011011011101111 H -18 -b1010101111111101001111 < -b10110111000110101011000010011011 2 -b10110111000110101011000010011011 = -b10110111000110101011000010011011 : -b10110110111011111011000101001011 $ -b10110110111011111011000101001011 - -b10110110111011111011000101001011 5 -b10110110111011111011000101001011 ? -b10110110111011111011000101001011 D -b11111111110101010000000010110000 % -b11111111110101010000000010110000 . -b11111111110101010000000010110000 6 -b11111111110101010000000010110000 @ -b11111111110101010000000010110000 F -b1011011011101111 ) -#465472000 -0& -#465488000 -b1110001101001 , -#465504000 -1& -#465520000 -b1011111000111111 " -b1011111000111111 4 -b1011111110111111111000100001111 1 -b1011111110111111111000100001111 C -b1011111000111111 0 -b1011111000111111 H -18 -b10100000001001001100111011110000 < -b11111111010001001011111111111001 2 -b11111111010001001011111111111001 = -b11111111010001001011111111111001 : -b1011111000111111111000100001000 $ -b1011111000111111111000100001000 - -b1011111000111111111000100001000 5 -b1011111000111111111000100001000 ? -b1011111000111111111000100001000 D -b1011111110110110011000100001111 % -b1011111110110110011000100001111 . -b1011111110110110011000100001111 6 -b1011111110110110011000100001111 @ -b1011111110110110011000100001111 F -b1011111000111111 ) -#465536000 -0& -#465552000 -b1110001101010 , -#465568000 -1& -#465584000 -b111111011 " -b111111011 4 -b11111111110111110110110111011111 1 -b11111111110111110110110111011111 C -b111111011 0 -b111111011 H -19 -18 -b10000000011000001001111100101000 < -b1111110001111111110110011000101 2 -b1111110001111111110110011000101 = -b1111110001111111110110011000101 : -b11111101110111110100110110011100 $ -b11111101110111110100110110011100 - -b11111101110111110100110110011100 5 -b11111101110111110100110110011100 ? -b11111101110111110100110110011100 D -b1111111100111110110000011010111 % -b1111111100111110110000011010111 . -b1111111100111110110000011010111 6 -b1111111100111110110000011010111 @ -b1111111100111110110000011010111 F -b111111011 ) -#465600000 -0& -#465616000 -b1110001101011 , -#465632000 -1& -#465648000 -b11111110111110110 " -b11111110111110110 4 -b11111110111111110110111011111111 1 -b11111110111111110110111011111111 C -b11111110111110110 0 -b11111110111110110 H -08 -09 -b11000000101001000101110000 < -b1111111011011011111100001 2 -b1111111011011011111100001 = -b1111111011011011111100001 : -b11111110111110110010011001110000 $ -b11111110111110110010011001110000 - -b11111110111110110010011001110000 5 -b11111110111110110010011001110000 ? -b11111110111110110010011001110000 D -b11111100111111010110111010001111 % -b11111100111111010110111010001111 . -b11111100111111010110111010001111 6 -b11111100111111010110111010001111 @ -b11111100111111010110111010001111 F -b11111110111110110 ) -#465664000 -0& -#465680000 -b1110001101100 , -#465696000 -1& -#465712000 -b1111011101 " -b1111011101 4 -b11111111111111111101001110011110 1 -b11111111111111111101001110011110 C -b1111011101 0 -b1111011101 H -19 -18 -b10000101000011000111110101101001 < -b1111100100011000101000010000100 2 -b1111100100011000101000010000100 = -b1111100100011000101000010000100 : -b11110111011111111101001100011010 $ -b11110111011111111101001100011010 - -b11110111011111111101001100011010 5 -b11110111011111111101001100011010 ? -b11110111011111111101001100011010 D -b1111010111100111000001010010110 % -b1111010111100111000001010010110 . -b1111010111100111000001010010110 6 -b1111010111100111000001010010110 @ -b1111010111100111000001010010110 F -b1111011101 ) -#465728000 -0& -#465744000 -b1110001101101 , -#465760000 -1& -#465776000 -b1101011011111 " -b1101011011111 4 -b11111111111111110111111011111111 1 -b11111111111111110111111011111111 C -b1101011011111 0 -b1101011011111 H -09 -18 -b100011000011001000110001100 < -b11011011010110110000011001011011 2 -b11011011010110110000011001011011 = -b11011011010110110000011001011011 : -b11010110111110010111010011001110 $ -b11010110111110010111010011001110 - -b11010110111110010111010011001110 5 -b11010110111110010111010011001110 ? -b11010110111110010111010011001110 D -b11111011100111100110111001110011 % -b11111011100111100110111001110011 . -b11111011100111100110111001110011 6 -b11111011100111100110111001110011 @ -b11111011100111100110111001110011 F -b1101011011111 ) -#465792000 -0& -#465808000 -b1110001101110 , -#465824000 -1& -#465840000 -b111111 " -b111111 4 -b1111111111011111010011111111001 1 -b1111111111011111010011111111001 C -b111111 0 -b111111 H -08 -b11110110010100000101101001000110 < -b1110101101101111000001000010000 2 -b1110101101101111000001000010000 = -b1110101101101111000001000010000 : -b1111111011001110010011111001001 $ -b1111111011001110010011111001001 - -b1111111011001110010011111001001 5 -b1111111011001110010011111001001 ? -b1111111011001110010011111001001 D -b1001101011111010010110111001 % -b1001101011111010010110111001 . -b1001101011111010010110111001 6 -b1001101011111010010110111001 @ -b1001101011111010010110111001 F -b111111 ) -#465856000 -0& -#465872000 -b1110001101111 , -#465888000 -1& -#465904000 -b10111011111101 " -b10111011111101 4 -b110111111111101111111011111111 1 -b110111111111101111111011111111 C -b10111011111101 0 -b10111011111101 H -18 -b11001000000000011101111100010000 < -b11011111100000001011110100100110 2 -b11011111100000001011110100100110 = -b11011111100000001011110100100110 : -b10111011111101101111000010101 $ -b10111011111101101111000010101 - -b10111011111101101111000010101 5 -b10111011111101101111000010101 ? -b10111011111101101111000010101 D -b110111111111100010000011101111 % -b110111111111100010000011101111 . -b110111111111100010000011101111 6 -b110111111111100010000011101111 @ -b110111111111100010000011101111 F -b10111011111101 ) -#465920000 -0& -#465936000 -b1110001110000 , -#465952000 -1& -#465968000 -b11 " -b11 4 -b10111111111111010111111100111111 1 -b10111111111111010111111100111111 C -b11 0 -b11 H -08 -b1000000100100101110111011000011 < -b1111111100100000110110111001111 2 -b1111111100100000110110111001111 = -b1111111100100000110110111001111 : -b111110111111010111111100001011 $ -b111110111111010111111100001011 - -b111110111111010111111100001011 5 -b111110111111010111111100001011 ? -b111110111111010111111100001011 D -b10111111011011010001000100111100 % -b10111111011011010001000100111100 . -b10111111011011010001000100111100 6 -b10111111011011010001000100111100 @ -b10111111011011010001000100111100 F -b11 ) -#465984000 -0& -#466000000 -b1110001110001 , -#466016000 -1& -#466032000 -b11011110 " -b11011110 4 -b11111111111010111001111111011101 1 -b11111111111010111001111111011101 C -b11011110 0 -b11011110 H -08 -09 -b1001000000111001110010101100111 < -b100110110010000111001100110101 2 -b100110110010000111001100110101 = -b100110110010000111001100110101 : -b11011110101010111000110111001101 $ -b11011110101010111000110111001101 - -b11011110101010111000110111001101 5 -b11011110101010111000110111001101 ? -b11011110101010111000110111001101 D -b10110111111000110001101010011000 % -b10110111111000110001101010011000 . -b10110111111000110001101010011000 6 -b10110111111000110001101010011000 @ -b10110111111000110001101010011000 F -b11011110 ) -#466048000 -0& -#466064000 -b1110001110010 , -#466080000 -1& -#466096000 -b11111101111111110000111110111101 " -b11111101111111110000111110111101 4 -b11111101111111111000111111111101 1 -b11111101111111111000111111111101 C -b11111101111111110000111110111101 0 -b11111101111111110000111110111101 H -18 -b10001110010010000111001100011111 < -b10001100010001111000001011011101 2 -b10001100010001111000001011011101 = -b10001100010001111000001011011101 : -b11111101111111110000111110111101 $ -b11111101111111110000111110111101 - -b11111101111111110000111110111101 5 -b11111101111111110000111110111101 ? -b11111101111111110000111110111101 D -b1110001101101111000110011100000 % -b1110001101101111000110011100000 . -b1110001101101111000110011100000 6 -b1110001101101111000110011100000 @ -b1110001101101111000110011100000 F -b11111101111111110000111110111101 ) -#466112000 -0& -#466128000 -b1110001110011 , -#466144000 -1& -#466160000 -b1101111 " -b1101111 4 -b11111111111111110111110110111001 1 -b11111111111111110111110110111001 C -b1101111 0 -b1101111 H -09 -08 -b1000000010000001001001001100110 < -b100000000111111110001100011000 2 -b100000000111111110001100011000 = -b100000000111111110001100011000 : -b11011111110111110101000010110001 $ -b11011111110111110101000010110001 - -b11011111110111110101000010110001 5 -b11011111110111110101000010110001 ? -b11011111110111110101000010110001 D -b10111111101111110110110110011001 % -b10111111101111110110110110011001 . -b10111111101111110110110110011001 6 -b10111111101111110110110110011001 @ -b10111111101111110110110110011001 F -b1101111 ) -#466176000 -0& -#466192000 -b1110001110100 , -#466208000 -1& -#466224000 -b110111111111100100111011 " -b110111111111100100111011 4 -b1111111111111011011110111101111 1 -b1111111111111011011110111101111 C -b110111111111100100111011 0 -b110111111111100100111011 H -18 -b10000001001000101100101000011000 < -b11110001000111110110011111001000 2 -b11110001000111110110011111001000 = -b11110001000111110110011111001000 : -b1101111111111001001110110101111 $ -b1101111111111001001110110101111 - -b1101111111111001001110110101111 5 -b1101111111111001001110110101111 ? -b1101111111111001001110110101111 D -b1111110110111010011010111100111 % -b1111110110111010011010111100111 . -b1111110110111010011010111100111 6 -b1111110110111010011010111100111 @ -b1111110110111010011010111100111 F -b110111111111100100111011 ) -#466240000 -0& -#466256000 -b1110001110101 , -#466272000 -1& -#466288000 -b10111111110 " -b10111111110 4 -b1111111111110111101110100111111 1 -b1111111111110111101110100111111 C -b10111111110 0 -b10111111110 H -b10000101011001110111001011001011 < -b11100101010100101100001011111011 2 -b11100101010100101100001011111011 = -b11100101010100101100001011111011 : -b1011111111010110101000000101111 $ -b1011111111010110101000000101111 - -b1011111111010110101000000101111 5 -b1011111111010110101000000101111 ? -b1011111111010110101000000101111 D -b1111010100110001000110100110100 % -b1111010100110001000110100110100 . -b1111010100110001000110100110100 6 -b1111010100110001000110100110100 @ -b1111010100110001000110100110100 F -b10111111110 ) -#466304000 -0& -#466320000 -b1110001110110 , -#466336000 -1& -#466352000 -1! -b0 " -b0 4 -b11111111111101011110011111111111 1 -b11111111111101011110011111111111 C -b0 0 -b0 H -08 -b100000011101111100000000000 < -b1100100000001001101111100110111 2 -b1100100000001001101111100110111 = -1# -b1100100000001001101111100110111 : -b1011111111101011110011100110110 $ -b1011111111101011110011100110110 - -b1011111111101011110011100110110 5 -b1011111111101011110011100110110 ? -b1011111111101011110011100110110 D -b11111011111100010000011111111111 % -b11111011111100010000011111111111 . -b11111011111100010000011111111111 6 -b11111011111100010000011111111111 @ -b11111011111100010000011111111111 F -b0 ) -b1 ( -#466368000 -0& -#466384000 -b1110001110111 , -#466400000 -1& -#466416000 -0! -b11101101 " -b11101101 4 -b11110111111111101111011011110111 1 -b11110111111111101111011011110111 C -b11101101 0 -b11101101 H -19 -08 -b1010100010010100110100101000 < -b10000001100010000100001110011011 2 -b10000001100010000100001110011011 = -0# -b10000001100010000100001110011011 : -b1110110111111101111011001110010 $ -b1110110111111101111011001110010 - -b1110110111111101111011001110010 5 -b1110110111111101111011001110010 ? -b1110110111111101111011001110010 D -b11110101011101101011001011010111 % -b11110101011101101011001011010111 . -b11110101011101101011001011010111 6 -b11110101011101101011001011010111 @ -b11110101011101101011001011010111 F -b11101101 ) -b0 ( -#466432000 -0& -#466448000 -b1110001111000 , -#466464000 -1& -#466480000 -1! -b0 " -b0 4 -b1111111111111111110111111111111 1 -b1111111111111111110111111111111 C -b0 0 -b0 H -09 -08 -b10011010001010000011100001100000 < -b11010001001010010100001100000 2 -b11010001001010010100001100000 = -1# -b11010001001010010100001100000 : -b1111111111111001110111111111111 $ -b1111111111111001110111111111111 - -b1111111111111001110111111111111 5 -b1111111111111001110111111111111 ? -b1111111111111001110111111111111 D -b1100101110101111100011110011111 % -b1100101110101111100011110011111 . -b1100101110101111100011110011111 6 -b1100101110101111100011110011111 @ -b1100101110101111100011110011111 F -b0 ) -b1 ( -#466496000 -0& -#466512000 -b1110001111001 , -#466528000 -1& -#466544000 -0! -b11111110111011111 " -b11111110111011111 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b11111110111011111 0 -b11111110111011111 H -18 -b10010000110000010000000001010000 < -b10001111101100001011100101001011 2 -b10001111101100001011100101001011 = -0# -b10001111101100001011100101001011 : -b11111110111011111011100011111010 $ -b11111110111011111011100011111010 - -b11111110111011111011100011111010 5 -b11111110111011111011100011111010 ? -b11111110111011111011100011111010 D -b1101111001111101111111110101111 % -b1101111001111101111111110101111 . -b1101111001111101111111110101111 6 -b1101111001111101111111110101111 @ -b1101111001111101111111110101111 F -b11111110111011111 ) -b0 ( -#466560000 -0& -#466576000 -b1110001111010 , -#466592000 -1& -#466608000 -b111111101111011001110100 " -b111111101111011001110100 4 -b11111111111111110111111111111111 1 -b11111111111111110111111111111111 C -b111111101111011001110100 0 -b111111101111011001110100 H -19 -08 -b1000000000000101010001000111000 < -b10111111011111011101110001110110 2 -b10111111011111011101110001110110 = -b10111111011111011101110001110110 : -b1111111011110110011101000111101 $ -b1111111011110110011101000111101 - -b1111111011110110011101000111101 5 -b1111111011110110011101000111101 ? -b1111111011110110011101000111101 D -b10111111111111010101110111000111 % -b10111111111111010101110111000111 . -b10111111111111010101110111000111 6 -b10111111111111010101110111000111 @ -b10111111111111010101110111000111 F -b111111101111011001110100 ) -#466624000 -0& -#466640000 -b1110001111011 , -#466656000 -1& -#466672000 -b101110111 " -b101110111 4 -b10111111111111111110011100111111 1 -b10111111111111111110011100111111 C -b101110111 0 -b101110111 H -18 -09 -b11010000000000001001110111101000 < -b10001011101111110010010100011000 2 -b10001011101111110010010100011000 = -b10001011101111110010010100011000 : -b10111011101111101000011100101111 $ -b10111011101111101000011100101111 - -b10111011101111101000011100101111 5 -b10111011101111101000011100101111 ? -b10111011101111101000011100101111 D -b101111111111110110001000010111 % -b101111111111110110001000010111 . -b101111111111110110001000010111 6 -b101111111111110110001000010111 @ -b101111111111110110001000010111 F -b101110111 ) -#466688000 -0& -#466704000 -b1110001111100 , -#466720000 -1& -#466736000 -b111110110111111101010 " -b111110110111111101010 4 -b11111111111111111111001111001011 1 -b11111111111111111111001111001011 C -b111110110111111101010 0 -b111110110111111101010 H -b11000000000010000000111010110100 < -b10111011100001110110001000110101 2 -b10111011100001110110001000110101 = -b10111011100001110110001000110101 : -b11111011011111110101001110000000 $ -b11111011011111110101001110000000 - -b11111011011111110101001110000000 5 -b11111011011111110101001110000000 ? -b11111011011111110101001110000000 D -b111111111101111111000101001011 % -b111111111101111111000101001011 . -b111111111101111111000101001011 6 -b111111111101111111000101001011 @ -b111111111101111111000101001011 F -b111110110111111101010 ) -#466752000 -0& -#466768000 -b1110001111101 , -#466784000 -1& -#466800000 -b1001101011001 " -b1001101011001 4 -b11011111111011111101111101111111 1 -b11011111111011111101111101111111 C -b1001101011001 0 -b1001101011001 H -19 -18 -b10101010010101000110110010101100 < -b1000101001000110011101011101011 2 -b1000101001000110011101011101011 = -b1000101001000110011101011101011 : -b10011010110011101100111000111110 $ -b10011010110011101100111000111110 - -b10011010110011101100111000111110 5 -b10011010110011101100111000111110 ? -b10011010110011101100111000111110 D -b1010101101010111001001101010011 % -b1010101101010111001001101010011 . -b1010101101010111001001101010011 6 -b1010101101010111001001101010011 @ -b1010101101010111001001101010011 F -b1001101011001 ) -#466816000 -0& -#466832000 -b1110001111110 , -#466848000 -1& -#466864000 -b101110111011111000101001 " -b101110111011111000101001 4 -b10101111111111111111111111101111 1 -b10101111111111111111111111101111 C -b101110111011111000101001 0 -b101110111011111000101001 H -08 -b1010010001000111000001001011001 < -b10000001000100110000110011000101 2 -b10000001000100110000110011000101 = -b10000001000100110000110011000101 : -b101110111011111000101001101011 $ -b101110111011111000101001101011 - -b101110111011111000101001101011 5 -b101110111011111000101001101011 ? -b101110111011111000101001101011 D -b10101101110111000111110110100110 % -b10101101110111000111110110100110 . -b10101101110111000111110110100110 6 -b10101101110111000111110110100110 @ -b10101101110111000111110110100110 F -b101110111011111000101001 ) -#466880000 -0& -#466896000 -b1110001111111 , -#466912000 -1& -#466928000 -b11011011011111 " -b11011011011111 4 -b1111111111111110010111000110011 1 -b1111111111111110010111000110011 C -b11011011011111 0 -b11011011011111 H -18 -09 -b10000101001010101111000111001110 < -b11110010111010010001011111010001 2 -b11110010111010010001011111010001 = -b11110010111010010001011111010001 : -b1101101101111100010011000000010 $ -b1101101101111100010011000000010 - -b1101101101111100010011000000010 5 -b1101101101111100010011000000010 ? -b1101101101111100010011000000010 D -b1111010110101010000111000110001 % -b1111010110101010000111000110001 . -b1111010110101010000111000110001 6 -b1111010110101010000111000110001 @ -b1111010110101010000111000110001 F -b11011011011111 ) -#466944000 -0& -#466960000 -b1110010000000 , -#466976000 -1& -#466992000 -b11110011111010111011100 " -b11110011111010111011100 4 -b11111111111011111011110011111111 1 -b11111111111011111011110011111111 C -b11110011111010111011100 0 -b11110011111010111011100 H -19 -18 -b10000001011100100101001110110110 < -b1110101010111100000110010101110 2 -b1110101010111100000110010101110 = -b1110101010111100000110010101110 : -b11110011111010111011100011110111 $ -b11110011111010111011100011110111 - -b11110011111010111011100011110111 5 -b11110011111010111011100011110111 ? -b11110011111010111011100011110111 D -b1111110100011011010110001001001 % -b1111110100011011010110001001001 . -b1111110100011011010110001001001 6 -b1111110100011011010110001001001 @ -b1111110100011011010110001001001 F -b11110011111010111011100 ) -#467008000 -0& -#467024000 -b1110010000001 , -#467040000 -1& -#467056000 -b111111111111001000101100010011 " -b111111111111001000101100010011 4 -b10111111111111111101101111110011 1 -b10111111111111111101101111110011 C -b111111111111001000101100010011 0 -b111111111111001000101100010011 H -08 -b1010010100000001010110000011111 < -b10010010011111010011011100110011 2 -b10010010011111010011011100110011 = -b10010010011111010011011100110011 : -b111111111111001000101100010011 $ -b111111111111001000101100010011 - -b111111111111001000101100010011 5 -b111111111111001000101100010011 ? -b111111111111001000101100010011 D -b10101101011111110101001111100000 % -b10101101011111110101001111100000 . -b10101101011111110101001111100000 6 -b10101101011111110101001111100000 @ -b10101101011111110101001111100000 F -b111111111111001000101100010011 ) -#467072000 -0& -#467088000 -b1110010000010 , -#467104000 -1& -#467120000 -b111111 " -b111111 4 -b11111111111111111111101111111110 1 -b11111111111111111111101111111110 C -b111111 0 -b111111 H -09 -18 -b10010010010000000101010010000101 < -b10010001010000000010111101100100 2 -b10010001010000000010111101100100 = -b10010001010000000010111101100100 : -b11111110111111111101101011011110 $ -b11111110111111111101101011011110 - -b11111110111111111101101011011110 5 -b11111110111111111101101011011110 ? -b11111110111111111101101011011110 D -b1101101101111111010101101111010 % -b1101101101111111010101101111010 . -b1101101101111111010101101111010 6 -b1101101101111111010101101111010 @ -b1101101101111111010101101111010 F -b111111 ) -#467136000 -0& -#467152000 -b1110010000011 , -#467168000 -1& -#467184000 -b11101110111110 " -b11101110111110 4 -b11011110111111111011011111101110 1 -b11011110111111111011011111101110 C -b11101110111110 0 -b11101110111110 H -08 -09 -b100101000000000111111010010001 < -b110011111100000011010100111110 2 -b110011111100000011010100111110 = -b110011111100000011010100111110 : -b1110111011111011011010101100 $ -b1110111011111011011010101100 - -b1110111011111011011010101100 5 -b1110111011111011011010101100 ? -b1110111011111011011010101100 D -b11011010111111111000000101101110 % -b11011010111111111000000101101110 . -b11011010111111111000000101101110 6 -b11011010111111111000000101101110 @ -b11011010111111111000000101101110 F -b11101110111110 ) -#467200000 -0& -#467216000 -b1110010000100 , -#467232000 -1& -#467248000 -b11 " -b11 4 -b1111111111011111101111111111111 1 -b1111111111011111101111111111111 C -b11 0 -b11 H -09 -08 -b10101110101101100011011001000010 < -b101110101001011000110110011110 2 -b101110101001011000110110011110 = -b101110101001011000110110011110 : -b1111111111011110101011101011011 $ -b1111111111011110101011101011011 - -b1111111111011110101011101011011 5 -b1111111111011110101011101011011 ? -b1111111111011110101011101011011 D -b1010001010010011100100110111101 % -b1010001010010011100100110111101 . -b1010001010010011100100110111101 6 -b1010001010010011100100110111101 @ -b1010001010010011100100110111101 F -b11 ) -#467264000 -0& -#467280000 -b1110010000101 , -#467296000 -1& -#467312000 -b110101000111 " -b110101000111 4 -b11111101011111111110110111110100 1 -b11111101011111111110110111110100 C -b110101000111 0 -b110101000111 H -19 -18 -b10010010110000001101101110001011 < -b1100111010000001010010100111100 2 -b1100111010000001010010100111100 = -b1100111010000001010010100111100 : -b11010100011111111100100110110000 $ -b11010100011111111100100110110000 - -b11010100011111111100100110110000 5 -b11010100011111111100100110110000 ? -b11010100011111111100100110110000 D -b1101101001111110010010001110100 % -b1101101001111110010010001110100 . -b1101101001111110010010001110100 6 -b1101101001111110010010001110100 @ -b1101101001111110010010001110100 F -b110101000111 ) -#467328000 -0& -#467344000 -b1110010000110 , -#467360000 -1& -#467376000 -b1111110110111011100001 " -b1111110110111011100001 4 -b11111110111111011110111011111111 1 -b11111110111111011110111011111111 C -b1111110110111011100001 0 -b1111110110111011100001 H -08 -19 -b1101010010100001001111110110 < -b10001100001001111101011011110101 2 -b10001100001001111101011011110101 = -b10001100001001111101011011110101 : -b1111110110111011100001011111110 $ -b1111110110111011100001011111110 - -b1111110110111011100001011111110 5 -b1111110110111011100001011111110 ? -b1111110110111011100001011111110 D -b11110010101101011110110000001001 % -b11110010101101011110110000001001 . -b11110010101101011110110000001001 6 -b11110010101101011110110000001001 @ -b11110010101101011110110000001001 F -b1111110110111011100001 ) -#467392000 -0& -#467408000 -b1110010000111 , -#467424000 -1& -#467440000 -b1111101101111100101101101011 " -b1111101101111100101101101011 4 -b1111111111111110111111101011011 1 -b1111111111111110111111101011011 C -b1111101101111100101101101011 0 -b1111101101111100101101101011 H -18 -09 -b10000000101000001000000111111100 < -b11111110010111101101110101010111 2 -b11111110010111101101110101010111 = -b11111110010111101101110101010111 : -b1111101101111100101101101011010 $ -b1111101101111100101101101011010 - -b1111101101111100101101101011010 5 -b1111101101111100101101101011010 ? -b1111101101111100101101101011010 D -b1111111010111110111111000000011 % -b1111111010111110111111000000011 . -b1111111010111110111111000000011 6 -b1111111010111110111111000000011 @ -b1111111010111110111111000000011 F -b1111101101111100101101101011 ) -#467456000 -0& -#467472000 -b1110010001000 , -#467488000 -1& -#467504000 -b11111011111111000111110 " -b11111011111111000111110 4 -b11111111111111110111111110101111 1 -b11111111111111110111111110101111 C -b11111011111111000111110 0 -b11111011111111000111110 H -08 -b1100001000101000011101011000 < -b1001011001000011010011001100111 2 -b1001011001000011010011001100111 = -b1001011001000011010011001100111 : -b111110111111110001111100001110 $ -b111110111111110001111100001110 - -b111110111111110001111100001110 5 -b111110111111110001111100001110 ? -b111110111111110001111100001110 D -b11110011110111010111100010100111 % -b11110011110111010111100010100111 . -b11110011110111010111100010100111 6 -b11110011110111010111100010100111 @ -b11110011110111010111100010100111 F -b11111011111111000111110 ) -#467520000 -0& -#467536000 -b1110010001001 , -#467552000 -1& -#467568000 -b11111 " -b11111 4 -b1111110111111101001111110111001 1 -b1111110111111101001111110111001 C -b11111 0 -b11111 H -18 -b10000111011000110110010011000110 < -b11000110010111011000000101010000 2 -b11000110010111011000000101010000 = -b11000110010111011000000101010000 : -b111110111110100001110010001001 $ -b111110111110100001110010001001 - -b111110111110100001110010001001 5 -b111110111110100001110010001001 ? -b111110111110100001110010001001 D -b1111000100111001001101100111001 % -b1111000100111001001101100111001 . -b1111000100111001001101100111001 6 -b1111000100111001001101100111001 @ -b1111000100111001001101100111001 F -b11111 ) -#467584000 -0& -#467600000 -b1110010001010 , -#467616000 -1& -#467632000 -b110011111111111 " -b110011111111111 4 -b11111111111111110101101111010111 1 -b11111111111111110101101111010111 C -b110011111111111 0 -b110011111111111 H -18 -b110101110110000101110 < -b11010000000110100011010010110110 2 -b11010000000110100011010010110110 = -b11010000000110100011010010110110 : -b11001111111111110100100010000111 $ -b11001111111111110100100010000111 - -b11001111111111110100100010000111 5 -b11001111111111110100100010000111 ? -b11001111111111110100100010000111 D -b11111111111001010001001111010001 % -b11111111111001010001001111010001 . -b11111111111001010001001111010001 6 -b11111111111001010001001111010001 @ -b11111111111001010001001111010001 F -b110011111111111 ) -#467648000 -0& -#467664000 -b1110010001011 , -#467680000 -1& -#467696000 -b110010001101101000000 " -b110010001101101000000 4 -b11111111111111111000111111101111 1 -b11111111111111111000111111101111 C -b110010001101101000000 0 -b110010001101101000000 H -08 -b100000001100000111000100110110 < -b1010010011001101111001011100101 2 -b1010010011001101111001011100101 = -b1010010011001101111001011100101 : -b110010001101101000000110101110 $ -b110010001101101000000110101110 - -b110010001101101000000110101110 5 -b110010001101101000000110101110 ? -b110010001101101000000110101110 D -b11011111110011111000111011001001 % -b11011111110011111000111011001001 . -b11011111110011111000111011001001 6 -b11011111110011111000111011001001 @ -b11011111110011111000111011001001 F -b110010001101101000000 ) -#467712000 -0& -#467728000 -b1110010001100 , -#467744000 -1& -#467760000 -b101111011010101111110001100 " -b101111011010101111110001100 4 -b11011111111111111111110111101110 1 -b11011111111111111111110111101110 C -b101111011010101111110001100 0 -b101111011010101111110001100 H -19 -08 -b100010000000001000101010011011 < -b10000000110101101000001101101010 2 -b10000000110101101000001101101010 = -b10000000110101101000001101101010 : -b1011110110101011111100011001110 $ -b1011110110101011111100011001110 - -b1011110110101011111100011001110 5 -b1011110110101011111100011001110 ? -b1011110110101011111100011001110 D -b11011101111111110111010101100100 % -b11011101111111110111010101100100 . -b11011101111111110111010101100100 6 -b11011101111111110111010101100100 @ -b11011101111111110111010101100100 F -b101111011010101111110001100 ) -#467776000 -0& -#467792000 -b1110010001101 , -#467808000 -1& -#467824000 -b10110001 " -b10110001 4 -b1111101011111011111111100111111 1 -b1111101011111011111111100111111 C -b10110001 0 -b10110001 H -09 -18 -b10000010101000100110001111101001 < -b10101111000010101101111100011001 2 -b10101111000010101101111100011001 = -b10101111000010101101111100011001 : -b101100011010000111101100101111 $ -b101100011010000111101100101111 - -b101100011010000111101100101111 5 -b101100011010000111101100101111 ? -b101100011010000111101100101111 D -b1111101010111011001110000010110 % -b1111101010111011001110000010110 . -b1111101010111011001110000010110 6 -b1111101010111011001110000010110 @ -b1111101010111011001110000010110 F -b10110001 ) -#467840000 -0& -#467856000 -b1110010001110 , -#467872000 -1& -#467888000 -b1011110111011100010000 " -b1011110111011100010000 4 -b11111101110111010101000011111011 1 -b11111101110111010101000011111011 C -b1011110111011100010000 0 -b1011110111011100010000 H -18 -b10011001101110111100110101 < -b11000000010000110010111111110001 2 -b11000000010000110010111111110001 = -b11000000010000110010111111110001 : -b10111101110111000100000010111011 $ -b10111101110111000100000010111011 - -b10111101110111000100000010111011 5 -b10111101110111000100000010111011 ? -b10111101110111000100000010111011 D -b11111101100110010001000011001010 % -b11111101100110010001000011001010 . -b11111101100110010001000011001010 6 -b11111101100110010001000011001010 @ -b11111101100110010001000011001010 F -b1011110111011100010000 ) -#467904000 -0& -#467920000 -b1110010001111 , -#467936000 -1& -#467952000 -1! -b0 " -b0 4 -b1111111111111011011101010111111 1 -b1111111111111011011101010111111 C -b0 0 -b0 H -08 -b10000001000000100110110101000000 < -b111001111010010101101010 2 -b111001111010010101101010 = -1# -b111001111010010101101010 : -b1111111111001010011100000101001 $ -b1111111111001010011100000101001 - -b1111111111001010011100000101001 5 -b1111111111001010011100000101001 ? -b1111111111001010011100000101001 D -b1111110111111011001001010111111 % -b1111110111111011001001010111111 . -b1111110111111011001001010111111 6 -b1111110111111011001001010111111 @ -b1111110111111011001001010111111 F -b0 ) -b1 ( -#467968000 -0& -#467984000 -b1110010010000 , -#468000000 -1& -#468016000 -0! -b111111101111101001000 " -b111111101111101001000 4 -b11111111111111110010111101111111 1 -b11111111111111110010111101111111 C -b111111101111101001000 0 -b111111101111101001000 H -19 -08 -b1000101000010001101000011110101 < -b11000100100001011111000101110101 2 -b11000100100001011111000101110101 = -0# -b11000100100001011111000101110101 : -b1111111011111010010000001111111 $ -b1111111011111010010000001111111 - -b1111111011111010010000001111111 5 -b1111111011111010010000001111111 ? -b1111111011111010010000001111111 D -b10111010111101110010111100001010 % -b10111010111101110010111100001010 . -b10111010111101110010111100001010 6 -b10111010111101110010111100001010 @ -b10111010111101110010111100001010 F -b111111101111101001000 ) -b0 ( -#468032000 -0& -#468048000 -b1110010010001 , -#468064000 -1& -#468080000 -b101011111111 " -b101011111111 4 -b11110111111111111101111111010011 1 -b11110111111111111101111111010011 C -b101011111111 0 -b101011111111 H -09 -08 -b1000000111010010001010101100 < -b1100000000111000111010100111111 2 -b1100000000111000111010100111111 = -b1100000000111000111010100111111 : -b1010111111111110101001010010010 $ -b1010111111111110101001010010010 - -b1010111111111110101001010010010 5 -b1010111111111110101001010010010 ? -b1010111111111110101001010010010 D -b11110111111000101101110101010011 % -b11110111111000101101110101010011 . -b11110111111000101101110101010011 6 -b11110111111000101101110101010011 @ -b11110111111000101101110101010011 F -b101011111111 ) -#468096000 -0& -#468112000 -b1110010010010 , -#468128000 -1& -#468144000 -b10001111 " -b10001111 4 -b10111111111111111111001011111011 1 -b10111111111111111111001011111011 C -b10001111 0 -b10001111 H -19 -18 -b11000000110001001101111110000111 < -b1010000110000101011001001101011 2 -b1010000110000101011001001101011 = -b1010000110000101011001001101011 : -b10001111111111011101001011100011 $ -b10001111111111011101001011100011 - -b10001111111111011101001011100011 5 -b10001111111111011101001011100011 ? -b10001111111111011101001011100011 D -b111111001110110010000001111000 % -b111111001110110010000001111000 . -b111111001110110010000001111000 6 -b111111001110110010000001111000 @ -b111111001110110010000001111000 F -b10001111 ) -#468160000 -0& -#468176000 -b1110010010011 , -#468192000 -1& -#468208000 -b11111100111101110000 " -b11111100111101110000 4 -b11111100111111111101101011011110 1 -b11111100111111111101101011011110 C -b11111100111101110000 0 -b11111100111101110000 H -09 -18 -b11001011000100000010011100110011 < -b11001000000001110011000111000110 2 -b11001000000001110011000111000110 = -b11001000000001110011000111000110 : -b11111100111101110000101010010010 $ -b11111100111101110000101010010010 - -b11111100111101110000101010010010 5 -b11111100111101110000101010010010 ? -b11111100111101110000101010010010 D -b110100111011111101100011001100 % -b110100111011111101100011001100 . -b110100111011111101100011001100 6 -b110100111011111101100011001100 @ -b110100111011111101100011001100 F -b11111100111101110000 ) -#468224000 -0& -#468240000 -b1110010010100 , -#468256000 -1& -#468272000 -b1111011110111 " -b1111011110111 4 -b11111111111111101010001101111011 1 -b11111111111111101010001101111011 C -b1111011110111 0 -b1111011110111 H -08 -b1100100010101111110101101 < -b1111101011100000000001011011001 2 -b1111101011100000000001011011001 = -b1111101011100000000001011011001 : -b1111011110111101010001100101011 $ -b1111011110111101010001100101011 - -b1111011110111101010001100101011 5 -b1111011110111101010001100101011 ? -b1111011110111101010001100101011 D -b11111110011011101010000001010010 % -b11111110011011101010000001010010 . -b11111110011011101010000001010010 6 -b11111110011011101010000001010010 @ -b11111110011011101010000001010010 F -b1111011110111 ) -#468288000 -0& -#468304000 -b1110010010101 , -#468320000 -1& -#468336000 -b11 " -b11 4 -b11111111111101111101111110111111 1 -b11111111111101111101111110111111 C -b11 0 -b11 H -08 -09 -b1101001100110100011001001000001 < -b1101001100100001000110001111111 2 -b1101001100100001000110001111111 = -b1101001100100001000110001111111 : -b11111111111101100101101000111101 $ -b11111111111101100101101000111101 - -b11111111111101100101101000111101 5 -b11111111111101100101101000111101 ? -b11111111111101100101101000111101 D -b10010110011001011100110110111110 % -b10010110011001011100110110111110 . -b10010110011001011100110110111110 6 -b10010110011001011100110110111110 @ -b10010110011001011100110110111110 F -b11 ) -#468352000 -0& -#468368000 -b1110010010110 , -#468384000 -1& -#468400000 -b1111101 " -b1111101 4 -b1111101101111111011111111111000 1 -b1111101101111111011111111111000 C -b1111101 0 -b1111101 H -08 -b10011111011000011110000110000111 < -b11100101000011010000110000000 2 -b11100101000011010000110000000 = -b11100101000011010000110000000 : -b1111101001111111011111111111000 $ -b1111101001111111011111111111000 - -b1111101001111111011111111111000 5 -b1111101001111111011111111111000 ? -b1111101001111111011111111111000 D -b1100000100111100001111001111000 % -b1100000100111100001111001111000 . -b1100000100111100001111001111000 6 -b1100000100111100001111001111000 @ -b1100000100111100001111001111000 F -b1111101 ) -#468416000 -0& -#468432000 -b1110010010111 , -#468448000 -1& -#468464000 -b111100 " -b111100 4 -b11111011111111111110101111111011 1 -b11111011111111111110101111111011 C -b111100 0 -b111100 H -19 -08 -b100100000001000101010011000110 < -b10011110000000100001110110101010 2 -b10011110000000100001110110101010 = -b10011110000000100001110110101010 : -b1111001111111011100100011100011 $ -b1111001111111011100100011100011 - -b1111001111111011100100011100011 5 -b1111001111111011100100011100011 ? -b1111001111111011100100011100011 D -b11011011111110111010101100111001 % -b11011011111110111010101100111001 . -b11011011111110111010101100111001 6 -b11011011111110111010101100111001 @ -b11011011111110111010101100111001 F -b111100 ) -#468480000 -0& -#468496000 -b1110010011000 , -#468512000 -1& -#468528000 -b101111001 " -b101111001 4 -b1111111111111110100111101110110 1 -b1111111111111110100111101110110 C -b101111001 0 -b101111001 H -18 -09 -b10000010000000001011000010001001 < -b11100000011110111011101011010000 2 -b11100000011110111011101011010000 = -b11100000011110111011101011010000 : -b1011110011110110000101001000110 $ -b1011110011110110000101001000110 - -b1011110011110110000101001000110 5 -b1011110011110110000101001000110 ? -b1011110011110110000101001000110 D -b1111101111111110100111101110110 % -b1111101111111110100111101110110 . -b1111101111111110100111101110110 6 -b1111101111111110100111101110110 @ -b1111101111111110100111101110110 F -b101111001 ) -#468544000 -0& -#468560000 -b1110010011001 , -#468576000 -1& -#468592000 -b111000111101110 " -b111000111101110 4 -b1110111111111111111111100111110 1 -b1110111111111111111111100111110 C -b111000111101110 0 -b111000111101110 H -08 -b10101000101000001000001011001111 < -b11010100011110101100111111110 2 -b11010100011110101100111111110 = -b11010100011110101100111111110 : -b1110001111011101101011100101110 $ -b1110001111011101101011100101110 - -b1110001111011101101011100101110 5 -b1110001111011101101011100101110 ? -b1110001111011101101011100101110 D -b1010111010111110111110100110000 % -b1010111010111110111110100110000 . -b1010111010111110111110100110000 6 -b1010111010111110111110100110000 @ -b1010111010111110111110100110000 F -b111000111101110 ) -#468608000 -0& -#468624000 -b1110010011010 , -#468640000 -1& -#468656000 -1! -b0 " -b0 4 -b1011111111111111111101101011111 1 -b1011111111111111111101101011111 C -b0 0 -b0 H -18 -b10100011000000100110011110100000 < -b10110010100111011101101011101111 2 -b10110010100111011101101011101111 = -1# -b10110010100111011101101011101111 : -b1111100110110111001101001110 $ -b1111100110110111001101001110 - -b1111100110110111001101001110 5 -b1111100110110111001101001110 ? -b1111100110110111001101001110 D -b1011100111111011001100001011111 % -b1011100111111011001100001011111 . -b1011100111111011001100001011111 6 -b1011100111111011001100001011111 @ -b1011100111111011001100001011111 F -b0 ) -b1 ( -#468672000 -0& -#468688000 -b1110010011011 , -#468704000 -1& -#468720000 -0! -b11111110111 " -b11111110111 4 -b11111111111111111111111110111101 1 -b11111111111111111111111110111101 C -b11111110111 0 -b11111110111 H -b10001010100011000001001001001010 < -b10001001100010111110100001100111 2 -b10001001100010111110100001100111 = -0# -b10001001100010111110100001100111 : -b11111110111111111101011000011100 $ -b11111110111111111101011000011100 - -b11111110111111111101011000011100 5 -b11111110111111111101011000011100 ? -b11111110111111111101011000011100 D -b1110101011100111110110110110101 % -b1110101011100111110110110110101 . -b1110101011100111110110110110101 6 -b1110101011100111110110110110101 @ -b1110101011100111110110110110101 F -b11111110111 ) -b0 ( -#468736000 -0& -#468752000 -b1110010011100 , -#468768000 -1& -#468784000 -1! -b0 " -b0 4 -b11111111111111111111111101111111 1 -b11111111111111111111111101111111 C -b0 0 -b0 H -08 -09 -b111100001101101110000000 < -b1101011111100001011011010000011 2 -b1101011111100001011011010000011 = -1# -b1101011111100001011011010000011 : -b1101010111111111101101100000010 $ -b1101010111111111101101100000010 - -b1101010111111111101101100000010 5 -b1101010111111111101101100000010 ? -b1101010111111111101101100000010 D -b11111111000011110010010001111111 % -b11111111000011110010010001111111 . -b11111111000011110010010001111111 6 -b11111111000011110010010001111111 @ -b11111111000011110010010001111111 F -b0 ) -b1 ( -#468800000 -0& -#468816000 -b1110010011101 , -#468832000 -1& -#468848000 -0! -b1 " -b1 4 -b1111111111101110111110111011111 1 -b1111111111101110111110111011111 C -b1 0 -b1 H -09 -08 -b10000000100010011011101100100001 < -b100000010011100010111001 2 -b100000010011100010111001 = -0# -b100000010011100010111001 : -b1111111111101110111110110010111 $ -b1111111111101110111110110010111 - -b1111111111101110111110110010111 5 -b1111111111101110111110110010111 ? -b1111111111101110111110110010111 D -b1111111011101100100010011011110 % -b1111111011101100100010011011110 . -b1111111011101100100010011011110 6 -b1111111011101100100010011011110 @ -b1111111011101100100010011011110 F -b1 ) -b0 ( -#468864000 -0& -#468880000 -b1110010011110 , -#468896000 -1& -#468912000 -b1111110110 " -b1111110110 4 -b11111111111110111001111111111111 1 -b11111111111110111001111111111111 C -b1111110110 0 -b1111110110 H -19 -08 -b1000010000101001110000010101010 < -b11000000111011100111101110010101 2 -b11000000111011100111101110010101 = -b11000000111011100111101110010101 : -b1111110110110011001101011101010 $ -b1111110110110011001101011101010 - -b1111110110110011001101011101010 5 -b1111110110110011001101011101010 ? -b1111110110110011001101011101010 D -b10111101111010110001111101010101 % -b10111101111010110001111101010101 . -b10111101111010110001111101010101 6 -b10111101111010110001111101010101 @ -b10111101111010110001111101010101 F -b1111110110 ) -#468928000 -0& -#468944000 -b1110010011111 , -#468960000 -1& -#468976000 -b1111101000111110011001111001 " -b1111101000111110011001111001 4 -b11111111111111111111111111001011 1 -b11111111111111111111111111001011 C -b1111101000111110011001111001 0 -b1111101000111110011001111001 H -b1001000001100011001011111100 < -b10000110001001010110011011001000 2 -b10000110001001010110011011001000 = -b10000110001001010110011011001000 : -b1111101000111110011001111001011 $ -b1111101000111110011001111001011 - -b1111101000111110011001111001011 5 -b1111101000111110011001111001011 ? -b1111101000111110011001111001011 D -b11110110111110011100110100000011 % -b11110110111110011100110100000011 . -b11110110111110011100110100000011 6 -b11110110111110011100110100000011 @ -b11110110111110011100110100000011 F -b1111101000111110011001111001 ) -#468992000 -0& -#469008000 -b1110010100000 , -#469024000 -1& -#469040000 -b1011101110111111001101011111 " -b1011101110111111001101011111 4 -b10111111101111110111111111110101 1 -b10111111101111110111111111110101 C -b1011101110111111001101011111 0 -b1011101110111111001101011111 H -09 -b1011000110010001001010011011011 < -b10100100001111100101011010001 2 -b10100100001111100101011010001 = -b10100100001111100101011010001 : -b10111011101111110011010111110101 $ -b10111011101111110011010111110101 - -b10111011101111110011010111110101 5 -b10111011101111110011010111110101 ? -b10111011101111110011010111110101 D -b10100111001101110110101100100100 % -b10100111001101110110101100100100 . -b10100111001101110110101100100100 6 -b10100111001101110110101100100100 @ -b10100111001101110110101100100100 F -b1011101110111111001101011111 ) -#469056000 -0& -#469072000 -b1110010100001 , -#469088000 -1& -#469104000 -b11111011 " -b11111011 4 -b111111111111110011011111111111 1 -b111111111111110011011111111111 C -b11111011 0 -b11111011 H -18 -b11001000011010101100100000101010 < -b11100111111001011100110101100101 2 -b11100111111001011100110101100101 = -b11100111111001011100110101100101 : -b11111011110110000010100111010 $ -b11111011110110000010100111010 - -b11111011110110000010100111010 5 -b11111011110110000010100111010 ? -b11111011110110000010100111010 D -b110111100101010011011111010101 % -b110111100101010011011111010101 . -b110111100101010011011111010101 6 -b110111100101010011011111010101 @ -b110111100101010011011111010101 F -b11111011 ) -#469120000 -0& -#469136000 -b1110010100010 , -#469152000 -1& -#469168000 -b1001110010101110010001000101 " -b1001110010101110010001000101 4 -b11111101111011111111011101111111 1 -b11111101111011111111011101111111 C -b1001110010101110010001000101 0 -b1001110010101110010001000101 H -18 -b110101100100100110010011011 < -b10100011011000001001000011111011 2 -b10100011011000001001000011111011 = -b10100011011000001001000011111011 : -b10011100101011100100010001011111 $ -b10011100101011100100010001011111 - -b10011100101011100100010001011111 5 -b10011100101011100100010001011111 ? -b10011100101011100100010001011111 D -b11111001010011011011001101100100 % -b11111001010011011011001101100100 . -b11111001010011011011001101100100 6 -b11111001010011011011001101100100 @ -b11111001010011011011001101100100 F -b1001110010101110010001000101 ) -#469184000 -0& -#469200000 -b1110010100011 , -#469216000 -1& -#469232000 -b11111110010111 " -b11111110010111 4 -b11111111111111111101111010110110 1 -b11111111111111111101111010110110 C -b11111110010111 0 -b11111110010111 H -18 -b11000000000000100111001101101101 < -b10111110011000010100110110100100 2 -b10111110011000010100110110100100 = -b10111110011000010100110110100100 : -b11111110010111101101101000110110 $ -b11111110010111101101101000110110 - -b11111110010111101101101000110110 5 -b11111110010111101101101000110110 ? -b11111110010111101101101000110110 D -b111111111111011000110010010010 % -b111111111111011000110010010010 . -b111111111111011000110010010010 6 -b111111111111011000110010010010 @ -b111111111111011000110010010010 F -b11111110010111 ) -#469248000 -0& -#469264000 -b1110010100100 , -#469280000 -1& -#469296000 -b11111100111 " -b11111100111 4 -b11111111111110011101100111111111 1 -b11111111111110011101100111111111 C -b11111100111 0 -b11111100111 H -08 -b100000101101010111001001010 < -b1000001110111011100110101 2 -b1000001110111011100110101 = -b1000001110111011100110101 : -b11111100111100001100100011101010 $ -b11111100111100001100100011101010 - -b11111100111100001100100011101010 5 -b11111100111100001100100011101010 ? -b11111100111100001100100011101010 D -b11111011111010010101000110110101 % -b11111011111010010101000110110101 . -b11111011111010010101000110110101 6 -b11111011111010010101000110110101 @ -b11111011111010010101000110110101 F -b11111100111 ) -#469312000 -0& -#469328000 -b1110010100101 , -#469344000 -1& -#469360000 -b111110010110101001011111 " -b111110010110101001011111 4 -b11111011111111111101111101111010 1 -b11111011111111111101111101111010 C -b111110010110101001011111 0 -b111110010110101001011111 H -08 -b101101000000000111000011110111 < -b100110011010101101000001110010 2 -b100110011010101101000001110010 = -b100110011010101101000001110010 : -b11111001011010100101111101111010 $ -b11111001011010100101111101111010 - -b11111001011010100101111101111010 5 -b11111001011010100101111101111010 ? -b11111001011010100101111101111010 D -b11010010111111111000111100001000 % -b11010010111111111000111100001000 . -b11010010111111111000111100001000 6 -b11010010111111111000111100001000 @ -b11010010111111111000111100001000 F -b111110010110101001011111 ) -#469376000 -0& -#469392000 -b1110010100110 , -#469408000 -1& -#469424000 -b11011 " -b11011 4 -b11011111111111100111111111111111 1 -b11011111111111100111111111111111 C -b11011 0 -b11011 H -18 -b11101000011101011000001100100100 < -b11000001011100111100111010001010 2 -b11000001011100111100111010001010 = -b11000001011100111100111010001010 : -b11011000111111100100101101100101 $ -b11011000111111100100101101100101 - -b11011000111111100100101101100101 5 -b11011000111111100100101101100101 ? -b11011000111111100100101101100101 D -b10111100010100111110011011011 % -b10111100010100111110011011011 . -b10111100010100111110011011011 6 -b10111100010100111110011011011 @ -b10111100010100111110011011011 F -b11011 ) -#469440000 -0& -#469456000 -b1110010100111 , -#469472000 -1& -#469488000 -b11011111100 " -b11011111100 4 -b11011111111111111101111010110110 1 -b11011111111111111101111010110110 C -b11011111100 0 -b11011111100 H -08 -b100000110000000011001101101101 < -b111100101101000001000110010100 2 -b111100101101000001000110010100 = -b111100101101000001000110010100 : -b11011111100111101111000100110 $ -b11011111100111101111000100110 - -b11011111100111101111000100110 5 -b11011111100111101111000100110 ? -b11011111100111101111000100110 D -b11011111001111111100110010010010 % -b11011111001111111100110010010010 . -b11011111001111111100110010010010 6 -b11011111001111111100110010010010 @ -b11011111001111111100110010010010 F -b11011111100 ) -#469504000 -0& -#469520000 -b1110010101000 , -#469536000 -1& -#469552000 -b1011101111001111100101110 " -b1011101111001111100101110 4 -b11111111111111111011111111100111 1 -b11111111111111111011111111100111 C -b1011101111001111100101110 0 -b1011101111001111100101110 H -19 -18 -b10100000000000100100000000111000 < -b1011011110100011101011101011011 2 -b1011011110100011101011101011011 = -b1011011110100011101011101011011 : -b10111011110011111001011100100010 $ -b10111011110011111001011100100010 - -b10111011110011111001011100100010 5 -b10111011110011111001011100100010 ? -b10111011110011111001011100100010 D -b1011111111111011011111111000111 % -b1011111111111011011111111000111 . -b1011111111111011011111111000111 6 -b1011111111111011011111111000111 @ -b1011111111111011011111111000111 F -b1011101111001111100101110 ) -#469568000 -0& -#469584000 -b1110010101001 , -#469600000 -1& -#469616000 -b1011111 " -b1011111 4 -b11111111111111111110111001111101 1 -b11111111111111111110111001111101 C -b1011111 0 -b1011111 H -b10101000010000101111010110100110 < -b1101000001100101101100111010100 2 -b1101000001100101101100111010100 = -b1101000001100101101100111010100 : -b10111111111011111110010000101101 $ -b10111111111011111110010000101101 - -b10111111111011111110010000101101 5 -b10111111111011111110010000101101 ? -b10111111111011111110010000101101 D -b1010111101111010000101001011001 % -b1010111101111010000101001011001 . -b1010111101111010000101001011001 6 -b1010111101111010000101001011001 @ -b1010111101111010000101001011001 F -b1011111 ) -#469632000 -0& -#469648000 -b1110010101010 , -#469664000 -1& -#469680000 -b11110110111010111 " -b11110110111010111 4 -b11111011111101111110101110001110 1 -b11111011111101111110101110001110 C -b11110110111010111 0 -b11110110111010111 H -08 -19 -b110010010000001011011110001 < -b10000001101111100000001001111000 2 -b10000001101111100000001001111000 = -b10000001101111100000001001111000 : -b1111011011101011110101110000110 $ -b1111011011101011110101110000110 - -b1111011011101011110101110000110 5 -b1111011011101011110101110000110 ? -b1111011011101011110101110000110 D -b11111001101101111110100100001110 % -b11111001101101111110100100001110 . -b11111001101101111110100100001110 6 -b11111001101101111110100100001110 @ -b11111001101101111110100100001110 F -b11110110111010111 ) -#469696000 -0& -#469712000 -b1110010101011 , -#469728000 -1& -#469744000 -b101001111011100001001010 " -b101001111011100001001010 4 -b11111111111110110111111111101000 1 -b11111111111110110111111111101000 C -b101001111011100001001010 0 -b101001111011100001001010 H -18 -09 -b100100101001001100001000110111 < -b11001100010111010000110001100000 2 -b11001100010111010000110001100000 = -b11001100010111010000110001100000 : -b10100111101110000100101000101000 $ -b10100111101110000100101000101000 - -b10100111101110000100101000101000 5 -b10100111101110000100101000101000 ? -b10100111101110000100101000101000 D -b11011011010110110011110111001000 % -b11011011010110110011110111001000 . -b11011011010110110011110111001000 6 -b11011011010110110011110111001000 @ -b11011011010110110011110111001000 F -b101001111011100001001010 ) -#469760000 -0& -#469776000 -b1110010101100 , -#469792000 -1& -#469808000 -b1111111110111111110011101010 " -b1111111110111111110011101010 4 -b11111111111111111111111111110111 1 -b11111111111111111111111111110111 C -b1111111110111111110011101010 0 -b1111111110111111110011101010 H -08 -19 -b1000001000100010110001100011100 < -b11000000111100010100101001110010 2 -b11000000111100010100101001110010 = -b11000000111100010100101001110010 : -b1111111110111111110011101010101 $ -b1111111110111111110011101010101 - -b1111111110111111110011101010101 5 -b1111111110111111110011101010101 ? -b1111111110111111110011101010101 D -b10111110111011101001110011100011 % -b10111110111011101001110011100011 . -b10111110111011101001110011100011 6 -b10111110111011101001110011100011 @ -b10111110111011101001110011100011 F -b1111111110111111110011101010 ) -#469824000 -0& -#469840000 -b1110010101101 , -#469856000 -1& -#469872000 -b11111 " -b11111 4 -b11111111111111111100011110111001 1 -b11111111111111111100011110111001 C -b11111 0 -b11111 H -09 -08 -b10000000100111110001000110 < -b1000001100000001100001101111111 2 -b1000001100000001100001101111111 = -b1000001100000001100001101111111 : -b111111011111100100011100111000 $ -b111111011111100100011100111000 - -b111111011111100100011100111000 5 -b111111011111100100011100111000 ? -b111111011111100100011100111000 D -b11111101111111011000001110111001 % -b11111101111111011000001110111001 . -b11111101111111011000001110111001 6 -b11111101111111011000001110111001 @ -b11111101111111011000001110111001 F -b11111 ) -#469888000 -0& -#469904000 -b1110010101110 , -#469920000 -1& -#469936000 -b11011110011111 " -b11011110011111 4 -b1111111011111111111000101111001 1 -b1111111011111111111000101111001 C -b11011110011111 0 -b11011110011111 H -b11000011100100000110111010001110 < -b110010110011111101111011111000 2 -b110010110011111101111011111000 = -b110010110011111101111011111000 : -b1101111001111110111000001101001 $ -b1101111001111110111000001101001 - -b1101111001111110111000001101001 5 -b1101111001111110111000001101001 ? -b1101111001111110111000001101001 D -b111100011011111001000101110001 % -b111100011011111001000101110001 . -b111100011011111001000101110001 6 -b111100011011111001000101110001 @ -b111100011011111001000101110001 F -b11011110011111 ) -#469952000 -0& -#469968000 -b1110010101111 , -#469984000 -1& -#470000000 -b11111111011 " -b11111111011 4 -b11111111111111110111110111111101 1 -b11111111111111110111110111111101 C -b11111111011 0 -b11111111011 H -18 -b10001001000111001001011011101010 < -b10001000100110111100110011100011 2 -b10001000100110111100110011100011 = -b10001000100110111100110011100011 : -b11111111011111110011010111111000 $ -b11111111011111110011010111111000 - -b11111111011111110011010111111000 5 -b11111111011111110011010111111000 ? -b11111111011111110011010111111000 D -b1110110111000110110100100010101 % -b1110110111000110110100100010101 . -b1110110111000110110100100010101 6 -b1110110111000110110100100010101 @ -b1110110111000110110100100010101 F -b11111111011 ) -#470016000 -0& -#470032000 -b1110010110000 , -#470048000 -1& -#470064000 -b11111101100110110101011 " -b11111101100110110101011 4 -b11111111111111111011101111111100 1 -b11111111111111111011101111111100 C -b11111101100110110101011 0 -b11111101100110110101011 H -19 -08 -b100000000001110110010010111 < -b10000010110011101001100001010100 2 -b10000010110011101001100001010100 = -b10000010110011101001100001010100 : -b1111110110011011010101110111100 $ -b1111110110011011010101110111100 - -b1111110110011011010101110111100 5 -b1111110110011011010101110111100 ? -b1111110110011011010101110111100 D -b11111011111111110001001101101000 % -b11111011111111110001001101101000 . -b11111011111111110001001101101000 6 -b11111011111111110001001101101000 @ -b11111011111111110001001101101000 F -b11111101100110110101011 ) -#470080000 -0& -#470096000 -b1110010110001 , -#470112000 -1& -#470128000 -b111011110111111000 " -b111011110111111000 4 -b1111111111111110001011111011101 1 -b1111111111111110001011111011101 C -b111011110111111000 0 -b111011110111111000 H -09 -08 -b10100000100100001110101111110010 < -b11000010011111111111111001000 2 -b11000010011111111111111001000 = -b11000010011111111111111001000 : -b1110111101111110001001111010101 $ -b1110111101111110001001111010101 - -b1110111101111110001001111010101 5 -b1110111101111110001001111010101 ? -b1110111101111110001001111010101 D -b1011111011011110001010000001101 % -b1011111011011110001010000001101 . -b1011111011011110001010000001101 6 -b1011111011011110001010000001101 @ -b1011111011011110001010000001101 F -b111011110111111000 ) -#470144000 -0& -#470160000 -b1110010110010 , -#470176000 -1& -#470192000 -b1100110000100011111000000101111 " -b1100110000100011111000000101111 4 -b11111110101111111111100001111111 1 -b11111110101111111111100001111111 C -b1100110000100011111000000101111 0 -b1100110000100011111000000101111 H -18 -19 -b10000001010000001100011110011110 < -b1001101011001001010011111111101 2 -b1001101011001001010011111111101 = -b1001101011001001010011111111101 : -b11001100001000111110000001011110 $ -b11001100001000111110000001011110 - -b11001100001000111110000001011110 5 -b11001100001000111110000001011110 ? -b11001100001000111110000001011110 D -b1111110101111110011100001100001 % -b1111110101111110011100001100001 . -b1111110101111110011100001100001 6 -b1111110101111110011100001100001 @ -b1111110101111110011100001100001 F -b1100110000100011111000000101111 ) -#470208000 -0& -#470224000 -b1110010110011 , -#470240000 -1& -#470256000 -b10111100011111011011110010 " -b10111100011111011011110010 4 -b11111110111111111111110111000110 1 -b11111110111111111111110111000110 C -b10111100011111011011110010 0 -b10111100011111011011110010 H -09 -18 -b1001010000001001101000111001 < -b11000101101111100101011010111010 2 -b11000101101111100101011010111010 = -b11000101101111100101011010111010 : -b10111100011111011011110010000000 $ -b10111100011111011011110010000000 - -b10111100011111011011110010000000 5 -b10111100011111011011110010000000 ? -b10111100011111011011110010000000 D -b11110110101111110110010111000110 % -b11110110101111110110010111000110 . -b11110110101111110110010111000110 6 -b11110110101111110110010111000110 @ -b11110110101111110110010111000110 F -b10111100011111011011110010 ) -#470272000 -0& -#470288000 -b1110010110100 , -#470304000 -1& -#470320000 -b11011110110 " -b11011110110 4 -b11011111111111111001111111111111 1 -b11011111111111111001111111111111 C -b11011110110 0 -b11011110110 H -b10111100110000100111000001001010 < -b10011011101000001000110110110101 2 -b10011011101000001000110110110101 = -b10011011101000001000110110110101 : -b11011110110111100001110101101010 $ -b11011110110111100001110101101010 - -b11011110110111100001110101101010 5 -b11011110110111100001110101101010 ? -b11011110110111100001110101101010 D -b1000011001111011000111110110101 % -b1000011001111011000111110110101 . -b1000011001111011000111110110101 6 -b1000011001111011000111110110101 @ -b1000011001111011000111110110101 F -b11011110110 ) -#470336000 -0& -#470352000 -b1110010110101 , -#470368000 -1& -#470384000 -b1011011 " -b1011011 4 -b11110111111110101101111111111011 1 -b11110111111110101101111111111011 C -b1011011 0 -b1011011 H -09 -18 -b101000001001011110000001100110 < -b11011111010100001010001101010010 2 -b11011111010100001010001101010010 = -b11011111010100001010001101010010 : -b10110111001010101100001011101011 $ -b10110111001010101100001011101011 - -b10110111001010101100001011101011 5 -b10110111001010101100001011101011 ? -b10110111001010101100001011101011 D -b11010111110110100001111110011001 % -b11010111110110100001111110011001 . -b11010111110110100001111110011001 6 -b11010111110110100001111110011001 @ -b11010111110110100001111110011001 F -b1011011 ) -#470400000 -0& -#470416000 -b1110010110110 , -#470432000 -1& -#470448000 -b1010111101111111100000010001000 " -b1010111101111111100000010001000 4 -b11111111011111111010100110010001 1 -b11111111011111111010100110010001 C -b1010111101111111100000010001000 0 -b1010111101111111100000010001000 H -b100101100001101011001111110 < -b10110100001100000101011110010000 2 -b10110100001100000101011110010000 = -b10110100001100000101011110010000 : -b10101111011111111000000100010001 $ -b10101111011111111000000100010001 - -b10101111011111111000000100010001 5 -b10101111011111111000000100010001 ? -b10101111011111111000000100010001 D -b11111011010011110010100110000001 % -b11111011010011110010100110000001 . -b11111011010011110010100110000001 6 -b11111011010011110010100110000001 @ -b11111011010011110010100110000001 F -b1010111101111111100000010001000 ) -#470464000 -0& -#470480000 -b1110010110111 , -#470496000 -1& -#470512000 -b1110111111110111110011 " -b1110111111110111110011 4 -b11111111111111111111111111001101 1 -b11111111111111111111111111001101 C -b1110111111110111110011 0 -b1110111111110111110011 H -19 -08 -b1000100000000100100011001110110 < -b10111011111111100010110011000011 2 -b10111011111111100010110011000011 = -b10111011111111100010110011000011 : -b1110111111110111110011001001100 $ -b1110111111110111110011001001100 - -b1110111111110111110011001001100 5 -b1110111111110111110011001001100 ? -b1110111111110111110011001001100 D -b10111011111111011011100110001001 % -b10111011111111011011100110001001 . -b10111011111111011011100110001001 6 -b10111011111111011011100110001001 @ -b10111011111111011011100110001001 F -b1110111111110111110011 ) -#470528000 -0& -#470544000 -b1110010111000 , -#470560000 -1& -#470576000 -b1111111011111110001 " -b1111111011111110001 4 -b11111110111111111110011010101101 1 -b11111110111111111110011010101101 C -b1111111011111110001 0 -b1111111011111110001 H -09 -08 -b11100000000011110111110010 < -b10011111100110001010010011 2 -b10011111100110001010010011 = -b10011111100110001010010011 : -b11111110111111100010010010100000 $ -b11111110111111100010010010100000 - -b11111110111111100010010010100000 5 -b11111110111111100010010010100000 ? -b11111110111111100010010010100000 D -b11111100011111111100001000001101 % -b11111100011111111100001000001101 . -b11111100011111111100001000001101 6 -b11111100011111111100001000001101 @ -b11111100011111111100001000001101 F -b1111111011111110001 ) -#470592000 -0& -#470608000 -b1110010111001 , -#470624000 -1& -#470640000 -b11111011110 " -b11111011110 4 -b1111111111111111101101111111111 1 -b1111111111111111101101111111111 C -b11111011110 0 -b11111011110 H -18 -b10011000000110010111010001101101 < -b10110111100101010100011001011011 2 -b10110111100101010100011001011011 = -b10110111100101010100011001011011 : -b11111011110111101000111101101 $ -b11111011110111101000111101101 - -b11111011110111101000111101101 5 -b11111011110111101000111101101 ? -b11111011110111101000111101101 D -b1100111111001101000101110010010 % -b1100111111001101000101110010010 . -b1100111111001101000101110010010 6 -b1100111111001101000101110010010 @ -b1100111111001101000101110010010 F -b11111011110 ) -#470656000 -0& -#470672000 -b1110010111010 , -#470688000 -1& -#470704000 -b1111 " -b1111 4 -b11111111111111111111110100011111 1 -b11111111111111111111110100011111 C -b1111 0 -b1111 H -19 -08 -b10000000000000011111011100100 < -b10001111001010101011101111111001 2 -b10001111001010101011101111111001 = -b10001111001010101011101111111001 : -b1111111001010100111110100010100 $ -b1111111001010100111110100010100 - -b1111111001010100111110100010100 5 -b1111111001010100111110100010100 ? -b1111111001010100111110100010100 D -b11101111111111111100000100011011 % -b11101111111111111100000100011011 . -b11101111111111111100000100011011 6 -b11101111111111111100000100011011 @ -b11101111111111111100000100011011 F -b1111 ) -#470720000 -0& -#470736000 -b1110010111011 , -#470752000 -1& -#470768000 -b11111111101111111 " -b11111111101111111 4 -b11111111101111111100111111011111 1 -b11111111101111111100111111011111 C -b11111111101111111 0 -b11111111101111111 H -09 -18 -b10100101010101001011000000110000 < -b10100101000101000011110011001000 2 -b10100101000101000011110011001000 = -b10100101000101000011110011001000 : -b11111111101111111000110010010111 $ -b11111111101111111000110010010111 - -b11111111101111111000110010010111 5 -b11111111101111111000110010010111 ? -b11111111101111111000110010010111 D -b1011010101010110100111111001111 % -b1011010101010110100111111001111 . -b1011010101010110100111111001111 6 -b1011010101010110100111111001111 @ -b1011010101010110100111111001111 F -b11111111101111111 ) -#470784000 -0& -#470800000 -b1110010111100 , -#470816000 -1& -#470832000 -b1111011101100110000100 " -b1111011101100110000100 4 -b11111111111111111111011111101011 1 -b11111111111111111111011111101011 C -b1111011101100110000100 0 -b1111011101100110000100 H -b10010000000001100001100100110101 < -b10000111011011000010110010011111 2 -b10000111011011000010110010011111 = -b10000111011011000010110010011111 : -b11110111011001100001001101101001 $ -b11110111011001100001001101101001 - -b11110111011001100001001101101001 5 -b11110111011001100001001101101001 ? -b11110111011001100001001101101001 D -b1101111111110011110011011001010 % -b1101111111110011110011011001010 . -b1101111111110011110011011001010 6 -b1101111111110011110011011001010 @ -b1101111111110011110011011001010 F -b1111011101100110000100 ) -#470848000 -0& -#470864000 -b1110010111101 , -#470880000 -1& -#470896000 -b111111110111010011011111000 " -b111111110111010011011111000 4 -b11111111111111101101111101010101 1 -b11111111111111101101111101010101 C -b111111110111010011011111000 0 -b111111110111010011011111000 H -08 -b1101000000010011101010111010 < -b1100011101100001100111001100 2 -b1100011101100001100111001100 = -b1100011101100001100111001100 : -b11111111011101001101111100010001 $ -b11111111011101001101111100010001 - -b11111111011101001101111100010001 5 -b11111111011101001101111100010001 ? -b11111111011101001101111100010001 D -b11110010111111101100010101000101 % -b11110010111111101100010101000101 . -b11110010111111101100010101000101 6 -b11110010111111101100010101000101 @ -b11110010111111101100010101000101 F -b111111110111010011011111000 ) -#470912000 -0& -#470928000 -b1110010111110 , -#470944000 -1& -#470960000 -b111111111100101010101110010110 " -b111111111100101010101110010110 4 -b1111111111111111111111100101101 1 -b1111111111111111111111100101101 C -b111111111100101010101110010110 0 -b111111111100101010101110010110 H -08 -b10001000011000000101000111111110 < -b1000010001011010100100101100 2 -b1000010001011010100100101100 = -b1000010001011010100100101100 : -b1111111111001010101011100101101 $ -b1111111111001010101011100101101 - -b1111111111001010101011100101101 5 -b1111111111001010101011100101101 ? -b1111111111001010101011100101101 D -b1110111100111111010111000000001 % -b1110111100111111010111000000001 . -b1110111100111111010111000000001 6 -b1110111100111111010111000000001 @ -b1110111100111111010111000000001 F -b111111111100101010101110010110 ) -#470976000 -0& -#470992000 -b1110010111111 , -#471008000 -1& -#471024000 -b1111101011011110010000001111 " -b1111101011011110010000001111 4 -b11111111111111101100001011111100 1 -b11111111111111101100001011111100 C -b1111101011011110010000001111 0 -b1111101011011110010000001111 H -18 -b10011010000101010111110100011011 < -b10010100111100111011111000011000 2 -b10010100111100111011111000011000 = -b10010100111100111011111000011000 : -b11111010110111100100000011111100 $ -b11111010110111100100000011111100 - -b11111010110111100100000011111100 5 -b11111010110111100100000011111100 ? -b11111010110111100100000011111100 D -b1100101111010101000001011100100 % -b1100101111010101000001011100100 . -b1100101111010101000001011100100 6 -b1100101111010101000001011100100 @ -b1100101111010101000001011100100 F -b1111101011011110010000001111 ) -#471040000 -0& -#471056000 -b1110011000000 , -#471072000 -1& -#471088000 -b111011111001001110 " -b111011111001001110 4 -b11110111111111011111100111111101 1 -b11110111111111011111100111111101 C -b111011111001001110 0 -b111011111001001110 H -19 -08 -b1011000000010100100011111110010 < -b11001111110101000000100111100011 2 -b11001111110101000000100111100011 = -b11001111110101000000100111100011 : -b1110111110010011100000111110000 $ -b1110111110010011100000111110000 - -b1110111110010011100000111110000 5 -b1110111110010011100000111110000 ? -b1110111110010011100000111110000 D -b10100111111101011011100000001101 % -b10100111111101011011100000001101 . -b10100111111101011011100000001101 6 -b10100111111101011011100000001101 @ -b10100111111101011011100000001101 F -b111011111001001110 ) -#471104000 -0& -#471120000 -b1110011000001 , -#471136000 -1& -#471152000 -b11111111111011101000111110000 " -b11111111111011101000111110000 4 -b1111111111111111101011111100011 1 -b1111111111111111101011111100011 C -b11111111111011101000111110000 0 -b11111111111011101000111110000 H -09 -08 -b10100000001000000010101011011101 < -b100000000101110111001010100001 2 -b100000000101110111001010100001 = -b100000000101110111001010100001 : -b1111111111101110100011111000011 $ -b1111111111101110100011111000011 - -b1111111111101110100011111000011 5 -b1111111111101110100011111000011 ? -b1111111111101110100011111000011 D -b1011111110111111101010100100010 % -b1011111110111111101010100100010 . -b1011111110111111101010100100010 6 -b1011111110111111101010100100010 @ -b1011111110111111101010100100010 F -b11111111111011101000111110000 ) -#471168000 -0& -#471184000 -b1110011000010 , -#471200000 -1& -#471216000 -b11111111101111111110000000000101 " -b11111111101111111110000000000101 4 -b11111111101111111111001100100101 1 -b11111111101111111111001100100101 C -b11111111101111111110000000000101 0 -b11111111101111111110000000000101 H -08 -b1000000011000000000110011011111 < -b1000000000111111110110011100101 2 -b1000000000111111110110011100101 = -b1000000000111111110110011100101 : -b11111111101111111110000000000101 $ -b11111111101111111110000000000101 - -b11111111101111111110000000000101 5 -b11111111101111111110000000000101 ? -b11111111101111111110000000000101 D -b10111111100111111111001100100000 % -b10111111100111111111001100100000 . -b10111111100111111111001100100000 6 -b10111111100111111111001100100000 @ -b10111111100111111111001100100000 F -b11111111101111111110000000000101 ) -#471232000 -0& -#471248000 -b1110011000011 , -#471264000 -1& -#471280000 -b11111011101 " -b11111011101 4 -b11111111111111111111100000110101 1 -b11111111111111111111100000110101 C -b11111011101 0 -b11111011101 H -18 -b100010000110111111001010 < -b11111100010001111110011111011011 2 -b11111100010001111110011111011011 = -b11111100010001111110011111011011 : -b11111011101111110111100000010000 $ -b11111011101111110111100000010000 - -b11111011101111110111100000010000 5 -b11111011101111110111100000010000 ? -b11111011101111110111100000010000 D -b11111111011101111001000000110101 % -b11111111011101111001000000110101 . -b11111111011101111001000000110101 6 -b11111111011101111001000000110101 @ -b11111111011101111001000000110101 F -b11111011101 ) -#471296000 -0& -#471312000 -b1110011000100 , -#471328000 -1& -#471344000 -b11101110111101 " -b11101110111101 4 -b11101111111111110110011111011011 1 -b11101111111111110110011111011011 C -b11101110111101 0 -b11101110111101 H -b10011010110000011001100010101101 < -b10001001101101101011100101111001 2 -b10001001101101101011100101111001 = -b10001001101101101011100101111001 : -b11101110111101010010000011001011 $ -b11101110111101010010000011001011 - -b11101110111101010010000011001011 5 -b11101110111101010010000011001011 ? -b11101110111101010010000011001011 D -b1100101001111100110011101010010 % -b1100101001111100110011101010010 . -b1100101001111100110011101010010 6 -b1100101001111100110011101010010 @ -b1100101001111100110011101010010 F -b11101110111101 ) -#471360000 -0& -#471376000 -b1110011000101 , -#471392000 -1& -#471408000 -b1111011111100110 " -b1111011111100110 4 -b11111011111111111011111111001111 1 -b11111011111111111011111111001111 C -b1111011111100110 0 -b1111011111100110 H -19 -08 -b10101010000100110010000110000 < -b10010001001101011010001000111110 2 -b10010001001101011010001000111110 = -b10010001001101011010001000111110 : -b1111011111100110011111000001101 $ -b1111011111100110011111000001101 - -b1111011111100110011111000001101 5 -b1111011111100110011111000001101 ? -b1111011111100110011111000001101 D -b11101010101111011001101111001111 % -b11101010101111011001101111001111 . -b11101010101111011001101111001111 6 -b11101010101111011001101111001111 @ -b11101010101111011001101111001111 F -b1111011111100110 ) -#471424000 -0& -#471440000 -b1110011000110 , -#471456000 -1& -#471472000 -b1110111010111 " -b1110111010111 4 -b11111111111111110010111110010101 1 -b11111111111111110010111110010101 C -b1110111010111 0 -b1110111010111 H -09 -08 -b10000001011111011011101110 < -b111101101101010001110101110011 2 -b111101101101010001110101110011 = -b111101101101010001110101110011 : -b111011101011110010011010000100 $ -b111011101011110010011010000100 - -b111011101011110010011010000100 5 -b111011101011110010011010000100 ? -b111011101011110010011010000100 D -b11111101111110100000100100010001 % -b11111101111110100000100100010001 . -b11111101111110100000100100010001 6 -b11111101111110100000100100010001 @ -b11111101111110100000100100010001 F -b1110111010111 ) -#471488000 -0& -#471504000 -b1110011000111 , -#471520000 -1& -#471536000 -1! -b0 " -b0 4 -b11111111101011011001111001111111 1 -b11111111101011011001111001111111 C -b0 0 -b0 H -19 -08 -b11001010100100110000110000000 < -b10010100111110101110110110110001 2 -b10010100111110101110110110110001 = -1# -b10010100111110101110110110110001 : -b1111011101010001000110000110000 $ -b1111011101010001000110000110000 - -b1111011101010001000110000110000 5 -b1111011101010001000110000110000 ? -b1111011101010001000110000110000 D -b11100110101011011001111001111111 % -b11100110101011011001111001111111 . -b11100110101011011001111001111111 6 -b11100110101011011001111001111111 @ -b11100110101011011001111001111111 F -b0 ) -b1 ( -#471552000 -0& -#471568000 -b1110011001000 , -#471584000 -1& -#471600000 -0! -b10110110011111110010111 " -b10110110011111110010111 4 -b11110111111111110010111111101001 1 -b11110111111111110010111111101001 C -b10110110011111110010111 0 -b10110110011111110010111 H -18 -09 -b101000000000001101111010110110 < -b11011110100000000000110110100000 2 -b11011110100000000000110110100000 = -0# -b11011110100000000000110110100000 : -b10110110011111110010111011101001 $ -b10110110011111110010111011101001 - -b10110110011111110010111011101001 5 -b10110110011111110010111011101001 ? -b10110110011111110010111011101001 D -b11010111111111110010000101001001 % -b11010111111111110010000101001001 . -b11010111111111110010000101001001 6 -b11010111111111110010000101001001 @ -b11010111111111110010000101001001 F -b10110110011111110010111 ) -b0 ( -#471616000 -0& -#471632000 -b1110011001001 , -#471648000 -1& -#471664000 -1! -b0 " -b0 4 -b11111011111011101010111111111111 1 -b11111011111011101010111111111111 C -b0 0 -b0 H -08 -19 -b1000101100100010101001001100000 < -b10110001011110110111010111010000 2 -b10110001011110110111010111010000 = -1# -b10110001011110110111010111010000 : -b1101011111010100010001101101111 $ -b1101011111010100010001101101111 - -b1101011111010100010001101101111 5 -b1101011111010100010001101101111 ? -b1101011111010100010001101101111 D -b10111010011011101010110110011111 % -b10111010011011101010110110011111 . -b10111010011011101010110110011111 6 -b10111010011011101010110110011111 @ -b10111010011011101010110110011111 F -b0 ) -b1 ( -#471680000 -0& -#471696000 -b1110011001010 , -#471712000 -1& -#471728000 -0! -b1 " -b1 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b1 0 -b1 H -18 -b10010000000100000010000010100000 < -b1010000000100000001001110011000 2 -b1010000000100000001001110011000 = -0# -b1010000000100000001001110011000 : -b10111111111111111111001011110111 $ -b10111111111111111111001011110111 - -b10111111111111111111001011110111 5 -b10111111111111111111001011110111 ? -b10111111111111111111001011110111 D -b1101111111011111101111101011111 % -b1101111111011111101111101011111 . -b1101111111011111101111101011111 6 -b1101111111011111101111101011111 @ -b1101111111011111101111101011111 F -b1 ) -b0 ( -#471744000 -0& -#471760000 -b1110011001011 , -#471776000 -1& -#471792000 -b11110111110011101111 " -b11110111110011101111 4 -b11111111111111111111101111101111 1 -b11111111111111111111101111101111 C -b11110111110011101111 0 -b11110111110011101111 H -08 -19 -b1000100000110001110011110100 < -b10000100011010101001100011011100 2 -b10000100011010101001100011011100 = -b10000100011010101001100011011100 : -b1111011111001110111101111100111 $ -b1111011111001110111101111100111 - -b1111011111001110111101111100111 5 -b1111011111001110111101111100111 ? -b1111011111001110111101111100111 D -b11110111011111001110001100001011 % -b11110111011111001110001100001011 . -b11110111011111001110001100001011 6 -b11110111011111001110001100001011 @ -b11110111011111001110001100001011 F -b11110111110011101111 ) -#471808000 -0& -#471824000 -b1110011001100 , -#471840000 -1& -#471856000 -b111101111111001000000011101 " -b111101111111001000000011101 4 -b11111011111111111100000111011110 1 -b11111011111111111100000111011110 C -b111101111111001000000011101 0 -b111101111111001000000011101 H -b100000110010011111011111011 < -b10000000000100100100000011011010 2 -b10000000000100100100000011011010 = -b10000000000100100100000011011010 : -b1111011111110010000000111011110 $ -b1111011111110010000000111011110 - -b1111011111110010000000111011110 5 -b1111011111110010000000111011110 ? -b1111011111110010000000111011110 D -b11111011111001101100000100000100 % -b11111011111001101100000100000100 . -b11111011111001101100000100000100 6 -b11111011111001101100000100000100 @ -b11111011111001101100000100000100 F -b111101111111001000000011101 ) -#471872000 -0& -#471888000 -b1110011001101 , -#471904000 -1& -#471920000 -b1111011110111111111101100 " -b1111011110111111111101100 4 -b1111101111111111111110110100111 1 -b1111101111111111111110110100111 C -b1111011110111111111101100 0 -b1111011110111111111101100 H -09 -18 -b10000111000010010000101011011010 < -b11000100111110010000100001011101 2 -b11000100111110010000100001011101 = -b11000100111110010000100001011101 : -b111101111011111111110110000010 $ -b111101111011111111110110000010 - -b111101111011111111110110000010 5 -b111101111011111111110110000010 ? -b111101111011111111110110000010 D -b1111000111101101111010100100101 % -b1111000111101101111010100100101 . -b1111000111101101111010100100101 6 -b1111000111101101111010100100101 @ -b1111000111101101111010100100101 F -b1111011110111111111101100 ) -#471936000 -0& -#471952000 -b1110011001110 , -#471968000 -1& -#471984000 -b1 " -b1 4 -b1111101111101110111111100011111 1 -b1111101111101110111111100011111 C -b1 0 -b1 H -08 -b10011110110010011000000011100001 < -b11100100111001100100111110111 2 -b11100100111001100100111110111 = -b11100100111001100100111110111 : -b1111101110100110100100100010101 $ -b1111101110100110100100100010101 - -b1111101110100110100100100010101 5 -b1111101110100110100100100010101 ? -b1111101110100110100100100010101 D -b1100001001101100111111100011110 % -b1100001001101100111111100011110 . -b1100001001101100111111100011110 6 -b1100001001101100111111100011110 @ -b1100001001101100111111100011110 F -b1 ) -#472000000 -0& -#472016000 -b1110011001111 , -#472032000 -1& -#472048000 -b10111110110111111 " -b10111110110111111 4 -b11111111111111111100011111111111 1 -b11111111111111111100011111111111 C -b10111110110111111 0 -b10111110110111111 H -18 -19 -b10100010100101000011100001110000 < -b1100001011100111111110111100100 2 -b1100001011100111111110111100100 = -b1100001011100111111110111100100 : -b10111110110111111100010101110011 $ -b10111110110111111100010101110011 - -b10111110110111111100010101110011 5 -b10111110110111111100010101110011 ? -b10111110110111111100010101110011 D -b1011101011010111100011110001111 % -b1011101011010111100011110001111 . -b1011101011010111100011110001111 6 -b1011101011010111100011110001111 @ -b1011101011010111100011110001111 F -b10111110110111111 ) -#472064000 -0& -#472080000 -b1110011010000 , -#472096000 -1& -#472112000 -b11111001110110011111101000111110 " -b11111001110110011111101000111110 4 -b11111011111111111111111100111110 1 -b11111011111111111111111100111110 C -b11111001110110011111101000111110 0 -b11111001110110011111101000111110 H -09 -18 -b11010101000000001111101011111111 < -b11001110110110101111010100111110 2 -b11001110110110101111010100111110 = -b11001110110110101111010100111110 : -b11111001110110011111101000111110 $ -b11111001110110011111101000111110 - -b11111001110110011111101000111110 5 -b11111001110110011111101000111110 ? -b11111001110110011111101000111110 D -b101010111111110000010100000000 % -b101010111111110000010100000000 . -b101010111111110000010100000000 6 -b101010111111110000010100000000 @ -b101010111111110000010100000000 F -b11111001110110011111101000111110 ) -#472128000 -0& -#472144000 -b1110011010001 , -#472160000 -1& -#472176000 -b101100111111001 " -b101100111111001 4 -b1011011111111010001011001011010 1 -b1011011111111010001011001011010 C -b101100111111001 0 -b101100111111001 H -08 -b11110100110000101110110111101111 < -b1001110101110111111010000111010 2 -b1001110101110111111010000111010 = -b1001110101110111111010000111010 : -b1011001111110010000011001001010 $ -b1011001111110010000011001001010 - -b1011001111110010000011001001010 5 -b1011001111110010000011001001010 ? -b1011001111110010000011001001010 D -b1011001111010001001000010000 % -b1011001111010001001000010000 . -b1011001111010001001000010000 6 -b1011001111010001001000010000 @ -b1011001111010001001000010000 F -b101100111111001 ) -#472192000 -0& -#472208000 -b1110011010010 , -#472224000 -1& -#472240000 -b110110101001111 " -b110110101001111 4 -b11111111100111110010100111111001 1 -b11111111100111110010100111111001 C -b110110101001111 0 -b110110101001111 H -19 -18 -b10000000111100101101111000101110 < -b1011011100100100000011001100111 2 -b1011011100100100000011001100111 = -b1011011100100100000011001100111 : -b11011010100111110010100000111000 $ -b11011010100111110010100000111000 - -b11011010100111110010100000111000 5 -b11011010100111110010100000111000 ? -b11011010100111110010100000111000 D -b1111111000011010010000111010001 % -b1111111000011010010000111010001 . -b1111111000011010010000111010001 6 -b1111111000011010010000111010001 @ -b1111111000011010010000111010001 F -b110110101001111 ) -#472256000 -0& -#472272000 -b1110011010011 , -#472288000 -1& -#472304000 -b11 " -b11 4 -b11111111111111101111110011111111 1 -b11111111111111101111110011111111 C -b11 0 -b11 H -09 -08 -b1000100010110011110100010 < -b1110000010011011100110001000101 2 -b1110000010011011100110001000101 = -b1110000010011011100110001000101 : -b1101111001111000110010010100010 $ -b1101111001111000110010010100010 - -b1101111001111000110010010100010 5 -b1101111001111000110010010100010 ? -b1101111001111000110010010100010 D -b11111110111011101001100001011101 % -b11111110111011101001100001011101 . -b11111110111011101001100001011101 6 -b11111110111011101001100001011101 @ -b11111110111011101001100001011101 F -b11 ) -#472320000 -0& -#472336000 -b1110011010100 , -#472352000 -1& -#472368000 -b11000011111111111 " -b11000011111111111 4 -b11110111111111111101111111111111 1 -b11110111111111111101111111111111 C -b11000011111111111 0 -b11000011111111111 H -b1000011001001011001010010001 < -b1101010011001001001000101100111 2 -b1101010011001001001000101100111 = -b1101010011001001001000101100111 : -b1100001111111111101111011010101 $ -b1100001111111111101111011010101 - -b1100001111111111101111011010101 5 -b1100001111111111101111011010101 ? -b1100001111111111101111011010101 D -b11110111100110110100110101101110 % -b11110111100110110100110101101110 . -b11110111100110110100110101101110 6 -b11110111100110110100110101101110 @ -b11110111100110110100110101101110 F -b11000011111111111 ) -#472384000 -0& -#472400000 -b1110011010101 , -#472416000 -1& -#472432000 -b10011011101100110 " -b10011011101100110 4 -b11111111111110110111110011101111 1 -b11111111111110110111110011101111 C -b10011011101100110 0 -b10011011101100110 H -18 -b10100001011000001101010000 < -b10011110001110001010101110110010 2 -b10011110001110001010101110110010 = -b10011110001110001010101110110010 : -b10011011101100110010100001100001 $ -b10011011101100110010100001100001 - -b10011011101100110010100001100001 5 -b10011011101100110010100001100001 ? -b10011011101100110010100001100001 D -b11111101011110100111110010101111 % -b11111101011110100111110010101111 . -b11111101011110100111110010101111 6 -b11111101011110100111110010101111 @ -b11111101011110100111110010101111 F -b10011011101100110 ) -#472448000 -0& -#472464000 -b1110011010110 , -#472480000 -1& -#472496000 -b11111110011011111001110 " -b11111110011011111001110 4 -b11111111111111111011111110001111 1 -b11111111111111111011111110001111 C -b11111110011011111001110 0 -b11111110011011111001110 H -18 -b10100110000000000101110001110110 < -b10100100011011111111100110000101 2 -b10100100011011111111100110000101 = -b10100100011011111111100110000101 : -b11111110011011111001110100001110 $ -b11111110011011111001110100001110 - -b11111110011011111001110100001110 5 -b11111110011011111001110100001110 ? -b11111110011011111001110100001110 D -b1011001111111111010001110001001 % -b1011001111111111010001110001001 . -b1011001111111111010001110001001 6 -b1011001111111111010001110001001 @ -b1011001111111111010001110001001 F -b11111110011011111001110 ) -#472512000 -0& -#472528000 -b1110011010111 , -#472544000 -1& -#472560000 -b101111011000110110 " -b101111011000110110 4 -b11111111111111111011011111011111 1 -b11111111111111111011011111011111 C -b101111011000110110 0 -b101111011000110110 H -19 -18 -b10000000100001000100100010110001 < -b111110000100011100111010001111 2 -b111110000100011100111010001111 = -b111110000100011100111010001111 : -b10111101100011011000010111011101 $ -b10111101100011011000010111011101 - -b10111101100011011000010111011101 5 -b10111101100011011000010111011101 ? -b10111101100011011000010111011101 D -b1111111011110111011011101001110 % -b1111111011110111011011101001110 . -b1111111011110111011011101001110 6 -b1111111011110111011011101001110 @ -b1111111011110111011011101001110 F -b101111011000110110 ) -#472576000 -0& -#472592000 -b1110011011000 , -#472608000 -1& -#472624000 -1! -b0 " -b0 4 -b1111111111111110111101011111111 1 -b1111111111111110111101011111111 C -b0 0 -b0 H -08 -09 -b10000010101010101000111100100000 < -b10011001011111100101010001 2 -b10011001011111100101010001 = -1# -b10011001011111100101010001 : -b1111111101110110110101000110000 $ -b1111111101110110110101000110000 - -b1111111101110110110101000110000 5 -b1111111101110110110101000110000 ? -b1111111101110110110101000110000 D -b1111101010101010111000011011111 % -b1111101010101010111000011011111 . -b1111101010101010111000011011111 6 -b1111101010101010111000011011111 @ -b1111101010101010111000011011111 F -b0 ) -b1 ( -#472640000 -0& -#472656000 -b1110011011001 , -#472672000 -1& -#472688000 -0! -b1 " -b1 4 -b1111111111101111111111110011110 1 -b1111111111101111111111110011110 C -b1 0 -b1 H -08 -b11000000000110001001010011100001 < -b111000000011100110101101110000 2 -b111000000011100110101101110000 = -0# -b111000000011100110101101110000 : -b1110111111101011101011010001110 $ -b1110111111101011101011010001110 - -b1110111111101011101011010001110 5 -b1110111111101011101011010001110 ? -b1110111111101011101011010001110 D -b111111111001110110101100011110 % -b111111111001110110101100011110 . -b111111111001110110101100011110 6 -b111111111001110110101100011110 @ -b111111111001110110101100011110 F -b1 ) -b0 ( -#472704000 -0& -#472720000 -b1110011011010 , -#472736000 -1& -#472752000 -b11101111011111001 " -b11101111011111001 4 -b11111111111111111111011110001111 1 -b11111111111111111111011110001111 C -b11101111011111001 0 -b11101111011111001 H -18 -b11000000000100000101100011110000 < -b10101111100011010010101101111101 2 -b10101111100011010010101101111101 = -b10101111100011010010101101111101 : -b11101111011111001101001010001100 $ -b11101111011111001101001010001100 - -b11101111011111001101001010001100 5 -b11101111011111001101001010001100 ? -b11101111011111001101001010001100 D -b111111111011111010011100001111 % -b111111111011111010011100001111 . -b111111111011111010011100001111 6 -b111111111011111010011100001111 @ -b111111111011111010011100001111 F -b11101111011111001 ) -#472768000 -0& -#472784000 -b1110011011011 , -#472800000 -1& -#472816000 -b1101110111100101 " -b1101110111100101 4 -b11111111111011111111011001111110 1 -b11111111111011111111011001111110 C -b1101110111100101 0 -b1101110111100101 H -b11011000000100001100100110001111 < -b10110101111101101011101111101110 2 -b10110101111101101011101111101110 = -b10110101111101101011101111101110 : -b11011101111001011111001001011110 $ -b11011101111001011111001001011110 - -b11011101111001011111001001011110 5 -b11011101111001011111001001011110 ? -b11011101111001011111001001011110 D -b100111111011110011011001110000 % -b100111111011110011011001110000 . -b100111111011110011011001110000 6 -b100111111011110011011001110000 @ -b100111111011110011011001110000 F -b1101110111100101 ) -#472832000 -0& -#472848000 -b1110011011100 , -#472864000 -1& -#472880000 -b1 " -b1 4 -b11111111111110101111111011110 1 -b11111111111110101111111011110 C -b1 0 -b1 H -18 -09 -b11100000000000001111000100100011 < -b11110111110111000101000010000010 2 -b11110111110111000101000010000010 = -b11110111110111000101000010000010 : -b10111110110110101111101011110 $ -b10111110110110101111101011110 - -b10111110110110101111101011110 5 -b10111110110110101111101011110 ? -b10111110110110101111101011110 D -b11111111111110000111011011100 % -b11111111111110000111011011100 . -b11111111111110000111011011100 6 -b11111111111110000111011011100 @ -b11111111111110000111011011100 F -b1 ) -#472896000 -0& -#472912000 -b1110011011101 , -#472928000 -1& -#472944000 -b1011011111011111 " -b1011011111011111 4 -b11111111111111111010110011110010 1 -b11111111111111111010110011110010 C -b1011011111011111 0 -b1011011111011111 H -19 -18 -b10000000000100100111001100101111 < -b110111111100011001001111010010 2 -b110111111100011001001111010010 = -b110111111100011001001111010010 : -b10110111110111110010000010100010 $ -b10110111110111110010000010100010 - -b10110111110111110010000010100010 5 -b10110111110111110010000010100010 ? -b10110111110111110010000010100010 D -b1111111111011011000110011010000 % -b1111111111011011000110011010000 . -b1111111111011011000110011010000 6 -b1111111111011011000110011010000 @ -b1111111111011011000110011010000 F -b1011011111011111 ) -#472960000 -0& -#472976000 -b1110011011110 , -#472992000 -1& -#473008000 -b11111111111001110000111101001 " -b11111111111001110000111101001 4 -b11111111111111110100111111101011 1 -b11111111111111110100111111101011 C -b11111111111001110000111101001 0 -b11111111111001110000111101001 H -09 -18 -b10000000101001001011110001011100 < -b10000000100010111100101110100101 2 -b10000000100010111100101110100101 = -b10000000100010111100101110100101 : -b11111111111001110000111101001000 $ -b11111111111001110000111101001000 - -b11111111111001110000111101001000 5 -b11111111111001110000111101001000 ? -b11111111111001110000111101001000 D -b1111111010110110100001110100011 % -b1111111010110110100001110100011 . -b1111111010110110100001110100011 6 -b1111111010110110100001110100011 @ -b1111111010110110100001110100011 F -b11111111111001110000111101001 ) -#473024000 -0& -#473040000 -b1110011011111 , -#473056000 -1& -#473072000 -b101111101000 " -b101111101000 4 -b10111110100011110111111111111110 1 -b10111110100011110111111111111110 C -b101111101000 0 -b101111101000 H -09 -18 -b11011011011100011000000010001011 < -b10011001111101101001110101010110 2 -b10011001111101101001110101010110 = -b10011001111101101001110101010110 : -b10111110100001010001110011001010 $ -b10111110100001010001110011001010 - -b10111110100001010001110011001010 5 -b10111110100001010001110011001010 ? -b10111110100001010001110011001010 D -b100100100011100111111101110100 % -b100100100011100111111101110100 . -b100100100011100111111101110100 6 -b100100100011100111111101110100 @ -b100100100011100111111101110100 F -b101111101000 ) -#473088000 -0& -#473104000 -b1110011100000 , -#473120000 -1& -#473136000 -b110111111 " -b110111111 4 -b11111111111111111111110011111111 1 -b11111111111111111111110011111111 C -b110111111 0 -b110111111 H -19 -18 -b10001001000000101010001101001000 < -b1101000100110100101011111000001 2 -b1101000100110100101011111000001 = -b1101000100110100101011111000001 : -b11011111100101111011010001111000 $ -b11011111100101111011010001111000 - -b11011111100101111011010001111000 5 -b11011111100101111011010001111000 ? -b11011111100101111011010001111000 D -b1110110111111010101110010110111 % -b1110110111111010101110010110111 . -b1110110111111010101110010110111 6 -b1110110111111010101110010110111 @ -b1110110111111010101110010110111 F -b110111111 ) -#473152000 -0& -#473168000 -b1110011100001 , -#473184000 -1& -#473200000 -b111011011001111000100111 " -b111011011001111000100111 4 -b11011111101110111110111011111101 1 -b11011111101110111110111011111101 C -b111011011001111000100111 0 -b111011011001111000100111 H -09 -08 -b111000010001101001000111111010 < -b1010101111110100101011011110100 2 -b1010101111110100101011011110100 = -b1010101111110100101011011110100 : -b11101101100111100010011111001 $ -b11101101100111100010011111001 - -b11101101100111100010011111001 5 -b11101101100111100010011111001 ? -b11101101100111100010011111001 D -b11000111101110010110111000000101 % -b11000111101110010110111000000101 . -b11000111101110010110111000000101 6 -b11000111101110010110111000000101 @ -b11000111101110010110111000000101 F -b111011011001111000100111 ) -#473216000 -0& -#473232000 -b1110011100010 , -#473248000 -1& -#473264000 -b10111101111110011 " -b10111101111110011 4 -b11101111111111110111011101111101 1 -b11101111111111110111011101111101 C -b10111101111110011 0 -b10111101111110011 H -b10000010000001001100010010010 < -b111111101111110000111110101111 2 -b111111101111110000111110101111 = -b111111101111110000111110101111 : -b101111011111100111011100011100 $ -b101111011111100111011100011100 - -b101111011111100111011100011100 5 -b101111011111100111011100011100 ? -b101111011111100111011100011100 D -b11101111101111110110011101101101 % -b11101111101111110110011101101101 . -b11101111101111110110011101101101 6 -b11101111101111110110011101101101 @ -b11101111101111110110011101101101 F -b10111101111110011 ) -#473280000 -0& -#473296000 -b1110011100011 , -#473312000 -1& -#473328000 -b101101111011 " -b101101111011 4 -b11101111111111010011111001110011 1 -b11101111111111010011111001110011 C -b101101111011 0 -b101101111011 H -b110000110010101101010111101101 < -b1011110101101111110101001100001 2 -b1011110101101111110101001100001 = -b1011110101101111110101001100001 : -b101101111011010001010001110011 $ -b101101111011010001010001110011 - -b101101111011010001010001110011 5 -b101101111011010001010001110011 ? -b101101111011010001010001110011 D -b11001111001101010010101000010010 % -b11001111001101010010101000010010 . -b11001111001101010010101000010010 6 -b11001111001101010010101000010010 @ -b11001111001101010010101000010010 F -b101101111011 ) -#473344000 -0& -#473360000 -b1110011100100 , -#473376000 -1& -#473392000 -b1111110 " -b1111110 4 -b1111111111111111111111110111111 1 -b1111111111111111111111110111111 C -b1111110 0 -b1111110 H -09 -08 -b10000100000000000010000101000111 < -b10011000000000011011010111 2 -b10011000000000011011010111 = -b10011000000000011011010111 : -b1111110010111111110010110001111 $ -b1111110010111111110010110001111 - -b1111110010111111110010110001111 5 -b1111110010111111110010110001111 ? -b1111110010111111110010110001111 D -b1111011111111111101111010111000 % -b1111011111111111101111010111000 . -b1111011111111111101111010111000 6 -b1111011111111111101111010111000 @ -b1111011111111111101111010111000 F -b1111110 ) -#473408000 -0& -#473424000 -b1110011100101 , -#473440000 -1& -#473456000 -b111101011001100001 " -b111101011001100001 4 -b1111101111111111101001101101100 1 -b1111101111111111101001101101100 C -b111101011001100001 0 -b111101011001100001 H -18 -b10000110010001100010110010010011 < -b11000011101011000011110110111000 2 -b11000011101011000011110110111000 = -b11000011101011000011110110111000 : -b111101011001100001000100100100 $ -b111101011001100001000100100100 - -b111101011001100001000100100100 5 -b111101011001100001000100100100 ? -b111101011001100001000100100100 D -b1111001101110011101001101101100 % -b1111001101110011101001101101100 . -b1111001101110011101001101101100 6 -b1111001101110011101001101101100 @ -b1111001101110011101001101101100 F -b111101011001100001 ) -#473472000 -0& -#473488000 -b1110011100110 , -#473504000 -1& -#473520000 -b1100011100110111110110110100111 " -b1100011100110111110110110100111 4 -b11110111011111111111101111001111 1 -b11110111011111111111101111001111 C -b1100011100110111110110110100111 0 -b1100011100110111110110110100111 H -19 -18 -b10001111101000001000010101111110 < -b1010110110110000110000011001101 2 -b1010110110110000110000011001101 = -b1010110110110000110000011001101 : -b11000111001101111101101101001110 $ -b11000111001101111101101101001110 - -b11000111001101111101101101001110 5 -b11000111001101111101101101001110 ? -b11000111001101111101101101001110 D -b1110000010111110111101010000001 % -b1110000010111110111101010000001 . -b1110000010111110111101010000001 6 -b1110000010111110111101010000001 @ -b1110000010111110111101010000001 F -b1100011100110111110110110100111 ) -#473536000 -0& -#473552000 -b1110011100111 , -#473568000 -1& -#473584000 -b111 " -b111 4 -b11111111111111111101101001111101 1 -b11111111111111111101101001111101 C -b111 0 -b111 H -19 -18 -b10000000100000001010010110100010 < -b1110111010011000011010111000011 2 -b1110111010011000011010111000011 = -b1110111010011000011010111000011 : -b11110110110010111001000000100000 $ -b11110110110010111001000000100000 - -b11110110110010111001000000100000 5 -b11110110110010111001000000100000 ? -b11110110110010111001000000100000 D -b1111111011111110101101001011101 % -b1111111011111110101101001011101 . -b1111111011111110101101001011101 6 -b1111111011111110101101001011101 @ -b1111111011111110101101001011101 F -b111 ) -#473600000 -0& -#473616000 -b1110011101000 , -#473632000 -1& -#473648000 -b1110111110111110011101100010 " -b1110111110111110011101100010 4 -b11111111101111100111111011100100 1 -b11111111101111100111111011100100 C -b1110111110111110011101100010 0 -b1110111110111110011101100010 H -09 -18 -b10101010010001111011000100011011 < -b10011010000001100010011100111100 2 -b10011010000001100010011100111100 = -b10011010000001100010011100111100 : -b11101111101111100111011000100000 $ -b11101111101111100111011000100000 - -b11101111101111100111011000100000 5 -b11101111101111100111011000100000 ? -b11101111101111100111011000100000 D -b1010101101110000100111011100100 % -b1010101101110000100111011100100 . -b1010101101110000100111011100100 6 -b1010101101110000100111011100100 @ -b1010101101110000100111011100100 F -b1110111110111110011101100010 ) -#473664000 -0& -#473680000 -b1110011101001 , -#473696000 -1& -#473712000 -b110011111 " -b110011111 4 -b11110111110111111011111111111111 1 -b11110111110111111011111111111111 C -b110011111 0 -b110011111 H -19 -08 -b1001000001000101100101010001001 < -b10110000000000010110100101010111 2 -b10110000000000010110100101010111 = -b10110000000000010110100101010111 : -b1100111110111101001111011001101 $ -b1100111110111101001111011001101 - -b1100111110111101001111011001101 5 -b1100111110111101001111011001101 ? -b1100111110111101001111011001101 D -b10110111110111010011010101110110 % -b10110111110111010011010101110110 . -b10110111110111010011010101110110 6 -b10110111110111010011010101110110 @ -b10110111110111010011010101110110 F -b110011111 ) -#473728000 -0& -#473744000 -b1110011101010 , -#473760000 -1& -#473776000 -b11011111 " -b11011111 4 -b11111111111111111101111001011111 1 -b11111111111111111101111001011111 C -b11011111 0 -b11011111 H -18 -b10001010011000000010101110100111 < -b1101010010001110011100111110111 2 -b1101010010001110011100111110111 = -b1101010010001110011100111110111 : -b11011111111001110000111001001111 $ -b11011111111001110000111001001111 - -b11011111111001110000111001001111 5 -b11011111111001110000111001001111 ? -b11011111111001110000111001001111 D -b1110101100111111101010001011000 % -b1110101100111111101010001011000 . -b1110101100111111101010001011000 6 -b1110101100111111101010001011000 @ -b1110101100111111101010001011000 F -b11011111 ) -#473792000 -0& -#473808000 -b1110011101011 , -#473824000 -1& -#473840000 -b10011010101111101011000 " -b10011010101111101011000 4 -b11111111011111111101110111101001 1 -b11111111011111111101110111101001 C -b10011010101111101011000 0 -b10011010101111101011000 H -09 -08 -b1100011000010001010110111 < -b1001110111010110111101101100001 2 -b1001110111010110111101101100001 = -b1001110111010110111101101100001 : -b1001101010111110101100010101001 $ -b1001101010111110101100010101001 - -b1001101010111110101100010101001 5 -b1001101010111110101100010101001 ? -b1001101010111110101100010101001 D -b11111110011100111101110101001000 % -b11111110011100111101110101001000 . -b11111110011100111101110101001000 6 -b11111110011100111101110101001000 @ -b11111110011100111101110101001000 F -b10011010101111101011000 ) -#473856000 -0& -#473872000 -b1110011101100 , -#473888000 -1& -#473904000 -b1011 " -b1011 4 -b11111111111111111011111111111110 1 -b11111111111111111011111111111110 C -b1011 0 -b1011 H -19 -18 -b10000000110110000100000010100011 < -b111001110101111100011001010110 2 -b111001110101111100011001010110 = -b111001110101111100011001010110 : -b10111000111111111000010110110010 $ -b10111000111111111000010110110010 - -b10111000111111111000010110110010 5 -b10111000111111111000010110110010 ? -b10111000111111111000010110110010 D -b1111111001001111011111101011100 % -b1111111001001111011111101011100 . -b1111111001001111011111101011100 6 -b1111111001001111011111101011100 @ -b1111111001001111011111101011100 F -b1011 ) -#473920000 -0& -#473936000 -b1110011101101 , -#473952000 -1& -#473968000 -b110 " -b110 4 -b11101111111111110001111101011101 1 -b11101111111111110001111101011101 C -b110 0 -b110 H -08 -b110001010111011110000110100011 < -b10010111001110101110111010100101 2 -b10010111001110101110111010100101 = -b10010111001110101110111010100101 : -b1100101110111010000110100000001 $ -b1100101110111010000110100000001 - -b1100101110111010000110100000001 5 -b1100101110111010000110100000001 ? -b1100101110111010000110100000001 D -b11001110101000100001111001011100 % -b11001110101000100001111001011100 . -b11001110101000100001111001011100 6 -b11001110101000100001111001011100 @ -b11001110101000100001111001011100 F -b110 ) -#473984000 -0& -#474000000 -b1110011101110 , -#474016000 -1& -#474032000 -b110110 " -b110110 4 -b1111101111111001111011111011001 1 -b1111101111111001111011111011001 C -b110110 0 -b110110 H -18 -09 -b10000010000100111110101001100110 < -b11101111100011001101110100101111 2 -b11101111100011001101110100101111 = -b11101111100011001101110100101111 : -b1101101011110001111001011001000 $ -b1101101011110001111001011001000 - -b1101101011110001111001011001000 5 -b1101101011110001111001011001000 ? -b1101101011110001111001011001000 D -b1111101111011000001010110011001 % -b1111101111011000001010110011001 . -b1111101111011000001010110011001 6 -b1111101111011000001010110011001 @ -b1111101111011000001010110011001 F -b110110 ) -#474048000 -0& -#474064000 -b1110011101111 , -#474080000 -1& -#474096000 -b1111111 " -b1111111 4 -b1111111111111111010011111110111 1 -b1111111111111111010011111110111 C -b1111111 0 -b1111111 H -b10000100000010001101100010101000 < -b11000011110000101000000010001111 2 -b11000011110000101000000010001111 = -b11000011110000101000000010001111 : -b111111101110011010011111100110 $ -b111111101110011010011111100110 - -b111111101110011010011111100110 5 -b111111101110011010011111100110 ? -b111111101110011010011111100110 D -b1111011111101110010011101010111 % -b1111011111101110010011101010111 . -b1111011111101110010011101010111 6 -b1111011111101110010011101010111 @ -b1111011111101110010011101010111 F -b1111111 ) -#474112000 -0& -#474128000 -b1110011110000 , -#474144000 -1& -#474160000 -b11111111 " -b11111111 4 -b11111111111111111101111111111001 1 -b11111111111111111101111111111001 C -b11111111 0 -b11111111 H -08 -b1001010100011000010000101000111 < -b1001010011010011110111010010001 2 -b1001010011010011110111010010001 = -b1001010011010011110111010010001 : -b11111111110111011100110101001001 $ -b11111111110111011100110101001001 - -b11111111110111011100110101001001 5 -b11111111110111011100110101001001 ? -b11111111110111011100110101001001 D -b10110101011100111101111010111000 % -b10110101011100111101111010111000 . -b10110101011100111101111010111000 6 -b10110101011100111101111010111000 @ -b10110101011100111101111010111000 F -b11111111 ) -#474176000 -0& -#474192000 -b1110011110001 , -#474208000 -1& -#474224000 -1! -b0 " -b0 4 -b1111111111111111111101110111110 1 -b1111111111111111111101110111110 C -b0 0 -b0 H -18 -b10011000000100100010111101100001 < -b10110101100001010101101100011010 2 -b10110101100001010101101100011010 = -1# -b10110101100001010101101100011010 : -b11101011100110010101110111000 $ -b11101011100110010101110111000 - -b11101011100110010101110111000 5 -b11101011100110010101110111000 ? -b11101011100110010101110111000 D -b1100111111011011101000010011110 % -b1100111111011011101000010011110 . -b1100111111011011101000010011110 6 -b1100111111011011101000010011110 @ -b1100111111011011101000010011110 F -b0 ) -b1 ( -#474240000 -0& -#474256000 -b1110011110010 , -#474272000 -1& -#474288000 -0! -b11111110111101110101101001101 " -b11111110111101110101101001101 4 -b11111111111111111110111111110111 1 -b11111111111111111110111111110111 C -b11111110111101110101101001101 0 -b11111110111101110101101001101 H -19 -08 -b10000000000011011110000011101 < -b10001111011111010110100101010011 2 -b10001111011111010110100101010011 = -0# -b10001111011111010110100101010011 : -b1111111011110111010110100110101 $ -b1111111011110111010110100110101 - -b1111111011110111010110100110101 5 -b1111111011110111010110100110101 ? -b1111111011110111010110100110101 D -b11101111111111100100001111100010 % -b11101111111111100100001111100010 . -b11101111111111100100001111100010 6 -b11101111111111100100001111100010 @ -b11101111111111100100001111100010 F -b11111110111101110101101001101 ) -b0 ( -#474304000 -0& -#474320000 -b1110011110011 , -#474336000 -1& -#474352000 -b110011111101111100100101 " -b110011111101111100100101 4 -b11111111111111110010111101101000 1 -b11111111111111110010111101101000 C -b110011111101111100100101 0 -b110011111101111100100101 H -18 -09 -b10000001011101000110010111 < -b11010001111001001111011011111000 2 -b11010001111001001111011011111000 = -b11010001111001001111011011111000 : -b11001111110111110010010101100000 $ -b11001111110111110010010101100000 - -b11001111110111110010010101100000 5 -b11001111110111110010010101100000 ? -b11001111110111110010010101100000 D -b11111101111110100010111001101000 % -b11111101111110100010111001101000 . -b11111101111110100010111001101000 6 -b11111101111110100010111001101000 @ -b11111101111110100010111001101000 F -b110011111101111100100101 ) -#474368000 -0& -#474384000 -b1110011110100 , -#474400000 -1& -#474416000 -b1111101101101101000100 " -b1111101101101101000100 4 -b11111111111111110001111010101010 1 -b11111111111111110001111010101010 C -b1111101101101101000100 0 -b1111101101101101000100 H -08 -b1100001001000001110000101010101 < -b1011100100011011111001101111000 2 -b1011100100011011111001101111000 = -b1011100100011011111001101111000 : -b11111011011011010001001000100010 $ -b11111011011011010001001000100010 - -b11111011011011010001001000100010 5 -b11111011011011010001001000100010 ? -b11111011011011010001001000100010 D -b10011110110111110001111010101010 % -b10011110110111110001111010101010 . -b10011110110111110001111010101010 6 -b10011110110111110001111010101010 @ -b10011110110111110001111010101010 F -b1111101101101101000100 ) -#474432000 -0& -#474448000 -b1110011110101 , -#474464000 -1& -#474480000 -b111111 " -b111111 4 -b11111111111111101111011111011001 1 -b11111111111111101111011111011001 C -b111111 0 -b111111 H -19 -08 -b1100000000000011101101110100110 < -b11011111000000001011001100110000 2 -b11011111000000001011001100110000 = -b11011111000000001011001100110000 : -b1111110111111101101011110001001 $ -b1111110111111101101011110001001 - -b1111110111111101101011110001001 5 -b1111110111111101101011110001001 ? -b1111110111111101101011110001001 D -b10011111111111100010010001011001 % -b10011111111111100010010001011001 . -b10011111111111100010010001011001 6 -b10011111111111100010010001011001 @ -b10011111111111100010010001011001 F -b111111 ) -#474496000 -0& -#474512000 -b1110011110110 , -#474528000 -1& -#474544000 -b1 " -b1 4 -b11011111111111111111111111111110 1 -b11011111111111111111111111111110 C -b1 0 -b1 H -09 -08 -b100000100110001011001111000001 < -b1111100100101110110101110001010 2 -b1111100100101110110101110001010 = -b1111100100101110110101110001010 : -b1011011111111101011011111001000 $ -b1011011111111101011011111001000 - -b1011011111111101011011111001000 5 -b1011011111111101011011111001000 ? -b1011011111111101011011111001000 D -b11011111011001110100110000111110 % -b11011111011001110100110000111110 . -b11011111011001110100110000111110 6 -b11011111011001110100110000111110 @ -b11011111011001110100110000111110 F -b1 ) -#474560000 -0& -#474576000 -b1110011110111 , -#474592000 -1& -#474608000 -b111110111010110010110111 " -b111110111010110010110111 4 -b1111111111111111101101111111111 1 -b1111111111111111101101111111111 C -b111110111010110010110111 0 -b111110111010110010110111 H -09 -08 -b11011001000001100111110100111000 < -b1010110110111001101100100110001 2 -b1010110110111001101100100110001 = -b1010110110111001101100100110001 : -b1111101110101100101101111111000 $ -b1111101110101100101101111111000 - -b1111101110101100101101111111000 5 -b1111101110101100101101111111000 ? -b1111101110101100101101111111000 D -b100110111110011000001011000111 % -b100110111110011000001011000111 . -b100110111110011000001011000111 6 -b100110111110011000001011000111 @ -b100110111110011000001011000111 F -b111110111010110010110111 ) -#474624000 -0& -#474640000 -b1110011111000 , -#474656000 -1& -#474672000 -b1111101001111 " -b1111101001111 4 -b11111011111111110111101111110111 1 -b11111011111111110111101111110111 C -b1111101001111 0 -b1111101001111 H -08 -b110110010100101000110010001100 < -b110000110100011100100001010001 2 -b110000110100011100100001010001 = -b110000110100011100100001010001 : -b11111010011111110011101111000100 $ -b11111010011111110011101111000100 - -b11111010011111110011101111000100 5 -b11111010011111110011101111000100 ? -b11111010011111110011101111000100 D -b11001001101011010111001101110011 % -b11001001101011010111001101110011 . -b11001001101011010111001101110011 6 -b11001001101011010111001101110011 @ -b11001001101011010111001101110011 F -b1111101001111 ) -#474688000 -0& -#474704000 -b1110011111001 , -#474720000 -1& -#474736000 -b110111111111110100001010000 " -b110111111111110100001010000 4 -b11011111111111010001111001101101 1 -b11011111111111010001111001101101 C -b110111111111110100001010000 0 -b110111111111110100001010000 H -18 -b10100111000110101110001110011010 < -b10000111000101111110110110101000 2 -b10000111000101111110110110101000 = -b10000111000101111110110110101000 : -b11011111111111010000101000001101 $ -b11011111111111010000101000001101 - -b11011111111111010000101000001101 5 -b11011111111111010000101000001101 ? -b11011111111111010000101000001101 D -b1011000111001010001110001100101 % -b1011000111001010001110001100101 . -b1011000111001010001110001100101 6 -b1011000111001010001110001100101 @ -b1011000111001010001110001100101 F -b110111111111110100001010000 ) -#474752000 -0& -#474768000 -b1110011111010 , -#474784000 -1& -#474800000 -b101110101111111111101010010 " -b101110101111111111101010010 4 -b1111111111111111111110101100100 1 -b1111111111111111111110101100100 C -b101110101111111111101010010 0 -b101110101111111111101010010 H -08 -b11010000000000001011001110111011 < -b101101100000001010100011011100 2 -b101101100000001010100011011100 = -b101101100000001010100011011100 : -b1011101011111111111010100100000 $ -b1011101011111111111010100100000 - -b1011101011111111111010100100000 5 -b1011101011111111111010100100000 ? -b1011101011111111111010100100000 D -b101111111111110100110001000100 % -b101111111111110100110001000100 . -b101111111111110100110001000100 6 -b101111111111110100110001000100 @ -b101111111111110100110001000100 F -b101110101111111111101010010 ) -#474816000 -0& -#474832000 -b1110011111011 , -#474848000 -1& -#474864000 -b10111111011100111110110 " -b10111111011100111110110 4 -b1111111111111111111011110111010 1 -b1111111111111111111011110111010 C -b10111111011100111110110 0 -b10111111011100111110110 H -18 -b10001010000000000001111001010111 < -b11101001101110100001010001110010 2 -b11101001101110100001010001110010 = -b11101001101110100001010001110010 : -b1011111101110011111011000011010 $ -b1011111101110011111011000011010 - -b1011111101110011111011000011010 5 -b1011111101110011111011000011010 ? -b1011111101110011111011000011010 D -b1110101111111111110000110101000 % -b1110101111111111110000110101000 . -b1110101111111111110000110101000 6 -b1110101111111111110000110101000 @ -b1110101111111111110000110101000 F -b10111111011100111110110 ) -#474880000 -0& -#474896000 -b1110011111100 , -#474912000 -1& -#474928000 -b111111110011011010 " -b111111110011011010 4 -b11111111111101111010110111101110 1 -b11111111111101111010110111101110 C -b111111110011011010 0 -b111111110011011010 H -08 -b10011001110000101001011110001 < -b10010011011101101101111011000 2 -b10010011011101101101111011000 = -b10010011011101101101111011000 : -b11111111001101101000100011100110 $ -b11111111001101101000100011100110 - -b11111111001101101000100011100110 5 -b11111111001101101000100011100110 ? -b11111111001101101000100011100110 D -b11101100110001111010110100001110 % -b11101100110001111010110100001110 . -b11101100110001111010110100001110 6 -b11101100110001111010110100001110 @ -b11101100110001111010110100001110 F -b111111110011011010 ) -#474944000 -0& -#474960000 -b1110011111101 , -#474976000 -1& -#474992000 -b1110111111101 " -b1110111111101 4 -b11111111111111111111100011110011 1 -b11111111111111111111100011110011 C -b1110111111101 0 -b1110111111101 H -18 -19 -b10001010100000111000011101101100 < -b1111010011100110101111111010000 2 -b1111010011100110101111111010000 = -b1111010011100110101111111010000 : -b11101111111011111101100001100011 $ -b11101111111011111101100001100011 - -b11101111111011111101100001100011 5 -b11101111111011111101100001100011 ? -b11101111111011111101100001100011 D -b1110101011111000111100010010011 % -b1110101011111000111100010010011 . -b1110101011111000111100010010011 6 -b1110101011111000111100010010011 @ -b1110101011111000111100010010011 F -b1110111111101 ) -#475008000 -0& -#475024000 -b1110011111110 , -#475040000 -1& -#475056000 -b1111111111110110 " -b1111111111110110 4 -b11111111111111111010111111111111 1 -b11111111111111111010111111111111 C -b1111111111110110 0 -b1111111111110110 H -08 -19 -b10000011000110101101110110000 < -b10010000010111101000101110101010 2 -b10010000010111101000101110101010 = -b10010000010111101000101110101010 : -b1111111111110110010111111111001 $ -b1111111111110110010111111111001 - -b1111111111110110010111111111001 5 -b1111111111110110010111111111001 ? -b1111111111110110010111111111001 D -b11101111100111001010010001001111 % -b11101111100111001010010001001111 . -b11101111100111001010010001001111 6 -b11101111100111001010010001001111 @ -b11101111100111001010010001001111 F -b1111111111110110 ) -#475072000 -0& -#475088000 -b1110011111111 , -#475104000 -1& -#475120000 -b1010110111011000110110100 " -b1010110111011000110110100 4 -b11111111111111010111110110100111 1 -b11111111111111010111110110100111 C -b1010110111011000110110100 0 -b1010110111011000110110100 H -09 -08 -b110100000101100001001011001 < -b1011101011011110010111101100001 2 -b1011101011011110010111101100001 = -b1011101011011110010111101100001 : -b1010110111011000110110100000111 $ -b1010110111011000110110100000111 - -b1010110111011000110110100000111 5 -b1010110111011000110110100000111 ? -b1010110111011000110110100000111 D -b11111001011111010011110110100110 % -b11111001011111010011110110100110 . -b11111001011111010011110110100110 6 -b11111001011111010011110110100110 @ -b11111001011111010011110110100110 F -b1010110111011000110110100 ) -#475136000 -0& -#475152000 -b1110100000000 , -#475168000 -1& -#475184000 -b11111110111101001101111 " -b11111110111101001101111 4 -b1111111111111101111111101101000 1 -b1111111111111101111111101101000 C -b11111110111101001101111 0 -b11111110111101001101111 H -09 -08 -b10100000000000010010001011110111 < -b11111011110111001001001100000 2 -b11111011110111001001001100000 = -b11111011110111001001001100000 : -b1111111011110100110111101101000 $ -b1111111011110100110111101101000 - -b1111111011110100110111101101000 5 -b1111111011110100110111101101000 ? -b1111111011110100110111101101000 D -b1011111111111101101110100001000 % -b1011111111111101101110100001000 . -b1011111111111101101110100001000 6 -b1011111111111101101110100001000 @ -b1011111111111101101110100001000 F -b11111110111101001101111 ) -#475200000 -0& -#475216000 -b1110100000001 , -#475232000 -1& -#475248000 -b10001011111111101101000011 " -b10001011111111101101000011 4 -b11100111111111110110101101100101 1 -b11100111111111110110101101100101 C -b10001011111111101101000011 0 -b10001011111111101101000011 H -08 -b11001110000001111010011111010 < -b1011111110000000101110101100000 2 -b1011111110000000101110101100000 = -b1011111110000000101110101100000 : -b1000101111111110110100001100101 $ -b1000101111111110110100001100101 - -b1000101111111110110100001100101 5 -b1000101111111110110100001100101 ? -b1000101111111110110100001100101 D -b11100110001111110000101100000101 % -b11100110001111110000101100000101 . -b11100110001111110000101100000101 6 -b11100110001111110000101100000101 @ -b11100110001111110000101100000101 F -b10001011111111101101000011 ) -#475264000 -0& -#475280000 -b1110100000010 , -#475296000 -1& -#475312000 -b11 " -b11 4 -b1111111111111111111111101111101 1 -b1111111111111111111111101111101 C -b11 0 -b11 H -09 -08 -b11101000101000000110001010100010 < -b1101000100101011110000100010011 2 -b1101000100101011110000100010011 = -b1101000100101011110000100010011 : -b1111111111101010111111001110000 $ -b1111111111101010111111001110000 - -b1111111111101010111111001110000 5 -b1111111111101010111111001110000 ? -b1111111111101010111111001110000 D -b10111010111111001110101011101 % -b10111010111111001110101011101 . -b10111010111111001110101011101 6 -b10111010111111001110101011101 @ -b10111010111111001110101011101 F -b11 ) -#475328000 -0& -#475344000 -b1110100000011 , -#475360000 -1& -#475376000 -b1001 " -b1001 4 -b1111111111111111111001011111111 1 -b1111111111111111111001011111111 C -b1001 0 -b1001 H -18 -b10000000000100001110111110000100 < -b11001111110100001101001000001100 2 -b11001111110100001101001000001100 = -b11001111110100001101001000001100 : -b1001111101111111110001010000111 $ -b1001111101111111110001010000111 - -b1001111101111111110001010000111 5 -b1001111101111111110001010000111 ? -b1001111101111111110001010000111 D -b1111111111011110001000001111011 % -b1111111111011110001000001111011 . -b1111111111011110001000001111011 6 -b1111111111011110001000001111011 @ -b1111111111011110001000001111011 F -b1001 ) -#475392000 -0& -#475408000 -b1110100000100 , -#475424000 -1& -#475440000 -b11100111111110011100 " -b11100111111110011100 4 -b1111111111111110111010111101010 1 -b1111111111111110111010111101010 C -b11100111111110011100 0 -b11100111111110011100 H -b10001000110010101000101010010101 < -b11000010110010001111110001000000 2 -b11000010110010001111110001000000 = -b11000010110010001111110001000000 : -b111001111111100111000110101010 $ -b111001111111100111000110101010 - -b111001111111100111000110101010 5 -b111001111111100111000110101010 ? -b111001111111100111000110101010 D -b1110111001101010111010101101010 % -b1110111001101010111010101101010 . -b1110111001101010111010101101010 6 -b1110111001101010111010101101010 @ -b1110111001101010111010101101010 F -b11100111111110011100 ) -#475456000 -0& -#475472000 -b1110100000101 , -#475488000 -1& -#475504000 -b111011000101 " -b111011000101 4 -b11111101111111111111111111111110 1 -b11111101111111111111111111111110 C -b111011000101 0 -b111011000101 H -18 -b10000000010001000000001011 < -b11101110010110001010011001001010 2 -b11101110010110001010011001001010 = -b11101110010110001010011001001010 : -b11101100010101111001011000111110 $ -b11101100010101111001011000111110 - -b11101100010101111001011000111110 5 -b11101100010101111001011000111110 ? -b11101100010101111001011000111110 D -b11111101111111101110111111110100 % -b11111101111111101110111111110100 . -b11111101111111101110111111110100 6 -b11111101111111101110111111110100 @ -b11111101111111101110111111110100 F -b111011000101 ) -#475520000 -0& -#475536000 -b1110100000110 , -#475552000 -1& -#475568000 -b1010101111111 " -b1010101111111 4 -b10101111111111111111111110111011 1 -b10101111111111111111111110111011 C -b1010101111111 0 -b1010101111111 H -b11011000000101010100110001001100 < -b10000100000100101010101011101000 2 -b10000100000100101010101011101000 = -b10000100000100101010101011101000 : -b10101011111111010101111010011011 $ -b10101011111111010101111010011011 - -b10101011111111010101111010011011 5 -b10101011111111010101111010011011 ? -b10101011111111010101111010011011 D -b100111111010101011001110110011 % -b100111111010101011001110110011 . -b100111111010101011001110110011 6 -b100111111010101011001110110011 @ -b100111111010101011001110110011 F -b1010101111111 ) -#475584000 -0& -#475600000 -b1110100000111 , -#475616000 -1& -#475632000 -b10111111011111000001111110 " -b10111111011111000001111110 4 -b10111111111111110101111111000110 1 -b10111111111111110101111111000110 C -b10111111011111000001111110 0 -b10111111011111000001111110 H -19 -18 -b11000000010011001010010100111001 < -b1111111110010001100010010111110 2 -b1111111110010001100010010111110 = -b1111111110010001100010010111110 : -b10111111011111000001111110000100 $ -b10111111011111000001111110000100 - -b10111111011111000001111110000100 5 -b10111111011111000001111110000100 ? -b10111111011111000001111110000100 D -b111111101100110101101011000110 % -b111111101100110101101011000110 . -b111111101100110101101011000110 6 -b111111101100110101101011000110 @ -b111111101100110101101011000110 F -b10111111011111000001111110 ) -#475648000 -0& -#475664000 -b1110100001000 , -#475680000 -1& -#475696000 -b1111110 " -b1111110 4 -b11111101111110110101110110111101 1 -b11111101111110110101110110111101 C -b1111110 0 -b1111110 H -09 -18 -b11100011000001001110001001000110 < -b11100000101111010011011101100100 2 -b11100000101111010011011101100100 = -b11100000101111010011011101100100 : -b11111101101110000101010100011101 $ -b11111101101110000101010100011101 - -b11111101101110000101010100011101 5 -b11111101101110000101010100011101 ? -b11111101101110000101010100011101 D -b11100111110110001110110111001 % -b11100111110110001110110111001 . -b11100111110110001110110111001 6 -b11100111110110001110110111001 @ -b11100111110110001110110111001 F -b1111110 ) -#475712000 -0& -#475728000 -b1110100001001 , -#475744000 -1& -#475760000 -1! -b0 " -b0 4 -b1111111111111111101011110011111 1 -b1111111111111111101011110011111 C -b0 0 -b0 H -08 -b10100000000001001010100001100000 < -b1011001111000011111111110011 2 -b1011001111000011111111110011 = -1# -b1011001111000011111111110011 : -b1101011001101111001011110010010 $ -b1101011001101111001011110010010 - -b1101011001101111001011110010010 5 -b1101011001101111001011110010010 ? -b1101011001101111001011110010010 D -b1011111111110110101011110011111 % -b1011111111110110101011110011111 . -b1011111111110110101011110011111 6 -b1011111111110110101011110011111 @ -b1011111111110110101011110011111 F -b0 ) -b1 ( -#475776000 -0& -#475792000 -b1110100001010 , -#475808000 -1& -#475824000 -0! -b1011101010011110010111111 " -b1011101010011110010111111 4 -b11111111110111100111111111010111 1 -b11111111110111100111111111010111 C -b1011101010011110010111111 0 -b1011101010011110010111111 H -18 -19 -b10000000001010111000000110111000 < -b111010110010011110000101001001 2 -b111010110010011110000101001001 = -0# -b111010110010011110000101001001 : -b10111010100111100101111110010000 $ -b10111010100111100101111110010000 - -b10111010100111100101111110010000 5 -b10111010100111100101111110010000 ? -b10111010100111100101111110010000 D -b1111111110101000111111001000111 % -b1111111110101000111111001000111 . -b1111111110101000111111001000111 6 -b1111111110101000111111001000111 @ -b1111111110101000111111001000111 F -b1011101010011110010111111 ) -b0 ( -#475840000 -0& -#475856000 -b1110100001011 , -#475872000 -1& -#475888000 -b111101100111100100011010011 " -b111101100111100100011010011 4 -b11111111111111110001101101110111 1 -b11111111111111110001101101110111 C -b111101100111100100011010011 0 -b111101100111100100011010011 H -b10000000001000001110111011111010 < -b1110110100110100000100101101101 2 -b1110110100110100000100101101101 = -b1110110100110100000100101101101 : -b11110110011110010001101001110010 $ -b11110110011110010001101001110010 - -b11110110011110010001101001110010 5 -b11110110011110010001101001110010 ? -b11110110011110010001101001110010 D -b1111111110111110001000100000101 % -b1111111110111110001000100000101 . -b1111111110111110001000100000101 6 -b1111111110111110001000100000101 @ -b1111111110111110001000100000101 F -b111101100111100100011010011 ) -#475904000 -0& -#475920000 -b1110100001100 , -#475936000 -1& -#475952000 -b111111110011111001011 " -b111111110011111001011 4 -b1111111101111110111110011111111 1 -b1111111101111110111110011111111 C -b111111110011111001011 0 -b111111110011111001011 H -09 -08 -b10000100110001001010011111110101 < -b100011000111101010011110101 2 -b100011000111101010011110101 = -b100011000111101010011110101 : -b1111111100111110010110011111111 $ -b1111111100111110010110011111111 - -b1111111100111110010110011111111 5 -b1111111100111110010110011111111 ? -b1111111100111110010110011111111 D -b1111011001110110101100000001010 % -b1111011001110110101100000001010 . -b1111011001110110101100000001010 6 -b1111011001110110101100000001010 @ -b1111011001110110101100000001010 F -b111111110011111001011 ) -#475968000 -0& -#475984000 -b1110100001101 , -#476000000 -1& -#476016000 -b111111001011110 " -b111111001011110 4 -b1111111011111110101101101101111 1 -b1111111011111110101101101101111 C -b111111001011110 0 -b111111001011110 H -18 -b10010000100010001010010110010000 < -b11001111101101111010111010110101 2 -b11001111101101111010111010110101 = -b11001111101101111010111010110101 : -b111111001011110000100100100100 $ -b111111001011110000100100100100 - -b111111001011110000100100100100 5 -b111111001011110000100100100100 ? -b111111001011110000100100100100 D -b1101111011101110101101001101111 % -b1101111011101110101101001101111 . -b1101111011101110101101001101111 6 -b1101111011101110101101001101111 @ -b1101111011101110101101001101111 F -b111111001011110 ) -#476032000 -0& -#476048000 -b1110100001110 , -#476064000 -1& -#476080000 -b10111011101011101010001 " -b10111011101011101010001 4 -b1111111111111110111111111101011 1 -b1111111111111110111111111101011 C -b10111011101011101010001 0 -b10111011101011101010001 H -08 -b11010001000001001001000111010111 < -b101110110110111110001111000011 2 -b101110110110111110001111000011 = -b101110110110111110001111000011 : -b1011101110101110101000111101011 $ -b1011101110101110101000111101011 - -b1011101110101110101000111101011 5 -b1011101110101110101000111101011 ? -b1011101110101110101000111101011 D -b101110111110110110111000101000 % -b101110111110110110111000101000 . -b101110111110110110111000101000 6 -b101110111110110110111000101000 @ -b101110111110110110111000101000 F -b10111011101011101010001 ) -#476096000 -0& -#476112000 -b1110100001111 , -#476128000 -1& -#476144000 -b111101100101010011110 " -b111101100101010011110 4 -b1111111111110111111101001111111 1 -b1111111111110111111101001111111 C -b111101100101010011110 0 -b111101100101010011110 H -18 -b10000000000001000111111110110101 < -b11111011001011101111101000110101 2 -b11111011001011101111101000110101 = -b11111011001011101111101000110101 : -b1111011001010100111101001111111 $ -b1111011001010100111101001111111 - -b1111011001010100111101001111111 5 -b1111011001010100111101001111111 ? -b1111011001010100111101001111111 D -b1111111111110111000000001001010 % -b1111111111110111000000001001010 . -b1111111111110111000000001001010 6 -b1111111111110111000000001001010 @ -b1111111111110111000000001001010 F -b111101100101010011110 ) -#476160000 -0& -#476176000 -b1110100010000 , -#476192000 -1& -#476208000 -b10111111100101111000100 " -b10111111100101111000100 4 -b11111111111111111001111100001101 1 -b11111111111111111001111100001101 C -b10111111100101111000100 0 -b10111111100101111000100 H -18 -b100001000100001110000011110110 < -b11100000101010000110100011111011 2 -b11100000101010000110100011111011 = -b11100000101010000110100011111011 : -b10111111100101111000100000000100 $ -b10111111100101111000100000000100 - -b10111111100101111000100000000100 5 -b10111111100101111000100000000100 ? -b10111111100101111000100000000100 D -b11011110111011110001111100001001 % -b11011110111011110001111100001001 . -b11011110111011110001111100001001 6 -b11011110111011110001111100001001 @ -b11011110111011110001111100001001 F -b10111111100101111000100 ) -#476224000 -0& -#476240000 -b1110100010001 , -#476256000 -1& -#476272000 -b11011 " -b11011 4 -b11111001111011100110011011011011 1 -b11111001111011100110011011011011 C -b11011 0 -b11011 H -19 -18 -b10000111001101011011111100100100 < -b1100000101000111110010100111110 2 -b1100000101000111110010100111110 = -b1100000101000111110010100111110 : -b11011001011011100010011000011001 $ -b11011001011011100010011000011001 - -b11011001011011100010011000011001 5 -b11011001011011100010011000011001 ? -b11011001011011100010011000011001 D -b1111000110010100100000011011011 % -b1111000110010100100000011011011 . -b1111000110010100100000011011011 6 -b1111000110010100100000011011011 @ -b1111000110010100100000011011011 F -b11011 ) -#476288000 -0& -#476304000 -b1110100010010 , -#476320000 -1& -#476336000 -b1111 " -b1111 4 -b11111111111111011101111011111111 1 -b11111111111111011101111011111111 C -b1111 0 -b1111 H -09 -08 -b110011100010100010000100100011 < -b110011011101111011010101001111 2 -b110011011101111011010101001111 = -b110011011101111011010101001111 : -b11111111111011011001010000101011 $ -b11111111111011011001010000101011 - -b11111111111011011001010000101011 5 -b11111111111011011001010000101011 ? -b11111111111011011001010000101011 D -b11001100011101011101111011011100 % -b11001100011101011101111011011100 . -b11001100011101011101111011011100 6 -b11001100011101011101111011011100 @ -b11001100011101011101111011011100 F -b1111 ) -#476352000 -0& -#476368000 -b1110100010011 , -#476384000 -1& -#476400000 -b1100011111 " -b1100011111 4 -b1110011111111110101111010110111 1 -b1110011111111110101111010110111 C -b1100011111 0 -b1100011111 H -08 -b10101100000001001011101101001010 < -b1111111010111101100111010001 2 -b1111111010111101100111010001 = -b1111111010111101100111010001 : -b1100011111001110001111010000110 $ -b1100011111001110001111010000110 - -b1100011111001110001111010000110 5 -b1100011111001110001111010000110 ? -b1100011111001110001111010000110 D -b1010011111110110100010010110101 % -b1010011111110110100010010110101 . -b1010011111110110100010010110101 6 -b1010011111110110100010010110101 @ -b1010011111110110100010010110101 F -b1100011111 ) -#476416000 -0& -#476432000 -b1110100010100 , -#476448000 -1& -#476464000 -b1111001101111111 " -b1111001101111111 4 -b11111111111111111011111101110001 1 -b11111111111111111011111101110001 C -b1111001101111111 0 -b1111001101111111 H -19 -18 -b10000000001011001100000011001111 < -b1110011101011000100010100110001 2 -b1110011101011000100010100110001 = -b1110011101011000100010100110001 : -b11110011011111111000010001100001 $ -b11110011011111111000010001100001 - -b11110011011111111000010001100001 5 -b11110011011111111000010001100001 ? -b11110011011111111000010001100001 D -b1111111110100110011111100110000 % -b1111111110100110011111100110000 . -b1111111110100110011111100110000 6 -b1111111110100110011111100110000 @ -b1111111110100110011111100110000 F -b1111001101111111 ) -#476480000 -0& -#476496000 -b1110100010101 , -#476512000 -1& -#476528000 -b111111111001100 " -b111111111001100 4 -b11111111101111111110110111111101 1 -b11111111101111111110110111111101 C -b111111111001100 0 -b111111111001100 H -09 -18 -b10000001010000010011101000001110 < -b10000000110110100110011000011100 2 -b10000000110110100110011000011100 = -b10000000110110100110011000011100 : -b11111111100110010010110000001101 $ -b11111111100110010010110000001101 - -b11111111100110010010110000001101 5 -b11111111100110010010110000001101 ? -b11111111100110010010110000001101 D -b1111110101111101100010111110001 % -b1111110101111101100010111110001 . -b1111110101111101100010111110001 6 -b1111110101111101100010111110001 @ -b1111110101111101100010111110001 F -b111111111001100 ) -#476544000 -0& -#476560000 -b1110100010110 , -#476576000 -1& -#476592000 -b1001011011111111000011100000 " -b1001011011111111000011100000 4 -b11110111111111111111111010100100 1 -b11110111111111111111111010100100 C -b1001011011111111000011100000 0 -b1001011011111111000011100000 H -19 -18 -b10001100100000000000001101011011 < -b100011011111110001000101100000 2 -b100011011111110001000101100000 = -b100011011111110001000101100000 : -b10010110111111110000111000000100 $ -b10010110111111110000111000000100 - -b10010110111111110000111000000100 5 -b10010110111111110000111000000100 ? -b10010110111111110000111000000100 D -b1110011011111111111110010100100 % -b1110011011111111111110010100100 . -b1110011011111111111110010100100 6 -b1110011011111111111110010100100 @ -b1110011011111111111110010100100 F -b1001011011111111000011100000 ) -#476608000 -0& -#476624000 -b1110100010111 , -#476640000 -1& -#476656000 -b101101111001111100101 " -b101101111001111100101 4 -b10111111110111111111111011111111 1 -b10111111110111111111111011111111 C -b101101111001111100101 0 -b101101111001111100101 H -09 -18 -b1000111001110100010010110110100 < -b11111110110110010101001010110010 2 -b11111110110110010101001010110010 = -b11111110110110010101001010110010 : -b10110111100111110010110011111101 $ -b10110111100111110010110011111101 - -b10110111100111110010110011111101 5 -b10110111100111110010110011111101 ? -b10110111100111110010110011111101 D -b10111000110001011101101001001011 % -b10111000110001011101101001001011 . -b10111000110001011101101001001011 6 -b10111000110001011101101001001011 @ -b10111000110001011101101001001011 F -b101101111001111100101 ) -#476672000 -0& -#476688000 -b1110100011000 , -#476704000 -1& -#476720000 -b1000101 " -b1000101 4 -b11001011111011110111010111111001 1 -b11001011111011110111010111111001 C -b1000101 0 -b1000101 H -b110110100100101101111000000110 < -b11000010100000100011001001111000 2 -b11000010100000100011001001111000 = -b11000010100000100011001001111000 : -b10001011111011110101010001110001 $ -b10001011111011110101010001110001 - -b10001011111011110101010001110001 5 -b10001011111011110101010001110001 ? -b10001011111011110101010001110001 D -b11001001011011010010000111111001 % -b11001001011011010010000111111001 . -b11001001011011010010000111111001 6 -b11001001011011010010000111111001 @ -b11001001011011010010000111111001 F -b1000101 ) -#476736000 -0& -#476752000 -b1110100011001 , -#476768000 -1& -#476784000 -b110011111101 " -b110011111101 4 -b11111111111111111111111011110111 1 -b11111111111111111111111011110111 C -b110011111101 0 -b110011111101 H -08 -b10100000000100100101001100 < -b1101010011011111011011000010010 2 -b1101010011011111011011000010010 = -b1101010011011111011011000010010 : -b1100111111011110110110011000101 $ -b1100111111011110110110011000101 - -b1100111111011110110110011000101 5 -b1100111111011110110110011000101 ? -b1100111111011110110110011000101 D -b11111101011111111011011010110011 % -b11111101011111111011011010110011 . -b11111101011111111011011010110011 6 -b11111101011111111011011010110011 @ -b11111101011111111011011010110011 F -b110011111101 ) -#476800000 -0& -#476816000 -b1110100011010 , -#476832000 -1& -#476848000 -b1101 " -b1101 4 -b11111111111111101101011110111100 1 -b11111111111111101101011110111100 C -b1101 0 -b1101 H -18 -b10100011010100111000011 < -b11010111101010000011000101001000 2 -b11010111101010000011000101001000 = -b11010111101010000011000101001000 : -b11010111010101101000011110000100 $ -b11010111010101101000011110000100 - -b11010111010101101000011110000100 5 -b11010111010101101000011110000100 ? -b11010111010101101000011110000100 D -b11111111101011100101011000111100 % -b11111111101011100101011000111100 . -b11111111101011100101011000111100 6 -b11111111101011100101011000111100 @ -b11111111101011100101011000111100 F -b1101 ) -#476864000 -0& -#476880000 -b1110100011011 , -#476896000 -1& -#476912000 -b1010011110 " -b1010011110 4 -b10111111111111110110111111011110 1 -b10111111111111110110111111011110 C -b1010011110 0 -b1010011110 H -19 -18 -b11000000001100011011010001101001 < -b1100111111010010001100111000100 2 -b1100111111010010001100111000100 = -b1100111111010010001100111000100 : -b10100111101101110110010101011010 $ -b10100111101101110110010101011010 - -b10100111101101110110010101011010 5 -b10100111101101110110010101011010 ? -b10100111101101110110010101011010 D -b111111110011100100101110010110 % -b111111110011100100101110010110 . -b111111110011100100101110010110 6 -b111111110011100100101110010110 @ -b111111110011100100101110010110 F -b1010011110 ) -#476928000 -0& -#476944000 -b1110100011100 , -#476960000 -1& -#476976000 -b11 " -b11 4 -b1111111111111111111010001111101 1 -b1111111111111111111010001111101 C -b11 0 -b11 H -09 -b10000000000010001010101111000010 < -b11111111110101100100110000101100 2 -b11111111110101100100110000101100 = -b11111111110101100100110000101100 : -b1111111110011011010000001101001 $ -b1111111110011011010000001101001 - -b1111111110011011010000001101001 5 -b1111111110011011010000001101001 ? -b1111111110011011010000001101001 D -b1111111111101110101010000111101 % -b1111111111101110101010000111101 . -b1111111111101110101010000111101 6 -b1111111111101110101010000111101 @ -b1111111111101110101010000111101 F -b11 ) -#476992000 -0& -#477008000 -b1110100011101 , -#477024000 -1& -#477040000 -b1011111111 " -b1011111111 4 -b11111111111111111100111010111111 1 -b11111111111111111100111010111111 C -b1011111111 0 -b1011111111 H -18 -b1001010000000111000101001001 < -b11001001001111101011101101100111 2 -b11001001001111101011101101100111 = -b11001001001111101011101101100111 : -b10111111111111100100101000011101 $ -b10111111111111100100101000011101 - -b10111111111111100100101000011101 5 -b10111111111111100100101000011101 ? -b10111111111111100100101000011101 D -b11110110101111111000111010110110 % -b11110110101111111000111010110110 . -b11110110101111111000111010110110 6 -b11110110101111111000111010110110 @ -b11110110101111111000111010110110 F -b1011111111 ) -#477056000 -0& -#477072000 -b1110100011110 , -#477088000 -1& -#477104000 -b1111110110 " -b1111110110 4 -b11111110111111111101111111111101 1 -b11111110111111111101111111111101 C -b1111110110 0 -b1111110110 H -08 -19 -b11000000000110011110001010 < -b10000001111000000011111101110011 2 -b10000001111000000011111101110011 = -b10000001111000000011111101110011 : -b1111110110111111101011111101000 $ -b1111110110111111101011111101000 - -b1111110110111111101011111101000 5 -b1111110110111111101011111101000 ? -b1111110110111111101011111101000 D -b11111100111111111001100001110101 % -b11111100111111111001100001110101 . -b11111100111111111001100001110101 6 -b11111100111111111001100001110101 @ -b11111100111111111001100001110101 F -b1111110110 ) -#477120000 -0& -#477136000 -b1110100011111 , -#477152000 -1& -#477168000 -b110011110111101000 " -b110011110111101000 4 -b1111111111111011101111111101101 1 -b1111111111111011101111111101101 C -b110011110111101000 0 -b110011110111101000 H -09 -08 -b10100011100000100010000001110010 < -b1011001111110011111111100000 2 -b1011001111110011111111100000 = -b1011001111110011111111100000 : -b1100111101111010001111101101101 $ -b1100111101111010001111101101101 - -b1100111101111010001111101101101 5 -b1100111101111010001111101101101 ? -b1100111101111010001111101101101 D -b1011100011111011101111110001101 % -b1011100011111011101111110001101 . -b1011100011111011101111110001101 6 -b1011100011111011101111110001101 @ -b1011100011111011101111110001101 F -b110011110111101000 ) -#477184000 -0& -#477200000 -b1110100100000 , -#477216000 -1& -#477232000 -b10110100111101011 " -b10110100111101011 4 -b11110101111111111110100111101111 1 -b11110101111111111110100111101111 C -b10110100111101011 0 -b10110100111101011 H -18 -b1010101000000011111001010000 < -b10111111100101011110100000110010 2 -b10111111100101011110100000110010 = -b10111111100101011110100000110010 : -b10110100111101011010100111100001 $ -b10110100111101011010100111100001 - -b10110100111101011010100111100001 5 -b10110100111101011010100111100001 ? -b10110100111101011010100111100001 D -b11110101010111111100000110101111 % -b11110101010111111100000110101111 . -b11110101010111111100000110101111 6 -b11110101010111111100000110101111 @ -b11110101010111111100000110101111 F -b10110100111101011 ) -#477248000 -0& -#477264000 -b1110100100001 , -#477280000 -1& -#477296000 -b111111011000 " -b111111011000 4 -b11111111111111111110111111011101 1 -b11111111111111111110111111011101 C -b111111011000 0 -b111111011000 H -18 -b10001000000000101001001001101011 < -b10000101100100100111010010111001 2 -b10000101100100100111010010111001 = -b10000101100100100111010010111001 : -b11111101100011111110001001001101 $ -b11111101100011111110001001001101 - -b11111101100011111110001001001101 5 -b11111101100011111110001001001101 ? -b11111101100011111110001001001101 D -b1110111111111010110110110010100 % -b1110111111111010110110110010100 . -b1110111111111010110110110010100 6 -b1110111111111010110110110010100 @ -b1110111111111010110110110010100 F -b111111011000 ) -#477312000 -0& -#477328000 -b1110100100010 , -#477344000 -1& -#477360000 -b1111101101111010000001010 " -b1111101101111010000001010 4 -b1111111111111101110001101110111 1 -b1111111111111101110001101110111 C -b1111101101111010000001010 0 -b1111101101111010000001010 H -18 -09 -b10101010000000010001110010011010 < -b11101000110111111001110111110001 2 -b11101000110111111001110111110001 = -b11101000110111111001110111110001 : -b111110110111101000000101010110 $ -b111110110111101000000101010110 - -b111110110111101000000101010110 5 -b111110110111101000000101010110 ? -b111110110111101000000101010110 D -b1010101111111101110001101100101 % -b1010101111111101110001101100101 . -b1010101111111101110001101100101 6 -b1010101111111101110001101100101 @ -b1010101111111101110001101100101 F -b1111101101111010000001010 ) -#477376000 -0& -#477392000 -b1110100100011 , -#477408000 -1& -#477424000 -b11101111001101 " -b11101111001101 4 -b11111111111111111110111110110001 1 -b11111111111111111110111110110001 C -b11101111001101 0 -b11101111001101 H -08 -b1110001001110 < -b1110111100110111100101011011111 2 -b1110111100110111100101011011111 = -b1110111100110111100101011011111 : -b1110111100110111010111010010000 $ -b1110111100110111010111010010000 - -b1110111100110111010111010010000 5 -b1110111100110111010111010010000 ? -b1110111100110111010111010010000 D -b11111111111111111110001110110001 % -b11111111111111111110001110110001 . -b11111111111111111110001110110001 6 -b11111111111111111110001110110001 @ -b11111111111111111110001110110001 F -b11101111001101 ) -#477440000 -0& -#477456000 -b1110100100100 , -#477472000 -1& -#477488000 -b1111111011111110000001 " -b1111111011111110000001 4 -b11111111111111110110111010011111 1 -b11111111111111110110111010011111 C -b1111111011111110000001 0 -b1111111011111110000001 H -18 -b10011100101010001001010111110101 < -b10011011101001101001110010001011 2 -b10011011101001101001110010001011 = -b10011011101001101001110010001011 : -b11111110111111100000011010010101 $ -b11111110111111100000011010010101 - -b11111110111111100000011010010101 5 -b11111110111111100000011010010101 ? -b11111110111111100000011010010101 D -b1100011010101110110101000001010 % -b1100011010101110110101000001010 . -b1100011010101110110101000001010 6 -b1100011010101110110101000001010 @ -b1100011010101110110101000001010 F -b1111111011111110000001 ) -#477504000 -0& -#477520000 -b1110100100101 , -#477536000 -1& -#477552000 -b11111100011 " -b11111100011 4 -b11111111111110110101110111111101 1 -b11111111111110110101110111111101 C -b11111100011 0 -b11111100011 H -b1111111010001000001010 < -b11111100101110101011011110110011 2 -b11111100101110101011011110110011 = -b11111100101110101011011110110011 : -b11111100011110110001010110101000 $ -b11111100011110110001010110101000 - -b11111100011110110001010110101000 5 -b11111100011110110001010110101000 ? -b11111100011110110001010110101000 D -b11111111110000000101110111110101 % -b11111111110000000101110111110101 . -b11111111110000000101110111110101 6 -b11111111110000000101110111110101 @ -b11111111110000000101110111110101 F -b11111100011 ) -#477568000 -0& -#477584000 -b1110100100110 , -#477600000 -1& -#477616000 -b101111100111110111001000 " -b101111100111110111001000 4 -b11111110111111011100111010111010 1 -b11111110111111011100111010111010 C -b101111100111110111001000 0 -b101111100111110111001000 H -19 -18 -b10001001000011100111000101010111 < -b1000111100011000011100111110010 2 -b1000111100011000011100111110010 = -b1000111100011000011100111110010 : -b10111110011111011100100010011010 $ -b10111110011111011100100010011010 - -b10111110011111011100100010011010 5 -b10111110011111011100100010011010 ? -b10111110011111011100100010011010 D -b1110110111100011000111010101000 % -b1110110111100011000111010101000 . -b1110110111100011000111010101000 6 -b1110110111100011000111010101000 @ -b1110110111100011000111010101000 F -b101111100111110111001000 ) -#477632000 -0& -#477648000 -b1110100100111 , -#477664000 -1& -#477680000 -b1 " -b1 4 -b11111111111111111101111011011111 1 -b11111111111111111101111011011111 C -b1 0 -b1 H -19 -18 -b10000101001000000011010110100000 < -b1111100100100110001001000110100 2 -b1111100100100110001001000110100 = -b1111100100100110001001000110100 : -b11110111011100101101110010010011 $ -b11110111011100101101110010010011 - -b11110111011100101101110010010011 5 -b11110111011100101101110010010011 ? -b11110111011100101101110010010011 D -b1111010110111111100101001011111 % -b1111010110111111100101001011111 . -b1111010110111111100101001011111 6 -b1111010110111111100101001011111 @ -b1111010110111111100101001011111 F -b1 ) -#477696000 -0& -#477712000 -b1110100101000 , -#477728000 -1& -#477744000 -b1111111111111110 " -b1111111111111110 4 -b11111111111111111001111101111110 1 -b11111111111111111001111101111110 C -b1111111111111110 0 -b1111111111111110 H -09 -08 -b1110010000000111001010110001 < -b1001110010000000000110011101110 2 -b1001110010000000000110011101110 = -b1001110010000000000110011101110 : -b111111111111111001101000111100 $ -b111111111111111001101000111100 - -b111111111111111001101000111100 5 -b111111111111111001101000111100 ? -b111111111111111001101000111100 D -b11110001101111111000110101001110 % -b11110001101111111000110101001110 . -b11110001101111111000110101001110 6 -b11110001101111111000110101001110 @ -b11110001101111111000110101001110 F -b1111111111111110 ) -#477760000 -0& -#477776000 -b1110100101001 , -#477792000 -1& -#477808000 -b11011 " -b11011 4 -b1101111111111111010111011011011 1 -b1101111111111111010111011011011 C -b11011 0 -b11011 H -b11111000000000010111000110100101 < -b1100101111111101001010000111001 2 -b1100101111111101001010000111001 = -b1100101111111101001010000111001 : -b1101101111111010010001010010011 $ -b1101101111111010010001010010011 - -b1101101111111010010001010010011 5 -b1101101111111010010001010010011 ? -b1101101111111010010001010010011 D -b111111111101000111001011010 % -b111111111101000111001011010 . -b111111111101000111001011010 6 -b111111111101000111001011010 @ -b111111111101000111001011010 F -b11011 ) -#477824000 -0& -#477840000 -b1110100101010 , -#477856000 -1& -#477872000 -b1011111111111110011100110 " -b1011111111111110011100110 4 -b11111111111111101111001100001111 1 -b11111111111111101111001100001111 C -b1011111111111110011100110 0 -b1011111111111110011100110 H -18 -b1000100000010100111111111000 < -b11001000011111111100001100000100 2 -b11001000011111111100001100000100 = -b11001000011111111100001100000100 : -b10111111111111100111001100001011 $ -b10111111111111100111001100001011 - -b10111111111111100111001100001011 5 -b10111111111111100111001100001011 ? -b10111111111111100111001100001011 D -b11110111011111101011000000000111 % -b11110111011111101011000000000111 . -b11110111011111101011000000000111 6 -b11110111011111101011000000000111 @ -b11110111011111101011000000000111 F -b1011111111111110011100110 ) -#477888000 -0& -#477904000 -b1110100101011 , -#477920000 -1& -#477936000 -b11 " -b11 4 -b11111111111111111001111111011110 1 -b11111111111111111001111111011110 C -b11 0 -b11 H -b10000100100111100000100001 < -b11011010000011011001001000101100 2 -b11011010000011011001001000101100 = -b11011010000011011001001000101100 : -b11010111111110110001101000001010 $ -b11010111111110110001101000001010 - -b11010111111110110001101000001010 5 -b11010111111110110001101000001010 ? -b11010111111110110001101000001010 D -b11111101111011011000011111011110 % -b11111101111011011000011111011110 . -b11111101111011011000011111011110 6 -b11111101111011011000011111011110 @ -b11111101111011011000011111011110 F -b11 ) -#477952000 -0& -#477968000 -b1110100101100 , -#477984000 -1& -#478000000 -b11010110110010 " -b11010110110010 4 -b1111111011111010111101111110101 1 -b1111111011111010111101111110101 C -b11010110110010 0 -b11010110110010 H -08 -b10101000101000111000110000001110 < -b10100000010001001010101000011 2 -b10100000010001001010101000011 = -b10100000010001001010101000011 : -b1101011011001010000100100110100 $ -b1101011011001010000100100110100 - -b1101011011001010000100100110100 5 -b1101011011001010000100100110100 ? -b1101011011001010000100100110100 D -b1010111010111000111001111110001 % -b1010111010111000111001111110001 . -b1010111010111000111001111110001 6 -b1010111010111000111001111110001 @ -b1010111010111000111001111110001 F -b11010110110010 ) -#478016000 -0& -#478032000 -b1110100101101 , -#478048000 -1& -#478064000 -b11111101100110111011001101110 " -b11111101100110111011001101110 4 -b11111101101111111011101101110111 1 -b11111101101111111011101101110111 C -b11111101100110111011001101110 0 -b11111101100110111011001101110 H -18 -b10010000101101010011011100 < -b11111111110111101000100001010001 2 -b11111111110111101000100001010001 = -b11111111110111101000100001010001 : -b11111101100110111011001101110100 $ -b11111101100110111011001101110100 - -b11111101100110111011001101110100 5 -b11111101100110111011001101110100 ? -b11111101100110111011001101110100 D -b11111101101111010010101100100011 % -b11111101101111010010101100100011 . -b11111101101111010010101100100011 6 -b11111101101111010010101100100011 @ -b11111101101111010010101100100011 F -b11111101100110111011001101110 ) -#478080000 -0& -#478096000 -b1110100101110 , -#478112000 -1& -#478128000 -b10011111010111111111101 " -b10011111010111111111101 4 -b1111111111111111111110111101111 1 -b1111111111111111111110111101111 C -b10011111010111111111101 0 -b10011111010111111111101 H -18 -b10001000000000001111001100010111 < -b11010111101100001111000001011111 2 -b11010111101100001111000001011111 = -b11010111101100001111000001011111 : -b1001111101011111111110101000111 $ -b1001111101011111111110101000111 - -b1001111101011111111110101000111 5 -b1001111101011111111110101000111 ? -b1001111101011111111110101000111 D -b1110111111111110000110011101000 % -b1110111111111110000110011101000 . -b1110111111111110000110011101000 6 -b1110111111111110000110011101000 @ -b1110111111111110000110011101000 F -b10011111010111111111101 ) -#478144000 -0& -#478160000 -b1110100101111 , -#478176000 -1& -#478192000 -b1111111110011111000001 " -b1111111110011111000001 4 -b1111111111111111101111011001001 1 -b1111111111111111101111011001001 C -b1111111110011111000001 0 -b1111111110011111000001 H -08 -b11010000000011000010000100110110 < -b1001111110110111010001111000000 2 -b1001111110110111010001111000000 = -b1001111110110111010001111000000 : -b1111111110011111000001010001001 $ -b1111111110011111000001010001001 - -b1111111110011111000001010001001 5 -b1111111110011111000001010001001 ? -b1111111110011111000001010001001 D -b101111111100111101111011001001 % -b101111111100111101111011001001 . -b101111111100111101111011001001 6 -b101111111100111101111011001001 @ -b101111111100111101111011001001 F -b1111111110011111000001 ) -#478208000 -0& -#478224000 -b1110100110000 , -#478240000 -1& -#478256000 -1! -b0 " -b0 4 -b11111111111111111110001111111111 1 -b11111111111111111110001111111111 C -b0 0 -b0 H -b11001000111101110010000000 < -b1110111000110111001111100001110 2 -b1110111000110111001111100001110 = -1# -b1110111000110111001111100001110 : -b1110011111101111100001010001101 $ -b1110011111101111100001010001101 - -b1110011111101111100001010001101 5 -b1110011111101111100001010001101 ? -b1110011111101111100001010001101 D -b11111100110111000010001101111111 % -b11111100110111000010001101111111 . -b11111100110111000010001101111111 6 -b11111100110111000010001101111111 @ -b11111100110111000010001101111111 F -b0 ) -b1 ( -#478272000 -0& -#478288000 -b1110100110001 , -#478304000 -1& -#478320000 -0! -b1101111101111111110 " -b1101111101111111110 4 -b11111111111111111100111101111101 1 -b11111111111111111100111101111101 C -b1101111101111111110 0 -b1101111101111111110 H -19 -18 -b10000000000000001011000110010010 < -b1011111100000000111111011000011 2 -b1011111100000000111111011000011 = -0# -b1011111100000000111111011000011 : -b11011111011111111100110100110000 $ -b11011111011111111100110100110000 - -b11011111011111111100110100110000 5 -b11011111011111111100110100110000 ? -b11011111011111111100110100110000 D -b1111111111111110100111001101101 % -b1111111111111110100111001101101 . -b1111111111111110100111001101101 6 -b1111111111111110100111001101101 @ -b1111111111111110100111001101101 F -b1101111101111111110 ) -b0 ( -#478336000 -0& -#478352000 -b1110100110010 , -#478368000 -1& -#478384000 -b11011111110 " -b11011111110 4 -b1111111111111011111110011110011 1 -b1111111111111011111110011110011 C -b11011111110 0 -b11011111110 H -09 -18 -b10100011000100100001001101101100 < -b11011011000001111100101111011110 2 -b11011011000001111100101111011110 = -b11011011000001111100101111011110 : -b110111111101011011100001110001 $ -b110111111101011011100001110001 - -b110111111101011011100001110001 5 -b110111111101011011100001110001 ? -b110111111101011011100001110001 D -b1011100111011011110110010010011 % -b1011100111011011110110010010011 . -b1011100111011011110110010010011 6 -b1011100111011011110110010010011 @ -b1011100111011011110110010010011 F -b11011111110 ) -#478400000 -0& -#478416000 -b1110100110011 , -#478432000 -1& -#478448000 -b1 " -b1 4 -b11111110111111101111111010111111 1 -b11111110111111101111111010111111 C -b1 0 -b1 H -08 -b1001001000000010001000101000000 < -b100111111111111110001101000111 2 -b100111111111111110001101000111 = -b100111111111111110001101000111 : -b11011110111111101101001000000110 $ -b11011110111111101101001000000110 - -b11011110111111101101001000000110 5 -b11011110111111101101001000000110 ? -b11011110111111101101001000000110 D -b10110110111111101110111010111111 % -b10110110111111101110111010111111 . -b10110110111111101110111010111111 6 -b10110110111111101110111010111111 @ -b10110110111111101110111010111111 F -b1 ) -#478464000 -0& -#478480000 -b1110100110100 , -#478496000 -1& -#478512000 -b11110011111110101000010101110 " -b11110011111110101000010101110 4 -b11111011111111110110011111111010 1 -b11111011111111110110011111111010 C -b11110011111110101000010101110 0 -b11110011111110101000010101110 H -19 -08 -b1101000000011101100000011101 < -b10000110111111110001101011011000 2 -b10000110111111110001101011011000 = -b10000110111111110001101011011000 : -b1111001111111010100001010111010 $ -b1111001111111010100001010111010 - -b1111001111111010100001010111010 5 -b1111001111111010100001010111010 ? -b1111001111111010100001010111010 D -b11110010111111100010011111100010 % -b11110010111111100010011111100010 . -b11110010111111100010011111100010 6 -b11110010111111100010011111100010 @ -b11110010111111100010011111100010 F -b11110011111110101000010101110 ) -#478528000 -0& -#478544000 -b1110100110101 , -#478560000 -1& -#478576000 -b110111111111 " -b110111111111 4 -b11111111111111110111111111111111 1 -b11111111111111110111111111111111 C -b110111111111 0 -b110111111111 H -19 -08 -b100000001000101000010011001100 < -b10010000001000011111001010101001 2 -b10010000001000011111001010101001 = -b10010000001000011111001010101001 : -b1101111111111110110110111011100 $ -b1101111111111110110110111011100 - -b1101111111111110110110111011100 5 -b1101111111111110110110111011100 ? -b1101111111111110110110111011100 D -b11011111110111010111101100110011 % -b11011111110111010111101100110011 . -b11011111110111010111101100110011 6 -b11011111110111010111101100110011 @ -b11011111110111010111101100110011 F -b110111111111 ) -#478592000 -0& -#478608000 -b1110100110110 , -#478624000 -1& -#478640000 -b111110 " -b111110 4 -b1111111111111111001010101011001 1 -b1111111111111111001010101011001 C -b111110 0 -b111110 H -09 -08 -b10001000010110000110101010100110 < -b101101101001111111111111000 2 -b101101101001111111111111000 = -b101101101001111111111111000 : -b1111101010111001001010101010001 $ -b1111101010111001001010101010001 - -b1111101010111001001010101010001 5 -b1111101010111001001010101010001 ? -b1111101010111001001010101010001 D -b1110111101001111001010101011001 % -b1110111101001111001010101011001 . -b1110111101001111001010101011001 6 -b1110111101001111001010101011001 @ -b1110111101001111001010101011001 F -b111110 ) -#478656000 -0& -#478672000 -b1110100110111 , -#478688000 -1& -#478704000 -b11010011101111010011 " -b11010011101111010011 4 -b1101111111111101111111110101111 1 -b1101111111111101111111110101111 C -b11010011101111010011 0 -b11010011101111010011 H -08 -b10110000010100010001100011010100 < -b11010001011111011011101011010 2 -b11010001011111011011101011010 = -b11010001011111011011101011010 : -b1101001110111101001111010000101 $ -b1101001110111101001111010000101 - -b1101001110111101001111010000101 5 -b1101001110111101001111010000101 ? -b1101001110111101001111010000101 D -b1001111101011101110011100101011 % -b1001111101011101110011100101011 . -b1001111101011101110011100101011 6 -b1001111101011101110011100101011 @ -b1001111101011101110011100101011 F -b11010011101111010011 ) -#478720000 -0& -#478736000 -b1110100111000 , -#478752000 -1& -#478768000 -b10111111100111100011 " -b10111111100111100011 4 -b10111111111111110111110111111100 1 -b10111111111111110111110111111100 C -b10111111100111100011 0 -b10111111100111100011 H -18 -19 -b11000000000000101000101001110011 < -b1111111101000001100001111101100 2 -b1111111101000001100001111101100 = -b1111111101000001100001111101100 : -b10111111100111100011100101111000 $ -b10111111100111100011100101111000 - -b10111111100111100011100101111000 5 -b10111111100111100011100101111000 ? -b10111111100111100011100101111000 D -b111111111111010111010110001100 % -b111111111111010111010110001100 . -b111111111111010111010110001100 6 -b111111111111010111010110001100 @ -b111111111111010111010110001100 F -b10111111100111100011 ) -#478784000 -0& -#478800000 -b1110100111001 , -#478816000 -1& -#478832000 -b10110101111110 " -b10110101111110 4 -b1111111111111011010001111010001 1 -b1111111111111011010001111010001 C -b10110101111110 0 -b10110101111110 H -08 -09 -b11010000000001110111110001101110 < -b101011000001010001111100111111 2 -b101011000001010001111100111111 = -b101011000001010001111100111111 : -b1011010111111011010001011010000 $ -b1011010111111011010001011010000 - -b1011010111111011010001011010000 5 -b1011010111111011010001011010000 ? -b1011010111111011010001011010000 D -b101111111110001000001110010001 % -b101111111110001000001110010001 . -b101111111110001000001110010001 6 -b101111111110001000001110010001 @ -b101111111110001000001110010001 F -b10110101111110 ) -#478848000 -0& -#478864000 -b1110100111010 , -#478880000 -1& -#478896000 -b10110111111111100 " -b10110111111111100 4 -b10111111111111110110100100101111 1 -b10111111111111110110100100101111 C -b10110111111111100 0 -b10110111111111100 H -18 -b1000100000001001111011011010000 < -b11111100000000110101111011100000 2 -b11111100000000110101111011100000 = -b11111100000000110101111011100000 : -b10110111111111100110100000001111 $ -b10110111111111100110100000001111 - -b10110111111111100110100000001111 5 -b10110111111111100110100000001111 ? -b10110111111111100110100000001111 D -b10111011111110110000100100101111 % -b10111011111110110000100100101111 . -b10111011111110110000100100101111 6 -b10111011111110110000100100101111 @ -b10111011111110110000100100101111 F -b10110111111111100 ) -#478912000 -0& -#478928000 -b1110100111011 , -#478944000 -1& -#478960000 -b111111110111111101100110110 " -b111111110111111101100110110 4 -b11111111111111110111011011100111 1 -b11111111111111110111011011100111 C -b111111110111111101100110110 0 -b111111110111111101100110110 H -18 -b1001101110100111011010 < -b11111111101001100101000010100001 2 -b11111111101001100101000010100001 = -b11111111101001100101000010100001 : -b11111111011111110110011011000110 $ -b11111111011111110110011011000110 - -b11111111011111110110011011000110 5 -b11111111011111110110011011000110 ? -b11111111011111110110011011000110 D -b11111111110110010001011000100101 % -b11111111110110010001011000100101 . -b11111111110110010001011000100101 6 -b11111111110110010001011000100101 @ -b11111111110110010001011000100101 F -b111111110111111101100110110 ) -#478976000 -0& -#478992000 -b1110100111100 , -#479008000 -1& -#479024000 -b1110011111111010001110 " -b1110011111111010001110 4 -b11110111111111111001110110101011 1 -b11110111111111111001110110101011 C -b1110011111111010001110 0 -b1110011111111010001110 H -08 -b1010010011000110111101010110 < -b1111110010010011000110001011001 2 -b1111110010010011000110001011001 = -b1111110010010011000110001011001 : -b1110011111111010001110100000010 $ -b1110011111111010001110100000010 - -b1110011111111010001110100000010 5 -b1110011111111010001110100000010 ? -b1110011111111010001110100000010 D -b11110101101100111001000010101001 % -b11110101101100111001000010101001 . -b11110101101100111001000010101001 6 -b11110101101100111001000010101001 @ -b11110101101100111001000010101001 F -b1110011111111010001110 ) -#479040000 -0& -#479056000 -b1110100111101 , -#479072000 -1& -#479088000 -b11110111110111 " -b11110111110111 4 -b11111011111111111101111000111001 1 -b11111011111111111101111000111001 C -b11110111110111 0 -b11110111110111 H -19 -08 -b100100000101110000111001110 < -b10000000011100101011100111111000 2 -b10000000011100101011100111111000 = -b10000000011100101011100111111000 : -b1111011111011111101100000101001 $ -b1111011111011111101100000101001 - -b1111011111011111101100000101001 5 -b1111011111011111101100000101001 ? -b1111011111011111101100000101001 D -b11111011011111010001111000110001 % -b11111011011111010001111000110001 . -b11111011011111010001111000110001 6 -b11111011011111010001111000110001 @ -b11111011011111010001111000110001 F -b11110111110111 ) -#479104000 -0& -#479120000 -b1110100111110 , -#479136000 -1& -#479152000 -b111111111111 " -b111111111111 4 -b11111111111111111111100010110011 1 -b11111111111111111111100010110011 C -b111111111111 0 -b111111111111 H -b100000001000000011011101001100 < -b10100000000111101110111101010000 2 -b10100000000111101110111101010000 = -b10100000000111101110111101010000 : -b1111111111111101011100000000011 $ -b1111111111111101011100000000011 - -b1111111111111101011100000000011 5 -b1111111111111101011100000000011 ? -b1111111111111101011100000000011 D -b11011111110111111100100010110011 % -b11011111110111111100100010110011 . -b11011111110111111100100010110011 6 -b11011111110111111100100010110011 @ -b11011111110111111100100010110011 F -b111111111111 ) -#479168000 -0& -#479184000 -b1110100111111 , -#479200000 -1& -#479216000 -b10101011 " -b10101011 4 -b11111111111111111111110001111000 1 -b11111111111111111111110001111000 C -b10101011 0 -b10101011 H -18 -09 -b100001000000000000101110100111 < -b11001100111111010000011111100000 2 -b11001100111111010000011111100000 = -b11001100111111010000011111100000 : -b10101011111111001111110000111000 $ -b10101011111111001111110000111000 - -b10101011111111001111110000111000 5 -b10101011111111001111110000111000 ? -b10101011111111001111110000111000 D -b11011110111111111111010001011000 % -b11011110111111111111010001011000 . -b11011110111111111111010001011000 6 -b11011110111111111111010001011000 @ -b11011110111111111111010001011000 F -b10101011 ) -#479232000 -0& -#479248000 -b1110101000000 , -#479264000 -1& -#479280000 -b1110101111111011100000 " -b1110101111111011100000 4 -b11111111111110111110101011011110 1 -b11111111111110111110101011011110 C -b1110101111111011100000 0 -b1110101111111011100000 H -19 -18 -b10000000100001001001010101110101 < -b1101100100000000001011001010100 2 -b1101100100000000001011001010100 = -b1101100100000000001011001010100 : -b11101011111110111000000011011110 $ -b11101011111110111000000011011110 - -b11101011111110111000000011011110 5 -b11101011111110111000000011011110 ? -b11101011111110111000000011011110 D -b1111111011110110110101010001010 % -b1111111011110110110101010001010 . -b1111111011110110110101010001010 6 -b1111111011110110110101010001010 @ -b1111111011110110110101010001010 F -b1110101111111011100000 ) -#479296000 -0& -#479312000 -b1110101000001 , -#479328000 -1& -#479344000 -b10110111011010110 " -b10110111011010110 4 -b11111111111010110111111011101111 1 -b11111111111010110111111011101111 C -b10110111011010110 0 -b10110111011010110 H -b10000010011101011000010100010000 < -b111001111000001111100110011100 2 -b111001111000001111100110011100 = -b111001111000001111100110011100 : -b10110111011010110111010010001011 $ -b10110111011010110111010010001011 - -b10110111011010110111010010001011 5 -b10110111011010110111010010001011 ? -b10110111011010110111010010001011 D -b1111101100010100111101011101111 % -b1111101100010100111101011101111 . -b1111101100010100111101011101111 6 -b1111101100010100111101011101111 @ -b1111101100010100111101011101111 F -b10110111011010110 ) -#479360000 -0& -#479376000 -b1110101000010 , -#479392000 -1& -#479408000 -b10010111111011100010 " -b10010111111011100010 4 -b111111111111011110111111111111 1 -b111111111111011110111111111111 C -b10010111111011100010 0 -b10010111111011100010 H -09 -18 -b11000010010000100101010000010110 < -b11010101010000000001100001101110 2 -b11010101010000000001100001101110 = -b11010101010000000001100001101110 : -b10010111111011100010001010111 $ -b10010111111011100010001010111 - -b10010111111011100010001010111 5 -b10010111111011100010001010111 ? -b10010111111011100010001010111 D -b111101101111011010101111101001 % -b111101101111011010101111101001 . -b111101101111011010101111101001 6 -b111101101111011010101111101001 @ -b111101101111011010101111101001 F -b10010111111011100010 ) -#479424000 -0& -#479440000 -b1110101000011 , -#479456000 -1& -#479472000 -b111011110011101000001110001 " -b111011110011101000001110001 4 -b11111111100111010010111100011100 1 -b11111111100111010010111100011100 C -b111011110011101000001110001 0 -b111011110011101000001110001 H -08 -b100011000101101010111111011 < -b1111011111111111101110100011000 2 -b1111011111111111101110100011000 = -b1111011111111111101110100011000 : -b1110111100111010000011100011100 $ -b1110111100111010000011100011100 - -b1110111100111010000011100011100 5 -b1110111100111010000011100011100 ? -b1110111100111010000011100011100 D -b11111011100111010010101000000100 % -b11111011100111010010101000000100 . -b11111011100111010010101000000100 6 -b11111011100111010010101000000100 @ -b11111011100111010010101000000100 F -b111011110011101000001110001 ) -#479488000 -0& -#479504000 -b1110101000100 , -#479520000 -1& -#479536000 -b11100111111110110010100011 " -b11100111111110110010100011 4 -b11111111111110111111100011111111 1 -b11111111111110111111100011111111 C -b11100111111110110010100011 0 -b11100111111110110010100011 H -18 -b1000000111110011001 < -b11100111111111110011100001110011 2 -b11100111111111110011100001110011 = -b11100111111111110011100001110011 : -b11100111111110110010100011011001 $ -b11100111111110110010100011011001 - -b11100111111110110010100011011001 5 -b11100111111110110010100011011001 ? -b11100111111110110010100011011001 D -b11111111111110111111000001100110 % -b11111111111110111111000001100110 . -b11111111111110111111000001100110 6 -b11111111111110111111000001100110 @ -b11111111111110111111000001100110 F -b11100111111110110010100011 ) -#479552000 -0& -#479568000 -b1110101000101 , -#479584000 -1& -#479600000 -b1110 " -b1110 4 -b11111111111111111111111110011100 1 -b11111111111111111111111110011100 C -b1110 0 -b1110 H -b11000000000010000100101011100011 < -b10101101101001011011010101101000 2 -b10101101101001011011010101101000 = -b10101101101001011011010101101000 : -b11101101100111010110101010000100 $ -b11101101100111010110101010000100 - -b11101101100111010110101010000100 5 -b11101101100111010110101010000100 ? -b11101101100111010110101010000100 D -b111111111101111011010100011100 % -b111111111101111011010100011100 . -b111111111101111011010100011100 6 -b111111111101111011010100011100 @ -b111111111101111011010100011100 F -b1110 ) -#479616000 -0& -#479632000 -b1110101000110 , -#479648000 -1& -#479664000 -b1011110011010110001101 " -b1011110011010110001101 4 -b11111111111011110111111010011101 1 -b11111111111011110111111010011101 C -b1011110011010110001101 0 -b1011110011010110001101 H -08 -b11100001000100111110110 < -b1011110110110111010010010001011 2 -b1011110110110111010010010001011 = -b1011110110110111010010010001011 : -b1011110011010110001101010010100 $ -b1011110011010110001101010010100 - -b1011110011010110001101010010100 5 -b1011110011010110001101010010100 ? -b1011110011010110001101010010100 D -b11111111100011110111011000001001 % -b11111111100011110111011000001001 . -b11111111100011110111011000001001 6 -b11111111100011110111011000001001 @ -b11111111100011110111011000001001 F -b1011110011010110001101 ) -#479680000 -0& -#479696000 -b1110101000111 , -#479712000 -1& -#479728000 -b111111111111101100010011 " -b111111111111101100010011 4 -b11111111111111111110110111101111 1 -b11111111111111111110110111101111 C -b111111111111101100010011 0 -b111111111111101100010011 H -19 -08 -b10001000100001001001100111000 < -b10010001000011100001110100100001 2 -b10010001000011100001110100100001 = -b10010001000011100001110100100001 : -b1111111111111011000100111101000 $ -b1111111111111011000100111101000 - -b1111111111111011000100111101000 5 -b1111111111111011000100111101000 ? -b1111111111111011000100111101000 D -b11101110111011110110110011000111 % -b11101110111011110110110011000111 . -b11101110111011110110110011000111 6 -b11101110111011110110110011000111 @ -b11101110111011110110110011000111 F -b111111111111101100010011 ) -#479744000 -0& -#479760000 -b1110101001000 , -#479776000 -1& -#479792000 -b100011111101 " -b100011111101 4 -b11111111111111111011111100110101 1 -b11111111111111111011111100110101 C -b100011111101 0 -b100011111101 H -18 -09 -b1100010000110010011001011 < -b10010001011010000000101011011101 2 -b10010001011010000000101011011101 = -b10010001011010000000101011011101 : -b10001111110111111010011000010001 $ -b10001111110111111010011000010001 - -b10001111110111111010011000010001 5 -b10001111110111111010011000010001 ? -b10001111110111111010011000010001 D -b11111110011101111001101100110100 % -b11111110011101111001101100110100 . -b11111110011101111001101100110100 6 -b11111110011101111001101100110100 @ -b11111110011101111001101100110100 F -b100011111101 ) -#479808000 -0& -#479824000 -b1110101001001 , -#479840000 -1& -#479856000 -b100 " -b100 4 -b11111111101111110111111101111111 1 -b11111111101111110111111101111111 C -b100 0 -b100 H -b1110011111001000001110100010 < -b10101101101111000000001011001110 2 -b10101101101111000000001011001110 = -b10101101101111000000001011001110 : -b10011111001111110111111100101011 $ -b10011111001111110111111100101011 - -b10011111001111110111111100101011 5 -b10011111001111110111111100101011 ? -b10011111001111110111111100101011 D -b11110001100000110111110001011101 % -b11110001100000110111110001011101 . -b11110001100000110111110001011101 6 -b11110001100000110111110001011101 @ -b11110001100000110111110001011101 F -b100 ) -#479872000 -0& -#479888000 -b1110101001010 , -#479904000 -1& -#479920000 -b11111111111 " -b11111111111 4 -b11111111111111101010111000111101 1 -b11111111111111101010111000111101 C -b11111111111 0 -b11111111111 H -18 -b10000100010001010111100111101010 < -b10000100001100111010011000010111 2 -b10000100001100111010011000010111 = -b10000100001100111010011000010111 : -b11111111111011100010110000101100 $ -b11111111111011100010110000101100 - -b11111111111011100010110000101100 5 -b11111111111011100010110000101100 ? -b11111111111011100010110000101100 D -b1111011101110101000011000010101 % -b1111011101110101000011000010101 . -b1111011101110101000011000010101 6 -b1111011101110101000011000010101 @ -b1111011101110101000011000010101 F -b11111111111 ) -#479936000 -0& -#479952000 -b1110101001011 , -#479968000 -1& -#479984000 -b11111111011101001 " -b11111111011101001 4 -b11111111111111111001110111101111 1 -b11111111111111111001110111101111 C -b11111111011101001 0 -b11111111011101001 H -19 -18 -b10000000000001001110101001110000 < -b1111111011110010111010001010011 2 -b1111111011110010111010001010011 = -b1111111011110010111010001010011 : -b11111111011101001000100111100010 $ -b11111111011101001000100111100010 - -b11111111011101001000100111100010 5 -b11111111011101001000100111100010 ? -b11111111011101001000100111100010 D -b1111111111110110001010110001111 % -b1111111111110110001010110001111 . -b1111111111110110001010110001111 6 -b1111111111110110001010110001111 @ -b1111111111110110001010110001111 F -b11111111011101001 ) -#480000000 -0& -#480016000 -b1110101001100 , -#480032000 -1& -#480048000 -b1111011 " -b1111011 4 -b11111110111111111100000011111111 1 -b11111110111111111100000011111111 C -b1111011 0 -b1111011 H -b10000001000000000111111100000110 < -b1110111111111000011111100001110 2 -b1110111111111000011111100001110 = -b1110111111111000011111100001110 : -b11110110111110111100000000000111 $ -b11110110111110111100000000000111 - -b11110110111110111100000000000111 5 -b11110110111110111100000000000111 ? -b11110110111110111100000000000111 D -b1111110111111111000000011111001 % -b1111110111111111000000011111001 . -b1111110111111111000000011111001 6 -b1111110111111111000000011111001 @ -b1111110111111111000000011111001 F -b1111011 ) -#480064000 -0& -#480080000 -b1110101001101 , -#480096000 -1& -#480112000 -b1111111111111111 " -b1111111111111111 4 -b1111111111111111111011011101111 1 -b1111111111111111111011011101111 C -b1111111111111111 0 -b1111111111111111 H -08 -09 -b10000000000010000100110101010000 < -b10000011000110110001 2 -b10000011000110110001 = -b10000011000110110001 : -b1111111111111111110010001100000 $ -b1111111111111111110010001100000 - -b1111111111111111110010001100000 5 -b1111111111111111110010001100000 ? -b1111111111111111110010001100000 D -b1111111111101111011001010101111 % -b1111111111101111011001010101111 . -b1111111111101111011001010101111 6 -b1111111111101111011001010101111 @ -b1111111111101111011001010101111 F -b1111111111111111 ) -#480128000 -0& -#480144000 -b1110101001110 , -#480160000 -1& -#480176000 -b1111 " -b1111 4 -b1111111111111111000111111011101 1 -b1111111111111111000111111011101 C -b1111 0 -b1111 H -18 -b10010011001000000111100100100110 < -b10110011000110000000011011111011 2 -b10110011000110000000011011111011 = -b10110011000110000000011011111011 : -b11111111101111000110111010100 $ -b11111111101111000110111010100 - -b11111111101111000110111010100 5 -b11111111101111000110111010100 ? -b11111111101111000110111010100 D -b1101100110111111000011011011001 % -b1101100110111111000011011011001 . -b1101100110111111000011011011001 6 -b1101100110111111000011011011001 @ -b1101100110111111000011011011001 F -b1111 ) -#480192000 -0& -#480208000 -b1110101001111 , -#480224000 -1& -#480240000 -b11111111110111000 " -b11111111110111000 4 -b11111111111111110011011111001111 1 -b11111111111111110011011111001111 C -b11111111110111000 0 -b11111111110111000 H -08 -b110001000011101110000110010 < -b1000110000110001111000011111001 2 -b1000110000110001111000011111001 = -b1000110000110001111000011111001 : -b111111111101110001010011000110 $ -b111111111101110001010011000110 - -b111111111101110001010011000110 5 -b111111111101110001010011000110 ? -b111111111101110001010011000110 D -b11111001110111100010001111001101 % -b11111001110111100010001111001101 . -b11111001110111100010001111001101 6 -b11111001110111100010001111001101 @ -b11111001110111100010001111001101 F -b11111111110111000 ) -#480256000 -0& -#480272000 -b1110101010000 , -#480288000 -1& -#480304000 -b11001101111111001100010111 " -b11001101111111001100010111 4 -b11011111111111001101010111010110 1 -b11011111111111001101010111010110 C -b11001101111111001100010111 0 -b11001101111111001100010111 H -18 -b11101000000000110110101011111001 < -b10110110000000000011000011001110 2 -b10110110000000000011000011001110 = -b10110110000000000011000011001110 : -b11001101111111001100010111010100 $ -b11001101111111001100010111010100 - -b11001101111111001100010111010100 5 -b11001101111111001100010111010100 ? -b11001101111111001100010111010100 D -b10111111111001001010100000110 % -b10111111111001001010100000110 . -b10111111111001001010100000110 6 -b10111111111001001010100000110 @ -b10111111111001001010100000110 F -b11001101111111001100010111 ) -#480320000 -0& -#480336000 -b1110101010001 , -#480352000 -1& -#480368000 -b11010111001111 " -b11010111001111 4 -b11111111111111111001110111111110 1 -b11111111111111111001110111111110 C -b11010111001111 0 -b11010111001111 H -19 -18 -b10000001000000001110001100001101 < -b1011000001111110111010100001010 2 -b1011000001111110111010100001010 = -b1011000001111110111010100001010 : -b11010111001111101001000111111100 $ -b11010111001111101001000111111100 - -b11010111001111101001000111111100 5 -b11010111001111101001000111111100 ? -b11010111001111101001000111111100 D -b1111110111111110001110011110010 % -b1111110111111110001110011110010 . -b1111110111111110001110011110010 6 -b1111110111111110001110011110010 @ -b1111110111111110001110011110010 F -b11010111001111 ) -#480384000 -0& -#480400000 -b1110101010010 , -#480416000 -1& -#480432000 -b111001101111100010110100110 " -b111001101111100010110100110 4 -b1110111111111111111111011101110 1 -b1110111111111111111111011101110 C -b111001101111100010110100110 0 -b111001101111100010110100110 H -09 -18 -b10001010001000000101001100011011 < -b11111101100111001010110110001010 2 -b11111101100111001010110110001010 = -b11111101100111001010110110001010 : -b1110011011111000101101001101110 $ -b1110011011111000101101001101110 - -b1110011011111000101101001101110 5 -b1110011011111000101101001101110 ? -b1110011011111000101101001101110 D -b1110101110111111010110011100100 % -b1110101110111111010110011100100 . -b1110101110111111010110011100100 6 -b1110101110111111010110011100100 @ -b1110101110111111010110011100100 F -b111001101111100010110100110 ) -#480448000 -0& -#480464000 -b1110101010011 , -#480480000 -1& -#480496000 -b11101101111101000110010 " -b11101101111101000110010 4 -b11111101111111100111011101101101 1 -b11111101111111100111011101101101 C -b11101101111101000110010 0 -b11101101111101000110010 H -19 -18 -b10001010010000011100100110010110 < -b1111000001101100010111010111011 2 -b1111000001101100010111010111011 = -b1111000001101100010111010111011 : -b11101101111101000110010100100100 $ -b11101101111101000110010100100100 - -b11101101111101000110010100100100 5 -b11101101111101000110010100100100 ? -b11101101111101000110010100100100 D -b1110101101111100011011001101001 % -b1110101101111100011011001101001 . -b1110101101111100011011001101001 6 -b1110101101111100011011001101001 @ -b1110101101111100011011001101001 F -b11101101111101000110010 ) -#480512000 -0& -#480528000 -b1110101010100 , -#480544000 -1& -#480560000 -b1110011111110000000 " -b1110011111110000000 4 -b11111111111111010110011111101111 1 -b11111111111111010110011111101111 C -b1110011111110000000 0 -b1110011111110000000 H -08 -b100001000000101001100111010011 < -b10010100111110101010000110011111 2 -b10010100111110101010000110011111 = -b10010100111110101010000110011111 : -b1110011111110000000011111001011 $ -b1110011111110000000011111001011 - -b1110011111110000000011111001011 5 -b1110011111110000000011111001011 ? -b1110011111110000000011111001011 D -b11011110111111010110011000101100 % -b11011110111111010110011000101100 . -b11011110111111010110011000101100 6 -b11011110111111010110011000101100 @ -b11011110111111010110011000101100 F -b1110011111110000000 ) -#480576000 -0& -#480592000 -b1110101010101 , -#480608000 -1& -#480624000 -b1111111010111011101011110 " -b1111111010111011101011110 4 -b11111110111111111010111101101111 1 -b11111110111111111010111101101111 C -b1111111010111011101011110 0 -b1111111010111011101011110 H -09 -08 -b101000100110111010011011000 < -b11110011110010010000100101 2 -b11110011110010010000100101 = -b11110011110010010000100101 : -b11111110101110111010111101001100 $ -b11111110101110111010111101001100 - -b11111110101110111010111101001100 5 -b11111110101110111010111101001100 ? -b11111110101110111010111101001100 D -b11111010111011001000101100100111 % -b11111010111011001000101100100111 . -b11111010111011001000101100100111 6 -b11111010111011001000101100100111 @ -b11111010111011001000101100100111 F -b1111111010111011101011110 ) -#480640000 -0& -#480656000 -b1110101010110 , -#480672000 -1& -#480688000 -b11111100110111011101100 " -b11111100110111011101100 4 -b11111101111111111111111110111011 1 -b11111101111111111111111110111011 C -b11111100110111011101100 0 -b11111100110111011101100 H -18 -b10010001000001000001010110 < -b11111111001000011110100010001001 2 -b11111111001000011110100010001001 = -b11111111001000011110100010001001 : -b11111100110111011101100000110010 $ -b11111100110111011101100000110010 - -b11111100110111011101100000110010 5 -b11111100110111011101100000110010 ? -b11111100110111011101100000110010 D -b11111101101110111110111110101001 % -b11111101101110111110111110101001 . -b11111101101110111110111110101001 6 -b11111101101110111110111110101001 @ -b11111101101110111110111110101001 F -b11111100110111011101100 ) -#480704000 -0& -#480720000 -b1110101010111 , -#480736000 -1& -#480752000 -b1011111111101111 " -b1011111111101111 4 -b1111111111101111011111101111111 1 -b1111111111101111011111101111111 C -b1011111111101111 0 -b1011111111101111 H -18 -b10001100000011010110000011110000 < -b11101100000001010000100001101011 2 -b11101100000001010000100001101011 = -b11101100000001010000100001101011 : -b1011111111101111010011101111010 $ -b1011111111101111010011101111010 - -b1011111111101111010011101111010 5 -b1011111111101111010011101111010 ? -b1011111111101111010011101111010 D -b1110011111100101001111100001111 % -b1110011111100101001111100001111 . -b1110011111100101001111100001111 6 -b1110011111100101001111100001111 @ -b1110011111100101001111100001111 F -b1011111111101111 ) -#480768000 -0& -#480784000 -b1110101011000 , -#480800000 -1& -#480816000 -b1100101111110101001111000001 " -b1100101111110101001111000001 4 -b11011011111111111111110011011101 1 -b11011011111111111111110011011101 C -b1100101111110101001111000001 0 -b1100101111110101001111000001 H -18 -b100100110000000010001100111011 < -b11110000101101010101111101010101 2 -b11110000101101010101111101010101 = -b11110000101101010101111101010101 : -b11001011111101010011110000011001 $ -b11001011111101010011110000011001 - -b11001011111101010011110000011001 5 -b11001011111101010011110000011001 ? -b11001011111101010011110000011001 D -b11011011001111111101110011000100 % -b11011011001111111101110011000100 . -b11011011001111111101110011000100 6 -b11011011001111111101110011000100 @ -b11011011001111111101110011000100 F -b1100101111110101001111000001 ) -#480832000 -0& -#480848000 -b1110101011001 , -#480864000 -1& -#480880000 -b111011111110110110101 " -b111011111110110110101 4 -b11111111111111111111111011111011 1 -b11111111111111111111111011111011 C -b111011111110110110101 0 -b111011111110110110101 H -18 -b11101001000000001000000111110100 < -b11011000111011100011000011100101 2 -b11011000111011100011000011100101 = -b11011000111011100011000011100101 : -b11101111111011011010111011110000 $ -b11101111111011011010111011110000 - -b11101111111011011010111011110000 5 -b11101111111011011010111011110000 ? -b11101111111011011010111011110000 D -b10110111111110111111000001011 % -b10110111111110111111000001011 . -b10110111111110111111000001011 6 -b10110111111110111111000001011 @ -b10110111111110111111000001011 F -b111011111110110110101 ) -#480896000 -0& -#480912000 -b1110101011010 , -#480928000 -1& -#480944000 -b11011 " -b11011 4 -b1111111111111110011111111111110 1 -b1111111111111110011111111111110 C -b11011 0 -b11011 H -18 -b10000000000100001100010100000101 < -b11110000000011111101001010010100 2 -b11110000000011111101001010010100 = -b11110000000011111101001010010100 : -b1101111111111110000110110001110 $ -b1101111111111110000110110001110 - -b1101111111111110000110110001110 5 -b1101111111111110000110110001110 ? -b1101111111111110000110110001110 D -b1111111111011110011101011111010 % -b1111111111011110011101011111010 . -b1111111111011110011101011111010 6 -b1111111111011110011101011111010 @ -b1111111111011110011101011111010 F -b11011 ) -#480960000 -0& -#480976000 -b1110101011011 , -#480992000 -1& -#481008000 -b1111001011 " -b1111001011 4 -b1111011111110111111111101110111 1 -b1111011111110111111111101110111 C -b1111001011 0 -b1111001011 H -b10000100011001010100000110101010 < -b11111101110010010000010011011110 2 -b11111101110010010000010011011110 = -b11111101110010010000010011011110 : -b1111001011000111100001100110011 $ -b1111001011000111100001100110011 - -b1111001011000111100001100110011 5 -b1111001011000111100001100110011 ? -b1111001011000111100001100110011 D -b1111011100110101011111001010101 % -b1111011100110101011111001010101 . -b1111011100110101011111001010101 6 -b1111011100110101011111001010101 @ -b1111011100110101011111001010101 F -b1111001011 ) -#481024000 -0& -#481040000 -b1110101011100 , -#481056000 -1& -#481072000 -b111101 " -b111101 4 -b11111101111111111111111011111011 1 -b11111101111111111111111011111011 C -b111101 0 -b111101 H -18 -b11010010010000000101001111000101 < -b11001000000111110010011010010001 2 -b11001000000111110010011010010001 = -b11001000000111110010011010010001 : -b11110101110111101101001011001011 $ -b11110101110111101101001011001011 - -b11110101110111101101001011001011 5 -b11110101110111101101001011001011 ? -b11110101110111101101001011001011 D -b101101101111111010110000111010 % -b101101101111111010110000111010 . -b101101101111111010110000111010 6 -b101101101111111010110000111010 @ -b101101101111111010110000111010 F -b111101 ) -#481088000 -0& -#481104000 -b1110101011101 , -#481120000 -1& -#481136000 -b111110111110111 " -b111110111110111 4 -b11111111111111111110111111001111 1 -b11111111111111111110111111001111 C -b111110111110111 0 -b111110111110111 H -08 -b110000110010100101001000110000 < -b1101111110001100001100000110011 2 -b1101111110001100001100000110011 = -b1101111110001100001100000110011 : -b111110111110111100011000000010 $ -b111110111110111100011000000010 - -b111110111110111100011000000010 5 -b111110111110111100011000000010 ? -b111110111110111100011000000010 D -b11001111001101011010110111001111 % -b11001111001101011010110111001111 . -b11001111001101011010110111001111 6 -b11001111001101011010110111001111 @ -b11001111001101011010110111001111 F -b111110111110111 ) -#481152000 -0& -#481168000 -b1110101011110 , -#481184000 -1& -#481200000 -b11111100111111110010100 " -b11111100111111110010100 4 -b11111110111111110011100111101111 1 -b11111110111111110011100111101111 C -b11111100111111110010100 0 -b11111100111111110010100 H -18 -09 -b10000101001000001100011110010110 < -b10000010000111111111000100111101 2 -b10000010000111111111000100111101 = -b10000010000111111111000100111101 : -b11111100111111110010100110100110 $ -b11111100111111110010100110100110 - -b11111100111111110010100110100110 5 -b11111100111111110010100110100110 ? -b11111100111111110010100110100110 D -b1111010110111110011100001101001 % -b1111010110111110011100001101001 . -b1111010110111110011100001101001 6 -b1111010110111110011100001101001 @ -b1111010110111110011100001101001 F -b11111100111111110010100 ) -#481216000 -0& -#481232000 -b1110101011111 , -#481248000 -1& -#481264000 -b1110011 " -b1110011 4 -b11110111111111111111111100111001 1 -b11110111111111111111111100111001 C -b1110011 0 -b1110011 H -19 -18 -b10001010000011001011010011000110 < -b1110010000011000111001011010000 2 -b1110010000011000111001011010000 = -b1110010000011000111001011010000 : -b11100111111111111011111000001001 $ -b11100111111111111011111000001001 - -b11100111111111111011111000001001 5 -b11100111111111111011111000001001 ? -b11100111111111111011111000001001 D -b1110101111100110100101100111001 % -b1110101111100110100101100111001 . -b1110101111100110100101100111001 6 -b1110101111100110100101100111001 @ -b1110101111100110100101100111001 F -b1110011 ) -#481280000 -0& -#481296000 -b1110101100000 , -#481312000 -1& -#481328000 -b11111100111110000010101100000 " -b11111100111110000010101100000 4 -b11111110111110111011111110100011 1 -b11111110111110111011111110100011 C -b11111100111110000010101100000 0 -b11111100111110000010101100000 H -09 -08 -b110001100001000110100101011100 < -b101110011111001001010001011111 2 -b101110011111001001010001011111 = -b101110011111001001010001011111 : -b11111100111110000010101100000010 $ -b11111100111110000010101100000010 - -b11111100111110000010101100000010 5 -b11111100111110000010101100000010 ? -b11111100111110000010101100000010 D -b11001110011110111001011010100011 % -b11001110011110111001011010100011 . -b11001110011110111001011010100011 6 -b11001110011110111001011010100011 @ -b11001110011110111001011010100011 F -b11111100111110000010101100000 ) -#481344000 -0& -#481360000 -b1110101100001 , -#481376000 -1& -#481392000 -b11111011011011111111111100110 " -b11111011011011111111111100110 4 -b11111111111111111111111110110011 1 -b11111111111111111111111110110011 C -b11111011011011111111111100110 0 -b11111011011011111111111100110 H -b11001000000011111010101111100 < -b10100011100011111010010101101 2 -b10100011100011111010010101101 = -b10100011100011111010010101101 : -b11111011011011111111111100110000 $ -b11111011011011111111111100110000 - -b11111011011011111111111100110000 5 -b11111011011011111111111100110000 ? -b11111011011011111111111100110000 D -b11100110111111100000101010000011 % -b11100110111111100000101010000011 . -b11100110111111100000101010000011 6 -b11100110111111100000101010000011 @ -b11100110111111100000101010000011 F -b11111011011011111111111100110 ) -#481408000 -0& -#481424000 -b1110101100010 , -#481440000 -1& -#481456000 -b11101 " -b11101 4 -b1111111111111110000111111011110 1 -b1111111111111110000111111011110 C -b11101 0 -b11101 H -08 -b11000010010001001111001001100101 < -b111010000110101111110110101100 2 -b111010000110101111110110101100 = -b111010000110101111110110101100 : -b1110111110101100000101101000110 $ -b1110111110101100000101101000110 - -b1110111110101100000101101000110 5 -b1110111110101100000101101000110 ? -b1110111110101100000101101000110 D -b111101101110110000110110011010 % -b111101101110110000110110011010 . -b111101101110110000110110011010 6 -b111101101110110000110110011010 @ -b111101101110110000110110011010 F -b11101 ) -#481472000 -0& -#481488000 -b1110101100011 , -#481504000 -1& -#481520000 -b11111101111011 " -b11111101111011 4 -b11111111111111111110001101111011 1 -b11111111111111111110001101111011 C -b11111101111011 0 -b11111101111011 H -08 -b101000000001010001110010001101 < -b100101111100101011111110010111 2 -b100101111100101011111110010111 = -b100101111100101011111110010111 : -b11111101111011011010001100001001 $ -b11111101111011011010001100001001 - -b11111101111011011010001100001001 5 -b11111101111011011010001100001001 ? -b11111101111011011010001100001001 D -b11010111111110101110001101110010 % -b11010111111110101110001101110010 . -b11010111111110101110001101110010 6 -b11010111111110101110001101110010 @ -b11010111111110101110001101110010 F -b11111101111011 ) -#481536000 -0& -#481552000 -b1110101100100 , -#481568000 -1& -#481584000 -b111111011111 " -b111111011111 4 -b11111111111111111110011011111111 1 -b11111111111111111110011011111111 C -b111111011111 0 -b111111011111 H -19 -08 -b100001100000011100100001100 < -b10000011001010111001111110011001 2 -b10000011001010111001111110011001 = -b10000011001010111001111110011001 : -b1111110111110110110011010001100 $ -b1111110111110110110011010001100 - -b1111110111110110110011010001100 5 -b1111110111110110110011010001100 ? -b1111110111110110110011010001100 D -b11111011110011111100011011110011 % -b11111011110011111100011011110011 . -b11111011110011111100011011110011 6 -b11111011110011111100011011110011 @ -b11111011110011111100011011110011 F -b111111011111 ) -#481600000 -0& -#481616000 -b1110101100101 , -#481632000 -1& -#481648000 -b101111111101 " -b101111111101 4 -b10111111110110110111101110111111 1 -b10111111110110110111101110111111 C -b101111111101 0 -b101111111101 H -09 -b1000000001101011001111101001011 < -b100001011101011110111 2 -b100001011101011110111 = -b100001011101011110111 : -b10111111110110110001101110101011 $ -b10111111110110110001101110101011 - -b10111111110110110001101110101011 5 -b10111111110110110001101110101011 ? -b10111111110110110001101110101011 D -b10111111110010100110000010110100 % -b10111111110010100110000010110100 . -b10111111110010100110000010110100 6 -b10111111110010100110000010110100 @ -b10111111110010100110000010110100 F -b101111111101 ) -#481664000 -0& -#481680000 -b1110101100110 , -#481696000 -1& -#481712000 -b111111 " -b111111 4 -b11111111111111111011111101111111 1 -b11111111111111111011111101111111 C -b111111 0 -b111111 H -18 -b11100101011110010111100010100101 < -b11100101011110010011011100001011 2 -b11100101011110010011011100001011 = -b11100101011110010011011100001011 : -b11111111111111111011111001100101 $ -b11111111111111111011111001100101 - -b11111111111111111011111001100101 5 -b11111111111111111011111001100101 ? -b11111111111111111011111001100101 D -b11010100001101000011101011010 % -b11010100001101000011101011010 . -b11010100001101000011101011010 6 -b11010100001101000011101011010 @ -b11010100001101000011101011010 F -b111111 ) -#481728000 -0& -#481744000 -b1110101100111 , -#481760000 -1& -#481776000 -b1111110010010010010011000101000 " -b1111110010010010010011000101000 4 -b1111111111011110011111100101000 1 -b1111111111011110011111100101000 C -b1111110010010010010011000101000 0 -b1111110010010010010011000101000 H -08 -b10111010010110011100001011111111 < -b111000101000101110100100101000 2 -b111000101000101110100100101000 = -b111000101000101110100100101000 : -b1111110010010010010011000101000 $ -b1111110010010010010011000101000 - -b1111110010010010010011000101000 5 -b1111110010010010010011000101000 ? -b1111110010010010010011000101000 D -b1000101101001100011110100000000 % -b1000101101001100011110100000000 . -b1000101101001100011110100000000 6 -b1000101101001100011110100000000 @ -b1000101101001100011110100000000 F -b1111110010010010010011000101000 ) -#481792000 -0& -#481808000 -b1110101101000 , -#481824000 -1& -#481840000 -b11111110101101110011 " -b11111110101101110011 4 -b11111110111111110011111111101110 1 -b11111110111111110011111111101110 C -b11111110101101110011 0 -b11111110101101110011 H -18 -b10110001000001001111110001010011 < -b10101111101111000011110001000010 2 -b10101111101111000011110001000010 = -b10101111101111000011110001000010 : -b11111110101101110011111111101110 $ -b11111110101101110011111111101110 - -b11111110101101110011111111101110 5 -b11111110101101110011111111101110 ? -b11111110101101110011111111101110 D -b1001110111110110000001110101100 % -b1001110111110110000001110101100 . -b1001110111110110000001110101100 6 -b1001110111110110000001110101100 @ -b1001110111110110000001110101100 F -b11111110101101110011 ) -#481856000 -0& -#481872000 -b1110101101001 , -#481888000 -1& -#481904000 -b11111111111000110 " -b11111111111000110 4 -b111111111111111111111111111101 1 -b111111111111111111111111111101 C -b11111111111000110 0 -b11111111111000110 H -08 -09 -b11100100000110001101111101010010 < -b100100000100011011111101001011 2 -b100100000100011011111101001011 = -b100100000100011011111101001011 : -b111111111110001101111111111000 $ -b111111111110001101111111111000 - -b111111111110001101111111111000 5 -b111111111110001101111111111000 ? -b111111111110001101111111111000 D -b11011111001110010000010101101 % -b11011111001110010000010101101 . -b11011111001110010000010101101 6 -b11011111001110010000010101101 @ -b11011111001110010000010101101 F -b11111111111000110 ) -#481920000 -0& -#481936000 -b1110101101010 , -#481952000 -1& -#481968000 -b10011111111011 " -b10011111111011 4 -b11011111111101110111111111111011 1 -b11011111111101110111111111111011 C -b10011111111011 0 -b10011111111011 H -b100000101010101000000110001110 < -b1110000101000001110100101111010 2 -b1110000101000001110100101111010 = -b1110000101000001110100101111010 : -b1001111111101100110011111101011 $ -b1001111111101100110011111101011 - -b1001111111101100110011111101011 5 -b1001111111101100110011111101011 ? -b1001111111101100110011111101011 D -b11011111010101010111111001110001 % -b11011111010101010111111001110001 . -b11011111010101010111111001110001 6 -b11011111010101010111111001110001 @ -b11011111010101010111111001110001 F -b10011111111011 ) -#481984000 -0& -#482000000 -b1110101101011 , -#482016000 -1& -#482032000 -b101100111 " -b101100111 4 -b11111101111111110111111011111110 1 -b11111101111111110111111011111110 C -b101100111 0 -b101100111 H -19 -08 -b1010011000000111000010100101001 < -b10101100111111101010100110010100 2 -b10101100111111101010100110010100 = -b10101100111111101010100110010100 : -b1011001111110110010010001101010 $ -b1011001111110110010010001101010 - -b1011001111110110010010001101010 5 -b1011001111110110010010001101010 ? -b1011001111110110010010001101010 D -b10101100111111000111101011010110 % -b10101100111111000111101011010110 . -b10101100111111000111101011010110 6 -b10101100111111000111101011010110 @ -b10101100111111000111101011010110 F -b101100111 ) -#482048000 -0& -#482064000 -b1110101101100 , -#482080000 -1& -#482096000 -b11011101110111110 " -b11011101110111110 4 -b11111111110111111100111111101111 1 -b11111111110111111100111111101111 C -b11011101110111110 0 -b11011101110111110 H -18 -b10001000001000000111000001010000 < -b1100101111111111011100000011110 2 -b1100101111111111011100000011110 = -b1100101111111111011100000011110 : -b11011101110111110100011111001101 $ -b11011101110111110100011111001101 - -b11011101110111110100011111001101 5 -b11011101110111110100011111001101 ? -b11011101110111110100011111001101 D -b1110111110111111000111110101111 % -b1110111110111111000111110101111 . -b1110111110111111000111110101111 6 -b1110111110111111000111110101111 @ -b1110111110111111000111110101111 F -b11011101110111110 ) -#482112000 -0& -#482128000 -b1110101101101 , -#482144000 -1& -#482160000 -b11101111101011110011111111100 " -b11101111101011110011111111100 4 -b11111111111111111101111111110010 1 -b11111111111111111101111111110010 C -b11101111101011110011111111100 0 -b11101111101011110011111111100 H -09 -08 -b10000000111010000000111101 < -b1111001110110110100000000110000 2 -b1111001110110110100000000110000 = -b1111001110110110100000000110000 : -b1110111110101111001111111110010 $ -b1110111110101111001111111110010 - -b1110111110101111001111111110010 5 -b1110111110101111001111111110010 ? -b1110111110101111001111111110010 D -b11111101111111000101111111000010 % -b11111101111111000101111111000010 . -b11111101111111000101111111000010 6 -b11111101111111000101111111000010 @ -b11111101111111000101111111000010 F -b11101111101011110011111111100 ) -#482176000 -0& -#482192000 -b1110101101110 , -#482208000 -1& -#482224000 -b1111011111111111100 " -b1111011111111111100 4 -b11111111111111111110100101101111 1 -b11111111111111111110100101101111 C -b1111011111111111100 0 -b1111011111111111100 H -08 -b10100001010000001011110110010 < -b1100001001111010000100100010 2 -b1100001001111010000100100010 = -b1100001001111010000100100010 : -b11110111111111111000100101101111 $ -b11110111111111111000100101101111 - -b11110111111111111000100101101111 5 -b11110111111111111000100101101111 ? -b11110111111111111000100101101111 D -b11101011110101111110100001001101 % -b11101011110101111110100001001101 . -b11101011110101111110100001001101 6 -b11101011110101111110100001001101 @ -b11101011110101111110100001001101 F -b1111011111111111100 ) -#482240000 -0& -#482256000 -b1110101101111 , -#482272000 -1& -#482288000 -b101111110 " -b101111110 4 -b1011111111111111110101110110110 1 -b1011111111111111110101110110110 C -b101111110 0 -b101111110 H -b11100010000000100001011001001001 < -b1000001101100010101100001111100 2 -b1000001101100010101100001111100 = -b1000001101100010101100001111100 : -b1011111101011110100001000110010 $ -b1011111101011110100001000110010 - -b1011111101011110100001000110010 5 -b1011111101011110100001000110010 ? -b1011111101011110100001000110010 D -b11101111111011110100110110110 % -b11101111111011110100110110110 . -b11101111111011110100110110110 6 -b11101111111011110100110110110 @ -b11101111111011110100110110110 F -b101111110 ) -#482304000 -0& -#482320000 -b1110101110000 , -#482336000 -1& -#482352000 -b10111110 " -b10111110 4 -b11111111111111111110111101111101 1 -b11111111111111111110111101111101 C -b10111110 0 -b10111110 H -18 -b10000100011100101010010000111 < -b11001111100011011001100010100101 2 -b11001111100011011001100010100101 = -b11001111100011011001100010100101 : -b10111110111111110100010000011101 $ -b10111110111111110100010000011101 - -b10111110111111110100010000011101 5 -b10111110111111110100010000011101 ? -b10111110111111110100010000011101 D -b11101111011100011010101101111000 % -b11101111011100011010101101111000 . -b11101111011100011010101101111000 6 -b11101111011100011010101101111000 @ -b11101111011100011010101101111000 F -b10111110 ) -#482368000 -0& -#482384000 -b1110101110001 , -#482400000 -1& -#482416000 -b111 " -b111 4 -b11111111111111110010111100111100 1 -b11111111111111110010111100111100 C -b111 0 -b111 H -08 -19 -b110000000010001101000111000011 < -b10110000000001001101111011100000 2 -b10110000000001001101111011100000 = -b10110000000001001101111011100000 : -b1111111111111000000110100011100 $ -b1111111111111000000110100011100 - -b1111111111111000000110100011100 5 -b1111111111111000000110100011100 ? -b1111111111111000000110100011100 D -b11001111111101110010111000111100 % -b11001111111101110010111000111100 . -b11001111111101110010111000111100 6 -b11001111111101110010111000111100 @ -b11001111111101110010111000111100 F -b111 ) -#482432000 -0& -#482448000 -b1110101110010 , -#482464000 -1& -#482480000 -b11111010111011110011100 " -b11111010111011110011100 4 -b1111111111101111011110011101111 1 -b1111111111101111011110011101111 C -b11111010111011110011100 0 -b11111010111011110011100 H -18 -09 -b10000001001010001101011111010111 < -b11111110101000000111010011000111 2 -b11111110101000000111010011000111 = -b11111110101000000111010011000111 : -b1111101011101111001110011101111 $ -b1111101011101111001110011101111 - -b1111101011101111001110011101111 5 -b1111101011101111001110011101111 ? -b1111101011101111001110011101111 D -b1111110110101110010100000101000 % -b1111110110101110010100000101000 . -b1111110110101110010100000101000 6 -b1111110110101110010100000101000 @ -b1111110110101110010100000101000 F -b11111010111011110011100 ) -#482496000 -0& -#482512000 -b1110101110011 , -#482528000 -1& -#482544000 -b100110010111111111010100010 " -b100110010111111111010100010 4 -b10111111111111111101111101000111 1 -b10111111111111111101111101000111 C -b100110010111111111010100010 0 -b100110010111111111010100010 H -18 -b1000000000110000010000011111010 < -b11011001100101111111010100111110 2 -b11011001100101111111010100111110 = -b11011001100101111111010100111110 : -b10011001011111111101010001000011 $ -b10011001011111111101010001000011 - -b10011001011111111101010001000011 5 -b10011001011111111101010001000011 ? -b10011001011111111101010001000011 D -b10111111111001111101111100000101 % -b10111111111001111101111100000101 . -b10111111111001111101111100000101 6 -b10111111111001111101111100000101 @ -b10111111111001111101111100000101 F -b100110010111111111010100010 ) -#482560000 -0& -#482576000 -b1110101110100 , -#482592000 -1& -#482608000 -b1111111011111111001100111 " -b1111111011111111001100111 4 -b11111111111111111111011111011111 1 -b11111111111111111111011111011111 C -b1111111011111111001100111 0 -b1111111011111111001100111 H -18 -b10000010000010000001100111111000 < -b10000001000001110100110111010111 2 -b10000001000001110100110111010111 = -b10000001000001110100110111010111 : -b11111110111111110011001111011110 $ -b11111110111111110011001111011110 - -b11111110111111110011001111011110 5 -b11111110111111110011001111011110 ? -b11111110111111110011001111011110 D -b1111101111101111110011000000111 % -b1111101111101111110011000000111 . -b1111101111101111110011000000111 6 -b1111101111101111110011000000111 @ -b1111101111101111110011000000111 F -b1111111011111111001100111 ) -#482624000 -0& -#482640000 -b1110101110101 , -#482656000 -1& -#482672000 -b1001110111011 " -b1001110111011 4 -b1011011111111111110111001111010 1 -b1011011111111111110111001111010 C -b1001110111011 0 -b1001110111011 H -18 -09 -b10100100000010000011001110001111 < -b10110111110000111001100110011010 2 -b10110111110000111001100110011010 = -b10110111110000111001100110011010 : -b10011101110110110011000001010 $ -b10011101110110110011000001010 - -b10011101110110110011000001010 5 -b10011101110110110011000001010 ? -b10011101110110110011000001010 D -b1011011111101111100110001110000 % -b1011011111101111100110001110000 . -b1011011111101111100110001110000 6 -b1011011111101111100110001110000 @ -b1011011111101111100110001110000 F -b1001110111011 ) -#482688000 -0& -#482704000 -b1110101110110 , -#482720000 -1& -#482736000 -b1111011011 " -b1111011011 4 -b11111111111110111011110111111111 1 -b11111111111110111011110111111111 C -b1111011011 0 -b1111011011 H -19 -18 -b10000000000101111101001010101001 < -b1110111000100111000110001100011 2 -b1110111000100111000110001100011 = -b1110111000100111000110001100011 : -b11110110111110111011100110111001 $ -b11110110111110111011100110111001 - -b11110110111110111011100110111001 5 -b11110110111110111011100110111001 ? -b11110110111110111011100110111001 D -b1111111111010000010110101010110 % -b1111111111010000010110101010110 . -b1111111111010000010110101010110 6 -b1111111111010000010110101010110 @ -b1111111111010000010110101010110 F -b1111011011 ) -#482752000 -0& -#482768000 -b1110101110111 , -#482784000 -1& -#482800000 -b11011101110011011110000011001110 " -b11011101110011011110000011001110 4 -b11011111111011111111000011001110 1 -b11011111111011111111000011001110 C -b11011101110011011110000011001110 0 -b11011101110011011110000011001110 H -09 -18 -b11100001110110001010111110111111 < -b10111111101001101001000010001110 2 -b10111111101001101001000010001110 = -b10111111101001101001000010001110 : -b11011101110011011110000011001110 $ -b11011101110011011110000011001110 - -b11011101110011011110000011001110 5 -b11011101110011011110000011001110 ? -b11011101110011011110000011001110 D -b11110001001110101000001000000 % -b11110001001110101000001000000 . -b11110001001110101000001000000 6 -b11110001001110101000001000000 @ -b11110001001110101000001000000 F -b11011101110011011110000011001110 ) -#482816000 -0& -#482832000 -b1110101111000 , -#482848000 -1& -#482864000 -b11110 " -b11110 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b11110 0 -b11110 H -08 -b100000000000001010001011100100 < -b10011011000000101001011100100 2 -b10011011000000101001011100100 = -b10011011000000101001011100100 : -b11110011010111111010111111111111 $ -b11110011010111111010111111111111 - -b11110011010111111010111111111111 5 -b11110011010111111010111111111111 ? -b11110011010111111010111111111111 D -b11011111111111110101110100011011 % -b11011111111111110101110100011011 . -b11011111111111110101110100011011 6 -b11011111111111110101110100011011 @ -b11011111111111110101110100011011 F -b11110 ) -#482880000 -0& -#482896000 -b1110101111001 , -#482912000 -1& -#482928000 -b1100111 " -b1100111 4 -b11011111111111111110110000111001 1 -b11011111111111111110110000111001 C -b1100111 0 -b1100111 H -08 -b1100100100000001001111111000110 < -b110011010111100100101111101111 2 -b110011010111100100101111101111 = -b110011010111100100101111101111 : -b11001110110111011010110000101000 $ -b11001110110111011010110000101000 - -b11001110110111011010110000101000 5 -b11001110110111011010110000101000 ? -b11001110110111011010110000101000 D -b10011011011111110110000000111001 % -b10011011011111110110000000111001 . -b10011011011111110110000000111001 6 -b10011011011111110110000000111001 @ -b10011011011111110110000000111001 F -b1100111 ) -#482944000 -0& -#482960000 -b1110101111010 , -#482976000 -1& -#482992000 -b111011110000111010001 " -b111011110000111010001 4 -b11111111101111111111011001101110 1 -b11111111101111111111011001101110 C -b111011110000111010001 0 -b111011110000111010001 H -08 -b11010000010000111110010101 < -b1111010110010000101011000000100 2 -b1111010110010000101011000000100 = -b1111010110010000101011000000100 : -b1110111100001110100011001101110 $ -b1110111100001110100011001101110 - -b1110111100001110100011001101110 5 -b1110111100001110100011001101110 ? -b1110111100001110100011001101110 D -b11111100101111101111000001101010 % -b11111100101111101111000001101010 . -b11111100101111101111000001101010 6 -b11111100101111101111000001101010 @ -b11111100101111101111000001101010 F -b111011110000111010001 ) -#483008000 -0& -#483024000 -b1110101111011 , -#483040000 -1& -#483056000 -b1111110110010110000101 " -b1111110110010110000101 4 -b11111111110111110001111110011110 1 -b11111111110111110001111110011110 C -b1111110110010110000101 0 -b1111110110010110000101 H -18 -19 -b10000000001000001111010101110101 < -b1111101101101110000110010010010 2 -b1111101101101110000110010010010 = -b1111101101101110000110010010010 : -b11111101100101100001011100011100 $ -b11111101100101100001011100011100 - -b11111101100101100001011100011100 5 -b11111101100101100001011100011100 ? -b11111101100101100001011100011100 D -b1111111110111110000101010001010 % -b1111111110111110000101010001010 . -b1111111110111110000101010001010 6 -b1111111110111110000101010001010 @ -b1111111110111110000101010001010 F -b1111110110010110000101 ) -#483072000 -0& -#483088000 -b1110101111100 , -#483104000 -1& -#483120000 -b1101101 " -b1101101 4 -b1111101110111111111001101111101 1 -b1111101110111111111001101111101 C -b1101101 0 -b1101101 H -09 -08 -b10101010001000010001110110000111 < -b10111111111000110111010001101 2 -b10111111111000110111010001101 = -b10111111111000110111010001101 : -b1101101110110110101000100000101 $ -b1101101110110110101000100000101 - -b1101101110110110101000100000101 5 -b1101101110110110101000100000101 ? -b1101101110110110101000100000101 D -b1010101110111101110001001111000 % -b1010101110111101110001001111000 . -b1010101110111101110001001111000 6 -b1010101110111101110001001111000 @ -b1010101110111101110001001111000 F -b1101101 ) -#483136000 -0& -#483152000 -b1110101111101 , -#483168000 -1& -#483184000 -b111 " -b111 4 -b11111111101111111111101111111110 1 -b11111111101111111111101111111110 C -b111 0 -b111 H -19 -08 -b101100111000000100111000000011 < -b10101100100111111001100100011010 2 -b10101100100111111001100100011010 = -b10101100100111111001100100011010 : -b1111111101111110100101100010110 $ -b1111111101111110100101100010110 - -b1111111101111110100101100010110 5 -b1111111101111110100101100010110 ? -b1111111101111110100101100010110 D -b11010011000111111011000111111100 % -b11010011000111111011000111111100 . -b11010011000111111011000111111100 6 -b11010011000111111011000111111100 @ -b11010011000111111011000111111100 F -b111 ) -#483200000 -0& -#483216000 -b1110101111110 , -#483232000 -1& -#483248000 -b10011101101111101111000011001 " -b10011101101111101111000011001 4 -b11111110110111110111111111100111 1 -b11111110110111110111111111100111 C -b10011101101111101111000011001 0 -b10011101101111101111000011001 H -19 -08 -b1000011001101011111100000011101 < -b10010010000101010111000010000101 2 -b10010010000101010111000010000101 = -b10010010000101010111000010000101 : -b1001110110111110111100001100111 $ -b1001110110111110111100001100111 - -b1001110110111110111100001100111 5 -b1001110110111110111100001100111 ? -b1001110110111110111100001100111 D -b10111100110010100000011111100010 % -b10111100110010100000011111100010 . -b10111100110010100000011111100010 6 -b10111100110010100000011111100010 @ -b10111100110010100000011111100010 F -b10011101101111101111000011001 ) -#483264000 -0& -#483280000 -b1110101111111 , -#483296000 -1& -#483312000 -b10010 " -b10010 4 -b11111111111111111011011101111111 1 -b11111111111111111011011101111111 C -b10010 0 -b10010 H -18 -09 -b10000011101101111011000100 < -b10011001111011100111011000001001 2 -b10011001111011100111011000001001 = -b10011001111011100111011000001001 : -b10010111110111111001011101000100 $ -b10010111110111111001011101000100 - -b10010111110111111001011101000100 5 -b10010111110111111001011101000100 ? -b10010111110111111001011101000100 D -b11111101111100010010000100111011 % -b11111101111100010010000100111011 . -b11111101111100010010000100111011 6 -b11111101111100010010000100111011 @ -b11111101111100010010000100111011 F -b10010 ) -#483328000 -0& -#483344000 -b1110110000000 , -#483360000 -1& -#483376000 -b11111101110111101001010100011 " -b11111101110111101001010100011 4 -b11111111111111111011010101111011 1 -b11111111111111111011010101111011 C -b11111101110111101001010100011 0 -b11111101110111101001010100011 H -b10001000000000001101101110011100 < -b10000101110111110111000010111000 2 -b10000101110111110111000010111000 = -b10000101110111110111000010111000 : -b11111101110111101001010100011011 $ -b11111101110111101001010100011011 - -b11111101110111101001010100011011 5 -b11111101110111101001010100011011 ? -b11111101110111101001010100011011 D -b1110111111111110010010001100011 % -b1110111111111110010010001100011 . -b1110111111111110010010001100011 6 -b1110111111111110010010001100011 @ -b1110111111111110010010001100011 F -b11111101110111101001010100011 ) -#483392000 -0& -#483408000 -b1110110000001 , -#483424000 -1& -#483440000 -b10101001111101101010001110111 " -b10101001111101101010001110111 4 -b11111101111111110101110111011111 1 -b11111101111111110101110111011111 C -b10101001111101101010001110111 0 -b10101001111101101010001110111 H -08 -19 -b1000010001100011011001001111101 < -b10010111001011010000010001011011 2 -b10010111001011010000010001011011 = -b10010111001011010000010001011011 : -b1010100111110110101000111011101 $ -b1010100111110110101000111011101 - -b1010100111110110101000111011101 5 -b1010100111110110101000111011101 ? -b1010100111110110101000111011101 D -b10111101110011100100110110000010 % -b10111101110011100100110110000010 . -b10111101110011100100110110000010 6 -b10111101110011100100110110000010 @ -b10111101110011100100110110000010 F -b10101001111101101010001110111 ) -#483456000 -0& -#483472000 -b1110110000010 , -#483488000 -1& -#483504000 -b11 " -b11 4 -b11011111111111111001101001111110 1 -b11011111111111111001101001111110 C -b11 0 -b11 H -09 -08 -b100010000000100111110110100001 < -b10000000100001100000010100 2 -b10000000100001100000010100 = -b10000000100001100000010100 : -b11011111111111111001101001110010 $ -b11011111111111111001101001110010 - -b11011111111111111001101001110010 5 -b11011111111111111001101001110010 ? -b11011111111111111001101001110010 D -b11011101111111011000001001011110 % -b11011101111111011000001001011110 . -b11011101111111011000001001011110 6 -b11011101111111011000001001011110 @ -b11011101111111011000001001011110 F -b11 ) -#483520000 -0& -#483536000 -b1110110000011 , -#483552000 -1& -#483568000 -b111 " -b111 4 -b1111011111111111101111101111111 1 -b1111011111111111101111101111111 C -b111 0 -b111 H -18 -b10011101110000100010011011000100 < -b11011001110000010011110101000100 2 -b11011001110000010011110101000100 = -b11011001110000010011110101000100 : -b111011111111110001011001111111 $ -b111011111111110001011001111111 - -b111011111111110001011001111111 5 -b111011111111110001011001111111 ? -b111011111111110001011001111111 D -b1100010001111011101100100111011 % -b1100010001111011101100100111011 . -b1100010001111011101100100111011 6 -b1100010001111011101100100111011 @ -b1100010001111011101100100111011 F -b111 ) -#483584000 -0& -#483600000 -b1110110000100 , -#483616000 -1& -#483632000 -b1110001111 " -b1110001111 4 -b11101111110111011011101010110111 1 -b11101111110111011011101010110111 C -b1110001111 0 -b1110001111 H -b11010000001000101100011111101001 < -b10110011111111000101101010001101 2 -b10110011111111000101101010001101 = -b10110011111111000101101010001101 : -b11100011110110011001001010100011 $ -b11100011110110011001001010100011 - -b11100011110110011001001010100011 5 -b11100011110110011001001010100011 ? -b11100011110110011001001010100011 D -b101111110111010011100000010110 % -b101111110111010011100000010110 . -b101111110111010011100000010110 6 -b101111110111010011100000010110 @ -b101111110111010011100000010110 F -b1110001111 ) -#483648000 -0& -#483664000 -b1110110000101 , -#483680000 -1& -#483696000 -b1111111111111110010001000 " -b1111111111111110010001000 4 -b1111111111111111010001100100111 1 -b1111111111111111010001100100111 C -b1111111111111110010001000 0 -b1111111111111110010001000 H -08 -b10000000110010010111110011111001 < -b110010001001111100100001 2 -b110010001001111100100001 = -b110010001001111100100001 : -b1111111111111110010001000100111 $ -b1111111111111110010001000100111 - -b1111111111111110010001000100111 5 -b1111111111111110010001000100111 ? -b1111111111111110010001000100111 D -b1111111001101101000001100000110 % -b1111111001101101000001100000110 . -b1111111001101101000001100000110 6 -b1111111001101101000001100000110 @ -b1111111001101101000001100000110 F -b1111111111111110010001000 ) -#483712000 -0& -#483728000 -b1110110000110 , -#483744000 -1& -#483760000 -b11011 " -b11011 4 -b11111111111110111111001011111111 1 -b11111111111110111111001011111111 C -b11011 0 -b11011 H -08 -b110001010001000000110110100110 < -b1101001001101110010111001010101 2 -b1101001001101110010111001010101 = -b1101001001101110010111001010101 : -b110111111100110010000010101110 $ -b110111111100110010000010101110 - -b110111111100110010000010101110 5 -b110111111100110010000010101110 ? -b110111111100110010000010101110 D -b11001110101110111111001001011001 % -b11001110101110111111001001011001 . -b11001110101110111111001001011001 6 -b11001110101110111111001001011001 @ -b11001110101110111111001001011001 F -b11011 ) -#483776000 -0& -#483792000 -b1110110000111 , -#483808000 -1& -#483824000 -b11111101111011100111100 " -b11111101111011100111100 4 -b11111111111111110111111111001111 1 -b11111111111111110111111111001111 C -b11111101111011100111100 0 -b11111101111011100111100 H -18 -09 -b11100000001010101110000011110110 < -b11011110000110010101101010111110 2 -b11011110000110010101101010111110 = -b11011110000110010101101010111110 : -b11111101111011100111100111000111 $ -b11111101111011100111100111000111 - -b11111101111011100111100111000111 5 -b11111101111011100111100111000111 ? -b11111101111011100111100111000111 D -b11111110101010001111100001001 % -b11111110101010001111100001001 . -b11111110101010001111100001001 6 -b11111110101010001111100001001 @ -b11111110101010001111100001001 F -b11111101111011100111100 ) -#483840000 -0& -#483856000 -b1110110001000 , -#483872000 -1& -#483888000 -b110111111000111011110011000 " -b110111111000111011110011000 4 -b11111111111111111111001111110111 1 -b11111111111111111111001111110111 C -b110111111000111011110011000 0 -b110111111000111011110011000 H -19 -18 -b10000000000010000001111000011010 < -b1011111100101110001000100101101 2 -b1011111100101110001000100101101 = -b1011111100101110001000100101101 : -b11011111100011101111001100010010 $ -b11011111100011101111001100010010 - -b11011111100011101111001100010010 5 -b11011111100011101111001100010010 ? -b11011111100011101111001100010010 D -b1111111111101111110000111100101 % -b1111111111101111110000111100101 . -b1111111111101111110000111100101 6 -b1111111111101111110000111100101 @ -b1111111111101111110000111100101 F -b110111111000111011110011000 ) -#483904000 -0& -#483920000 -b1110110001001 , -#483936000 -1& -#483952000 -b1100001110011101111100 " -b1100001110011101111100 4 -b11111011111111011111101100101111 1 -b11111011111111011111101100101111 C -b1100001110011101111100 0 -b1100001110011101111100 H -b10000100000000101010011111010101 < -b1000111101000001001101011011101 2 -b1000111101000001001101011011101 = -b1000111101000001001101011011101 : -b11000011100111011111001100000111 $ -b11000011100111011111001100000111 - -b11000011100111011111001100000111 5 -b11000011100111011111001100000111 ? -b11000011100111011111001100000111 D -b1111011111111010101100000101010 % -b1111011111111010101100000101010 . -b1111011111111010101100000101010 6 -b1111011111111010101100000101010 @ -b1111011111111010101100000101010 F -b1100001110011101111100 ) -#483968000 -0& -#483984000 -b1110110001010 , -#484000000 -1& -#484016000 -b11100111111001001011101010 " -b11100111111001001011101010 4 -b11111111111100110111111111111111 1 -b11111111111100110111111111111111 C -b11100111111001001011101010 0 -b11100111111001001011101010 H -08 -b100000010011001000100000011010 < -b10010100001111101110010101111001 2 -b10010100001111101110010101111001 = -b10010100001111101110010101111001 : -b1110011111100100101110101011110 $ -b1110011111100100101110101011110 - -b1110011111100100101110101011110 5 -b1110011111100100101110101011110 ? -b1110011111100100101110101011110 D -b11011111101100110111011111100101 % -b11011111101100110111011111100101 . -b11011111101100110111011111100101 6 -b11011111101100110111011111100101 @ -b11011111101100110111011111100101 F -b11100111111001001011101010 ) -#484032000 -0& -#484048000 -b1110110001011 , -#484064000 -1& -#484080000 -b11110010101011101011 " -b11110010101011101011 4 -b11111011111111111011101101101111 1 -b11111011111111111011101101101111 C -b11110010101011101011 0 -b11110010101011101011 H -09 -08 -b1000100100000001110011011010011 < -b110111001011111010001000111111 2 -b110111001011111010001000111111 = -b110111001011111010001000111111 : -b11110010101011101011101101101011 $ -b11110010101011101011101101101011 - -b11110010101011101011101101101011 5 -b11110010101011101011101101101011 ? -b11110010101011101011101101101011 D -b10111011011111110001100100101100 % -b10111011011111110001100100101100 . -b10111011011111110001100100101100 6 -b10111011011111110001100100101100 @ -b10111011011111110001100100101100 F -b11110010101011101011 ) -#484096000 -0& -#484112000 -b1110110001100 , -#484128000 -1& -#484144000 -b10110 " -b10110 4 -b11110111111111111111111111111011 1 -b11110111111111111111111111111011 C -b10110 0 -b10110 H -18 -b1001000000110000000001000100 < -b10111010110100101001110000000110 2 -b10111010110100101001110000000110 = -b10111010110100101001110000000110 : -b10110001110011111001101111000001 $ -b10110001110011111001101111000001 - -b10110001110011111001101111000001 5 -b10110001110011111001101111000001 ? -b10110001110011111001101111000001 D -b11110110111111001111111110111011 % -b11110110111111001111111110111011 . -b11110110111111001111111110111011 6 -b11110110111111001111111110111011 @ -b11110110111111001111111110111011 F -b10110 ) -#484160000 -0& -#484176000 -b1110110001101 , -#484192000 -1& -#484208000 -b1111111001101001011011001110 " -b1111111001101001011011001110 4 -b1111111001111101011011001110011 1 -b1111111001111101011011001110011 C -b1111111001101001011011001110 0 -b1111111001101001011011001110 H -b10000000110000011110111111111100 < -b11111111111101101010011001110000 2 -b11111111111101101010011001110000 = -b11111111111101101010011001110000 : -b1111111001101001011011001110011 $ -b1111111001101001011011001110011 - -b1111111001101001011011001110011 5 -b1111111001101001011011001110011 ? -b1111111001101001011011001110011 D -b1111111001111100001000000000011 % -b1111111001111100001000000000011 . -b1111111001111100001000000000011 6 -b1111111001111100001000000000011 @ -b1111111001111100001000000000011 F -b1111111001101001011011001110 ) -#484224000 -0& -#484240000 -b1110110001110 , -#484256000 -1& -#484272000 -b1 " -b1 4 -b1111111111111110110111101111110 1 -b1111111111111110110111101111110 C -b1 0 -b1 H -b10000100100010111001010010000001 < -b11011100010010101011101011100010 2 -b11011100010010101011101011100010 = -b11011100010010101011101011100010 : -b1010111101111110010011001100000 $ -b1010111101111110010011001100000 - -b1010111101111110010011001100000 5 -b1010111101111110010011001100000 ? -b1010111101111110010011001100000 D -b1111011011101000110101101111110 % -b1111011011101000110101101111110 . -b1111011011101000110101101111110 6 -b1111011011101000110101101111110 @ -b1111011011101000110101101111110 F -b1 ) -#484288000 -0& -#484304000 -b1110110001111 , -#484320000 -1& -#484336000 -b10101001010111010111111000 " -b10101001010111010111111000 4 -b1101110111101111101111111000101 1 -b1101110111101111101111111000101 C -b10101001010111010111111000 0 -b10101001010111010111111000 H -b10010001000011100110010010111011 < -b10111011011001011100010001000001 2 -b10111011011001011100010001000001 = -b10111011011001011100010001000001 : -b101010010101110101111110000101 $ -b101010010101110101111110000101 - -b101010010101110101111110000101 5 -b101010010101110101111110000101 ? -b101010010101110101111110000101 D -b1101110111100011001101101000100 % -b1101110111100011001101101000100 . -b1101110111100011001101101000100 6 -b1101110111100011001101101000100 @ -b1101110111100011001101101000100 F -b10101001010111010111111000 ) -#484352000 -0& -#484368000 -b1110110010000 , -#484384000 -1& -#484400000 -b11111 " -b11111 4 -b11111111111101111001111011111011 1 -b11111111111101111001111011111011 C -b11111 0 -b11111 H -b10011001000010100111010110100100 < -b10011000011111100000110001000110 2 -b10011000011111100000110001000110 = -b10011000011111100000110001000110 : -b11111111011100111001011010100001 $ -b11111111011100111001011010100001 - -b11111111011100111001011010100001 5 -b11111111011100111001011010100001 ? -b11111111011100111001011010100001 D -b1100110111101011000101001011011 % -b1100110111101011000101001011011 . -b1100110111101011000101001011011 6 -b1100110111101011000101001011011 @ -b1100110111101011000101001011011 F -b11111 ) -#484416000 -0& -#484432000 -b1110110010001 , -#484448000 -1& -#484464000 -b1010011111011111011000000101 " -b1010011111011111011000000101 4 -b11111111111111110110011101110111 1 -b11111111111111110110011101110111 C -b1010011111011111011000000101 0 -b1010011111011111011000000101 H -19 -18 -b10000011100011101001100010011011 < -b101011011011011111100011110011 2 -b101011011011011111100011110011 = -b101011011011011111100011110011 : -b10100111110111110110000001010111 $ -b10100111110111110110000001010111 - -b10100111110111110110000001010111 5 -b10100111110111110110000001010111 ? -b10100111110111110110000001010111 D -b1111100011100010110011101100100 % -b1111100011100010110011101100100 . -b1111100011100010110011101100100 6 -b1111100011100010110011101100100 @ -b1111100011100010110011101100100 F -b1010011111011111011000000101 ) -#484480000 -0& -#484496000 -b1110110010010 , -#484512000 -1& -#484528000 -b1111100010011100110100100 " -b1111100010011100110100100 4 -b11111111011111110111010111100111 1 -b11111111011111110111010111100111 C -b1111100010011100110100100 0 -b1111100010011100110100100 H -09 -08 -b100000001011111010011010 < -b111110101001111111001100011110 2 -b111110101001111111001100011110 = -b111110101001111111001100011110 : -b111110001001110011010010000011 $ -b111110001001110011010010000011 - -b111110001001110011010010000011 5 -b111110001001110011010010000011 ? -b111110001001110011010010000011 D -b11111111011111110100000101100101 % -b11111111011111110100000101100101 . -b11111111011111110100000101100101 6 -b11111111011111110100000101100101 @ -b11111111011111110100000101100101 F -b1111100010011100110100100 ) -#484544000 -0& -#484560000 -b1110110010011 , -#484576000 -1& -#484592000 -b10 " -b10 4 -b10111111111011111110110111011111 1 -b10111111111011111110110111011111 C -b10 0 -b10 H -18 -b1000000000100101001001100100001 < -b11111011000000100100000011111011 2 -b11111011000000100100000011111011 = -b11111011000000100100000011111011 : -b10111010111011111010110111011001 $ -b10111010111011111010110111011001 - -b10111010111011111010110111011001 5 -b10111010111011111010110111011001 ? -b10111010111011111010110111011001 D -b10111111111011010110110011011110 % -b10111111111011010110110011011110 . -b10111111111011010110110011011110 6 -b10111111111011010110110011011110 @ -b10111111111011010110110011011110 F -b10 ) -#484608000 -0& -#484624000 -b1110110010100 , -#484640000 -1& -#484656000 -b11111111111110110101000 " -b11111111111110110101000 4 -b11111111111111011011100111101110 1 -b11111111111111011011100111101110 C -b11111111111110110101000 0 -b11111111111110110101000 H -08 -19 -b1100000001100111100111001110111 < -b11100000001100010111011101011110 2 -b11100000001100010111011101011110 = -b11100000001100010111011101011110 : -b1111111111111011010100011100110 $ -b1111111111111011010100011100110 - -b1111111111111011010100011100110 5 -b1111111111111011010100011100110 ? -b1111111111111011010100011100110 D -b10011111110011000011000110001000 % -b10011111110011000011000110001000 . -b10011111110011000011000110001000 6 -b10011111110011000011000110001000 @ -b10011111110011000011000110001000 F -b11111111111110110101000 ) -#484672000 -0& -#484688000 -b1110110010101 , -#484704000 -1& -#484720000 -b1111100001 " -b1111100001 4 -b11111101111010111001111011110110 1 -b11111101111010111001111011110110 C -b1111100001 0 -b1111100001 H -18 -b10000010000101101111100100001001 < -b1111010100000011001010110001100 2 -b1111010100000011001010110001100 = -b1111010100000011001010110001100 : -b11111000011010101001110010000010 $ -b11111000011010101001110010000010 - -b11111000011010101001110010000010 5 -b11111000011010101001110010000010 ? -b11111000011010101001110010000010 D -b1111101111010010000011011110110 % -b1111101111010010000011011110110 . -b1111101111010010000011011110110 6 -b1111101111010010000011011110110 @ -b1111101111010010000011011110110 F -b1111100001 ) -#484736000 -0& -#484752000 -b1110110010110 , -#484768000 -1& -#484784000 -b11110 " -b11110 4 -b11111111111111111111111111111001 1 -b11111111111111111111111111111001 C -b11110 0 -b11110 H -09 -08 -b10000000010000100011010000110 < -b1001100111001011010010100110000 2 -b1001100111001011010010100110000 = -b1001100111001011010010100110000 : -b111100110111010101111010101001 $ -b111100110111010101111010101001 - -b111100110111010101111010101001 5 -b111100110111010101111010101001 ? -b111100110111010101111010101001 D -b11101111111101111011100101111001 % -b11101111111101111011100101111001 . -b11101111111101111011100101111001 6 -b11101111111101111011100101111001 @ -b11101111111101111011100101111001 F -b11110 ) -#484800000 -0& -#484816000 -b1110110010111 , -#484832000 -1& -#484848000 -b1111 " -b1111 4 -b11111111111111111100101001111110 1 -b11111111111111111100101001111110 C -b1111 0 -b1111 H -18 -09 -b10011000010000000011010111000011 < -b10011000001011111111111001000010 2 -b10011000001011111111111001000010 = -b10011000001011111111111001000010 : -b11111111111011111100100001111110 $ -b11111111111011111100100001111110 - -b11111111111011111100100001111110 5 -b11111111111011111100100001111110 ? -b11111111111011111100100001111110 D -b1100111101111111100101000111100 % -b1100111101111111100101000111100 . -b1100111101111111100101000111100 6 -b1100111101111111100101000111100 @ -b1100111101111111100101000111100 F -b1111 ) -#484864000 -0& -#484880000 -b1110110011000 , -#484896000 -1& -#484912000 -b1111111100011 " -b1111111100011 4 -b11111111111111111110111011111011 1 -b11111111111111111110111011111011 C -b1111111100011 0 -b1111111100011 H -19 -08 -b10010000000000011001100101101 < -b10010001100011101001110111100111 2 -b10010001100011101001110111100111 = -b10010001100011101001110111100111 : -b1111111100011100110101010111001 $ -b1111111100011100110101010111001 - -b1111111100011100110101010111001 5 -b1111111100011100110101010111001 ? -b1111111100011100110101010111001 D -b11101101111111111100110011010010 % -b11101101111111111100110011010010 . -b11101101111111111100110011010010 6 -b11101101111111111100110011010010 @ -b11101101111111111100110011010010 F -b1111111100011 ) -#484928000 -0& -#484944000 -b1110110011001 , -#484960000 -1& -#484976000 -b111111111100100101011 " -b111111111100100101011 4 -b11111111111111111111100011011011 1 -b11111111111111111111100011011011 C -b111111111100100101011 0 -b111111111100100101011 H -09 -08 -b100010000000011101110100 < -b10100010110000001000101 2 -b10100010110000001000101 = -b10100010110000001000101 : -b11111111110010010101100011010000 $ -b11111111110010010101100011010000 - -b11111111110010010101100011010000 5 -b11111111110010010101100011010000 ? -b11111111110010010101100011010000 D -b11111111011101111111100010001011 % -b11111111011101111111100010001011 . -b11111111011101111111100010001011 6 -b11111111011101111111100010001011 @ -b11111111011101111111100010001011 F -b111111111100100101011 ) -#484992000 -0& -#485008000 -b1110110011010 , -#485024000 -1& -#485040000 -b110111100011111010000110111110 " -b110111100011111010000110111110 4 -b11111111011111111010111011111010 1 -b11111111011111111010111011111010 C -b110111100011111010000110111110 0 -b110111100011111010000110111110 H -08 -b1001000100000001101001101011101 < -b100110101111110101101001011000 2 -b100110101111110101101001011000 = -b100110101111110101101001011000 : -b11011110001111101000011011111010 $ -b11011110001111101000011011111010 - -b11011110001111101000011011111010 5 -b11011110001111101000011011111010 ? -b11011110001111101000011011111010 D -b10110111011111110010110010100010 % -b10110111011111110010110010100010 . -b10110111011111110010110010100010 6 -b10110111011111110010110010100010 @ -b10110111011111110010110010100010 F -b110111100011111010000110111110 ) -#485056000 -0& -#485072000 -b1110110011011 , -#485088000 -1& -#485104000 -b1101111 " -b1101111 4 -b1111111101110111110111001111001 1 -b1111111101110111110111001111001 C -b1101111 0 -b1101111 H -18 -b10000100010101101001001110100111 < -b11110011111100010001101000010001 2 -b11110011111100010001101000010001 = -b11110011111100010001101000010001 : -b1101111100110101000011001101001 $ -b1101111100110101000011001101001 - -b1101111100110101000011001101001 5 -b1101111100110101000011001101001 ? -b1101111100110101000011001101001 D -b1111011101010010110110001011000 % -b1111011101010010110110001011000 . -b1111011101010010110110001011000 6 -b1111011101010010110110001011000 @ -b1111011101010010110110001011000 F -b1101111 ) -#485120000 -0& -#485136000 -b1110110011100 , -#485152000 -1& -#485168000 -b111111011111111 " -b111111011111111 4 -b1111111111111111110011110001111 1 -b1111111111111111110011110001111 C -b111111011111111 0 -b111111011111111 H -b10000010000011011111101001110000 < -b11000001100011011101110101110110 2 -b11000001100011011101110101110110 = -b11000001100011011101110101110110 : -b111111011111111110001100000101 $ -b111111011111111110001100000101 - -b111111011111111110001100000101 5 -b111111011111111110001100000101 ? -b111111011111111110001100000101 D -b1111101111100100000010110001111 % -b1111101111100100000010110001111 . -b1111101111100100000010110001111 6 -b1111101111100100000010110001111 @ -b1111101111100100000010110001111 F -b111111011111111 ) -#485184000 -0& -#485200000 -b1110110011101 , -#485216000 -1& -#485232000 -b1111110111 " -b1111110111 4 -b11111111111111111111110111110101 1 -b11111111111111111111110111110101 C -b1111110111 0 -b1111110111 H -19 -08 -b10000001010001001100001010 < -b10000000111111001000110011111100 2 -b10000000111111001000110011111100 = -b10000000111111001000110011111100 : -b1111110111101110111100111110001 $ -b1111110111101110111100111110001 - -b1111110111101110111100111110001 5 -b1111110111101110111100111110001 ? -b1111110111101110111100111110001 D -b11111101111110101110110011110101 % -b11111101111110101110110011110101 . -b11111101111110101110110011110101 6 -b11111101111110101110110011110101 @ -b11111101111110101110110011110101 F -b1111110111 ) -#485248000 -0& -#485264000 -b1110110011110 , -#485280000 -1& -#485296000 -b11011101101101110111011101001 " -b11011101101101110111011101001 4 -b11111111111111111011111110100111 1 -b11111111111111111011111110100111 C -b11011101101101110111011101001 0 -b11011101101101110111011101001 H -19 -08 -b1001000110000000111101001111101 < -b10110111100111000011011000100011 2 -b10110111100111000011011000100011 = -b10110111100111000011011000100011 : -b1101110110110111011101110100101 $ -b1101110110110111011101110100101 - -b1101110110110111011101110100101 5 -b1101110110110111011101110100101 ? -b1101110110110111011101110100101 D -b10110111001111111000010110000010 % -b10110111001111111000010110000010 . -b10110111001111111000010110000010 6 -b10110111001111111000010110000010 @ -b10110111001111111000010110000010 F -b11011101101101110111011101001 ) -#485312000 -0& -#485328000 -b1110110011111 , -#485344000 -1& -#485360000 -b110101 " -b110101 4 -b11111111111111101110100111111011 1 -b11111111111111101110100111111011 C -b110101 0 -b110101 H -09 -08 -b10101111010100111 < -b110101001111100100011110011011 2 -b110101001111100100011110011011 = -b110101001111100100011110011011 : -b110101001111001110100011110011 $ -b110101001111001110100011110011 - -b110101001111001110100011110011 5 -b110101001111001110100011110011 ? -b110101001111001110100011110011 D -b11111111111111101010000101011000 % -b11111111111111101010000101011000 . -b11111111111111101010000101011000 6 -b11111111111111101010000101011000 @ -b11111111111111101010000101011000 F -b110101 ) -#485376000 -0& -#485392000 -b1110110100000 , -#485408000 -1& -#485424000 -b11111101111110110100011101101011 " -b11111101111110110100011101101011 4 -b11111111111110110100011111101011 1 -b11111111111110110100011111101011 C -b11111101111110110100011101101011 0 -b11111101111110110100011101101011 H -08 -b100001001101001111101000111111 < -b11111001100000100000110101011 2 -b11111001100000100000110101011 = -b11111001100000100000110101011 : -b11111101111110110100011101101011 $ -b11111101111110110100011101101011 - -b11111101111110110100011101101011 5 -b11111101111110110100011101101011 ? -b11111101111110110100011101101011 D -b11011110110010110000010111000000 % -b11011110110010110000010111000000 . -b11011110110010110000010111000000 6 -b11011110110010110000010111000000 @ -b11011110110010110000010111000000 F -b11111101111110110100011101101011 ) -#485440000 -0& -#485456000 -b1110110100001 , -#485472000 -1& -#485488000 -b1 " -b1 4 -b11111111111111110011111101011111 1 -b11111111111111110011111101011111 C -b1 0 -b1 H -18 -b1000000100001100000010100000 < -b11001000000010111101101111111110 2 -b11001000000010111101101111111110 = -b11001000000010111101101111111110 : -b10111111111110110001101101011101 $ -b10111111111110110001101101011101 - -b10111111111110110001101101011101 5 -b10111111111110110001101101011101 ? -b10111111111110110001101101011101 D -b11110111111011110011111101011111 % -b11110111111011110011111101011111 . -b11110111111011110011111101011111 6 -b11110111111011110011111101011111 @ -b11110111111011110011111101011111 F -b1 ) -#485504000 -0& -#485520000 -b1110110100010 , -#485536000 -1& -#485552000 -b1011111111 " -b1011111111 4 -b11111111111111110111111110111110 1 -b11111111111111110111111110111110 C -b1011111111 0 -b1011111111 H -19 -18 -b10010000010000001010101001101001 < -b1010000001111110010010100101000 2 -b1010000001111110010010100101000 = -b1010000001111110010010100101000 : -b10111111111111100111101010111110 $ -b10111111111111100111101010111110 - -b10111111111111100111101010111110 5 -b10111111111111100111101010111110 ? -b10111111111111100111101010111110 D -b1101111101111110101010110010110 % -b1101111101111110101010110010110 . -b1101111101111110101010110010110 6 -b1101111101111110101010110010110 @ -b1101111101111110101010110010110 F -b1011111111 ) -#485568000 -0& -#485584000 -b1110110100011 , -#485600000 -1& -#485616000 -b11111011110111011 " -b11111011110111011 4 -b11111111111111111101111001111111 1 -b11111111111111111101111001111111 C -b11111011110111011 0 -b11111011110111011 H -09 -18 -b11000000100000001010000111010000 < -b10111100010111100100000001001110 2 -b10111100010111100100000001001110 = -b10111100010111100100000001001110 : -b11111011110111011001111001111101 $ -b11111011110111011001111001111101 - -b11111011110111011001111001111101 5 -b11111011110111011001111001111101 ? -b11111011110111011001111001111101 D -b111111011111110101111000101111 % -b111111011111110101111000101111 . -b111111011111110101111000101111 6 -b111111011111110101111000101111 @ -b111111011111110101111000101111 F -b11111011110111011 ) -#485632000 -0& -#485648000 -b1110110100100 , -#485664000 -1& -#485680000 -b111 " -b111 4 -b11110111111111110111101010011100 1 -b11110111111111110111101010011100 C -b111 0 -b111 H -19 -08 -b1101000000001001100110101100011 < -b11011111010100010001011101111000 2 -b11011111010100010001011101111000 = -b11011111010100010001011101111000 : -b1110111010011000100101000010100 $ -b1110111010011000100101000010100 - -b1110111010011000100101000010100 5 -b1110111010011000100101000010100 ? -b1110111010011000100101000010100 D -b10010111111110110011001010011100 % -b10010111111110110011001010011100 . -b10010111111110110011001010011100 6 -b10010111111110110011001010011100 @ -b10010111111110110011001010011100 F -b111 ) -#485696000 -0& -#485712000 -b1110110100101 , -#485728000 -1& -#485744000 -b1110110011110101001111000 " -b1110110011110101001111000 4 -b11111101111111111111110011111111 1 -b11111101111111111111110011111111 C -b1110110011110101001111000 0 -b1110110011110101001111000 H -09 -08 -b1001110000000000001101101011000 < -b111010111101010101011110110100 2 -b111010111101010101011110110100 = -b111010111101010101011110110100 : -b11101100111101010011110001011011 $ -b11101100111101010011110001011011 - -b11101100111101010011110001011011 5 -b11101100111101010011110001011011 ? -b11101100111101010011110001011011 D -b10110001111111111110010010100111 % -b10110001111111111110010010100111 . -b10110001111111111110010010100111 6 -b10110001111111111110010010100111 @ -b10110001111111111110010010100111 F -b1110110011110101001111000 ) -#485760000 -0& -#485776000 -b1110110100110 , -#485792000 -1& -#485808000 -b101011001111 " -b101011001111 4 -b11111111111111110110110101011111 1 -b11111111111111110110110101011111 C -b101011001111 0 -b101011001111 H -18 -b111001011010101011 < -b10101101000000101011101100001011 2 -b10101101000000101011101100001011 = -b10101101000000101011101100001011 : -b10101100111111110010010001011111 $ -b10101100111111110010010001011111 - -b10101100111111110010010001011111 5 -b10101100111111110010010001011111 ? -b10101100111111110010010001011111 D -b11111111111111000110100101010100 % -b11111111111111000110100101010100 . -b11111111111111000110100101010100 6 -b11111111111111000110100101010100 @ -b11111111111111000110100101010100 F -b101011001111 ) -#485824000 -0& -#485840000 -b1110110100111 , -#485856000 -1& -#485872000 -b11111100010110100011101 " -b11111100010110100011101 4 -b1111111111111111010111011010111 1 -b1111111111111111010111011010111 C -b11111100010110100011101 0 -b11111100010110100011101 H -18 -b10000100000000001101000110111000 < -b11000011000101110110000001010000 2 -b11000011000101110110000001010000 = -b11000011000101110110000001010000 : -b111111000101101000111010010111 $ -b111111000101101000111010010111 - -b111111000101101000111010010111 5 -b111111000101101000111010010111 ? -b111111000101101000111010010111 D -b1111011111111110010111001000111 % -b1111011111111110010111001000111 . -b1111011111111110010111001000111 6 -b1111011111111110010111001000111 @ -b1111011111111110010111001000111 F -b11111100010110100011101 ) -#485888000 -0& -#485904000 -b1110110101000 , -#485920000 -1& -#485936000 -b11101011 " -b11101011 4 -b1110111101111111011111101111111 1 -b1110111101111111011111101111111 C -b11101011 0 -b11101011 H -08 -b10001100110000011100000110101000 < -b10011000010100010011010111 2 -b10011000010100010011010111 = -b10011000010100010011010111 : -b1110101100111111000001100101110 $ -b1110101100111111000001100101110 - -b1110101100111111000001100101110 5 -b1110101100111111000001100101110 ? -b1110101100111111000001100101110 D -b1110011001111100011111001010111 % -b1110011001111100011111001010111 . -b1110011001111100011111001010111 6 -b1110011001111100011111001010111 @ -b1110011001111100011111001010111 F -b11101011 ) -#485952000 -0& -#485968000 -b1110110101001 , -#485984000 -1& -#486000000 -b11010110 " -b11010110 4 -b11111110110111111100111111111011 1 -b11111110110111111100111111111011 C -b11010110 0 -b11010110 H -18 -19 -b10010101001010000011100100000111 < -b1101100000001100100001011011011 2 -b1101100000001100100001011011011 = -b1101100000001100100001011011011 : -b11010110110111100000100111010011 $ -b11010110110111100000100111010011 - -b11010110110111100000100111010011 5 -b11010110110111100000100111010011 ? -b11010110110111100000100111010011 D -b1101010110101111100011011111000 % -b1101010110101111100011011111000 . -b1101010110101111100011011111000 6 -b1101010110101111100011011111000 @ -b1101010110101111100011011111000 F -b11010110 ) -#486016000 -0& -#486032000 -b1110110101010 , -#486048000 -1& -#486064000 -b1110111001101 " -b1110111001101 4 -b11101111111111111011000011110111 1 -b11101111111111111011000011110111 C -b1110111001101 0 -b1110111001101 H -09 -18 -b10010010010000010110111110001100 < -b10000000101100010001000001010100 2 -b10000000101100010001000001010100 = -b10000000101100010001000001010100 : -b11101110011011111010000011000111 $ -b11101110011011111010000011000111 - -b11101110011011111010000011000111 5 -b11101110011011111010000011000111 ? -b11101110011011111010000011000111 D -b1101101101111101001000001110011 % -b1101101101111101001000001110011 . -b1101101101111101001000001110011 6 -b1101101101111101001000001110011 @ -b1101101101111101001000001110011 F -b1110111001101 ) -#486080000 -0& -#486096000 -b1110110101011 , -#486112000 -1& -#486128000 -1! -b0 " -b0 4 -b1111111111101110111111011111111 1 -b1111111111101110111111011111111 C -b0 0 -b0 H -08 -b11000000001010001101010100000000 < -b1000000000111000010101101110101 2 -b1000000000111000010101101110101 = -1# -b1000000000111000010101101110101 : -b1111111111100110101011001110100 $ -b1111111111100110101011001110100 - -b1111111111100110101011001110100 5 -b1111111111100110101011001110100 ? -b1111111111100110101011001110100 D -b111111110101110010101011111111 % -b111111110101110010101011111111 . -b111111110101110010101011111111 6 -b111111110101110010101011111111 @ -b111111110101110010101011111111 F -b0 ) -b1 ( -#486144000 -0& -#486160000 -b1110110101100 , -#486176000 -1& -#486192000 -0! -b11100111 " -b11100111 4 -b11111111111111111100011110111101 1 -b11111111111111111100011110111101 C -b11100111 0 -b11100111 H -18 -b11100010000100000011100001000111 < -b11001010000011110111111001010101 2 -b11001010000011110111111001010101 = -0# -b11001010000011110111111001010101 : -b11100111111111110100011000001101 $ -b11100111111111110100011000001101 - -b11100111111111110100011000001101 5 -b11100111111111110100011000001101 ? -b11100111111111110100011000001101 D -b11101111011111100011110111000 % -b11101111011111100011110111000 . -b11101111011111100011110111000 6 -b11101111011111100011110111000 @ -b11101111011111100011110111000 F -b11100111 ) -b0 ( -#486208000 -0& -#486224000 -b1110110101101 , -#486240000 -1& -#486256000 -b1111101110101110 " -b1111101110101110 4 -b11111111111111111110111100101111 1 -b11111111111111111110111100101111 C -b1111101110101110 0 -b1111101110101110 H -08 -b10001000000001001011010001 < -b1000001000010111011100011111111 2 -b1000001000010111011100011111111 = -b1000001000010111011100011111111 : -b111110111010111010011000101101 $ -b111110111010111010011000101101 - -b111110111010111010011000101101 5 -b111110111010111010011000101101 ? -b111110111010111010011000101101 D -b11111101110111111110110100101110 % -b11111101110111111110110100101110 . -b11111101110111111110110100101110 6 -b11111101110111111110110100101110 @ -b11111101110111111110110100101110 F -b1111101110101110 ) -#486272000 -0& -#486288000 -b1110110101110 , -#486304000 -1& -#486320000 -b110110111111100100011011 " -b110110111111100100011011 4 -b1111111111111111001111111001111 1 -b1111111111111111001111111001111 C -b110110111111100100011011 0 -b110110111111100100011011 H -b11001100001000000110000110111000 < -b111010000111001110111110000100 2 -b111010000111001110111110000100 = -b111010000111001110111110000100 : -b1101101111111001000110111001011 $ -b1101101111111001000110111001011 - -b1101101111111001000110111001011 5 -b1101101111111001000110111001011 ? -b1101101111111001000110111001011 D -b110011110111111001111001000111 % -b110011110111111001111001000111 . -b110011110111111001111001000111 6 -b110011110111111001111001000111 @ -b110011110111111001111001000111 F -b110110111111100100011011 ) -#486336000 -0& -#486352000 -b1110110101111 , -#486368000 -1& -#486384000 -b1011001111111110100101011110110 " -b1011001111111110100101011110110 4 -b11111111111111111101111011110110 1 -b11111111111111111101111011110110 C -b1011001111111110100101011110110 0 -b1011001111111110100101011110110 H -19 -08 -b1011000000100000110001101111111 < -b10110010000011111010111001110110 2 -b10110010000011111010111001110110 = -b10110010000011111010111001110110 : -b1011001111111110100101011110110 $ -b1011001111111110100101011110110 - -b1011001111111110100101011110110 5 -b1011001111111110100101011110110 ? -b1011001111111110100101011110110 D -b10100111111011111001110010000000 % -b10100111111011111001110010000000 . -b10100111111011111001110010000000 6 -b10100111111011111001110010000000 @ -b10100111111011111001110010000000 F -b1011001111111110100101011110110 ) -#486400000 -0& -#486416000 -b1110110110000 , -#486432000 -1& -#486448000 -b111111011101111110100 " -b111111011101111110100 4 -b11111111110111111110011111111011 1 -b11111111110111111110011111111011 C -b111111011101111110100 0 -b111111011101111110100 H -09 -08 -b10000001010100001101000010100 < -b1110000010011011110110100110 2 -b1110000010011011110110100110 = -b1110000010011011110110100110 : -b11111101110111111010001110010001 $ -b11111101110111111010001110010001 - -b11111101110111111010001110010001 5 -b11111101110111111010001110010001 ? -b11111101110111111010001110010001 D -b11101111110101011110010111101011 % -b11101111110101011110010111101011 . -b11101111110101011110010111101011 6 -b11101111110101011110010111101011 @ -b11101111110101011110010111101011 F -b111111011101111110100 ) -#486464000 -0& -#486480000 -b1110110110001 , -#486496000 -1& -#486512000 -b11111110111011111111100010 " -b11111110111011111111100010 4 -b11111111111111111111101011101110 1 -b11111111111111111111101011101110 C -b11111110111011111111100010 0 -b11111110111011111111100010 H -b1000000000001100110100011001 < -b110111100001100010110100010 2 -b110111100001100010110100010 = -b110111100001100010110100010 : -b11111110111011111111100010001000 $ -b11111110111011111111100010001000 - -b11111110111011111111100010001000 5 -b11111110111011111111100010001000 ? -b11111110111011111111100010001000 D -b11110111111111110011001011100110 % -b11110111111111110011001011100110 . -b11110111111111110011001011100110 6 -b11110111111111110011001011100110 @ -b11110111111111110011001011100110 F -b11111110111011111111100010 ) -#486528000 -0& -#486544000 -b1110110110010 , -#486560000 -1& -#486576000 -b1111011110110101110 " -b1111011110110101110 4 -b11111111101111111100100111011111 1 -b11111111101111111100100111011111 C -b1111011110110101110 0 -b1111011110110101110 H -18 -b11100000110000010111011001110010 < -b11011000011101110011100001000110 2 -b11011000011101110011100001000110 = -b11011000011101110011100001000110 : -b11110111101101011100000111010011 $ -b11110111101101011100000111010011 - -b11110111101101011100000111010011 5 -b11110111101101011100000111010011 ? -b11110111101101011100000111010011 D -b11111001111101000100110001101 % -b11111001111101000100110001101 . -b11111001111101000100110001101 6 -b11111001111101000100110001101 @ -b11111001111101000100110001101 F -b1111011110110101110 ) -#486592000 -0& -#486608000 -b1110110110011 , -#486624000 -1& -#486640000 -b111101001110111000110000010 " -b111101001110111000110000010 4 -b11110111111111111011001001111111 1 -b11110111111111111011001001111111 C -b111101001110111000110000010 0 -b111101001110111000110000010 H -b1000001010100101110111011010 < -b11111101000110001000111000111010 2 -b11111101000110001000111000111010 = -b11111101000110001000111000111010 : -b11110100111011100011000001011111 $ -b11110100111011100011000001011111 - -b11110100111011100011000001011111 5 -b11110100111011100011000001011111 ? -b11110100111011100011000001011111 D -b11110111110101011010001000100101 % -b11110111110101011010001000100101 . -b11110111110101011010001000100101 6 -b11110111110101011010001000100101 @ -b11110111110101011010001000100101 F -b111101001110111000110000010 ) -#486656000 -0& -#486672000 -b1110110110100 , -#486688000 -1& -#486704000 -b1011111111011000101111111 " -b1011111111011000101111111 4 -b11011111111111111101111111001110 1 -b11011111111111111101111111001110 C -b1011111111011000101111111 0 -b1011111111011000101111111 H -19 -08 -b101000010001000010101010111001 < -b10001000001100001000101010000100 2 -b10001000001100001000101010000100 = -b10001000001100001000101010000100 : -b1011111111011000101111111001010 $ -b1011111111011000101111111001010 - -b1011111111011000101111111001010 5 -b1011111111011000101111111001010 ? -b1011111111011000101111111001010 D -b11010111101110111101010101000110 % -b11010111101110111101010101000110 . -b11010111101110111101010101000110 6 -b11010111101110111101010101000110 @ -b11010111101110111101010101000110 F -b1011111111011000101111111 ) -#486720000 -0& -#486736000 -b1110110110101 , -#486752000 -1& -#486768000 -b11011111011111101101110111 " -b11011111011111101101110111 4 -b11110111111111111111011111110111 1 -b11110111111111111111011111110111 C -b11011111011111101101110111 0 -b11011111011111101101110111 H -09 -08 -b1000100010011011101001111011 < -b1000000011010010111000111101111 2 -b1000000011010010111000111101111 = -b1000000011010010111000111101111 : -b110111110111111011011101110011 $ -b110111110111111011011101110011 - -b110111110111111011011101110011 5 -b110111110111111011011101110011 ? -b110111110111111011011101110011 D -b11110111011101100100010110000100 % -b11110111011101100100010110000100 . -b11110111011101100100010110000100 6 -b11110111011101100100010110000100 @ -b11110111011101100100010110000100 F -b11011111011111101101110111 ) -#486784000 -0& -#486800000 -b1110110110110 , -#486816000 -1& -#486832000 -b1111111011111111001000010110011 " -b1111111011111111001000010110011 4 -b11111111111111110111101101100111 1 -b11111111111111110111101101100111 C -b1111111011111111001000010110011 0 -b1111111011111111001000010110011 H -08 -09 -b10110100101011010010111011110 < -b10101100101001100011101000101 2 -b10101100101001100011101000101 = -b10101100101001100011101000101 : -b11111110111111110010000101100110 $ -b11111110111111110010000101100110 - -b11111110111111110010000101100110 5 -b11111110111111110010000101100110 ? -b11111110111111110010000101100110 D -b11101001011010100101101000100001 % -b11101001011010100101101000100001 . -b11101001011010100101101000100001 6 -b11101001011010100101101000100001 @ -b11101001011010100101101000100001 F -b1111111011111111001000010110011 ) -#486848000 -0& -#486864000 -b1110110110111 , -#486880000 -1& -#486896000 -b1111110011 " -b1111110011 4 -b1111111111111111011111110011111 1 -b1111111111111111011111110011111 C -b1111110011 0 -b1111110011 H -08 -b10001000000001000101101101101010 < -b110100000111111011100000110 2 -b110100000111111011100000110 = -b110100000111111011100000110 : -b1111110011111111001101110011011 $ -b1111110011111111001101110011011 - -b1111110011111111001101110011011 5 -b1111110011111111001101110011011 ? -b1111110011111111001101110011011 D -b1110111111110111010010010010101 % -b1110111111110111010010010010101 . -b1110111111110111010010010010101 6 -b1110111111110111010010010010101 @ -b1110111111110111010010010010101 F -b1111110011 ) -#486912000 -0& -#486928000 -b1110110111000 , -#486944000 -1& -#486960000 -b110 " -b110 4 -b1111111111111111111111111011111 1 -b1111111111111111111111111011111 C -b110 0 -b110 H -08 -b11000011000010000001010000100011 < -b110010111101110101001010110011 2 -b110010111101110101001010110011 = -b110010111101110101001010110011 : -b1101111111011110011111010001111 $ -b1101111111011110011111010001111 - -b1101111111011110011111010001111 5 -b1101111111011110011111010001111 ? -b1101111111011110011111010001111 D -b111100111101111110101111011100 % -b111100111101111110101111011100 . -b111100111101111110101111011100 6 -b111100111101111110101111011100 @ -b111100111101111110101111011100 F -b110 ) -#486976000 -0& -#486992000 -b1110110111001 , -#487008000 -1& -#487024000 -b1111 " -b1111 4 -b11111111111111111111110110011101 1 -b11111111111111111111110110011101 C -b1111 0 -b1111 H -08 -b101001110001101101100011 < -b101001101111010001100101 2 -b101001101111010001100101 = -b101001101111010001100101 : -b11111111111111111101100100000001 $ -b11111111111111111101100100000001 - -b11111111111111111101100100000001 5 -b11111111111111111101100100000001 ? -b11111111111111111101100100000001 D -b11111111010110001110010010011100 % -b11111111010110001110010010011100 . -b11111111010110001110010010011100 6 -b11111111010110001110010010011100 @ -b11111111010110001110010010011100 F -b1111 ) -#487040000 -0& -#487056000 -b1110110111010 , -#487072000 -1& -#487088000 -b1111111101110111101100010 " -b1111111101110111101100010 4 -b11111111111101111011001111111111 1 -b11111111111101111011001111111111 C -b1111111101110111101100010 0 -b1111111101110111101100010 H -18 -b11010001000010000100110100011000 < -b11010000011111111111111001010010 2 -b11010000011111111111111001010010 = -b11010000011111111111111001010010 : -b11111111011101111011000100111001 $ -b11111111011101111011000100111001 - -b11111111011101111011000100111001 5 -b11111111011101111011000100111001 ? -b11111111011101111011000100111001 D -b101110111101111011001011100111 % -b101110111101111011001011100111 . -b101110111101111011001011100111 6 -b101110111101111011001011100111 @ -b101110111101111011001011100111 F -b1111111101110111101100010 ) -#487104000 -0& -#487120000 -b1110110111011 , -#487136000 -1& -#487152000 -b110 " -b110 4 -b1111111111011110110101001011111 1 -b1111111111011110110101001011111 C -b110 0 -b110 H -08 -b10100001001101101001110110100011 < -b10000110111001110010111110111 2 -b10000110111001110010111110111 = -b10000110111001110010111110111 : -b1101111101001100100100001010011 $ -b1101111101001100100100001010011 - -b1101111101001100100100001010011 5 -b1101111101001100100100001010011 ? -b1101111101001100100100001010011 D -b1011110110010010110001001011100 % -b1011110110010010110001001011100 . -b1011110110010010110001001011100 6 -b1011110110010010110001001011100 @ -b1011110110010010110001001011100 F -b110 ) -#487168000 -0& -#487184000 -b1110110111100 , -#487200000 -1& -#487216000 -b101011001111011100000011011 " -b101011001111011100000011011 4 -b11111110111111111100101111111111 1 -b11111110111111111100101111111111 C -b101011001111011100000011011 0 -b101011001111011100000011011 H -18 -b1101000100000011011001011010 < -b10111010000001110011100111010110 2 -b10111010000001110011100111010110 = -b10111010000001110011100111010110 : -b10101100111101110000001101111011 $ -b10101100111101110000001101111011 - -b10101100111101110000001101111011 5 -b10101100111101110000001101111011 ? -b10101100111101110000001101111011 D -b11110010111011111100100110100101 % -b11110010111011111100100110100101 . -b11110010111011111100100110100101 6 -b11110010111011111100100110100101 @ -b11110010111011111100100110100101 F -b101011001111011100000011011 ) -#487232000 -0& -#487248000 -b1110110111101 , -#487264000 -1& -#487280000 -b1111111001101111111011001 " -b1111111001101111111011001 4 -b11111111011111111110111010101111 1 -b11111111011111111110111010101111 C -b1111111001101111111011001 0 -b1111111001101111111011001 H -18 -b11001010100000001111110101011000 < -b11001000111100001110101000001000 2 -b11001000111100001110101000001000 = -b11001000111100001110101000001000 : -b11111110011011111110110010101111 $ -b11111110011011111110110010101111 - -b11111110011011111110110010101111 5 -b11111110011011111110110010101111 ? -b11111110011011111110110010101111 D -b110101011111110000001010100111 % -b110101011111110000001010100111 . -b110101011111110000001010100111 6 -b110101011111110000001010100111 @ -b110101011111110000001010100111 F -b1111111001101111111011001 ) -#487296000 -0& -#487312000 -b1110110111110 , -#487328000 -1& -#487344000 -b111101111111111101000011001000 " -b111101111111111101000011001000 4 -b1111111111111111111100111110001 1 -b1111111111111111111100111110001 C -b111101111111111101000011001000 0 -b111101111111111101000011001000 H -08 -b10001010110000001000011110011110 < -b110110000000010100100110000 2 -b110110000000010100100110000 = -b110110000000010100100110000 : -b1111011111111111010000110010001 $ -b1111011111111111010000110010001 - -b1111011111111111010000110010001 5 -b1111011111111111010000110010001 ? -b1111011111111111010000110010001 D -b1110101001111110111100001100001 % -b1110101001111110111100001100001 . -b1110101001111110111100001100001 6 -b1110101001111110111100001100001 @ -b1110101001111110111100001100001 F -b111101111111111101000011001000 ) -#487360000 -0& -#487376000 -b1110110111111 , -#487392000 -1& -#487408000 -b101001111111110101 " -b101001111111110101 4 -b11111111111111111111010100101101 1 -b11111111111111111111010100101101 C -b101001111111110101 0 -b101001111111110101 H -08 -b1100000000000101011110010 < -b1010101011111101011111100100000 2 -b1010101011111101011111100100000 = -b1010101011111101011111100100000 : -b1010011111111101011010000101101 $ -b1010011111111101011010000101101 - -b1010011111111101011010000101101 5 -b1010011111111101011010000101101 ? -b1010011111111101011010000101101 D -b11111110011111111111010100001101 % -b11111110011111111111010100001101 . -b11111110011111111111010100001101 6 -b11111110011111111111010100001101 @ -b11111110011111111111010100001101 F -b101001111111110101 ) -#487424000 -0& -#487440000 -b1110111000000 , -#487456000 -1& -#487472000 -b1001110010010 " -b1001110010010 4 -b11001111111111110101111100010111 1 -b11001111111111110101111100010111 C -b1001110010010 0 -b1001110010010 H -19 -08 -b1110000010010001011000011101101 < -b10111110100100111100100000000011 2 -b10111110100100111100100000000011 = -b10111110100100111100100000000011 : -b1001110010010110001011100010101 $ -b1001110010010110001011100010101 - -b1001110010010110001011100010101 5 -b1001110010010110001011100010101 ? -b1001110010010110001011100010101 D -b10001111101101110100111100010010 % -b10001111101101110100111100010010 . -b10001111101101110100111100010010 6 -b10001111101101110100111100010010 @ -b10001111101101110100111100010010 F -b1001110010010 ) -#487488000 -0& -#487504000 -b1110111000001 , -#487520000 -1& -#487536000 -b111111011111010000111001000 " -b111111011111010000111001000 4 -b111111111111011000111011100111 1 -b111111111111011000111011100111 C -b111111011111010000111001000 0 -b111111011111010000111001000 H -09 -08 -b11011011001000110111001100011100 < -b11010101000001000000101100011 2 -b11010101000001000000101100011 = -b11010101000001000000101100011 : -b111111011111010000111001000110 $ -b111111011111010000111001000110 - -b111111011111010000111001000110 5 -b111111011111010000111001000110 ? -b111111011111010000111001000110 D -b100100110111001000110011100011 % -b100100110111001000110011100011 . -b100100110111001000110011100011 6 -b100100110111001000110011100011 @ -b100100110111001000110011100011 F -b111111011111010000111001000 ) -#487552000 -0& -#487568000 -b1110111000010 , -#487584000 -1& -#487600000 -b1111101111101 " -b1111101111101 4 -b11111111111111111010110100111111 1 -b11111111111111111010110100111111 C -b1111101111101 0 -b1111101111101 H -08 -b101010001000001101001111101100 < -b100110000100000111010100011001 2 -b100110000100000111010100011001 = -b100110000100000111010100011001 : -b11111011111011111010000100101100 $ -b11111011111011111010000100101100 - -b11111011111011111010000100101100 5 -b11111011111011111010000100101100 ? -b11111011111011111010000100101100 D -b11010101110111110010110000010011 % -b11010101110111110010110000010011 . -b11010101110111110010110000010011 6 -b11010101110111110010110000010011 @ -b11010101110111110010110000010011 F -b1111101111101 ) -#487616000 -0& -#487632000 -b1110111000011 , -#487648000 -1& -#487664000 -b1011111011101011011000001 " -b1011111011101011011000001 4 -b10111111111011111110000010101111 1 -b10111111111011111110000010101111 C -b1011111011101011011000001 0 -b1011111011101011011000001 H -18 -b1000000100100100011111111111000 < -b11111111011111011010000010100100 2 -b11111111011111011010000010100100 = -b11111111011111011010000010100100 : -b10111110111010110110000010101011 $ -b10111110111010110110000010101011 - -b10111110111010110110000010101011 5 -b10111110111010110110000010101011 ? -b10111110111010110110000010101011 D -b10111111011011011100000000000111 % -b10111111011011011100000000000111 . -b10111111011011011100000000000111 6 -b10111111011011011100000000000111 @ -b10111111011011011100000000000111 F -b1011111011101011011000001 ) -#487680000 -0& -#487696000 -b1110111000100 , -#487712000 -1& -#487728000 -b111001111011110001001 " -b111001111011110001001 4 -b11111111111011111001101111111001 1 -b11111111111011111001101111111001 C -b111001111011110001001 0 -b111001111011110001001 H -08 -b10000001100100110011011010110 < -b1001010001000010111100111001000 2 -b1001010001000010111100111001000 = -b1001010001000010111100111001000 : -b111001111011110001001011110001 $ -b111001111011110001001011110001 - -b111001111011110001001011110001 5 -b111001111011110001001011110001 ? -b111001111011110001001011110001 D -b11101111110011011001100100101001 % -b11101111110011011001100100101001 . -b11101111110011011001100100101001 6 -b11101111110011011001100100101001 @ -b11101111110011011001100100101001 F -b111001111011110001001 ) -#487744000 -0& -#487760000 -b1110111000101 , -#487776000 -1& -#487792000 -b10 " -b10 4 -b11111111111111111111100101011111 1 -b11111111111111111111100101011111 C -b10 0 -b10 H -19 -18 -b10000000000000000000011011100001 < -b101111111100010110111100111001 2 -b101111111100010110111100111001 = -b101111111100010110111100111001 : -b10101111111100010110100001010111 $ -b10101111111100010110100001010111 - -b10101111111100010110100001010111 5 -b10101111111100010110100001010111 ? -b10101111111100010110100001010111 D -b1111111111111111111100100011110 % -b1111111111111111111100100011110 . -b1111111111111111111100100011110 6 -b1111111111111111111100100011110 @ -b1111111111111111111100100011110 F -b10 ) -#487808000 -0& -#487824000 -b1110111000110 , -#487840000 -1& -#487856000 -b1011111010111111100000 " -b1011111010111111100000 4 -b1111111111111111100010111111101 1 -b1111111111111111100010111111101 C -b1011111010111111100000 0 -b1011111010111111100000 H -09 -18 -b10000011010100001011101000110110 < -b11100010101100000111101100110011 2 -b11100010101100000111101100110011 = -b11100010101100000111101100110011 : -b1011111010111111100000011111100 $ -b1011111010111111100000011111100 - -b1011111010111111100000011111100 5 -b1011111010111111100000011111100 ? -b1011111010111111100000011111100 D -b1111100101011110100010111001001 % -b1111100101011110100010111001001 . -b1111100101011110100010111001001 6 -b1111100101011110100010111001001 @ -b1111100101011110100010111001001 F -b1011111010111111100000 ) -#487872000 -0& -#487888000 -b1110111000111 , -#487904000 -1& -#487920000 -b11100111110 " -b11100111110 4 -b11111111111111111111111111110111 1 -b11111111111111111111111111110111 C -b11100111110 0 -b11100111110 H -08 -b1000010010000001010011010001010 < -b101010000110101010010100101110 2 -b101010000110101010010100101110 = -b101010000110101010010100101110 : -b11100111110110011111111010100011 $ -b11100111110110011111111010100011 - -b11100111110110011111111010100011 5 -b11100111110110011111111010100011 ? -b11100111110110011111111010100011 D -b10111101101111110101100101110101 % -b10111101101111110101100101110101 . -b10111101101111110101100101110101 6 -b10111101101111110101100101110101 @ -b10111101101111110101100101110101 F -b11100111110 ) -#487936000 -0& -#487952000 -b1110111001000 , -#487968000 -1& -#487984000 -b101111111111110 " -b101111111111110 4 -b11101111111111110001111111011111 1 -b11101111111111110001111111011111 C -b101111111111110 0 -b101111111111110 H -b10000000000001110001011110000 < -b111111111111111111101011001011 2 -b111111111111111111101011001011 = -b111111111111111111101011001011 : -b101111111111110001011111011010 $ -b101111111111110001011111011010 - -b101111111111110001011111011010 5 -b101111111111110001011111011010 ? -b101111111111110001011111011010 D -b11101111111111110001110100001111 % -b11101111111111110001110100001111 . -b11101111111111110001110100001111 6 -b11101111111111110001110100001111 @ -b11101111111111110001110100001111 F -b101111111111110 ) -#488000000 -0& -#488016000 -b1110111001001 , -#488032000 -1& -#488048000 -b11111 " -b11111 4 -b11111111111111111111111011111111 1 -b11111111111111111111111011111111 C -b11111 0 -b11111 H -18 -09 -b10010000001100000100 < -b11111111110001001110000101010011 2 -b11111111110001001110000101010011 = -b11111111110001001110000101010011 : -b11111111101110111101111001001110 $ -b11111111101110111101111001001110 - -b11111111101110111101111001001110 5 -b11111111101110111101111001001110 ? -b11111111101110111101111001001110 D -b11111111111101101111110011111011 % -b11111111111101101111110011111011 . -b11111111111101101111110011111011 6 -b11111111111101101111110011111011 @ -b11111111111101101111110011111011 F -b11111 ) -#488064000 -0& -#488080000 -b1110111001010 , -#488096000 -1& -#488112000 -b11011110 " -b11011110 4 -b11011110111111110111101101111001 1 -b11011110111111110111101101111001 C -b11011110 0 -b11011110 H -b10110011000010101011010011100111 < -b10010010000010001110010101010001 2 -b10010010000010001110010101010001 = -b10010010000010001110010101010001 : -b11011110111111100011000001101001 $ -b11011110111111100011000001101001 - -b11011110111111100011000001101001 5 -b11011110111111100011000001101001 ? -b11011110111111100011000001101001 D -b1001100111101010100101100011000 % -b1001100111101010100101100011000 . -b1001100111101010100101100011000 6 -b1001100111101010100101100011000 @ -b1001100111101010100101100011000 F -b11011110 ) -#488128000 -0& -#488144000 -b1110111001011 , -#488160000 -1& -#488176000 -b1111010100011111100101111100101 " -b1111010100011111100101111100101 4 -b1111111111011111111101111100101 1 -b1111111111011111111101111100101 C -b1111010100011111100101111100101 0 -b1111010100011111100101111100101 H -08 -b10100000000101001000110011011111 < -b11010101001000101100011000101 2 -b11010101001000101100011000101 = -b11010101001000101100011000101 : -b1111010100011111100101111100101 $ -b1111010100011111100101111100101 - -b1111010100011111100101111100101 5 -b1111010100011111100101111100101 ? -b1111010100011111100101111100101 D -b1011111111010110111001100100000 % -b1011111111010110111001100100000 . -b1011111111010110111001100100000 6 -b1011111111010110111001100100000 @ -b1011111111010110111001100100000 F -b1111010100011111100101111100101 ) -#488192000 -0& -#488208000 -b1110111001100 , -#488224000 -1& -#488240000 -b110111111011111001 " -b110111111011111001 4 -b11111111110111111111101010101101 1 -b11111111110111111111101010101101 C -b110111111011111001 0 -b110111111011111001 H -19 -08 -b101000111001000010011111010010 < -b10011000110000110101001001011000 2 -b10011000110000110101001001011000 = -b10011000110000110101001001011000 : -b1101111110111110010101010000101 $ -b1101111110111110010101010000101 - -b1101111110111110010101010000101 5 -b1101111110111110010101010000101 ? -b1101111110111110010101010000101 D -b11010111000110111101100000101101 % -b11010111000110111101100000101101 . -b11010111000110111101100000101101 6 -b11010111000110111101100000101101 @ -b11010111000110111101100000101101 F -b110111111011111001 ) -#488256000 -0& -#488272000 -b1110111001101 , -#488288000 -1& -#488304000 -b1001101111110111100 " -b1001101111110111100 4 -b11111111111111111000101001101101 1 -b11111111111111111000101001101101 C -b1001101111110111100 0 -b1001101111110111100 H -18 -19 -b10000000100000000111010111010010 < -b11100011110000000000000010111 2 -b11100011110000000000000010111 = -b11100011110000000000000010111 : -b10011011111101111000101001000100 $ -b10011011111101111000101001000100 - -b10011011111101111000101001000100 5 -b10011011111101111000101001000100 ? -b10011011111101111000101001000100 D -b1111111011111111000101000101101 % -b1111111011111111000101000101101 . -b1111111011111111000101000101101 6 -b1111111011111111000101000101101 @ -b1111111011111111000101000101101 F -b1001101111110111100 ) -#488320000 -0& -#488336000 -b1110111001110 , -#488352000 -1& -#488368000 -b10110110011111101111 " -b10110110011111101111 4 -b10111111111111101111111110111101 1 -b10111111111111101111111110111101 C -b10110110011111101111 0 -b10110110011111101111 H -09 -18 -b11110100011000010010000001010011 < -b10101010111000000001110010001101 2 -b10101010111000000001110010001101 = -b10101010111000000001110010001101 : -b10110110011111101111110000111001 $ -b10110110011111101111110000111001 - -b10110110011111101111110000111001 5 -b10110110011111101111110000111001 ? -b10110110011111101111110000111001 D -b1011100111101101111110101100 % -b1011100111101101111110101100 . -b1011100111101101111110101100 6 -b1011100111101101111110101100 @ -b1011100111101101111110101100 F -b10110110011111101111 ) -#488384000 -0& -#488400000 -b1110111001111 , -#488416000 -1& -#488432000 -b1111101101111 " -b1111101101111 4 -b11111111111111111111110110110011 1 -b11111111111111111111110110110011 C -b1111101101111 0 -b1111101101111 H -b100010011101001100 < -b11111011011111011110010001011110 2 -b11111011011111011110010001011110 = -b11111011011111011110010001011110 : -b11111011011110111011110100010001 $ -b11111011011110111011110100010001 - -b11111011011110111011110100010001 5 -b11111011011110111011110100010001 ? -b11111011011110111011110100010001 D -b11111111111111011101100010110011 % -b11111111111111011101100010110011 . -b11111111111111011101100010110011 6 -b11111111111111011101100010110011 @ -b11111111111111011101100010110011 F -b1111101101111 ) -#488448000 -0& -#488464000 -b1110111010000 , -#488480000 -1& -#488496000 -b11101111111011 " -b11101111111011 4 -b11111111111111111011010111110011 1 -b11111111111111111011010111110011 C -b11101111111011 0 -b11101111111011 H -19 -18 -b10001001101010000101101010001101 < -b1111001100101110111000001001111 2 -b1111001100101110111000001001111 = -b1111001100101110111000001001111 : -b11101111111011110001010111000001 $ -b11101111111011110001010111000001 - -b11101111111011110001010111000001 5 -b11101111111011110001010111000001 ? -b11101111111011110001010111000001 D -b1110110010101111010010101110010 % -b1110110010101111010010101110010 . -b1110110010101111010010101110010 6 -b1110110010101111010010101110010 @ -b1110110010101111010010101110010 F -b11101111111011 ) -#488512000 -0& -#488528000 -b1110111010001 , -#488544000 -1& -#488560000 -b1111111111111011001 " -b1111111111111011001 4 -b11111111111111011111111101011111 1 -b11111111111111011111111101011111 C -b1111111111111011001 0 -b1111111111111011001 H -08 -19 -b1010101110000101000100010110011 < -b11010101110000000010001011010011 2 -b11010101110000000010001011010011 = -b11010101110000000010001011010011 : -b1111111111111011001101000011111 $ -b1111111111111011001101000011111 - -b1111111111111011001101000011111 5 -b1111111111111011001101000011111 ? -b1111111111111011001101000011111 D -b10101010001111010111011101001100 % -b10101010001111010111011101001100 . -b10101010001111010111011101001100 6 -b10101010001111010111011101001100 @ -b10101010001111010111011101001100 F -b1111111111111011001 ) -#488576000 -0& -#488592000 -b1110111010010 , -#488608000 -1& -#488624000 -b1111010 " -b1111010 4 -b1111111111111111110110110111100 1 -b1111111111111111110110110111100 C -b1111010 0 -b1111010 H -09 -08 -b11110010000001101011001001100111 < -b1101100111101101001011110100100 2 -b1101100111101101001011110100100 = -b1101100111101101001011110100100 : -b1111010111011111110010100111100 $ -b1111010111011111110010100111100 - -b1111010111011111110010100111100 5 -b1111010111011111110010100111100 ? -b1111010111011111110010100111100 D -b1101111110010100110110011000 % -b1101111110010100110110011000 . -b1101111110010100110110011000 6 -b1101111110010100110110011000 @ -b1101111110010100110110011000 F -b1111010 ) -#488640000 -0& -#488656000 -b1110111010011 , -#488672000 -1& -#488688000 -b111101101111110100110 " -b111101101111110100110 4 -b1111111111111110111111110011101 1 -b1111111111111110111111110011101 C -b111101101111110100110 0 -b111101101111110100110 H -18 -b10000001001000001100100001110110 < -b10111110111000000001011000001011 2 -b10111110111000000001011000001011 = -b10111110111000000001011000001011 : -b111101101111110100110110010100 $ -b111101101111110100110110010100 - -b111101101111110100110110010100 5 -b111101101111110100110110010100 ? -b111101101111110100110110010100 D -b1111110110111110011011110001001 % -b1111110110111110011011110001001 . -b1111110110111110011011110001001 6 -b1111110110111110011011110001001 @ -b1111110110111110011011110001001 F -b111101101111110100110 ) -#488704000 -0& -#488720000 -b1110111010100 , -#488736000 -1& -#488752000 -b11100111111111011010001010 " -b11100111111111011010001010 4 -b11111111111111111101110111101111 1 -b11111111111111111101110111101111 C -b11100111111111011010001010 0 -b11100111111111011010001010 H -08 -19 -b110001101000000011001001011010 < -b10100101100111110000001110100110 2 -b10100101100111110000001110100110 = -b10100101100111110000001110100110 : -b1110011111111101101000101001011 $ -b1110011111111101101000101001011 - -b1110011111111101101000101001011 5 -b1110011111111101101000101001011 ? -b1110011111111101101000101001011 D -b11001110010111111100110110100101 % -b11001110010111111100110110100101 . -b11001110010111111100110110100101 6 -b11001110010111111100110110100101 @ -b11001110010111111100110110100101 F -b11100111111111011010001010 ) -#488768000 -0& -#488784000 -b1110111010101 , -#488800000 -1& -#488816000 -1! -b0 " -b0 4 -b1111111111111111111011111111111 1 -b1111111111111111111011111111111 C -b0 0 -b0 H -09 -18 -b10000010000000011010110100100001 < -b10110001100111010110001001011101 2 -b10110001100111010110001001011101 = -1# -b10110001100111010110001001011101 : -b101111100110111011010100111011 $ -b101111100110111011010100111011 - -b101111100110111011010100111011 5 -b101111100110111011010100111011 ? -b101111100110111011010100111011 D -b1111101111111100101001011011110 % -b1111101111111100101001011011110 . -b1111101111111100101001011011110 6 -b1111101111111100101001011011110 @ -b1111101111111100101001011011110 F -b0 ) -b1 ( -#488832000 -0& -#488848000 -b1110111010110 , -#488864000 -1& -#488880000 -0! -b1010111100111111010101011011 " -b1010111100111111010101011011 4 -b11111111101111111111111110110111 1 -b11111111101111111111111110110111 C -b1010111100111111010101011011 0 -b1010111100111111010101011011 H -19 -18 -b10001001010101000100000001111011 < -b111000100100111001011000110011 2 -b111000100100111001011000110011 = -0# -b111000100100111001011000110011 : -b10101111001111110101010110110111 $ -b10101111001111110101010110110111 - -b10101111001111110101010110110111 5 -b10101111001111110101010110110111 ? -b10101111001111110101010110110111 D -b1110110101010111011111110000100 % -b1110110101010111011111110000100 . -b1110110101010111011111110000100 6 -b1110110101010111011111110000100 @ -b1110110101010111011111110000100 F -b1010111100111111010101011011 ) -b0 ( -#488896000 -0& -#488912000 -b1110111010111 , -#488928000 -1& -#488944000 -b10101101100111010110 " -b10101101100111010110 4 -b11101111111111010110111011001110 1 -b11101111111111010110111011001110 C -b10101101100111010110 0 -b10101101100111010110 H -09 -18 -b11100100110101001000100110011 < -b11001010001101111111110101111110 2 -b11001010001101111111110101111110 = -b11001010001101111111110101111110 : -b10101101100111010110110001001010 $ -b10101101100111010110110001001010 - -b10101101100111010110110001001010 5 -b10101101100111010110110001001010 ? -b10101101100111010110110001001010 D -b11100011011001010110111011001100 % -b11100011011001010110111011001100 . -b11100011011001010110111011001100 6 -b11100011011001010110111011001100 @ -b11100011011001010110111011001100 F -b10101101100111010110 ) -#488960000 -0& -#488976000 -b1110111011000 , -#488992000 -1& -#489008000 -b111111100111111100 " -b111111100111111100 4 -b11111111111111111100111110111111 1 -b11111111111111111100111110111111 C -b111111100111111100 0 -b111111100111111100 H -08 -19 -b10000000101011011010101110010 < -b10001111010101010100010010110010 2 -b10001111010101010100010010110010 = -b10001111010101010100010010110010 : -b1111111001111111000111100111111 $ -b1111111001111111000111100111111 - -b1111111001111111000111100111111 5 -b1111111001111111000111100111111 ? -b1111111001111111000111100111111 D -b11101111111010100100101010001101 % -b11101111111010100100101010001101 . -b11101111111010100100101010001101 6 -b11101111111010100100101010001101 @ -b11101111111010100100101010001101 F -b111111100111111100 ) -#489024000 -0& -#489040000 -b1110111011001 , -#489056000 -1& -#489072000 -b100101111110 " -b100101111110 4 -b10110111111111111101111001010110 1 -b10110111111111111101111001010110 C -b100101111110 0 -b100101111110 H -18 -09 -b1001000100000001110001111101011 < -b11100000011011001010111000101110 2 -b11100000011011001010111000101110 = -b11100000011011001010111000101110 : -b10010111111010111100101001000010 $ -b10010111111010111100101001000010 - -b10010111111010111100101001000010 5 -b10010111111010111100101001000010 ? -b10010111111010111100101001000010 D -b10110111011111110001110000010100 % -b10110111011111110001110000010100 . -b10110111011111110001110000010100 6 -b10110111011111110001110000010100 @ -b10110111011111110001110000010100 F -b100101111110 ) -#489088000 -0& -#489104000 -b1110111011010 , -#489120000 -1& -#489136000 -b11101 " -b11101 4 -b1111111111111110111111111111011 1 -b1111111111111110111111111111011 C -b11101 0 -b11101 H -b10000010100010001010000000000101 < -b11111010011001011100110110110001 2 -b11111010011001011100110110110001 = -b11111010011001011100110110110001 : -b1110111110111010010110110101011 $ -b1110111110111010010110110101011 - -b1110111110111010010110110101011 5 -b1110111110111010010110110101011 ? -b1110111110111010010110110101011 D -b1111101011101110101111111111010 % -b1111101011101110101111111111010 . -b1111101011101110101111111111010 6 -b1111101011101110101111111111010 @ -b1111101011101110101111111111010 F -b11101 ) -#489152000 -0& -#489168000 -b1110111011011 , -#489184000 -1& -#489200000 -b111011111111 " -b111011111111 4 -b11111111111111110000011111110011 1 -b11111111111111110000011111110011 C -b111011111111 0 -b111011111111 H -08 -19 -b10000000011011111111000001100 < -b10001000000011010000010111101111 2 -b10001000000011010000010111101111 = -b10001000000011010000010111101111 : -b1110111111111110000011111100010 $ -b1110111111111110000011111100010 - -b1110111111111110000011111100010 5 -b1110111111111110000011111100010 ? -b1110111111111110000011111100010 D -b11101111111100100000000111110011 % -b11101111111100100000000111110011 . -b11101111111100100000000111110011 6 -b11101111111100100000000111110011 @ -b11101111111100100000000111110011 F -b111011111111 ) -#489216000 -0& -#489232000 -b1110111011100 , -#489248000 -1& -#489264000 -b11111000111 " -b11111000111 4 -b1111111111111110101101111010100 1 -b1111111111111110101101111010100 C -b11111000111 0 -b11111000111 H -18 -09 -b10000000000000001010010010101011 < -b11111100011101111010111001110000 2 -b11111100011101111010111001110000 = -b11111100011101111010111001110000 : -b1111100011101110000100111000100 $ -b1111100011101110000100111000100 - -b1111100011101110000100111000100 5 -b1111100011101110000100111000100 ? -b1111100011101110000100111000100 D -b1111111111111110101101101010100 % -b1111111111111110101101101010100 . -b1111111111111110101101101010100 6 -b1111111111111110101101101010100 @ -b1111111111111110101101101010100 F -b11111000111 ) -#489280000 -0& -#489296000 -b1110111011101 , -#489312000 -1& -#489328000 -b1111111 " -b1111111 4 -b11111111111111111101101111110111 1 -b11111111111111111101101111110111 C -b1111111 0 -b1111111 H -08 -b101000000000001011011000001000 < -b1100111111111001001000000101110 2 -b1100111111111001001000000101110 = -b1100111111111001001000000101110 : -b111111111110111101101000100101 $ -b111111111110111101101000100101 - -b111111111110111101101000100101 5 -b111111111110111101101000100101 ? -b111111111110111101101000100101 D -b11010111111111110100100111110111 % -b11010111111111110100100111110111 . -b11010111111111110100100111110111 6 -b11010111111111110100100111110111 @ -b11010111111111110100100111110111 F -b1111111 ) -#489344000 -0& -#489360000 -b1110111011110 , -#489376000 -1& -#489392000 -b111101101111111001111 " -b111101101111111001111 4 -b1111011011111111111111111001110 1 -b1111011011111111111111111001110 C -b111101101111111001111 0 -b111101101111111001111 H -09 -08 -b11000110100110100000010100110101 < -b1000010000110010100010010111010 2 -b1000010000110010100010010111010 = -b1000010000110010100010010111010 : -b1111011011111110011111110000100 $ -b1111011011111110011111110000100 - -b1111011011111110011111110000100 5 -b1111011011111110011111110000100 ? -b1111011011111110011111110000100 D -b111001011001011111101011001010 % -b111001011001011111101011001010 . -b111001011001011111101011001010 6 -b111001011001011111101011001010 @ -b111001011001011111101011001010 F -b111101101111111001111 ) -#489408000 -0& -#489424000 -b1110111011111 , -#489440000 -1& -#489456000 -b11111111 " -b11111111 4 -b11111111111111110011110111111100 1 -b11111111111111110011110111111100 C -b11111111 0 -b11111111 H -18 -b10000010000000001110101000100111 < -b10000010000000000010011011011100 2 -b10000010000000000010011011011100 = -b10000010000000000010011011011100 : -b11111111111111110011110010110100 $ -b11111111111111110011110010110100 - -b11111111111111110011110010110100 5 -b11111111111111110011110010110100 ? -b11111111111111110011110010110100 D -b1111101111111110001010111011000 % -b1111101111111110001010111011000 . -b1111101111111110001010111011000 6 -b1111101111111110001010111011000 @ -b1111101111111110001010111011000 F -b11111111 ) -#489472000 -0& -#489488000 -b1110111100000 , -#489504000 -1& -#489520000 -b111101101011111010111111 " -b111101101011111010111111 4 -b11111111111111110111111111110111 1 -b11111111111111110111111111110111 C -b111101101011111010111111 0 -b111101101011111010111111 H -08 -09 -b1001101111001011000 < -b1111011011001000011111001001010 2 -b1111011011001000011111001001010 = -b1111011011001000011111001001010 : -b1111011010111110101111111110001 $ -b1111011010111110101111111110001 - -b1111011010111110101111111110001 5 -b1111011010111110101111111110001 ? -b1111011010111110101111111110001 D -b11111111111110110010000110100111 % -b11111111111110110010000110100111 . -b11111111111110110010000110100111 6 -b11111111111110110010000110100111 @ -b11111111111110110010000110100111 F -b111101101011111010111111 ) -#489536000 -0& -#489552000 -b1110111100001 , -#489568000 -1& -#489584000 -b111111011 " -b111111011 4 -b1111111111110111100011111011111 1 -b1111111111110111100011111011111 C -b111111011 0 -b111111011 H -b10100000000011110011100000101001 < -b11110111110101111110110111001 2 -b11110111110101111110110111001 = -b11110111110101111110110111001 : -b1111110111010111100010110001111 $ -b1111110111010111100010110001111 - -b1111110111010111100010110001111 5 -b1111110111010111100010110001111 ? -b1111110111010111100010110001111 D -b1011111111100001100011111010110 % -b1011111111100001100011111010110 . -b1011111111100001100011111010110 6 -b1011111111100001100011111010110 @ -b1011111111100001100011111010110 F -b111111011 ) -#489600000 -0& -#489616000 -b1110111100010 , -#489632000 -1& -#489648000 -b1111 " -b1111 4 -b11110111111111110110111101111110 1 -b11110111111111110110111101111110 C -b1111 0 -b1111 H -18 -b1000000010001111000110000011 < -b11111100111001110101111010010110 2 -b11111100111001110101111010010110 = -b11111100111001110101111010010110 : -b11110100110111100110110100010010 $ -b11110100110111100110110100010010 - -b11110100110111100110110100010010 5 -b11110100110111100110110100010010 ? -b11110100110111100110110100010010 D -b11110111111101110000111001111100 % -b11110111111101110000111001111100 . -b11110111111101110000111001111100 6 -b11110111111101110000111001111100 @ -b11110111111101110000111001111100 F -b1111 ) -#489664000 -0& -#489680000 -b1110111100011 , -#489696000 -1& -#489712000 -b1 " -b1 4 -b11111111111111111111111101111111 1 -b11111111111111111111111101111111 C -b1 0 -b1 H -18 -b10010100010010100000110011000000 < -b10010011001110011000101000100001 2 -b10010011001110011000101000100001 = -b10010011001110011000101000100001 : -b11111110111011110111110101100000 $ -b11111110111011110111110101100000 - -b11111110111011110111110101100000 5 -b11111110111011110111110101100000 ? -b11111110111011110111110101100000 D -b1101011101101011111001100111111 % -b1101011101101011111001100111111 . -b1101011101101011111001100111111 6 -b1101011101101011111001100111111 @ -b1101011101101011111001100111111 F -b1 ) -#489728000 -0& -#489744000 -b1110111100100 , -#489760000 -1& -#489776000 -b110100 " -b110100 4 -b11110111101111111010110110111010 1 -b11110111101111111010110110111010 C -b110100 0 -b110100 H -19 -18 -b10001001011111010101001111000101 < -b1011101001111001110000101011000 2 -b1011101001111001110000101011000 = -b1011101001111001110000101011000 : -b11010011101111111000110110010010 $ -b11010011101111111000110110010010 - -b11010011101111111000110110010010 5 -b11010011101111111000110110010010 ? -b11010011101111111000110110010010 D -b1110110100000101010110000111010 % -b1110110100000101010110000111010 . -b1110110100000101010110000111010 6 -b1110110100000101010110000111010 @ -b1110110100000101010110000111010 F -b110100 ) -#489792000 -0& -#489808000 -b1110111100101 , -#489824000 -1& -#489840000 -b1011111011111110011111000111111 " -b1011111011111110011111000111111 4 -b11011111011111110111111000111111 1 -b11011111011111110111111000111111 C -b1011111011111110011111000111111 0 -b1011111011111110011111000111111 H -08 -b110000110000001000010111011111 < -b10010000001111111100010000011111 2 -b10010000001111111100010000011111 = -b10010000001111111100010000011111 : -b1011111011111110011111000111111 $ -b1011111011111110011111000111111 - -b1011111011111110011111000111111 5 -b1011111011111110011111000111111 ? -b1011111011111110011111000111111 D -b11001111001111110111101000100000 % -b11001111001111110111101000100000 . -b11001111001111110111101000100000 6 -b11001111001111110111101000100000 @ -b11001111001111110111101000100000 F -b1011111011111110011111000111111 ) -#489856000 -0& -#489872000 -b1110111100110 , -#489888000 -1& -#489904000 -b1100110 " -b1100110 4 -b11001111111111110111000111111011 1 -b11001111111111110111000111111011 C -b1100110 0 -b1100110 H -18 -09 -b110000101100001111111000000110 < -b11111110101100000110111000101001 2 -b11111110101100000110111000101001 = -b11111110101100000110111000101001 : -b11001101111111110111000000100010 $ -b11001101111111110111000000100010 - -b11001101111111110111000000100010 5 -b11001101111111110111000000100010 ? -b11001101111111110111000000100010 D -b11001111010011110000000111111001 % -b11001111010011110000000111111001 . -b11001111010011110000000111111001 6 -b11001111010011110000000111111001 @ -b11001111010011110000000111111001 F -b1100110 ) -#489920000 -0& -#489936000 -b1110111100111 , -#489952000 -1& -#489968000 -b1100111110110010101010101 " -b1100111110110010101010101 4 -b11111111101111111110111111100111 1 -b11111111101111111110111111100111 C -b1100111110110010101010101 0 -b1100111110110010101010101 H -18 -b11000001010000001001000000111000 < -b10010000111100110011101011011101 2 -b10010000111100110011101011011101 = -b10010000111100110011101011011101 : -b11001111101100101010101010100100 $ -b11001111101100101010101010100100 - -b11001111101100101010101010100100 5 -b11001111101100101010101010100100 ? -b11001111101100101010101010100100 D -b111110101111110110111111000111 % -b111110101111110110111111000111 . -b111110101111110110111111000111 6 -b111110101111110110111111000111 @ -b111110101111110110111111000111 F -b1100111110110010101010101 ) -#489984000 -0& -#490000000 -b1110111101000 , -#490016000 -1& -#490032000 -b1 " -b1 4 -b11111111110111110011011101011111 1 -b11111111110111110011011101011111 C -b1 0 -b1 H -19 -18 -b10000000011010111111111011100000 < -b1101110010010110011010100110011 2 -b1101110010010110011010100110011 = -b1101110010010110011010100110011 : -b11101101110111110011011001010010 $ -b11101101110111110011011001010010 - -b11101101110111110011011001010010 5 -b11101101110111110011011001010010 ? -b11101101110111110011011001010010 D -b1111111100101000000000100011111 % -b1111111100101000000000100011111 . -b1111111100101000000000100011111 6 -b1111111100101000000000100011111 @ -b1111111100101000000000100011111 F -b1 ) -#490048000 -0& -#490064000 -b1110111101001 , -#490080000 -1& -#490096000 -b1010001111111011111110100111 " -b1010001111111011111110100111 4 -b10111011111111111111101001111111 1 -b10111011111111111111101001111111 C -b1010001111111011111110100111 0 -b1010001111111011111110100111 H -09 -18 -b1000100000010001010110110111011 < -b11101000000001001010100000110111 2 -b11101000000001001010100000110111 = -b11101000000001001010100000110111 : -b10100011111110111111101001111011 $ -b10100011111110111111101001111011 - -b10100011111110111111101001111011 5 -b10100011111110111111101001111011 ? -b10100011111110111111101001111011 D -b10111011111101110101001001000100 % -b10111011111101110101001001000100 . -b10111011111101110101001001000100 6 -b10111011111101110101001001000100 @ -b10111011111101110101001001000100 F -b1010001111111011111110100111 ) -#490112000 -0& -#490128000 -b1110111101010 , -#490144000 -1& -#490160000 -b101111011100101 " -b101111011100101 4 -b11111111111001111111111001110001 1 -b11111111111001111111111001110001 C -b101111011100101 0 -b101111011100101 H -08 -19 -b1000000001111000100010110001111 < -b10011111001000011011001111100001 2 -b10011111001000011011001111100001 = -b10011111001000011011001111100001 : -b1011110111001010110111001010001 $ -b1011110111001010110111001010001 - -b1011110111001010110111001010001 5 -b1011110111001010110111001010001 ? -b1011110111001010110111001010001 D -b10111111110000111011101001110000 % -b10111111110000111011101001110000 . -b10111111110000111011101001110000 6 -b10111111110000111011101001110000 @ -b10111111110000111011101001110000 F -b101111011100101 ) -#490176000 -0& -#490192000 -b1110111101011 , -#490208000 -1& -#490224000 -1! -b0 " -b0 4 -b1111111111111110001101101111111 1 -b1111111111111110001101101111111 C -b0 0 -b0 H -09 -08 -b10100000000000001110010111100000 < -b11111001111111111111101011101 2 -b11111001111111111111101011101 = -1# -b11111001111111111111101011101 : -b1111111001111110001100101111100 $ -b1111111001111110001100101111100 - -b1111111001111110001100101111100 5 -b1111111001111110001100101111100 ? -b1111111001111110001100101111100 D -b1011111111111110001101000011111 % -b1011111111111110001101000011111 . -b1011111111111110001101000011111 6 -b1011111111111110001101000011111 @ -b1011111111111110001101000011111 F -b0 ) -b1 ( -#490240000 -0& -#490256000 -b1110111101100 , -#490272000 -1& -#490288000 -0! -b1111010110110111100010000101 " -b1111010110110111100010000101 4 -b11111101111111111101100011010110 1 -b11111101111111111101100011010110 C -b1111010110110111100010000101 0 -b1111010110110111100010000101 H -18 -b110001000100010111101111011 < -b11111011110110011011011111001110 2 -b11111011110110011011011111001110 = -0# -b11111011110110011011011111001110 : -b11110101101101111000100001010010 $ -b11110101101101111000100001010010 - -b11110101101101111000100001010010 5 -b11110101101101111000100001010010 ? -b11110101101101111000100001010010 D -b11111001110111011101000010000100 % -b11111001110111011101000010000100 . -b11111001110111011101000010000100 6 -b11111001110111011101000010000100 @ -b11111001110111011101000010000100 F -b1111010110110111100010000101 ) -b0 ( -#490304000 -0& -#490320000 -b1110111101101 , -#490336000 -1& -#490352000 -b10111110111111111010110 " -b10111110111111111010110 4 -b10111111111111111010110111011011 1 -b10111111111111111010110111011011 C -b10111110111111111010110 0 -b10111110111111111010110 H -b11001010111000100101011000110110 < -b10001001111000100000001100010001 2 -b10001001111000100000001100010001 = -b10001001111000100000001100010001 : -b10111110111111111010110011011010 $ -b10111110111111111010110011011010 - -b10111110111111111010110011011010 5 -b10111110111111111010110011011010 ? -b10111110111111111010110011011010 D -b110101000111011010100111001001 % -b110101000111011010100111001001 . -b110101000111011010100111001001 6 -b110101000111011010100111001001 @ -b110101000111011010100111001001 F -b10111110111111111010110 ) -#490368000 -0& -#490384000 -b1110111101110 , -#490400000 -1& -#490416000 -b11111 " -b11111 4 -b11111111111111110111111111111011 1 -b11111111111111110111111111111011 C -b11111 0 -b11111 H -b10000001000000011100000011000100 < -b10000001000000010001001011000000 2 -b10000001000000010001001011000000 = -b10000001000000010001001011000000 : -b11111111111111110101000111111011 $ -b11111111111111110101000111111011 - -b11111111111111110101000111111011 5 -b11111111111111110101000111111011 ? -b11111111111111110101000111111011 D -b1111110111111100011111100111011 % -b1111110111111100011111100111011 . -b1111110111111100011111100111011 6 -b1111110111111100011111100111011 @ -b1111110111111100011111100111011 F -b11111 ) -#490432000 -0& -#490448000 -b1110111101111 , -#490464000 -1& -#490480000 -b10101101 " -b10101101 4 -b1011111101111111110110011011111 1 -b1011111101111111110110011011111 C -b10101101 0 -b10101101 H -18 -09 -b10100000011000011001111101101000 < -b11110111001000011000110000110101 2 -b11110111001000011000110000110101 = -b11110111001000011000110000110101 : -b1010110101111111110110011001100 $ -b1010110101111111110110011001100 - -b1010110101111111110110011001100 5 -b1010110101111111110110011001100 ? -b1010110101111111110110011001100 D -b1011111100111100110000010010111 % -b1011111100111100110000010010111 . -b1011111100111100110000010010111 6 -b1011111100111100110000010010111 @ -b1011111100111100110000010010111 F -b10101101 ) -#490496000 -0& -#490512000 -b1110111110000 , -#490528000 -1& -#490544000 -b110101110111010 " -b110101110111010 4 -b11011111111111111111011111110101 1 -b11011111111111111111011111110101 C -b110101110111010 0 -b110101110111010 H -19 -18 -b10100100001000000000100110001110 < -b1111011100101001110110100010011 2 -b1111011100101001110110100010011 = -b1111011100101001110110100010011 : -b11010111011101001110001110000100 $ -b11010111011101001110001110000100 - -b11010111011101001110001110000100 5 -b11010111011101001110001110000100 ? -b11010111011101001110001110000100 D -b1011011110111111111011001110001 % -b1011011110111111111011001110001 . -b1011011110111111111011001110001 6 -b1011011110111111111011001110001 @ -b1011011110111111111011001110001 F -b110101110111010 ) -#490560000 -0& -#490576000 -b1110111110001 , -#490592000 -1& -#490608000 -b100110111 " -b100110111 4 -b11111111111111110010111111110111 1 -b11111111111111110010111111110111 C -b100110111 0 -b100110111 H -b10010000100100001101001001101000 < -b101100011001011111110111011011 2 -b101100011001011111110111011011 = -b101100011001011111110111011011 : -b10011011110101010010101101110010 $ -b10011011110101010010101101110010 - -b10011011110101010010101101110010 5 -b10011011110101010010101101110010 ? -b10011011110101010010101101110010 D -b1101111011011110010110110010111 % -b1101111011011110010110110010111 . -b1101111011011110010110110010111 6 -b1101111011011110010110110010111 @ -b1101111011011110010110110010111 F -b100110111 ) -#490624000 -0& -#490640000 -b1110111110010 , -#490656000 -1& -#490672000 -b10001101111111111001100 " -b10001101111111111001100 4 -b1100111111111111100110011111011 1 -b1100111111111111100110011111011 C -b10001101111111111001100 0 -b10001101111111111001100 H -09 -18 -b10011010001100011011011100110111 < -b11100001001100011000010000101011 2 -b11100001001100011000010000101011 = -b11100001001100011000010000101011 : -b1000110111111111100110011110011 $ -b1000110111111111100110011110011 - -b1000110111111111100110011110011 5 -b1000110111111111100110011110011 ? -b1000110111111111100110011110011 D -b1100101110011100100100011001000 % -b1100101110011100100100011001000 . -b1100101110011100100100011001000 6 -b1100101110011100100100011001000 @ -b1100101110011100100100011001000 F -b10001101111111111001100 ) -#490688000 -0& -#490704000 -b1110111110011 , -#490720000 -1& -#490736000 -b110110111001 " -b110110111001 4 -b1101101111111111011001110111011 1 -b1101101111111111011001110111011 C -b110110111001 0 -b110110111001 H -08 -b10111110000010001100111011001100 < -b101011110101000101000101100101 2 -b101011110101000101000101100101 = -b101011110101000101000101100101 : -b1101101110010111000001010011000 $ -b1101101110010111000001010011000 - -b1101101110010111000001010011000 5 -b1101101110010111000001010011000 ? -b1101101110010111000001010011000 D -b1000001111101110011000100110011 % -b1000001111101110011000100110011 . -b1000001111101110011000100110011 6 -b1000001111101110011000100110011 @ -b1000001111101110011000100110011 F -b110110111001 ) -#490752000 -0& -#490768000 -b1110111110100 , -#490784000 -1& -#490800000 -b1111101010100111 " -b1111101010100111 4 -b11111111111110111001101101111111 1 -b11111111111110111001101101111111 C -b1111101010100111 0 -b1111101010100111 H -19 -08 -b10101000001000110010111010000 < -b10010010010101111111000100100111 2 -b10010010010101111111000100100111 = -b10010010010101111111000100100111 : -b1111101010100111000101101010110 $ -b1111101010100111000101101010110 - -b1111101010100111000101101010110 5 -b1111101010100111000101101010110 ? -b1111101010100111000101101010110 D -b11101010111110111001101000101111 % -b11101010111110111001101000101111 . -b11101010111110111001101000101111 6 -b11101010111110111001101000101111 @ -b11101010111110111001101000101111 F -b1111101010100111 ) -#490816000 -0& -#490832000 -b1110111110101 , -#490848000 -1& -#490864000 -b10111011011101110001011111011 " -b10111011011101110001011111011 4 -b11111111111111110011011111011111 1 -b11111111111111110011011111011111 C -b10111011011101110001011111011 0 -b10111011011101110001011111011 H -18 -19 -b10010000000000001101100110111100 < -b1001011011101111111000110011100 2 -b1001011011101111111000110011100 = -b1001011011101111111000110011100 : -b10111011011101110001011111011111 $ -b10111011011101110001011111011111 - -b10111011011101110001011111011111 5 -b10111011011101110001011111011111 ? -b10111011011101110001011111011111 D -b1101111111111110010011001000011 % -b1101111111111110010011001000011 . -b1101111111111110010011001000011 6 -b1101111111111110010011001000011 @ -b1101111111111110010011001000011 F -b10111011011101110001011111011 ) -#490880000 -0& -#490896000 -b1110111110110 , -#490912000 -1& -#490928000 -b101010101011 " -b101010101011 4 -b1111101111111100111111101110011 1 -b1111101111111100111111101110011 C -b101010101011 0 -b101010101011 H -09 -08 -b11000011000010011001110110001100 < -b11000011001111101101011101111 2 -b11000011001111101101011101111 = -b11000011001111101101011101111 : -b1010101010111100011110101100010 $ -b1010101010111100011110101100010 - -b1010101010111100011110101100010 5 -b1010101010111100011110101100010 ? -b1010101010111100011110101100010 D -b111100111101100110001001110011 % -b111100111101100110001001110011 . -b111100111101100110001001110011 6 -b111100111101100110001001110011 @ -b111100111101100110001001110011 F -b101010101011 ) -#490944000 -0& -#490960000 -b1110111110111 , -#490976000 -1& -#490992000 -b1001111101 " -b1001111101 4 -b11111111011100110011101001110110 1 -b11111111011100110011101001110110 C -b1001111101 0 -b1001111101 H -18 -19 -b10001000101011011101011111001001 < -b101000001000010000101000111010 2 -b101000001000010000101000111010 = -b101000001000010000101000111010 : -b10011111011100110011001001110000 $ -b10011111011100110011001001110000 - -b10011111011100110011001001110000 5 -b10011111011100110011001001110000 ? -b10011111011100110011001001110000 D -b1110111010100100010100000110110 % -b1110111010100100010100000110110 . -b1110111010100100010100000110110 6 -b1110111010100100010100000110110 @ -b1110111010100100010100000110110 F -b1001111101 ) -#491008000 -0& -#491024000 -b1110111111000 , -#491040000 -1& -#491056000 -b1111110 " -b1111110 4 -b1111110111011110011000010111001 1 -b1111110111011110011000010111001 C -b1111110 0 -b1111110 H -09 -b10000001001110101101111101100111 < -b11111111101010011110111110010001 2 -b11111111101010011110111110010001 = -b11111111101010011110111110010001 : -b1111110011011110001000000101001 $ -b1111110011011110001000000101001 - -b1111110011011110001000000101001 5 -b1111110011011110001000000101001 ? -b1111110011011110001000000101001 D -b1111110110001010010000010011000 % -b1111110110001010010000010011000 . -b1111110110001010010000010011000 6 -b1111110110001010010000010011000 @ -b1111110110001010010000010011000 F -b1111110 ) -#491072000 -0& -#491088000 -b1110111111001 , -#491104000 -1& -#491120000 -b1000111101110111000010110 " -b1000111101110111000010110 4 -b11111111111111011100001011101101 1 -b11111111111111011100001011101101 C -b1000111101110111000010110 0 -b1000111101110111000010110 H -08 -b10000000110111111100011010 < -b100101111000010100000111100011 2 -b100101111000010100000111100011 = -b100101111000010100000111100011 : -b100011110111011100001011001000 $ -b100011110111011100001011001000 - -b100011110111011100001011001000 5 -b100011110111011100001011001000 ? -b100011110111011100001011001000 D -b11111101111111001000000011100101 % -b11111101111111001000000011100101 . -b11111101111111001000000011100101 6 -b11111101111111001000000011100101 @ -b11111101111111001000000011100101 F -b1000111101110111000010110 ) -#491136000 -0& -#491152000 -b1110111111010 , -#491168000 -1& -#491184000 -1! -b0 " -b0 4 -b1111111111111110011111011111111 1 -b1111111111111110011111011111111 C -b0 0 -b0 H -b10001100001010001101000110000000 < -b1001101010000000100001100111 2 -b1001101010000000100001100111 = -1# -b1001101010000000100001100111 : -b1111101011111110011011011100110 $ -b1111101011111110011011011100110 - -b1111101011111110011011011100110 5 -b1111101011111110011011011100110 ? -b1111101011111110011011011100110 D -b1110011110101110010111001111111 % -b1110011110101110010111001111111 . -b1110011110101110010111001111111 6 -b1110011110101110010111001111111 @ -b1110011110101110010111001111111 F -b0 ) -b1 ( -#491200000 -0& -#491216000 -b1110111111011 , -#491232000 -1& -#491248000 -0! -b111101011101010110111101001110 " -b111101011101010110111101001110 4 -b11110111111111111011111100111010 1 -b11110111111111111011111100111010 C -b111101011101010110111101001110 0 -b111101011101010110111101001110 H -08 -b1100000000001111000011011101 < -b1110101101010111000010110 2 -b1110101101010111000010110 = -0# -b1110101101010111000010110 : -b11110101110101011011110100111000 $ -b11110101110101011011110100111000 - -b11110101110101011011110100111000 5 -b11110101110101011011110100111000 ? -b11110101110101011011110100111000 D -b11110011111111110000111100100010 % -b11110011111111110000111100100010 . -b11110011111111110000111100100010 6 -b11110011111111110000111100100010 @ -b11110011111111110000111100100010 F -b111101011101010110111101001110 ) -b0 ( -#491264000 -0& -#491280000 -b1110111111100 , -#491296000 -1& -#491312000 -b11111 " -b11111 4 -b11111111111111111101111111111111 1 -b11111111111111111101111111111111 C -b11111 0 -b11111 H -b100000001001010001001000100 < -b11110001000010101001000001 2 -b11110001000010101001000001 = -b11110001000010101001000001 : -b11111111101111111000011111111100 $ -b11111111101111111000011111111100 - -b11111111101111111000011111111100 5 -b11111111101111111000011111111100 ? -b11111111101111111000011111111100 D -b11111011111110110101110110111011 % -b11111011111110110101110110111011 . -b11111011111110110101110110111011 6 -b11111011111110110101110110111011 @ -b11111011111110110101110110111011 F -b11111 ) -#491328000 -0& -#491344000 -b1110111111101 , -#491360000 -1& -#491376000 -b1111111110011001 " -b1111111110011001 4 -b11111111100111110101110111111001 1 -b11111111100111110101110111111001 C -b1111111110011001 0 -b1111111110011001 H -b1000001011010001010001010001111 < -b1000001000000011010010010001001 2 -b1000001000000011010010010001001 = -b1000001000000011010010010001001 : -b11111111100110010000000111111001 $ -b11111111100110010000000111111001 - -b11111111100110010000000111111001 5 -b11111111100110010000000111111001 ? -b11111111100110010000000111111001 D -b10111110100101110101110101110000 % -b10111110100101110101110101110000 . -b10111110100101110101110101110000 6 -b10111110100101110101110101110000 @ -b10111110100101110101110101110000 F -b1111111110011001 ) -#491392000 -0& -#491408000 -b1110111111110 , -#491424000 -1& -#491440000 -b10 " -b10 4 -b10111111101111111111010111111110 1 -b10111111101111111111010111111110 C -b10 0 -b10 H -19 -18 -b11000000111000001000111011000001 < -b1110111100100100011010010100010 2 -b1110111100100100011010010100010 = -b1110111100100100011010010100010 : -b10110110101100011010010111100000 $ -b10110110101100011010010111100000 - -b10110110101100011010010111100000 5 -b10110110101100011010010111100000 ? -b10110110101100011010010111100000 D -b111111000111110111000100111110 % -b111111000111110111000100111110 . -b111111000111110111000100111110 6 -b111111000111110111000100111110 @ -b111111000111110111000100111110 F -b10 ) -#491456000 -0& -#491472000 -b1110111111111 , -#491488000 -1& -#491504000 -b111011010011001111100101000011 " -b111011010011001111100101000011 4 -b11111101111111111111111101101111 1 -b11111101111111111111111101101111 C -b111011010011001111100101000011 0 -b111011010011001111100101000011 H -09 -18 -b10000000101110000010011101 < -b11101111001101101100010110101011 2 -b11101111001101101100010110101011 = -b11101111001101101100010110101011 : -b11101101001100111110010100001101 $ -b11101101001100111110010100001101 - -b11101101001100111110010100001101 5 -b11101101001100111110010100001101 ? -b11101101001100111110010100001101 D -b11111101111111010001111101100010 % -b11111101111111010001111101100010 . -b11111101111111010001111101100010 6 -b11111101111111010001111101100010 @ -b11111101111111010001111101100010 F -b111011010011001111100101000011 ) -#491520000 -0& -#491536000 -b1111000000000 , -#491552000 -1& -#491568000 -b11011111101111011110100 " -b11011111101111011110100 4 -b1111111111111111111110110001000 1 -b1111111111111111111110110001000 C -b11011111101111011110100 0 -b11011111101111011110100 H -08 -b10101000000000000100011011110111 < -b10111110111110011101110000000 2 -b10111110111110011101110000000 = -b10111110111110011101110000000 : -b1101111110111101111010010001000 $ -b1101111110111101111010010001000 - -b1101111110111101111010010001000 5 -b1101111110111101111010010001000 ? -b1101111110111101111010010001000 D -b1010111111111111011100100001000 % -b1010111111111111011100100001000 . -b1010111111111111011100100001000 6 -b1010111111111111011100100001000 @ -b1010111111111111011100100001000 F -b11011111101111011110100 ) -#491584000 -0& -#491600000 -b1111000000001 , -#491616000 -1& -#491632000 -b1111011010010110 " -b1111011010010110 4 -b11110111110111101010011110111000 1 -b11110111110111101010011110111000 C -b1111011010010110 0 -b1111011010010110 H -08 -b111110001000011111101001101111 < -b110100101110001001111000101000 2 -b110100101110001001111000101000 = -b110100101110001001111000101000 : -b11110110100101101010001110111000 $ -b11110110100101101010001110111000 - -b11110110100101101010001110111000 5 -b11110110100101101010001110111000 ? -b11110110100101101010001110111000 D -b11000001110111100000010110010000 % -b11000001110111100000010110010000 . -b11000001110111100000010110010000 6 -b11000001110111100000010110010000 @ -b11000001110111100000010110010000 F -b1111011010010110 ) -#491648000 -0& -#491664000 -b1111000000010 , -#491680000 -1& -#491696000 -b1111 " -b1111 4 -b1111111111111111111111011011111 1 -b1111111111111111111111011011111 C -b1111 0 -b1111 H -18 -b10000000010000001001000110100100 < -b11111111010000000110110001101100 2 -b11111111010000000110110001101100 = -b11111111010000000110110001101100 : -b1111110111111111101101011000111 $ -b1111110111111111101101011000111 - -b1111110111111111101101011000111 5 -b1111110111111111101101011000111 ? -b1111110111111111101101011000111 D -b1111111101111110110111001011011 % -b1111111101111110110111001011011 . -b1111111101111110110111001011011 6 -b1111111101111110110111001011011 @ -b1111111101111110110111001011011 F -b1111 ) -#491712000 -0& -#491728000 -b1111000000011 , -#491744000 -1& -#491760000 -b11111111 " -b11111111 4 -b11111111111111111001110011110111 1 -b11111111111111111001110011110111 C -b11111111 0 -b11111111 H -19 -08 -b11010110001100001000 < -b10000000000010100111001110111101 2 -b10000000000010100111001110111101 = -b10000000000010100111001110111101 : -b1111111111111010001000010110100 $ -b1111111111111010001000010110100 - -b1111111111111010001000010110100 5 -b1111111111111010001000010110100 ? -b1111111111111010001000010110100 D -b11111111111100101001110011110111 % -b11111111111100101001110011110111 . -b11111111111100101001110011110111 6 -b11111111111100101001110011110111 @ -b11111111111100101001110011110111 F -b11111111 ) -#491776000 -0& -#491792000 -b1111000000100 , -#491808000 -1& -#491824000 -b110101101111111 " -b110101101111111 4 -b1111111111111111011110111101111 1 -b1111111111111111011110111101111 C -b110101101111111 0 -b110101101111111 H -09 -18 -b10000000000000111111111000110000 < -b10110101110000111011101001011011 2 -b10110101110000111011101001011011 = -b10110101110000111011101001011011 : -b110101101111111011110000101010 $ -b110101101111111011110000101010 - -b110101101111111011110000101010 5 -b110101101111111011110000101010 ? -b110101101111111011110000101010 D -b1111111111111000000000111001111 % -b1111111111111000000000111001111 . -b1111111111111000000000111001111 6 -b1111111111111000000000111001111 @ -b1111111111111000000000111001111 F -b110101101111111 ) -#491840000 -0& -#491856000 -b1111000000101 , -#491872000 -1& -#491888000 -b11101111111111100000110111000111 " -b11101111111111100000110111000111 4 -b11111111111111110100111111100111 1 -b11111111111111110100111111100111 C -b11101111111111100000110111000111 0 -b11101111111111100000110111000111 H -08 -b1000001000001001011010000011111 < -b110001000000101100000111100111 2 -b110001000000101100000111100111 = -b110001000000101100000111100111 : -b11101111111111100000110111000111 $ -b11101111111111100000110111000111 - -b11101111111111100000110111000111 5 -b11101111111111100000110111000111 ? -b11101111111111100000110111000111 D -b10111110111110110100101111100000 % -b10111110111110110100101111100000 . -b10111110111110110100101111100000 6 -b10111110111110110100101111100000 @ -b10111110111110110100101111100000 F -b11101111111111100000110111000111 ) -#491904000 -0& -#491920000 -b1111000000110 , -#491936000 -1& -#491952000 -b1111111011011011011110100100 " -b1111111011011011011110100100 4 -b1111111111111111111111110100111 1 -b1111111111111111111111110100111 C -b1111111011011011011110100100 0 -b1111111011011011011110100100 H -08 -b11011000010000010000010001011100 < -b1010111101011101100000110000011 2 -b1010111101011101100000110000011 = -b1010111101011101100000110000011 : -b1111111011011011011110100100110 $ -b1111111011011011011110100100110 - -b1111111011011011011110100100110 5 -b1111111011011011011110100100110 ? -b1111111011011011011110100100110 D -b100111101111101111101110100011 % -b100111101111101111101110100011 . -b100111101111101111101110100011 6 -b100111101111101111101110100011 @ -b100111101111101111101110100011 F -b1111111011011011011110100100 ) -#491968000 -0& -#491984000 -b1111000000111 , -#492000000 -1& -#492016000 -b1101111111101111111011101 " -b1101111111101111111011101 4 -b11111111111111111111111011101111 1 -b11111111111111111111111011101111 C -b1101111111101111111011101 0 -b1101111111101111111011101 H -08 -b1000110010010011010100111011000 < -b100110001110011001100011000100 2 -b100110001110011001100011000100 = -b100110001110011001100011000100 : -b11011111111011111110111011101011 $ -b11011111111011111110111011101011 - -b11011111111011111110111011101011 5 -b11011111111011111110111011101011 ? -b11011111111011111110111011101011 D -b10111001101101100101011000100111 % -b10111001101101100101011000100111 . -b10111001101101100101011000100111 6 -b10111001101101100101011000100111 @ -b10111001101101100101011000100111 F -b1101111111101111111011101 ) -#492032000 -0& -#492048000 -b1111000001000 , -#492064000 -1& -#492080000 -b1011111101001111 " -b1011111101001111 4 -b11111111111101111101101111111111 1 -b11111111111101111101101111111111 C -b1011111101001111 0 -b1011111101001111 H -08 -b10001010010001010000 < -b1011111101100000110110011000010 2 -b1011111101100000110110011000010 = -b1011111101100000110110011000010 : -b1011111101001111100100001110001 $ -b1011111101001111100100001110001 - -b1011111101001111100100001110001 5 -b1011111101001111100100001110001 ? -b1011111101001111100100001110001 D -b11111111111101110101101110101111 % -b11111111111101110101101110101111 . -b11111111111101110101101110101111 6 -b11111111111101110101101110101111 @ -b11111111111101110101101110101111 F -b1011111101001111 ) -#492096000 -0& -#492112000 -b1111000001001 , -#492128000 -1& -#492144000 -b111101110111110111 " -b111101110111110111 4 -b1111111101111101111111111101101 1 -b1111111101111101111111111101101 C -b111101110111110111 0 -b111101110111110111 H -b10010001010000110110000010110010 < -b1101000000100101010101010111 2 -b1101000000100101010101010111 = -b1101000000100101010101010111 : -b1111011101111101111010010100100 $ -b1111011101111101111010010100100 - -b1111011101111101111010010100100 5 -b1111011101111101111010010100100 ? -b1111011101111101111010010100100 D -b1101110101111001001111101001101 % -b1101110101111001001111101001101 . -b1101110101111001001111101001101 6 -b1101110101111001001111101001101 @ -b1101110101111001001111101001101 F -b111101110111110111 ) -#492160000 -0& -#492176000 -b1111000001010 , -#492192000 -1& -#492208000 -b111111111111110000001001011000 " -b111111111111110000001001011000 4 -b11111111111111110101111011110001 1 -b11111111111111110101111011110001 C -b111111111111110000001001011000 0 -b111111111111110000001001011000 H -19 -08 -b100000000110001010010100011110 < -b10100000000101101010100111001111 2 -b10100000000101101010100111001111 = -b10100000000101101010100111001111 : -b1111111111111100000010010110000 $ -b1111111111111100000010010110000 - -b1111111111111100000010010110000 5 -b1111111111111100000010010110000 ? -b1111111111111100000010010110000 D -b11011111111001110101101011100001 % -b11011111111001110101101011100001 . -b11011111111001110101101011100001 6 -b11011111111001110101101011100001 @ -b11011111111001110101101011100001 F -b111111111111110000001001011000 ) -#492224000 -0& -#492240000 -b1111000001011 , -#492256000 -1& -#492272000 -b100111001110111001 " -b100111001110111001 4 -b10111111111011101111011101111110 1 -b10111111111011101111011101111110 C -b100111001110111001 0 -b100111001110111001 H -18 -09 -b1010000010101110000110011110001 < -b11101101010001010110000101101110 2 -b11101101010001010110000101101110 = -b11101101010001010110000101101110 : -b10011100111011100101010001111100 $ -b10011100111011100101010001111100 - -b10011100111011100101010001111100 5 -b10011100111011100101010001111100 ? -b10011100111011100101010001111100 D -b10101111101010001111001100001110 % -b10101111101010001111001100001110 . -b10101111101010001111001100001110 6 -b10101111101010001111001100001110 @ -b10101111101010001111001100001110 F -b100111001110111001 ) -#492288000 -0& -#492304000 -b1111000001100 , -#492320000 -1& -#492336000 -b1000 " -b1000 4 -b1111111111111111111001111111110 1 -b1111111111111111111001111111110 C -b1000 0 -b1000 H -18 -b10000001000000000010110101000101 < -b10100100101111111101000100001100 2 -b10100100101111111101000100001100 = -b10100100101111111101000100001100 : -b100011101111111010001111000110 $ -b100011101111111010001111000110 - -b100011101111111010001111000110 5 -b100011101111111010001111000110 ? -b100011101111111010001111000110 D -b1111110111111111101001010111010 % -b1111110111111111101001010111010 . -b1111110111111111101001010111010 6 -b1111110111111111101001010111010 @ -b1111110111111111101001010111010 F -b1000 ) -#492352000 -0& -#492368000 -b1111000001101 , -#492384000 -1& -#492400000 -b1111000 " -b1111000 4 -b11110111111011111110110110011101 1 -b11110111111011111110110110011101 C -b1111000 0 -b1111000 H -18 -b10011000010100011001101101100110 < -b10001010001111110010100001111100 2 -b10001010001111110010100001111100 = -b10001010001111110010100001111100 : -b11110001111011011000110100010101 $ -b11110001111011011000110100010101 - -b11110001111011011000110100010101 5 -b11110001111011011000110100010101 ? -b11110001111011011000110100010101 D -b1100111101011100110010010011001 % -b1100111101011100110010010011001 . -b1100111101011100110010010011001 6 -b1100111101011100110010010011001 @ -b1100111101011100110010010011001 F -b1111000 ) -#492416000 -0& -#492432000 -b1111000001110 , -#492448000 -1& -#492464000 -b1101110101011111001 " -b1101110101011111001 4 -b11111111111111110111111110101111 1 -b11111111111111110111111110101111 C -b1101110101011111001 0 -b1101110101011111001 H -09 -18 -b1000000000001010110001110010 < -b11100101010111111101101010010101 2 -b11100101010111111101101010010101 = -b11100101010111111101101010010101 : -b11011101010111110010111000100010 $ -b11011101010111110010111000100010 - -b11011101010111110010111000100010 5 -b11011101010111110010111000100010 ? -b11011101010111110010111000100010 D -b11110111111111110101001110001101 % -b11110111111111110101001110001101 . -b11110111111111110101001110001101 6 -b11110111111111110101001110001101 @ -b11110111111111110101001110001101 F -b1101110101011111001 ) -#492480000 -0& -#492496000 -b1111000001111 , -#492512000 -1& -#492528000 -b11110111111110101001011101 " -b11110111111110101001011101 4 -b1111111111111110101101110110101 1 -b1111111111111110101101110110101 C -b11110111111110101001011101 0 -b11110111111110101001011101 H -08 -b11000000101000001010010011111010 < -b111100100111011111000010101011 2 -b111100100111011111000010101011 = -b111100100111011111000010101011 : -b1111011111111010100101110110000 $ -b1111011111111010100101110110000 - -b1111011111111010100101110110000 5 -b1111011111111010100101110110000 ? -b1111011111111010100101110110000 D -b111111010111110101101100000101 % -b111111010111110101101100000101 . -b111111010111110101101100000101 6 -b111111010111110101101100000101 @ -b111111010111110101101100000101 F -b11110111111110101001011101 ) -#492544000 -0& -#492560000 -b1111000010000 , -#492576000 -1& -#492592000 -b1011 " -b1011 4 -b11111111111111111001111110011100 1 -b11111111111111111001111110011100 C -b1011 0 -b1011 H -18 -b110011001100011 < -b10111110111110111111110001100100 2 -b10111110111110111111110001100100 = -b10111110111110111111110001100100 : -b10111110111110111001011000000000 $ -b10111110111110111001011000000000 - -b10111110111110111001011000000000 5 -b10111110111110111001011000000000 ? -b10111110111110111001011000000000 D -b11111111111111111001100110011100 % -b11111111111111111001100110011100 . -b11111111111111111001100110011100 6 -b11111111111111111001100110011100 @ -b11111111111111111001100110011100 F -b1011 ) -#492608000 -0& -#492624000 -b1111000010001 , -#492640000 -1& -#492656000 -b1111101111 " -b1111101111 4 -b11111111111111111111101011011110 1 -b11111111111111111111101011011110 C -b1111101111 0 -b1111101111 H -b10100000010000001100010100101001 < -b10011100000111011010111101110010 2 -b10011100000111011010111101110010 = -b10011100000111011010111101110010 : -b11111011110111001110101001001000 $ -b11111011110111001110101001001000 - -b11111011110111001110101001001000 5 -b11111011110111001110101001001000 ? -b11111011110111001110101001001000 D -b1011111101111110011101011010110 % -b1011111101111110011101011010110 . -b1011111101111110011101011010110 6 -b1011111101111110011101011010110 @ -b1011111101111110011101011010110 F -b1111101111 ) -#492672000 -0& -#492688000 -b1111000010010 , -#492704000 -1& -#492720000 -b11100111111111011011100111 " -b11100111111111011011100111 4 -b11101111111111111111101111100110 1 -b11101111111111111111101111100110 C -b11100111111111011011100111 0 -b11100111111111011011100111 H -b10011010000000001110001011001 < -b11111011001111011101011000111100 2 -b11111011001111011101011000111100 = -b11111011001111011101011000111100 : -b11100111111111011011100111100010 $ -b11100111111111011011100111100010 - -b11100111111111011011100111100010 5 -b11100111111111011011100111100010 ? -b11100111111111011011100111100010 D -b11101100101111111110001110100110 % -b11101100101111111110001110100110 . -b11101100101111111110001110100110 6 -b11101100101111111110001110100110 @ -b11101100101111111110001110100110 F -b11100111111111011011100111 ) -#492736000 -0& -#492752000 -b1111000010011 , -#492768000 -1& -#492784000 -b111111 " -b111111 4 -b11111111111111111111001111011010 1 -b11111111111111111111001111011010 C -b111111 0 -b111111 H -18 -b10100000010000010000110001100101 < -b10011111001111101101000000110000 2 -b10011111001111101101000000110000 = -b10011111001111101101000000110000 : -b11111110111111011100001111001010 $ -b11111110111111011100001111001010 - -b11111110111111011100001111001010 5 -b11111110111111011100001111001010 ? -b11111110111111011100001111001010 D -b1011111101111101111001110011010 % -b1011111101111101111001110011010 . -b1011111101111101111001110011010 6 -b1011111101111101111001110011010 @ -b1011111101111101111001110011010 F -b111111 ) -#492800000 -0& -#492816000 -b1111000010100 , -#492832000 -1& -#492848000 -1! -b0 " -b0 4 -b10111111111110111111111110111111 1 -b10111111111110111111111110111111 C -b0 0 -b0 H -08 -19 -b1110000000111000101100011000000 < -b10110000000110000101010001010000 2 -b10110000000110000101010001010000 = -1# -b10110000000110000101010001010000 : -b111111111110111111101110001111 $ -b111111111110111111101110001111 - -b111111111110111111101110001111 5 -b111111111110111111101110001111 ? -b111111111110111111101110001111 D -b10001111111000111010011100111111 % -b10001111111000111010011100111111 . -b10001111111000111010011100111111 6 -b10001111111000111010011100111111 @ -b10001111111000111010011100111111 F -b0 ) -b1 ( -#492864000 -0& -#492880000 -b1111000010101 , -#492896000 -1& -#492912000 -b11111111111111111111111011111111 1 -b11111111111111111111111011111111 C -09 -08 -b1000001010111110000000 < -b1110010000111101001111001101001 2 -b1110010000111101001111001101001 = -b1110010000111101001111001101001 : -b1110001111111011110111011101000 $ -b1110001111111011110111011101000 - -b1110001111111011110111011101000 5 -b1110001111111011110111011101000 ? -b1110001111111011110111011101000 D -b11111111110111110101000001111111 % -b11111111110111110101000001111111 . -b11111111110111110101000001111111 6 -b11111111110111110101000001111111 @ -b11111111110111110101000001111111 F -#492928000 -0& -#492944000 -b1111000010110 , -#492960000 -1& -#492976000 -0! -b111 " -b111 4 -b11110111100111111110111111011111 1 -b11110111100111111110111111011111 C -b111 0 -b111 H -19 -18 -b10001000011000010101101010100010 < -b1111111100000010100100101101010 2 -b1111111100000010100100101101010 = -0# -b1111111100000010100100101101010 : -b11110111000111111110111011000111 $ -b11110111000111111110111011000111 - -b11110111000111111110111011000111 5 -b11110111000111111110111011000111 ? -b11110111000111111110111011000111 D -b1110111100111101010010101011101 % -b1110111100111101010010101011101 . -b1110111100111101010010101011101 6 -b1110111100111101010010101011101 @ -b1110111100111101010010101011101 F -b111 ) -b0 ( -#492992000 -0& -#493008000 -b1111000010111 , -#493024000 -1& -#493040000 -b10101111111111 " -b10101111111111 4 -b10111111111111111011111111110010 1 -b10111111111111111011111111110010 C -b10101111111111 0 -b10101111111111 H -09 -18 -b1000000000100000110001000001101 < -b11110000000011100000100110110000 2 -b11110000000011100000100110110000 = -b11110000000011100000100110110000 : -b10101111111111011010011110100010 $ -b10101111111111011010011110100010 - -b10101111111111011010011110100010 5 -b10101111111111011010011110100010 ? -b10101111111111011010011110100010 D -b10111111111011111001110111110010 % -b10111111111011111001110111110010 . -b10111111111011111001110111110010 6 -b10111111111011111001110111110010 @ -b10111111111011111001110111110010 F -b10101111111111 ) -#493056000 -0& -#493072000 -b1111000011000 , -#493088000 -1& -#493104000 -b11111011101111101011111001011 " -b11111011101111101011111001011 4 -b11111011111111111111111101011111 1 -b11111011111111111111111101011111 C -b11111011101111101011111001011 0 -b11111011101111101011111001011 H -08 -b1000100000001000011111010111100 < -b111111110000101111110100011001 2 -b111111110000101111110100011001 = -b111111110000101111110100011001 : -b11111011101111101011111001011100 $ -b11111011101111101011111001011100 - -b11111011101111101011111001011100 5 -b11111011101111101011111001011100 ? -b11111011101111101011111001011100 D -b10111011111110111100000101000011 % -b10111011111110111100000101000011 . -b10111011111110111100000101000011 6 -b10111011111110111100000101000011 @ -b10111011111110111100000101000011 F -b11111011101111101011111001011 ) -#493120000 -0& -#493136000 -b1111000011001 , -#493152000 -1& -#493168000 -b1 " -b1 4 -b11110111111111110110111101111110 1 -b11110111111111110110111101111110 C -b1 0 -b1 H -19 -08 -b1010000000101101001010000001 < -b10000001001110100100000010110100 2 -b10000001001110100100000010110100 = -b10000001001110100100000010110100 : -b1110111001101110110111000110010 $ -b1110111001101110110111000110010 - -b1110111001101110110111000110010 5 -b1110111001101110110111000110010 ? -b1110111001101110110111000110010 D -b11110101111111010010110101111110 % -b11110101111111010010110101111110 . -b11110101111111010010110101111110 6 -b11110101111111010010110101111110 @ -b11110101111111010010110101111110 F -b1 ) -#493184000 -0& -#493200000 -b1111000011010 , -#493216000 -1& -#493232000 -b110 " -b110 4 -b11101111111111110101011110111101 1 -b11101111111111110101011110111101 C -b110 0 -b110 H -18 -09 -b10010000001001110110111100010 < -b11011001111001000100001110001011 2 -b11011001111001000100001110001011 = -b11011001111001000100001110001011 : -b11000111110111110101010110101000 $ -b11000111110111110101010110101000 - -b11000111110111110101010110101000 5 -b11000111110111110101010110101000 ? -b11000111110111110101010110101000 D -b11101101111110110001001000011101 % -b11101101111110110001001000011101 . -b11101101111110110001001000011101 6 -b11101101111110110001001000011101 @ -b11101101111110110001001000011101 F -b110 ) -#493248000 -0& -#493264000 -b1111000011011 , -#493280000 -1& -#493296000 -b1101110011111 " -b1101110011111 4 -b11111111111111111011101111110111 1 -b11111111111111111011101111110111 C -b1101110011111 0 -b1101110011111 H -08 -b1000000000000101011110001101 < -b1110110011111110110101110000101 2 -b1110110011111110110101110000101 = -b1110110011111110110101110000101 : -b1101110011111110001001111110111 $ -b1101110011111110001001111110111 - -b1101110011111110001001111110111 5 -b1101110011111110001001111110111 ? -b1101110011111110001001111110111 D -b11110111111111111010100001110010 % -b11110111111111111010100001110010 . -b11110111111111111010100001110010 6 -b11110111111111111010100001110010 @ -b11110111111111111010100001110010 F -b1101110011111 ) -#493312000 -0& -#493328000 -b1111000011100 , -#493344000 -1& -#493360000 -b110111101 " -b110111101 4 -b11101111111111111111100111111110 1 -b11101111111111111111100111111110 C -b110111101 0 -b110111101 H -b10000001000101000011001001001 < -b1111111100110100010111010101000 2 -b1111111100110100010111010101000 = -b1111111100110100010111010101000 : -b1101111011101111010100001011110 $ -b1101111011101111010100001011110 - -b1101111011101111010100001011110 5 -b1101111011101111010100001011110 ? -b1101111011101111010100001011110 D -b11101111110111010111100110110110 % -b11101111110111010111100110110110 . -b11101111110111010111100110110110 6 -b11101111110111010111100110110110 @ -b11101111110111010111100110110110 F -b110111101 ) -#493376000 -0& -#493392000 -b1111000011101 , -#493408000 -1& -#493424000 -b111111010010111100111110 " -b111111010010111100111110 4 -b11111101111011110011111011111001 1 -b11111101111011110011111011111001 C -b111111010010111100111110 0 -b111111010010111100111110 H -08 -09 -b101010000101001111101111110111 < -b100111010001000011101011101001 2 -b100111010001000011101011101001 = -b100111010001000011101011101001 : -b11111101001011110011111011110001 $ -b11111101001011110011111011110001 - -b11111101001011110011111011110001 5 -b11111101001011110011111011110001 ? -b11111101001011110011111011110001 D -b11010101111010110000010000001000 % -b11010101111010110000010000001000 . -b11010101111010110000010000001000 6 -b11010101111010110000010000001000 @ -b11010101111010110000010000001000 F -b111111010010111100111110 ) -#493440000 -0& -#493456000 -b1111000011110 , -#493472000 -1& -#493488000 -b11111101001111110010110111101111 " -b11111101001111110010110111101111 4 -b11111101101111110010110111101111 1 -b11111101101111110010110111101111 C -b11111101001111110010110111101111 0 -b11111101001111110010110111101111 H -b11010011001001111111111011111 < -b10111101001000010110111001111 2 -b10111101001000010110111001111 = -b10111101001000010110111001111 : -b11111101001111110010110111101111 $ -b11111101001111110010110111101111 - -b11111101001111110010110111101111 5 -b11111101001111110010110111101111 ? -b11111101001111110010110111101111 D -b11100101100110110000000000100000 % -b11100101100110110000000000100000 . -b11100101100110110000000000100000 6 -b11100101100110110000000000100000 @ -b11100101100110110000000000100000 F -b11111101001111110010110111101111 ) -#493504000 -0& -#493520000 -b1111000011111 , -#493536000 -1& -#493552000 -b1110111111010110 " -b1110111111010110 4 -b11111111111111110110111100101111 1 -b11111111111111110110111100101111 C -b1110111111010110 0 -b1110111111010110 H -08 -b110000000001101011011010000 < -b1111101111011000010010111110001 2 -b1111101111011000010010111110001 = -b1111101111011000010010111110001 : -b1110111111010110100111100100000 $ -b1110111111010110100111100100000 - -b1110111111010110100111100100000 5 -b1110111111010110100111100100000 ? -b1110111111010110100111100100000 D -b11111001111111110010100100101111 % -b11111001111111110010100100101111 . -b11111001111111110010100100101111 6 -b11111001111111110010100100101111 @ -b11111001111111110010100100101111 F -b1110111111010110 ) -#493568000 -0& -#493584000 -b1111000100000 , -#493600000 -1& -#493616000 -b11101010101101110 " -b11101010101101110 4 -b11111110111111111011111111101111 1 -b11111110111111111011111111101111 C -b11101010101101110 0 -b11101010101101110 H -08 -b100001000100000110010100010000 < -b1011110001111001101001100000 2 -b1011110001111001101001100000 = -b1011110001111001101001100000 : -b11101010101101110011010101001111 $ -b11101010101101110011010101001111 - -b11101010101101110011010101001111 5 -b11101010101101110011010101001111 ? -b11101010101101110011010101001111 D -b11011110111011111001101011101111 % -b11011110111011111001101011101111 . -b11011110111011111001101011101111 6 -b11011110111011111001101011101111 @ -b11011110111011111001101011101111 F -b11101010101101110 ) -#493632000 -0& -#493648000 -b1111000100001 , -#493664000 -1& -#493680000 -b1110111101 " -b1110111101 4 -b11111111011111111001111111111111 1 -b11111111011111111001111111111111 C -b1110111101 0 -b1110111101 H -18 -19 -b10001000100100001111000001001001 < -b1111000000011101000101110011001 2 -b1111000000011101000101110011001 = -b1111000000011101000101110011001 : -b11101111011111011001101101001111 $ -b11101111011111011001101101001111 - -b11101111011111011001101101001111 5 -b11101111011111011001101101001111 ? -b11101111011111011001101101001111 D -b1110111011011110000111110110110 % -b1110111011011110000111110110110 . -b1110111011011110000111110110110 6 -b1110111011011110000111110110110 @ -b1110111011011110000111110110110 F -b1110111101 ) -#493696000 -0& -#493712000 -b1111000100010 , -#493728000 -1& -#493744000 -b11111011111111110101100 " -b11111011111111110101100 4 -b11111111111111110111110111101011 1 -b11111111111111110111110111101011 C -b11111011111111110101100 0 -b11111011111111110101100 H -19 -18 -b10000010000011001000101000010110 < -b1111110000010111110010000000001 2 -b1111110000010111110010000000001 = -b1111110000010111110010000000001 : -b11111011111111110101100111101010 $ -b11111011111111110101100111101010 - -b11111011111111110101100111101010 5 -b11111011111111110101100111101010 ? -b11111011111111110101100111101010 D -b1111101111100110111010111101001 % -b1111101111100110111010111101001 . -b1111101111100110111010111101001 6 -b1111101111100110111010111101001 @ -b1111101111100110111010111101001 F -b11111011111111110101100 ) -#493760000 -0& -#493776000 -b1111000100011 , -#493792000 -1& -#493808000 -b110110100011 " -b110110100011 4 -b1111111101111111111011010111011 1 -b1111111101111111111011010111011 C -b110110100011 0 -b110110100011 H -09 -18 -b10000000010000110010110101101100 < -b11101101011000100101010000010110 2 -b11101101011000100101010000010110 = -b11101101011000100101010000010110 : -b1101101000111110010011010101001 $ -b1101101000111110010011010101001 - -b1101101000111110010011010101001 5 -b1101101000111110010011010101001 ? -b1101101000111110010011010101001 D -b1111111101111001101001010010011 % -b1111111101111001101001010010011 . -b1111111101111001101001010010011 6 -b1111111101111001101001010010011 @ -b1111111101111001101001010010011 F -b110110100011 ) -#493824000 -0& -#493840000 -b1111000100100 , -#493856000 -1& -#493872000 -b1101101111011110011010 " -b1101101111011110011010 4 -b11011111110111100111100011101111 1 -b11011111110111100111100011101111 C -b1101101111011110011010 0 -b1101101111011110011010 H -18 -b10111010101000011010011100110101 < -b10010110100000000001000000011101 2 -b10010110100000000001000000011101 = -b10010110100000000001000000011101 : -b11011011110111100110100011100111 $ -b11011011110111100110100011100111 - -b11011011110111100110100011100111 5 -b11011011110111100110100011100111 ? -b11011011110111100110100011100111 D -b1000101010111100101100011001010 % -b1000101010111100101100011001010 . -b1000101010111100101100011001010 6 -b1000101010111100101100011001010 @ -b1000101010111100101100011001010 F -b1101101111011110011010 ) -#493888000 -0& -#493904000 -b1111000100101 , -#493920000 -1& -#493936000 -b11111101 " -b11111101 4 -b111111111111111110111001011110 1 -b111111111111111110111001011110 C -b11111101 0 -b11111101 H -08 -09 -b11000110000100000011000111101001 < -b101100011110101111000110010 2 -b101100011110101111000110010 = -b101100011110101111000110010 : -b111111011111110010110001001000 $ -b111111011111110010110001001000 - -b111111011111110010110001001000 5 -b111111011111110010110001001000 ? -b111111011111110010110001001000 D -b111001111011111100111000010110 % -b111001111011111100111000010110 . -b111001111011111100111000010110 6 -b111001111011111100111000010110 @ -b111001111011111100111000010110 F -b11111101 ) -#493952000 -0& -#493968000 -b1111000100110 , -#493984000 -1& -#494000000 -b11100111100110110100111111 " -b11100111100110110100111111 4 -b1111011111111011010111111100111 1 -b1111011111111011010111111100111 C -b11100111100110110100111111 0 -b11100111100110110100111111 H -18 -b10000100000000100101001010011010 < -b11110111110011111111101001111110 2 -b11110111110011111111101001111110 = -b11110111110011111111101001111110 : -b1110011110011011010011111100011 $ -b1110011110011011010011111100011 - -b1110011110011011010011111100011 5 -b1110011110011011010011111100011 ? -b1110011110011011010011111100011 D -b1111011111111011010110101100101 % -b1111011111111011010110101100101 . -b1111011111111011010110101100101 6 -b1111011111111011010110101100101 @ -b1111011111111011010110101100101 F -b11100111100110110100111111 ) -#494016000 -0& -#494032000 -b1111000100111 , -#494048000 -1& -#494064000 -b111101101101 " -b111101101101 4 -b11111111111111111111011111111111 1 -b11111111111111111111011111111111 C -b111101101101 0 -b111101101101 H -18 -b11000000100100000011110100001011 < -b10110111011001111011001010110111 2 -b10110111011001111011001010110111 = -b10110111011001111011001010110111 : -b11110110110101110111010110101011 $ -b11110110110101110111010110101011 - -b11110110110101110111010110101011 5 -b11110110110101110111010110101011 ? -b11110110110101110111010110101011 D -b111111011011111100001011110100 % -b111111011011111100001011110100 . -b111111011011111100001011110100 6 -b111111011011111100001011110100 @ -b111111011011111100001011110100 F -b111101101101 ) -#494080000 -0& -#494096000 -b1111000101000 , -#494112000 -1& -#494128000 -b111 " -b111 4 -b11111101111111111011101111111111 1 -b11111101111111111011101111111111 C -b111 0 -b111 H -08 -b11000100000111010100000011 < -b1111101000011111011000001011111 2 -b1111101000011111011000001011111 = -b1111101000011111011000001011111 : -b1111001111111110011101101011011 $ -b1111001111111110011101101011011 - -b1111001111111110011101101011011 5 -b1111001111111110011101101011011 ? -b1111001111111110011101101011011 D -b11111100111011111000101011111100 % -b11111100111011111000101011111100 . -b11111100111011111000101011111100 6 -b11111100111011111000101011111100 @ -b11111100111011111000101011111100 F -b111 ) -#494144000 -0& -#494160000 -b1111000101001 , -#494176000 -1& -#494192000 -b111111111001111111 " -b111111111001111111 4 -b11111111111111111101110111101111 1 -b11111111111111111101110111101111 C -b111111111001111111 0 -b111111111001111111 H -18 -09 -b10001000000010011111101011110001 < -b10000111101010011101100011011011 2 -b10000111101010011101100011011011 = -b10000111101010011101100011011011 : -b11111111100111111101110111101001 $ -b11111111100111111101110111101001 - -b11111111100111111101110111101001 5 -b11111111100111111101110111101001 ? -b11111111100111111101110111101001 D -b1110111111101100000010100001110 % -b1110111111101100000010100001110 . -b1110111111101100000010100001110 6 -b1110111111101100000010100001110 @ -b1110111111101100000010100001110 F -b111111111001111111 ) -#494208000 -0& -#494224000 -b1111000101010 , -#494240000 -1& -#494256000 -b1111110010111100001111 " -b1111110010111100001111 4 -b1111111101111111000111111011001 1 -b1111111101111111000111111011001 C -b1111110010111100001111 0 -b1111110010111100001111 H -18 -09 -b10011000010000000111100010110111 < -b11010111011011111000100001010001 2 -b11010111011011111000100001010001 = -b11010111011011111000100001010001 : -b111111001011110000111110011001 $ -b111111001011110000111110011001 - -b111111001011110000111110011001 5 -b111111001011110000111110011001 ? -b111111001011110000111110011001 D -b1100111101111111000011101001000 % -b1100111101111111000011101001000 . -b1100111101111111000011101001000 6 -b1100111101111111000011101001000 @ -b1100111101111111000011101001000 F -b1111110010111100001111 ) -#494272000 -0& -#494288000 -b1111000101011 , -#494304000 -1& -#494320000 -b10111011111011110000001100100011 " -b10111011111011110000001100100011 4 -b11111111111111111110011101100011 1 -b11111111111111111110011101100011 C -b10111011111011110000001100100011 0 -b10111011111011110000001100100011 H -18 -b1010000001101010111111 < -b10111100000101110001110111100011 2 -b10111100000101110001110111100011 = -b10111100000101110001110111100011 : -b10111011111011110000001100100011 $ -b10111011111011110000001100100011 - -b10111011111011110000001100100011 5 -b10111011111011110000001100100011 ? -b10111011111011110000001100100011 D -b11111111110101111110010101000000 % -b11111111110101111110010101000000 . -b11111111110101111110010101000000 6 -b11111111110101111110010101000000 @ -b11111111110101111110010101000000 F -b10111011111011110000001100100011 ) -#494336000 -0& -#494352000 -b1111000101100 , -#494368000 -1& -#494384000 -b1111111011101 " -b1111111011101 4 -b11111110111111111011110111110011 1 -b11111110111111111011110111110011 C -b1111111011101 0 -b1111111011101 H -b10100011000000001101001001101100 < -b10100001111011110110001111011111 2 -b10100001111011110110001111011111 = -b10100001111011110110001111011111 : -b11111110111011101001000101110010 $ -b11111110111011101001000101110010 - -b11111110111011101001000101110010 5 -b11111110111011101001000101110010 ? -b11111110111011101001000101110010 D -b1011100111111110010110110010011 % -b1011100111111110010110110010011 . -b1011100111111110010110110010011 6 -b1011100111111110010110110010011 @ -b1011100111111110010110110010011 F -b1111111011101 ) -#494400000 -0& -#494416000 -b1111000101101 , -#494432000 -1& -#494448000 -b1111011100011110010001 " -b1111011100011110010001 4 -b1111011101111111010101011111001 1 -b1111011101111111010101011111001 C -b1111011100011110010001 0 -b1111011100011110010001 H -08 -b10000101010000000101010111010110 < -b110011110111100011010000 2 -b110011110111100011010000 = -b110011110111100011010000 : -b1111011100011110010001011111001 $ -b1111011100011110010001011111001 - -b1111011100011110010001011111001 5 -b1111011100011110010001011111001 ? -b1111011100011110010001011111001 D -b1111010101111111010101000101001 % -b1111010101111111010101000101001 . -b1111010101111111010101000101001 6 -b1111010101111111010101000101001 @ -b1111010101111111010101000101001 F -b1111011100011110010001 ) -#494464000 -0& -#494480000 -b1111000101110 , -#494496000 -1& -#494512000 -b10110001111111111101 " -b10110001111111111101 4 -b11111111111111111101011011101100 1 -b11111111111111111101011011101100 C -b10110001111111111101 0 -b10110001111111111101 H -18 -19 -b10000000010000011010101110010011 < -b110010010000011000001000011000 2 -b110010010000011000001000011000 = -b110010010000011000001000011000 : -b10110001111111111101011010000100 $ -b10110001111111111101011010000100 - -b10110001111111111101011010000100 5 -b10110001111111111101011010000100 ? -b10110001111111111101011010000100 D -b1111111101111100101010001101100 % -b1111111101111100101010001101100 . -b1111111101111100101010001101100 6 -b1111111101111100101010001101100 @ -b1111111101111100101010001101100 F -b10110001111111111101 ) -#494528000 -0& -#494544000 -b1111000101111 , -#494560000 -1& -#494576000 -b1111110110111000101111 " -b1111110110111000101111 4 -b11111101111111111111111110101111 1 -b11111101111111111111111110101111 C -b1111110110111000101111 0 -b1111110110111000101111 H -09 -18 -b10100010100000000001100001010101 < -b10100000001110001101010011100011 2 -b10100000001110001101010011100011 = -b10100000001110001101010011100011 : -b11111101101110001011110010001101 $ -b11111101101110001011110010001101 - -b11111101101110001011110010001101 5 -b11111101101110001011110010001101 ? -b11111101101110001011110010001101 D -b1011101011111111110011110101010 % -b1011101011111111110011110101010 . -b1011101011111111110011110101010 6 -b1011101011111111110011110101010 @ -b1011101011111111110011110101010 F -b1111110110111000101111 ) -#494592000 -0& -#494608000 -b1111000110000 , -#494624000 -1& -#494640000 -b11110110111111101101000011 " -b11110110111111101101000011 4 -b1111111111111111110110011101111 1 -b1111111111111111110110011101111 C -b11110110111111101101000011 0 -b11110110111111101101000011 H -08 -b10011010000000000011101101111010 < -b10101011111111010001111101001 2 -b10101011111111010001111101001 = -b10101011111111010001111101001 : -b1111011011111110110100001101110 $ -b1111011011111110110100001101110 - -b1111011011111110110100001101110 5 -b1111011011111110110100001101110 ? -b1111011011111110110100001101110 D -b1100101111111111100010010000101 % -b1100101111111111100010010000101 . -b1100101111111111100010010000101 6 -b1100101111111111100010010000101 @ -b1100101111111111100010010000101 F -b11110110111111101101000011 ) -#494656000 -0& -#494672000 -b1111000110001 , -#494688000 -1& -#494704000 -1! -b0 " -b0 4 -b11011111111111111110111111011111 1 -b11011111111111111110111111011111 C -b0 0 -b0 H -08 -b100000000100001011011110100000 < -b1101100000010110110011100110111 2 -b1101100000010110110011100110111 = -1# -b1101100000010110110011100110111 : -b1001011111110101010111110010110 $ -b1001011111110101010111110010110 - -b1001011111110101010111110010110 5 -b1001011111110101010111110010110 ? -b1001011111110101010111110010110 D -b11011111111011110100100001011111 % -b11011111111011110100100001011111 . -b11011111111011110100100001011111 6 -b11011111111011110100100001011111 @ -b11011111111011110100100001011111 F -b0 ) -b1 ( -#494720000 -0& -#494736000 -b1111000110010 , -#494752000 -1& -#494768000 -0! -b1001011101111101110001100 " -b1001011101111101110001100 4 -b11111111111111111111111001111111 1 -b11111111111111111111111001111111 C -b1001011101111101110001100 0 -b1001011101111101110001100 H -18 -b10000000110001000000110011000 < -b10100111100101100100100000011000 2 -b10100111100101100100100000011000 = -0# -b10100111100101100100100000011000 : -b10010111011111011100011001111111 $ -b10010111011111011100011001111111 - -b10010111011111011100011001111111 5 -b10010111011111011100011001111111 ? -b10010111011111011100011001111111 D -b11101111111001110111111001100111 % -b11101111111001110111111001100111 . -b11101111111001110111111001100111 6 -b11101111111001110111111001100111 @ -b11101111111001110111111001100111 F -b1001011101111101110001100 ) -b0 ( -#494784000 -0& -#494800000 -b1111000110011 , -#494816000 -1& -#494832000 -b111110110010 " -b111110110010 4 -b11111111011001111001111000110110 1 -b11111111011001111001111000110110 C -b111110110010 0 -b111110110010 H -18 -b100110011111100111001011 < -b11111011110000011001010111101110 2 -b11111011110000011001010111101110 = -b11111011110000011001010111101110 : -b11111011001001111001110000100010 $ -b11111011001001111001110000100010 - -b11111011001001111001110000100010 5 -b11111011001001111001110000100010 ? -b11111011001001111001110000100010 D -b11111111011001100000011000110100 % -b11111111011001100000011000110100 . -b11111111011001100000011000110100 6 -b11111111011001100000011000110100 @ -b11111111011001100000011000110100 F -b111110110010 ) -#494848000 -0& -#494864000 -b1111000110100 , -#494880000 -1& -#494896000 -b11010110111111011010111000 " -b11010110111111011010111000 4 -b1101011111111101101111100111111 1 -b1101011111111101101111100111111 C -b11010110111111011010111000 0 -b11010110111111011010111000 H -08 -b10010110000010011011000011011010 < -b1100010001000011111111010 2 -b1100010001000011111111010 = -b1100010001000011111111010 : -b1101011011111101101011100011111 $ -b1101011011111101101011100011111 - -b1101011011111101101011100011111 5 -b1101011011111101101011100011111 ? -b1101011011111101101011100011111 D -b1101001111101100100111100100101 % -b1101001111101100100111100100101 . -b1101001111101100100111100100101 6 -b1101001111101100100111100100101 @ -b1101001111101100100111100100101 F -b11010110111111011010111000 ) -#494912000 -0& -#494928000 -b1111000110101 , -#494944000 -1& -#494960000 -b1111111111111101001101011 " -b1111111111111101001101011 4 -b1111111111111111111111010110111 1 -b1111111111111111111111010110111 C -b1111111111111101001101011 0 -b1111111111111101001101011 H -18 -b10000000101001001000010111011011 < -b10100000101001000010110010001111 2 -b10100000101001000010110010001111 = -b10100000101001000010110010001111 : -b11111111111111010011010110011 $ -b11111111111111010011010110011 - -b11111111111111010011010110011 5 -b11111111111111010011010110011 ? -b11111111111111010011010110011 D -b1111111010110110111101000100100 % -b1111111010110110111101000100100 . -b1111111010110110111101000100100 6 -b1111111010110110111101000100100 @ -b1111111010110110111101000100100 F -b1111111111111101001101011 ) -#494976000 -0& -#494992000 -b1111000110110 , -#495008000 -1& -#495024000 -b111111010011101000010001010001 " -b111111010011101000010001010001 4 -b11111111011111111011011101000110 1 -b11111111011111111011011101000110 C -b111111010011101000010001010001 0 -b111111010011101000010001010001 H -18 -b11000001101000000101100010111101 < -b10111110110110100110101000000100 2 -b10111110110110100110101000000100 = -b10111110110110100110101000000100 : -b11111101001110100001000101000110 $ -b11111101001110100001000101000110 - -b11111101001110100001000101000110 5 -b11111101001110100001000101000110 ? -b11111101001110100001000101000110 D -b111110010111111010011101000010 % -b111110010111111010011101000010 . -b111110010111111010011101000010 6 -b111110010111111010011101000010 @ -b111110010111111010011101000010 F -b111111010011101000010001010001 ) -#495040000 -0& -#495056000 -b1111000110111 , -#495072000 -1& -#495088000 -b111110111111111110111000000 " -b111110111111111110111000000 4 -b11111111111111111111110111110101 1 -b11111111111111111111110111110101 C -b111110111111111110111000000 0 -b111110111111111110111000000 H -b10010011100100101001001000011010 < -b10001111100100100100101000101011 2 -b10001111100100100100101000101011 = -b10001111100100100100101000101011 : -b11111011111111111011100000010000 $ -b11111011111111111011100000010000 - -b11111011111111111011100000010000 5 -b11111011111111111011100000010000 ? -b11111011111111111011100000010000 D -b1101100011011010110110111100101 % -b1101100011011010110110111100101 . -b1101100011011010110110111100101 6 -b1101100011011010110110111100101 @ -b1101100011011010110110111100101 F -b111110111111111110111000000 ) -#495104000 -0& -#495120000 -b1111000111000 , -#495136000 -1& -#495152000 -b1111111111111001 " -b1111111111111001 4 -b11111111111111111110110101110100 1 -b11111111111111111110110101110100 C -b1111111111111001 0 -b1111111111111001 H -b11001000000100000101011110101111 < -b11001000000010100011110100010100 2 -b11001000000010100011110100010100 = -b11001000000010100011110100010100 : -b11111111111110011110010101100100 $ -b11111111111110011110010101100100 - -b11111111111110011110010101100100 5 -b11111111111110011110010101100100 ? -b11111111111110011110010101100100 D -b110111111011111010100001010000 % -b110111111011111010100001010000 . -b110111111011111010100001010000 6 -b110111111011111010100001010000 @ -b110111111011111010100001010000 F -b1111111111111001 ) -#495168000 -0& -#495184000 -b1111000111001 , -#495200000 -1& -#495216000 -b111000011111101011 " -b111000011111101011 4 -b1111000111111111111111001111111 1 -b1111000111111111111111001111111 C -b111000011111101011 0 -b111000011111101011 H -18 -b10000111011000000011000110010010 < -b11111000010111011010010110101101 2 -b11111000010111011010010110101101 = -b11111000010111011010010110101101 : -b1110000111111010111010000011010 $ -b1110000111111010111010000011010 - -b1110000111111010111010000011010 5 -b1110000111111010111010000011010 ? -b1110000111111010111010000011010 D -b1111000100111111100111001101101 % -b1111000100111111100111001101101 . -b1111000100111111100111001101101 6 -b1111000100111111100111001101101 @ -b1111000100111111100111001101101 F -b111000011111101011 ) -#495232000 -0& -#495248000 -b1111000111010 , -#495264000 -1& -#495280000 -b100011111111111 " -b100011111111111 4 -b11111111111111111111110111110111 1 -b11111111111111111111110111110111 C -b100011111111111 0 -b100011111111111 H -19 -18 -b10000010001000000100001000101110 < -b10010000111111001011010010110 2 -b10010000111111001011010010110 = -b10010000111111001011010010110 : -b10001111111111110101010001100111 $ -b10001111111111110101010001100111 - -b10001111111111110101010001100111 5 -b10001111111111110101010001100111 ? -b10001111111111110101010001100111 D -b1111101110111111011110111010001 % -b1111101110111111011110111010001 . -b1111101110111111011110111010001 6 -b1111101110111111011110111010001 @ -b1111101110111111011110111010001 F -b100011111111111 ) -#495296000 -0& -#495312000 -b1111000111011 , -#495328000 -1& -#495344000 -b11100010111111110010 " -b11100010111111110010 4 -b11111110111111111101101111101010 1 -b11111110111111111101101111101010 C -b11100010111111110010 0 -b11100010111111110010 H -09 -08 -b1000001000110011101010101 < -b111001110001000011001010011110 2 -b111001110001000011001010011110 = -b111001110001000011001010011110 : -b111000101111111100101101001000 $ -b111000101111111100101101001000 - -b111000101111111100101101001000 5 -b111000101111111100101101001000 ? -b111000101111111100101101001000 D -b11111110111110111001100010101010 % -b11111110111110111001100010101010 . -b11111110111110111001100010101010 6 -b11111110111110111001100010101010 @ -b11111110111110111001100010101010 F -b11100010111111110010 ) -#495360000 -0& -#495376000 -b1111000111100 , -#495392000 -1& -#495408000 -b111010101 " -b111010101 4 -b11111110111111111110110101111111 1 -b11111110111111111110110101111111 C -b111010101 0 -b111010101 H -18 -b11000001001000100111111011001000 < -b10101100000110100110101100110110 2 -b10101100000110100110101100110110 = -b10101100000110100110101100110110 : -b11101010111101111110110001101101 $ -b11101010111101111110110001101101 - -b11101010111101111110110001101101 5 -b11101010111101111110110001101101 ? -b11101010111101111110110001101101 D -b111110110111011000000100110111 % -b111110110111011000000100110111 . -b111110110111011000000100110111 6 -b111110110111011000000100110111 @ -b111110110111011000000100110111 F -b111010101 ) -#495424000 -0& -#495440000 -b1111000111101 , -#495456000 -1& -#495472000 -b1 " -b1 4 -b11111111111101111111111111111111 1 -b11111111111101111111111111111111 C -b1 0 -b1 H -19 -08 -b10000000010001001100010000001 < -b10001111110000000111000101000011 2 -b10001111110000000111000101000011 = -b10001111110000000111000101000011 : -b1111111101101111101100011000001 $ -b1111111101101111101100011000001 - -b1111111101101111101100011000001 5 -b1111111101101111101100011000001 ? -b1111111101101111101100011000001 D -b11101111111101110110011101111110 % -b11101111111101110110011101111110 . -b11101111111101110110011101111110 6 -b11101111111101110110011101111110 @ -b11101111111101110110011101111110 F -b1 ) -#495488000 -0& -#495504000 -b1111000111110 , -#495520000 -1& -#495536000 -b1011101 " -b1011101 4 -b11111111111011110101100111111001 1 -b11111111111011110101100111111001 C -b1011101 0 -b1011101 H -18 -19 -b10001000000100001010111001000110 < -b1000011000111011011100000101111 2 -b1000011000111011011100000101111 = -b1000011000111011011100000101111 : -b10111011000011010000100111101000 $ -b10111011000011010000100111101000 - -b10111011000011010000100111101000 5 -b10111011000011010000100111101000 ? -b10111011000011010000100111101000 D -b1110111111011110101000110111001 % -b1110111111011110101000110111001 . -b1110111111011110101000110111001 6 -b1110111111011110101000110111001 @ -b1110111111011110101000110111001 F -b1011101 ) -#495552000 -0& -#495568000 -b1111000111111 , -#495584000 -1& -#495600000 -b10101111110111101100010000000 " -b10101111110111101100010000000 4 -b11111111111111111110011101000011 1 -b11111111111111111110011101000011 C -b10101111110111101100010000000 0 -b10101111110111101100010000000 H -09 -08 -b11100011100010111101 < -b1010111111111011001101011000001 2 -b1010111111111011001101011000001 = -b1010111111111011001101011000001 : -b1010111111011110110001000000011 $ -b1010111111011110110001000000011 - -b1010111111011110110001000000011 5 -b1010111111011110110001000000011 ? -b1010111111011110110001000000011 D -b11111111111100011100011101000010 % -b11111111111100011100011101000010 . -b11111111111100011100011101000010 6 -b11111111111100011100011101000010 @ -b11111111111100011100011101000010 F -b10101111110111101100010000000 ) -#495616000 -0& -#495632000 -b1111001000000 , -#495648000 -1& -#495664000 -b11111 " -b11111 4 -b11111111111111111111011111111011 1 -b11111111111111111111011111111011 C -b11111 0 -b11111 H -18 -b1001001001101101000100 < -b11111111100100100111001101000000 2 -b11111111100100100111001101000000 = -b11111111100100100111001101000000 : -b11111111011011011101011111111011 $ -b11111111011011011101011111111011 - -b11111111011011011101011111111011 5 -b11111111011011011101011111111011 ? -b11111111011011011101011111111011 D -b11111111110110110110010010111011 % -b11111111110110110110010010111011 . -b11111111110110110110010010111011 6 -b11111111110110110110010010111011 @ -b11111111110110110110010010111011 F -b11111 ) -#495680000 -0& -#495696000 -b1111001000001 , -#495712000 -1& -#495728000 -b111001111011011111101111 " -b111001111011011111101111 4 -b1110111111111111111111111110111 1 -b1110111111111111111111111110111 C -b111001111011011111101111 0 -b111001111011011111101111 H -08 -b10011000000000000000011111011000 < -b1011110110111111111111001010 2 -b1011110110111111111111001010 = -b1011110110111111111111001010 : -b1110011110110111111011111110001 $ -b1110011110110111111011111110001 - -b1110011110110111111011111110001 5 -b1110011110110111111011111110001 ? -b1110011110110111111011111110001 D -b1100111111111111111100000100111 % -b1100111111111111111100000100111 . -b1100111111111111111100000100111 6 -b1100111111111111111100000100111 @ -b1100111111111111111100000100111 F -b111001111011011111101111 ) -#495744000 -0& -#495760000 -b1111001000010 , -#495776000 -1& -#495792000 -b1111110101111011011 " -b1111110101111011011 4 -b11111111011110110111111111011101 1 -b11111111011110110111111111011101 C -b1111110101111011011 0 -b1111110101111011011 H -19 -18 -b10000000101101011010100000110010 < -b1111110001100010010001010001000 2 -b1111110001100010010001010001000 = -b1111110001100010010001010001000 : -b11111101011110110111101001010101 $ -b11111101011110110111101001010101 - -b11111101011110110111101001010101 5 -b11111101011110110111101001010101 ? -b11111101011110110111101001010101 D -b1111111010010100101011111001101 % -b1111111010010100101011111001101 . -b1111111010010100101011111001101 6 -b1111111010010100101011111001101 @ -b1111111010010100101011111001101 F -b1111110101111011011 ) -#495808000 -0& -#495824000 -b1111001000011 , -#495840000 -1& -#495856000 -b111111111101001001010011 " -b111111111101001001010011 4 -b11111111111111111010110111110111 1 -b11111111111111111010110111110111 C -b111111111101001001010011 0 -b111111111101001001010011 H -08 -19 -b1010010111101011011000 < -b10000000000100101010010011001110 2 -b10000000000100101010010011001110 = -b10000000000100101010010011001110 : -b1111111111010010010100111110101 $ -b1111111111010010010100111110101 - -b1111111111010010010100111110101 5 -b1111111111010010010100111110101 ? -b1111111111010010010100111110101 D -b11111111110101101000010100100111 % -b11111111110101101000010100100111 . -b11111111110101101000010100100111 6 -b11111111110101101000010100100111 @ -b11111111110101101000010100100111 F -b111111111101001001010011 ) -#495872000 -0& -#495888000 -b1111001000100 , -#495904000 -1& -#495920000 -b1111111110111011001 " -b1111111110111011001 4 -b11111111111111110010111011101111 1 -b11111111111111110010111011101111 C -b1111111110111011001 0 -b1111111110111011001 H -09 -b101010100110001111111100010010 < -b101010010101000010110110100001 2 -b101010010101000010110110100001 = -b101010010101000010110110100001 : -b11111111101110110010111010001110 $ -b11111111101110110010111010001110 - -b11111111101110110010111010001110 5 -b11111111101110110010111010001110 ? -b11111111101110110010111010001110 D -b11010101011001110000000011101101 % -b11010101011001110000000011101101 . -b11010101011001110000000011101101 6 -b11010101011001110000000011101101 @ -b11010101011001110000000011101101 F -b1111111110111011001 ) -#495936000 -0& -#495952000 -b1111001000101 , -#495968000 -1& -#495984000 -b111100101110001 " -b111100101110001 4 -b11111111111111110111111111011101 1 -b11111111111111110111111111011101 C -b111100101110001 0 -b111100101110001 H -18 -19 -b10000010011000011000010010101110 < -b1110101010001001011001001001011 2 -b1110101010001001011001001001011 = -b1110101010001001011001001001011 : -b11110010111000110010110110011100 $ -b11110010111000110010110110011100 - -b11110010111000110010110110011100 5 -b11110010111000110010110110011100 ? -b11110010111000110010110110011100 D -b1111101100111100111101101010001 % -b1111101100111100111101101010001 . -b1111101100111100111101101010001 6 -b1111101100111100111101101010001 @ -b1111101100111100111101101010001 F -b111100101110001 ) -#496000000 -0& -#496016000 -b1111001000110 , -#496032000 -1& -#496048000 -b1000 " -b1000 4 -b11101110101111111111110001111111 1 -b11101110101111111111110001111111 C -b1000 0 -b1000 H -09 -08 -b10011011100100011101110000100 < -b1010110001011011111001111000001 2 -b1010110001011011111001111000001 = -b1010110001011011111001111000001 : -b1000010101110111011100000111100 $ -b1000010101110111011100000111100 - -b1000010101110111011100000111100 5 -b1000010101110111011100000111100 ? -b1000010101110111011100000111100 D -b11101100100011011100010001111011 % -b11101100100011011100010001111011 . -b11101100100011011100010001111011 6 -b11101100100011011100010001111011 @ -b11101100100011011100010001111011 F -b1000 ) -#496064000 -0& -#496080000 -b1111001000111 , -#496096000 -1& -#496112000 -b111111 " -b111111 4 -b11111111111111111110111111011110 1 -b11111111111111111110111111011110 C -b111111 0 -b111111 H -18 -09 -b10001110010010000011000100100101 < -b10001110010001110101100011111010 2 -b10001110010001110101100011111010 = -b10001110010001110101100011111010 : -b11111111111111110010011111010100 $ -b11111111111111110010011111010100 - -b11111111111111110010011111010100 5 -b11111111111111110010011111010100 ? -b11111111111111110010011111010100 D -b1110001101101111100111011011010 % -b1110001101101111100111011011010 . -b1110001101101111100111011011010 6 -b1110001101101111100111011011010 @ -b1110001101101111100111011011010 F -b111111 ) -#496128000 -0& -#496144000 -b1111001001000 , -#496160000 -1& -#496176000 -b11001100 " -b11001100 4 -b11011110111111110110111011111000 1 -b11011110111111110110111011111000 C -b11001100 0 -b11001100 H -09 -18 -b100001100110001011010111000111 < -b11101110001100100010001010001000 2 -b11101110001100100010001010001000 = -b11101110001100100010001010001000 : -b11001100100110010110110011000000 $ -b11001100100110010110110011000000 - -b11001100100110010110110011000000 5 -b11001100100110010110110011000000 ? -b11001100100110010110110011000000 D -b11011110011001110100101000111000 % -b11011110011001110100101000111000 . -b11011110011001110100101000111000 6 -b11011110011001110100101000111000 @ -b11011110011001110100101000111000 F -b11001100 ) -#496192000 -0& -#496208000 -b1111001001001 , -#496224000 -1& -#496240000 -b1 " -b1 4 -b11111111111111011110101011111111 1 -b11111111111111011110101011111111 C -b1 0 -b1 H -19 -18 -b10100010000001100001111100000000 < -b1011010000000111010100110001101 2 -b1011010000000111010100110001101 = -b1011010000000111010100110001101 : -b10110111111111011000101010001100 $ -b10110111111111011000101010001100 - -b10110111111111011000101010001100 5 -b10110111111111011000101010001100 ? -b10110111111111011000101010001100 D -b1011101111110011110000011111111 % -b1011101111110011110000011111111 . -b1011101111110011110000011111111 6 -b1011101111110011110000011111111 @ -b1011101111110011110000011111111 F -b1 ) -#496256000 -0& -#496272000 -b1111001001010 , -#496288000 -1& -#496304000 -b111101111111 " -b111101111111 4 -b11110111111111111111110110110100 1 -b11110111111111111111110110110100 C -b111101111111 0 -b111101111111 H -09 -08 -b1000000101000001001101001011 < -b100110100110010000000 2 -b100110100110010000000 = -b100110100110010000000 : -b11110111111111110011100100110100 $ -b11110111111111110011100100110100 - -b11110111111111110011100100110100 5 -b11110111111111110011100100110100 ? -b11110111111111110011100100110100 D -b11110111111010111110110010110100 % -b11110111111010111110110010110100 . -b11110111111010111110110010110100 6 -b11110111111010111110110010110100 @ -b11110111111010111110110010110100 F -b111101111111 ) -#496320000 -0& -#496336000 -b1111001001011 , -#496352000 -1& -#496368000 -b101101 " -b101101 4 -b11111111111111111011111101111011 1 -b11111111111111111011111101111011 C -b101101 0 -b101101 H -b1000000000100100001111000110 < -b1100010101000011101001100100001 2 -b1100010101000011101001100100001 = -b1100010101000011101001100100001 : -b1011010100111111000111101011010 $ -b1011010100111111000111101011010 - -b1011010100111111000111101011010 5 -b1011010100111111000111101011010 ? -b1011010100111111000111101011010 D -b11110111111111011011110000111001 % -b11110111111111011011110000111001 . -b11110111111111011011110000111001 6 -b11110111111111011011110000111001 @ -b11110111111111011011110000111001 F -b101101 ) -#496384000 -0& -#496400000 -b1111001001100 , -#496416000 -1& -#496432000 -b111111011110010001100100010 " -b111111011110010001100100010 4 -b11111111111111111111111110100111 1 -b11111111111111111111111110100111 C -b111111011110010001100100010 0 -b111111011110010001100100010 H -19 -08 -b110000000000100001000001111011 < -b10101110111101000100001010100011 2 -b10101110111101000100001010100011 = -b10101110111101000100001010100011 : -b1111110111100100011001000100111 $ -b1111110111100100011001000100111 - -b1111110111100100011001000100111 5 -b1111110111100100011001000100111 ? -b1111110111100100011001000100111 D -b11001111111111011110111110000100 % -b11001111111111011110111110000100 . -b11001111111111011110111110000100 6 -b11001111111111011110111110000100 @ -b11001111111111011110111110000100 F -b111111011110010001100100010 ) -#496448000 -0& -#496464000 -b1111001001101 , -#496480000 -1& -#496496000 -b1110111110011101100011 " -b1110111110011101100011 4 -b11101111101111111001110011111110 1 -b11101111101111111001110011111110 C -b1110111110011101100011 0 -b1110111110011101100011 H -09 -18 -b10010000110000001110011101110101 < -b10000000010111100111001111110010 2 -b10000000010111100111001111110010 = -b10000000010111100111001111110010 : -b11101111100111011000110001111100 $ -b11101111100111011000110001111100 - -b11101111100111011000110001111100 5 -b11101111100111011000110001111100 ? -b11101111100111011000110001111100 D -b1101111001111110001100010001010 % -b1101111001111110001100010001010 . -b1101111001111110001100010001010 6 -b1101111001111110001100010001010 @ -b1101111001111110001100010001010 F -b1110111110011101100011 ) -#496512000 -0& -#496528000 -b1111001001110 , -#496544000 -1& -#496560000 -b11110011111011110101100000101 " -b11110011111011110101100000101 4 -b11111111111111111111111110110110 1 -b11111111111111111111111110110110 C -b11110011111011110101100000101 0 -b11110011111011110101100000101 H -19 -08 -b1001001100001010000001011101 < -b10000011001010000100110001110010 2 -b10000011001010000100110001110010 = -b10000011001010000100110001110010 : -b1111001111101111010110000010100 $ -b1111001111101111010110000010100 - -b1111001111101111010110000010100 5 -b1111001111101111010110000010100 ? -b1111001111101111010110000010100 D -b11110110110011110101111110100010 % -b11110110110011110101111110100010 . -b11110110110011110101111110100010 6 -b11110110110011110101111110100010 @ -b11110110110011110101111110100010 F -b11110011111011110101100000101 ) -#496576000 -0& -#496592000 -b1111001001111 , -#496608000 -1& -#496624000 -b10111 " -b10111 4 -b11111111101111110111011111011011 1 -b11111111101111110111011111011011 C -b10111 0 -b10111 H -18 -09 -b100001010000111100100100100100 < -b11011100100000110001000000100111 2 -b11011100100000110001000000100111 = -b11011100100000110001000000100111 : -b10111011001111110100011100000010 $ -b10111011001111110100011100000010 - -b10111011001111110100011100000010 5 -b10111011001111110100011100000010 ? -b10111011001111110100011100000010 D -b11011110101111000011011011011011 % -b11011110101111000011011011011011 . -b11011110101111000011011011011011 6 -b11011110101111000011011011011011 @ -b11011110101111000011011011011011 F -b10111 ) -#496640000 -0& -#496656000 -b1111001010000 , -#496672000 -1& -#496688000 -b1 " -b1 4 -b11111111111111111111111110111110 1 -b11111111111111111111111110111110 C -b1 0 -b1 H -08 -b110110111001111010011000001 < -b1110110110110101110101101001110 2 -b1110110110110101110101101001110 = -b1110110110110101110101101001110 : -b1101111111111011111011010001100 $ -b1101111111111011111011010001100 - -b1101111111111011111011010001100 5 -b1101111111111011111011010001100 ? -b1101111111111011111011010001100 D -b11111001001000110000101100111110 % -b11111001001000110000101100111110 . -b11111001001000110000101100111110 6 -b11111001001000110000101100111110 @ -b11111001001000110000101100111110 F -b1 ) -#496704000 -0& -#496720000 -b1111001010001 , -#496736000 -1& -#496752000 -b1010001110 " -b1010001110 4 -b1111011111111111111100101110101 1 -b1111011111111111111100101110101 C -b1010001110 0 -b1010001110 H -18 -b10000100010101000000011110101010 < -b11010110001100111100100011001111 2 -b11010110001100111100100011001111 = -b11010110001100111100100011001111 : -b1010001110111111100000100100100 $ -b1010001110111111100000100100100 - -b1010001110111111100000100100100 5 -b1010001110111111100000100100100 ? -b1010001110111111100000100100100 D -b1111011101010111111100001010101 % -b1111011101010111111100001010101 . -b1111011101010111111100001010101 6 -b1111011101010111111100001010101 @ -b1111011101010111111100001010101 F -b1010001110 ) -#496768000 -0& -#496784000 -b1111001010010 , -#496800000 -1& -#496816000 -b1011001 " -b1011001 4 -b11110111111111111011101110111111 1 -b11110111111111111011101110111111 C -b1011001 0 -b1011001 H -18 -b11000000000110101110011000110 < -b11001010111100110001100001011110 2 -b11001010111100110001100001011110 = -b11001010111100110001100001011110 : -b10110010111011111011101110010111 $ -b10110010111011111011101110010111 - -b10110010111011111011101110010111 5 -b10110010111011111011101110010111 ? -b10110010111011111011101110010111 D -b11100111111111001010001100111001 % -b11100111111111001010001100111001 . -b11100111111111001010001100111001 6 -b11100111111111001010001100111001 @ -b11100111111111001010001100111001 F -b1011001 ) -#496832000 -0& -#496848000 -b1111001010011 , -#496864000 -1& -#496880000 -b11101101010100100 " -b11101101010100100 4 -b1111111101111011110111101111110 1 -b1111111101111011110111101111110 C -b11101101010100100 0 -b11101101010100100 H -08 -b10100010010000100001011110010001 < -b11000111010110011111010100100 2 -b11000111010110011111010100100 = -b11000111010110011111010100100 : -b1110110101010010010011100010010 $ -b1110110101010010010011100010010 - -b1110110101010010010011100010010 5 -b1110110101010010010011100010010 ? -b1110110101010010010011100010010 D -b1011101101111011110100001101110 % -b1011101101111011110100001101110 . -b1011101101111011110100001101110 6 -b1011101101111011110100001101110 @ -b1011101101111011110100001101110 F -b11101101010100100 ) -#496896000 -0& -#496912000 -b1111001010100 , -#496928000 -1& -#496944000 -b101111011111111100010100101 " -b101111011111111100010100101 4 -b10111111111111111111111010111111 1 -b10111111111111111111111010111111 C -b101111011111111100010100101 0 -b101111011111111100010100101 H -18 -b11100100000001000000000101111010 < -b10100010000000110001011000111010 2 -b10100010000000110001011000111010 = -b10100010000000110001011000111010 : -b10111101111111110001010010111111 $ -b10111101111111110001010010111111 - -b10111101111111110001010010111111 5 -b10111101111111110001010010111111 ? -b10111101111111110001010010111111 D -b11011111110111111111010000101 % -b11011111110111111111010000101 . -b11011111110111111111010000101 6 -b11011111110111111111010000101 @ -b11011111110111111111010000101 F -b101111011111111100010100101 ) -#496960000 -0& -#496976000 -b1111001010101 , -#496992000 -1& -#497008000 -b110110111011010 " -b110110111011010 4 -b11111111111111010011011111110001 1 -b11111111111111010011011111110001 C -b110110111011010 0 -b110110111011010 H -19 -18 -b10001000100000101100100010101110 < -b1100100001110000000000001011111 2 -b1100100001110000000000001011111 = -b1100100001110000000000001011111 : -b11011011101101010011011110110000 $ -b11011011101101010011011110110000 - -b11011011101101010011011110110000 5 -b11011011101101010011011110110000 ? -b11011011101101010011011110110000 D -b1110111011111010011011101010001 % -b1110111011111010011011101010001 . -b1110111011111010011011101010001 6 -b1110111011111010011011101010001 @ -b1110111011111010011011101010001 F -b110110111011010 ) -#497024000 -0& -#497040000 -b1111001010110 , -#497056000 -1& -#497072000 -b1110001111111000101 " -b1110001111111000101 4 -b11110111111111111011001111001101 1 -b11110111111111111011001111001101 C -b1110001111111000101 0 -b1110001111111000101 H -09 -18 -b11001010000000000100111100110010 < -b10101101111110010000001000111100 2 -b10101101111110010000001000111100 = -b10101101111110010000001000111100 : -b11100011111110001011001100001001 $ -b11100011111110001011001100001001 - -b11100011111110001011001100001001 5 -b11100011111110001011001100001001 ? -b11100011111110001011001100001001 D -b110101111111111011000011001101 % -b110101111111111011000011001101 . -b110101111111111011000011001101 6 -b110101111111111011000011001101 @ -b110101111111111011000011001101 F -b1110001111111000101 ) -#497088000 -0& -#497104000 -b1111001010111 , -#497120000 -1& -#497136000 -b1111110011101110001001011011110 " -b1111110011101110001001011011110 4 -b11111110111111110011001111011110 1 -b11111110111111110011001111011110 C -b1111110011101110001001011011110 0 -b1111110011101110001001011011110 H -19 -08 -b100001001101001101111001111111 < -b10011111101010111111000101011110 2 -b10011111101010111111000101011110 = -b10011111101010111111000101011110 : -b1111110011101110001001011011110 $ -b1111110011101110001001011011110 - -b1111110011101110001001011011110 5 -b1111110011101110001001011011110 ? -b1111110011101110001001011011110 D -b11011110110010110010000110000000 % -b11011110110010110010000110000000 . -b11011110110010110010000110000000 6 -b11011110110010110010000110000000 @ -b11011110110010110010000110000000 F -b1111110011101110001001011011110 ) -#497152000 -0& -#497168000 -b1111001011000 , -#497184000 -1& -#497200000 -b10111111111111011111 " -b10111111111111011111 4 -b11111111111111101111111111111011 1 -b11111111111111101111111111111011 C -b10111111111111011111 0 -b10111111111111011111 H -09 -08 -b1000010111000000010100 < -b1100000001000000110101111000101 2 -b1100000001000000110101111000101 = -b1100000001000000110101111000101 : -b1011111111111101111101110110000 $ -b1011111111111101111101110110000 - -b1011111111111101111101110110000 5 -b1011111111111101111101110110000 ? -b1011111111111101111101110110000 D -b11111111110111101000111111101011 % -b11111111110111101000111111101011 . -b11111111110111101000111111101011 6 -b11111111110111101000111111101011 @ -b11111111110111101000111111101011 F -b10111111111111011111 ) -#497216000 -0& -#497232000 -b1111001011001 , -#497248000 -1& -#497264000 -b101111111110101111 " -b101111111110101111 4 -b11111111111011111101011111101111 1 -b11111111111011111101011111101111 C -b101111111110101111 0 -b101111111110101111 H -18 -b10000110010110101001110001 < -b11000010000001010011001001011111 2 -b11000010000001010011001001011111 = -b11000010000001010011001001011111 : -b10111111111010111100011111101101 $ -b10111111111010111100011111101101 - -b10111111111010111100011111101101 5 -b10111111111010111100011111101101 ? -b10111111111010111100011111101101 D -b11111101111001101001010110001110 % -b11111101111001101001010110001110 . -b11111101111001101001010110001110 6 -b11111101111001101001010110001110 @ -b11111101111001101001010110001110 F -b101111111110101111 ) -#497280000 -0& -#497296000 -b1111001011010 , -#497312000 -1& -#497328000 -b1101110011101111010 " -b1101110011101111010 4 -b11111111111111110100101101011111 1 -b11111111111111110100101101011111 C -b1101110011101111010 0 -b1101110011101111010 H -b11000000100000011011110011110010 < -b10011101011100010000010101000101 2 -b10011101011100010000010101000101 = -b10011101011100010000010101000101 : -b11011100111011110100100001010010 $ -b11011100111011110100100001010010 - -b11011100111011110100100001010010 5 -b11011100111011110100100001010010 ? -b11011100111011110100100001010010 D -b111111011111100100001100001101 % -b111111011111100100001100001101 . -b111111011111100100001100001101 6 -b111111011111100100001100001101 @ -b111111011111100100001100001101 F -b1101110011101111010 ) -#497344000 -0& -#497360000 -b1111001011011 , -#497376000 -1& -#497392000 -b1111011011010111101101010011 " -b1111011011010111101101010011 4 -b11111111111111111111111011011011 1 -b11111111111111111111111011011011 C -b1111011011010111101101010011 0 -b1111011011010111101101010011 H -08 -b10000010000100100110111100 < -b1111101011101000010010001010111 2 -b1111101011101000010010001010111 = -b1111101011101000010010001010111 : -b1111011011010111101101010011010 $ -b1111011011010111101101010011010 - -b1111011011010111101101010011010 5 -b1111011011010111101101010011010 ? -b1111011011010111101101010011010 D -b11111101111101111011011001000011 % -b11111101111101111011011001000011 . -b11111101111101111011011001000011 6 -b11111101111101111011011001000011 @ -b11111101111101111011011001000011 F -b1111011011010111101101010011 ) -#497408000 -0& -#497424000 -b1111001011100 , -#497440000 -1& -#497456000 -b11101111 " -b11101111 4 -b1111111111111100001101100111111 1 -b1111111111111100001101100111111 C -b11101111 0 -b11101111 H -b10100000000011111110110111001000 < -b10111111111011111011011110001 2 -b10111111111011111011011110001 = -b10111111111011111011011110001 : -b1110111111011100000100100101000 $ -b1110111111011100000100100101000 - -b1110111111011100000100100101000 5 -b1110111111011100000100100101000 ? -b1110111111011100000100100101000 D -b1011111111100000001001000110111 % -b1011111111100000001001000110111 . -b1011111111100000001001000110111 6 -b1011111111100000001001000110111 @ -b1011111111100000001001000110111 F -b11101111 ) -#497472000 -0& -#497488000 -b1111001011101 , -#497504000 -1& -#497520000 -b10011011101111 " -b10011011101111 4 -b1101111111111110011111010110111 1 -b1101111111111110011111010110111 C -b10011011101111 0 -b10011011101111 H -18 -b10010000100000001111111111001110 < -b11011110011000000011111001100110 2 -b11011110011000000011111001100110 = -b11011110011000000011111001100110 : -b1001101110111110011111010010111 $ -b1001101110111110011111010010111 - -b1001101110111110011111010010111 5 -b1001101110111110011111010010111 ? -b1001101110111110011111010010111 D -b1101111011111110000000000110001 % -b1101111011111110000000000110001 . -b1101111011111110000000000110001 6 -b1101111011111110000000000110001 @ -b1101111011111110000000000110001 F -b10011011101111 ) -#497536000 -0& -#497552000 -b1111001011110 , -#497568000 -1& -#497584000 -b111111101011111100110000011110 " -b111111101011111100110000011110 4 -b11111111111111110011011101111011 1 -b11111111111111110011011101111011 C -b111111101011111100110000011110 0 -b111111101011111100110000011110 H -08 -b1111000000001011100100011011101 < -b1110110110001001111100101010111 2 -b1110110110001001111100101010111 = -b1110110110001001111100101010111 : -b11111110101111110011000001111001 $ -b11111110101111110011000001111001 - -b11111110101111110011000001111001 5 -b11111110101111110011000001111001 ? -b11111110101111110011000001111001 D -b10000111111110100011011100100010 % -b10000111111110100011011100100010 . -b10000111111110100011011100100010 6 -b10000111111110100011011100100010 @ -b10000111111110100011011100100010 F -b111111101011111100110000011110 ) -#497600000 -0& -#497616000 -b1111001011111 , -#497632000 -1& -#497648000 -b1101110110111111110 " -b1101110110111111110 4 -b11111101111111111101111111101111 1 -b11111101111111111101111111101111 C -b1101110110111111110 0 -b1101110110111111110 H -18 -19 -b10001010001000001111010001010010 < -b1100111111000001101001000011001 2 -b1100111111000001101001000011001 = -b1100111111000001101001000011001 : -b11011101101111111101110111000110 $ -b11011101101111111101110111000110 - -b11011101101111111101110111000110 5 -b11011101101111111101110111000110 ? -b11011101101111111101110111000110 D -b1110101110111110000101110101101 % -b1110101110111110000101110101101 . -b1110101110111110000101110101101 6 -b1110101110111110000101110101101 @ -b1110101110111110000101110101101 F -b1101110110111111110 ) -#497664000 -0& -#497680000 -b1111001100000 , -#497696000 -1& -#497712000 -b111111001 " -b111111001 4 -b11111111111111110011111111010111 1 -b11111111111111110011111111010111 C -b111111001 0 -b111111001 H -09 -08 -b100000110000001110111100101000 < -b11101101011100010111000101110 2 -b11101101011100010111000101110 = -b11101101011100010111000101110 : -b11111100111011010011111100000101 $ -b11111100111011010011111100000101 - -b11111100111011010011111100000101 5 -b11111100111011010011111100000101 ? -b11111100111011010011111100000101 D -b11011111001111110001000011010111 % -b11011111001111110001000011010111 . -b11011111001111110001000011010111 6 -b11011111001111110001000011010111 @ -b11011111001111110001000011010111 F -b111111001 ) -#497728000 -0& -#497744000 -b1111001100001 , -#497760000 -1& -#497776000 -b1011111011110101001000100 " -b1011111011110101001000100 4 -b10111111111111011010111101100111 1 -b10111111111111011010111101100111 C -b1011111011110101001000100 0 -b1011111011110101001000100 H -19 -18 -b11000000010001100101000010011000 < -b1111111001110110111001011011111 2 -b1111111001110110111001011011111 = -b1111111001110110111001011011111 : -b10111110111101010010001001000110 $ -b10111110111101010010001001000110 - -b10111110111101010010001001000110 5 -b10111110111101010010001001000110 ? -b10111110111101010010001001000110 D -b111111101110011010111101100111 % -b111111101110011010111101100111 . -b111111101110011010111101100111 6 -b111111101110011010111101100111 @ -b111111101110011010111101100111 F -b1011111011110101001000100 ) -#497792000 -0& -#497808000 -b1111001100010 , -#497824000 -1& -#497840000 -b1111 " -b1111 4 -b11111101111111111111111111011100 1 -b11111101111111111111111111011100 C -b1111 0 -b1111 H -09 -08 -b10010010101000010110101100011 < -b1111001100011110101010100100 2 -b1111001100011110101010100100 = -b1111001100011110101010100100 : -b11111100110111011011110101000000 $ -b11111100110111011011110101000000 - -b11111100110111011011110101000000 5 -b11111100110111011011110101000000 ? -b11111100110111011011110101000000 D -b11101101101010111101001010011100 % -b11101101101010111101001010011100 . -b11101101101010111101001010011100 6 -b11101101101010111101001010011100 @ -b11101101101010111101001010011100 F -b1111 ) -#497856000 -0& -#497872000 -b1111001100011 , -#497888000 -1& -#497904000 -b1 " -b1 4 -b11111111111111111111011011111111 1 -b11111111111111111111011011111111 C -b1 0 -b1 H -b1000011110100101000001 < -b1010100000110011100100110110101 2 -b1010100000110011100100110110101 = -b1010100000110011100100110110101 : -b1010011111101111110000001110011 $ -b1010011111101111110000001110011 - -b1010011111101111110000001110011 5 -b1010011111101111110000001110011 ? -b1010011111101111110000001110011 D -b11111111110111100001011010111110 % -b11111111110111100001011010111110 . -b11111111110111100001011010111110 6 -b11111111110111100001011010111110 @ -b11111111110111100001011010111110 F -b1 ) -#497920000 -0& -#497936000 -b1111001100100 , -#497952000 -1& -#497968000 -b1010001111111001000 " -b1010001111111001000 4 -b11111111111111111111110101001100 1 -b11111111111111111111110101001100 C -b1010001111111001000 0 -b1010001111111001000 H -b1110101000000111011110011 < -b1010011110100001001101100111100 2 -b1010011110100001001101100111100 = -b1010011110100001001101100111100 : -b1010001111111001000110001001000 $ -b1010001111111001000110001001000 - -b1010001111111001000110001001000 5 -b1010001111111001000110001001000 ? -b1010001111111001000110001001000 D -b11111110001010111111000100001100 % -b11111110001010111111000100001100 . -b11111110001010111111000100001100 6 -b11111110001010111111000100001100 @ -b11111110001010111111000100001100 F -b1010001111111001000 ) -#497984000 -0& -#498000000 -b1111001100101 , -#498016000 -1& -#498032000 -b1101110101000101110110 " -b1101110101000101110110 4 -b1111111111111111111110110111011 1 -b1111111111111111111110110111011 C -b1101110101000101110110 0 -b1101110101000101110110 H -b10100010001000000110001101010110 < -b10000110000110101000011101010 2 -b10000110000110101000011101010 = -b10000110000110101000011101010 : -b1101110101000101110110110010011 $ -b1101110101000101110110110010011 - -b1101110101000101110110110010011 5 -b1101110101000101110110110010011 ? -b1101110101000101110110110010011 D -b1011101110111111001110010101001 % -b1011101110111111001110010101001 . -b1011101110111111001110010101001 6 -b1011101110111111001110010101001 @ -b1011101110111111001110010101001 F -b1101110101000101110110 ) -#498048000 -0& -#498064000 -b1111001100110 , -#498080000 -1& -#498096000 -b1111010101101011011100001001001 " -b1111010101101011011100001001001 4 -b11111111111111011011101111001001 1 -b11111111111111011011101111001001 C -b1111010101101011011100001001001 0 -b1111010101101011011100001001001 H -b1101100110000111111 < -b1111010101111001000010010001001 2 -b1111010101111001000010010001001 = -b1111010101111001000010010001001 : -b1111010101101011011100001001001 $ -b1111010101101011011100001001001 - -b1111010101101011011100001001001 5 -b1111010101101011011100001001001 ? -b1111010101101011011100001001001 D -b11111111111110010011001111000000 % -b11111111111110010011001111000000 . -b11111111111110010011001111000000 6 -b11111111111110010011001111000000 @ -b11111111111110010011001111000000 F -b1111010101101011011100001001001 ) -#498112000 -0& -#498128000 -b1111001100111 , -#498144000 -1& -#498160000 -b11110010111110 " -b11110010111110 4 -b11111111111111111101001111010111 1 -b11111111111111111101001111010111 C -b11110010111110 0 -b11110010111110 H -18 -b10001010011110110110101101 < -b11110101001001011011111110000101 2 -b11110101001001011011111110000101 = -b11110101001001011011111110000101 : -b11110010111110111101000111010111 $ -b11110010111110111101000111010111 - -b11110010111110111101000111010111 5 -b11110010111110111101000111010111 ? -b11110010111110111101000111010111 D -b11111101110101100001001001010010 % -b11111101110101100001001001010010 . -b11111101110101100001001001010010 6 -b11111101110101100001001001010010 @ -b11111101110101100001001001010010 F -b11110010111110 ) -#498176000 -0& -#498192000 -b1111001101000 , -#498208000 -1& -#498224000 -b111 " -b111 4 -b1111111111111111110111111111100 1 -b1111111111111111110111111111100 C -b111 0 -b111 H -18 -b10000000101000010001010001100111 < -b10001000100010010000001001100100 2 -b10001000100010010000001001100100 = -b10001000100010010000001001100100 : -b111111001111110110111111100 $ -b111111001111110110111111100 - -b111111001111110110111111100 5 -b111111001111110110111111100 ? -b111111001111110110111111100 D -b1111111010111101110101110011000 % -b1111111010111101110101110011000 . -b1111111010111101110101110011000 6 -b1111111010111101110101110011000 @ -b1111111010111101110101110011000 F -b111 ) -#498240000 -0& -#498256000 -b1111001101001 , -#498272000 -1& -#498288000 -b111011011110101010001010 " -b111011011110101010001010 4 -b1111111111101011111011111110111 1 -b1111111111101011111011111110111 C -b111011011110101010001010 0 -b111011011110101010001010 H -08 -b10100110001010110100110100011000 < -b11101001000001001001000101101 2 -b11101001000001001001000101101 = -b11101001000001001001000101101 : -b1110110111101010100010100010100 $ -b1110110111101010100010100010100 - -b1110110111101010100010100010100 5 -b1110110111101010100010100010100 ? -b1110110111101010100010100010100 D -b1011001110101001011001011100111 % -b1011001110101001011001011100111 . -b1011001110101001011001011100111 6 -b1011001110101001011001011100111 @ -b1011001110101001011001011100111 F -b111011011110101010001010 ) -#498304000 -0& -#498320000 -b1111001101010 , -#498336000 -1& -#498352000 -b111110111110111001 " -b111110111110111001 4 -b11111111111111111110111111101101 1 -b11111111111111111110111111101101 C -b111110111110111001 0 -b111110111110111001 H -19 -08 -b1001000101110011100010010010 < -b10000111000011100110100000110111 2 -b10000111000011100110100000110111 = -b10000111000011100110100000110111 : -b1111101111101110010111110100100 $ -b1111101111101110010111110100100 - -b1111101111101110010111110100100 5 -b1111101111101110010111110100100 ? -b1111101111101110010111110100100 D -b11110110111010001100011101101101 % -b11110110111010001100011101101101 . -b11110110111010001100011101101101 6 -b11110110111010001100011101101101 @ -b11110110111010001100011101101101 F -b111110111110111001 ) -#498368000 -0& -#498384000 -b1111001101011 , -#498400000 -1& -#498416000 -b111001111101010 " -b111001111101010 4 -b11111111111111111111011001011101 1 -b11111111111111111111011001011101 C -b111001111101010 0 -b111001111101010 H -09 -b100100100000001000111110101110 < -b1100010101101000010110111100 2 -b1100010101101000010110111100 = -b1100010101101000010110111100 : -b11100111110101011111011000001101 $ -b11100111110101011111011000001101 - -b11100111110101011111011000001101 5 -b11100111110101011111011000001101 ? -b11100111110101011111011000001101 D -b11011011011111110111000001010001 % -b11011011011111110111000001010001 . -b11011011011111110111000001010001 6 -b11011011011111110111000001010001 @ -b11011011011111110111000001010001 F -b111001111101010 ) -#498432000 -0& -#498448000 -b1111001101100 , -#498464000 -1& -#498480000 -b11110101101101000000101 " -b11110101101101000000101 4 -b11111111111111011000011111101111 1 -b11111111111111011000011111101111 C -b11110101101101000000101 0 -b11110101101101000000101 H -b1000000010110111101010011000 < -b1000101011110000111110110000101 2 -b1000101011110000111110110000101 = -b1000101011110000111110110000101 : -b111101011011010000001011101100 $ -b111101011011010000001011101100 - -b111101011011010000001011101100 5 -b111101011011010000001011101100 ? -b111101011011010000001011101100 D -b11110111111101001000010101100111 % -b11110111111101001000010101100111 . -b11110111111101001000010101100111 6 -b11110111111101001000010101100111 @ -b11110111111101001000010101100111 F -b11110101101101000000101 ) -#498496000 -0& -#498512000 -b1111001101101 , -#498528000 -1& -#498544000 -b1101111111110110 " -b1101111111110110 4 -b1101111111111110111111110011111 1 -b1101111111111110111111110011111 C -b1101111111110110 0 -b1101111111110110 H -b10010010001000001101010011110000 < -b10000111000011000110001110 2 -b10000111000011000110001110 = -b10000111000011000110001110 : -b1101111111110110101110010011101 $ -b1101111111110110101110010011101 - -b1101111111110110101110010011101 5 -b1101111111110110101110010011101 ? -b1101111111110110101110010011101 D -b1101101110111110010101100001111 % -b1101101110111110010101100001111 . -b1101101110111110010101100001111 6 -b1101101110111110010101100001111 @ -b1101101110111110010101100001111 F -b1101111111110110 ) -#498560000 -0& -#498576000 -b1111001101110 , -#498592000 -1& -#498608000 -b101111000010111101011000 " -b101111000010111101011000 4 -b1111111011101111011110000100111 1 -b1111111011101111011110000100111 C -b101111000010111101011000 0 -b101111000010111101011000 H -18 -b10000000100010000100111111011000 < -b11011110100111111111110000000000 2 -b11011110100111111111110000000000 = -b11011110100111111111110000000000 : -b1011110000101111010110000100111 $ -b1011110000101111010110000100111 - -b1011110000101111010110000100111 5 -b1011110000101111010110000100111 ? -b1011110000101111010110000100111 D -b1111111011101111011000000100111 % -b1111111011101111011000000100111 . -b1111111011101111011000000100111 6 -b1111111011101111011000000100111 @ -b1111111011101111011000000100111 F -b101111000010111101011000 ) -#498624000 -0& -#498640000 -b1111001101111 , -#498656000 -1& -#498672000 -b11111110 " -b11111110 4 -b1011111110111111001011101110101 1 -b1011111110111111001011101110101 C -b11111110 0 -b11111110 H -b10110010001000011110101011101010 < -b11010001111011011000001001011111 2 -b11010001111011011000001001011111 = -b11010001111011011000001001011111 : -b11111110010111001011101110100 $ -b11111110010111001011101110100 - -b11111110010111001011101110100 5 -b11111110010111001011101110100 ? -b11111110010111001011101110100 D -b1001101110111100001010100010101 % -b1001101110111100001010100010101 . -b1001101110111100001010100010101 6 -b1001101110111100001010100010101 @ -b1001101110111100001010100010101 F -b11111110 ) -#498688000 -0& -#498704000 -b1111001110000 , -#498720000 -1& -#498736000 -b111110110111110100001 " -b111110110111110100001 4 -b11111101111111111010111111111110 1 -b11111101111111111010111111111110 C -b111110110111110100001 0 -b111110110111110100001 H -19 -08 -b110000000001101011001110101 < -b10000011101111110101110011110100 2 -b10000011101111110101110011110100 = -b10000011101111110101110011110100 : -b1111101101111101000011001111110 $ -b1111101101111101000011001111110 - -b1111101101111101000011001111110 5 -b1111101101111101000011001111110 ? -b1111101101111101000011001111110 D -b11111001111111110010100110001010 % -b11111001111111110010100110001010 . -b11111001111111110010100110001010 6 -b11111001111111110010100110001010 @ -b11111001111111110010100110001010 F -b111110110111110100001 ) -#498752000 -0& -#498768000 -b1111001110001 , -#498784000 -1& -#498800000 -b1110111 " -b1110111 4 -b1111111111111111110100010111001 1 -b1111111111111111110100010111001 C -b1110111 0 -b1110111 H -09 -18 -b10000000010000100101011111100111 < -b11110111101011011010000010001001 2 -b11110111101011011010000010001001 = -b11110111101011011010000010001001 : -b1110111011010110100100010100001 $ -b1110111011010110100100010100001 - -b1110111011010110100100010100001 5 -b1110111011010110100100010100001 ? -b1110111011010110100100010100001 D -b1111111101111011010100000011000 % -b1111111101111011010100000011000 . -b1111111101111011010100000011000 6 -b1111111101111011010100000011000 @ -b1111111101111011010100000011000 F -b1110111 ) -#498816000 -0& -#498832000 -b1111001110010 , -#498848000 -1& -#498864000 -b11 " -b11 4 -b11111111111111110110011011111110 1 -b11111111111111110110011011111110 C -b11 0 -b11 H -18 -b11000001000000001011110100000001 < -b10100000111111010010000101001100 2 -b10100000111111010010000101001100 = -b10100000111111010010000101001100 : -b11011111111111000110010001001010 $ -b11011111111111000110010001001010 - -b11011111111111000110010001001010 5 -b11011111111111000110010001001010 ? -b11011111111111000110010001001010 D -b111110111111110100001011111110 % -b111110111111110100001011111110 . -b111110111111110100001011111110 6 -b111110111111110100001011111110 @ -b111110111111110100001011111110 F -b11 ) -#498880000 -0& -#498896000 -b1111001110011 , -#498912000 -1& -#498928000 -b11111 " -b11111 4 -b1111111111110110111011111111110 1 -b1111111111110110111011111111110 C -b11111 0 -b11111 H -18 -b10000000001001001010100000100101 < -b11111111101000000001000000011100 2 -b11111111101000000001000000011100 = -b11111111101000000001000000011100 : -b1111111011110110110011111110110 $ -b1111111011110110110011111110110 - -b1111111011110110110011111110110 5 -b1111111011110110110011111110110 ? -b1111111011110110110011111110110 D -b1111111110110110101011111011010 % -b1111111110110110101011111011010 . -b1111111110110110101011111011010 6 -b1111111110110110101011111011010 @ -b1111111110110110101011111011010 F -b11111 ) -#498944000 -0& -#498960000 -b1111001110100 , -#498976000 -1& -#498992000 -b11110110011111110110101000 " -b11110110011111110110101000 4 -b11111111111111111111111000100110 1 -b11111111111111111111111000100110 C -b11110110011111110110101000 0 -b11110110011111110110101000 H -19 -18 -b10000000000000000110100111011001 < -b1110110011111111101001111111010 2 -b1110110011111111101001111111010 = -b1110110011111111101001111111010 : -b11110110011111110110101000100000 $ -b11110110011111110110101000100000 - -b11110110011111110110101000100000 5 -b11110110011111110110101000100000 ? -b11110110011111110110101000100000 D -b1111111111111111001011000100110 % -b1111111111111111001011000100110 . -b1111111111111111001011000100110 6 -b1111111111111111001011000100110 @ -b1111111111111111001011000100110 F -b11110110011111110110101000 ) -#499008000 -0& -#499024000 -b1111001110101 , -#499040000 -1& -#499056000 -b11111 " -b11111 4 -b11111111111111111101111010011111 1 -b11111111111111111101111010011111 C -b11111 0 -b11111 H -09 -18 -b10001000010000000011011101100100 < -b10000111100010101000110111110010 2 -b10000111100010101000110111110010 = -b10000111100010101000110111110010 : -b11111111010010100101011010001101 $ -b11111111010010100101011010001101 - -b11111111010010100101011010001101 5 -b11111111010010100101011010001101 ? -b11111111010010100101011010001101 D -b1110111101111111100100010011011 % -b1110111101111111100100010011011 . -b1110111101111111100100010011011 6 -b1110111101111111100100010011011 @ -b1110111101111111100100010011011 F -b11111 ) -#499072000 -0& -#499088000 -b1111001110110 , -#499104000 -1& -#499120000 -b11110111000111011101100000 " -b11110111000111011101100000 4 -b1111111111011111110111000000101 1 -b1111111111011111110111000000101 C -b11110111000111011101100000 0 -b11110111000111011101100000 H -08 -b11001000000100001111010111111010 < -b1000011100111111110000111111011 2 -b1000011100111111110000111111011 = -b1000011100111111110000111111011 : -b1111011100011101110110000000000 $ -b1111011100011101110110000000000 - -b1111011100011101110110000000000 5 -b1111011100011101110110000000000 ? -b1111011100011101110110000000000 D -b110111111011110000101000000101 % -b110111111011110000101000000101 . -b110111111011110000101000000101 6 -b110111111011110000101000000101 @ -b110111111011110000101000000101 F -b11110111000111011101100000 ) -#499136000 -0& -#499152000 -b1111001110111 , -#499168000 -1& -#499184000 -b111110111111111 " -b111110111111111 4 -b11111111111111111111011111110111 1 -b11111111111111111111011111110111 C -b111110111111111 0 -b111110111111111 H -18 -b11100010001000000101111010101110 < -b11011110001000000011010110100110 2 -b11011110001000000011010110100110 = -b11011110001000000011010110100110 : -b11111011111111111101011011110111 $ -b11111011111111111101011011110111 - -b11111011111111111101011011110111 5 -b11111011111111111101011011110111 ? -b11111011111111111101011011110111 D -b11101110111111010000101010001 % -b11101110111111010000101010001 . -b11101110111111010000101010001 6 -b11101110111111010000101010001 @ -b11101110111111010000101010001 F -b111110111111111 ) -#499200000 -0& -#499216000 -b1111001111000 , -#499232000 -1& -#499248000 -b1111011111101111010111 " -b1111011111101111010111 4 -b11111111111111110111111001101011 1 -b11111111111111110111111001101011 C -b1111011111101111010111 0 -b1111011111101111010111 H -b100000011101101000111010101 < -b11111011111111100010111000010111 2 -b11111011111111100010111000010111 = -b11111011111111100010111000010111 : -b11110111111011110101110001000001 $ -b11110111111011110101110001000001 - -b11110111111011110101110001000001 5 -b11110111111011110101110001000001 ? -b11110111111011110101110001000001 D -b11111011111100010010111000101010 % -b11111011111100010010111000101010 . -b11111011111100010010111000101010 6 -b11111011111100010010111000101010 @ -b11111011111100010010111000101010 F -b1111011111101111010111 ) -#499264000 -0& -#499280000 -b1111001111001 , -#499296000 -1& -#499312000 -b111110111110111000101010 " -b111110111110111000101010 4 -b1111111111101111101110100000111 1 -b1111111111101111101110100000111 C -b111110111110111000101010 0 -b111110111110111000101010 H -08 -b10001000100010100010011011111000 < -b110100000010011101111111011 2 -b110100000010011101111111011 = -b110100000010011101111111011 : -b1111101111101110001010100000010 $ -b1111101111101110001010100000010 - -b1111101111101110001010100000010 5 -b1111101111101110001010100000010 ? -b1111101111101110001010100000010 D -b1110111011101011101100100000111 % -b1110111011101011101100100000111 . -b1110111011101011101100100000111 6 -b1110111011101011101100100000111 @ -b1110111011101011101100100000111 F -b111110111110111000101010 ) -#499328000 -0& -#499344000 -b1111001111010 , -#499360000 -1& -#499376000 -b11111101011111111100110 " -b11111101011111111100110 4 -b11111111111111111111011111111111 1 -b11111111111111111111011111111111 C -b11111101011111111100110 0 -b11111101011111111100110 H -19 -08 -b10010101010010010100000010111 < -b10010001011010010000111010101111 2 -b10010001011010010000111010101111 = -b10010001011010010000111010101111 : -b1111110101111111110011010010111 $ -b1111110101111111110011010010111 - -b1111110101111111110011010010111 5 -b1111110101111111110011010010111 ? -b1111110101111111110011010010111 D -b11101101010101101101011111101000 % -b11101101010101101101011111101000 . -b11101101010101101101011111101000 6 -b11101101010101101101011111101000 @ -b11101101010101101101011111101000 F -b11111101011111111100110 ) -#499392000 -0& -#499408000 -b1111001111011 , -#499424000 -1& -#499440000 -b11111101110110000001010101 " -b11111101110110000001010101 4 -b11111111111111110101110111100111 1 -b11111111111111110101110111100111 C -b11111101110110000001010101 0 -b11111101110110000001010101 H -09 -08 -b1000100000001010001000011001 < -b110010110001011011101111011 2 -b110010110001011011101111011 = -b110010110001011011101111011 : -b11111101110110000001010101100001 $ -b11111101110110000001010101100001 - -b11111101110110000001010101100001 5 -b11111101110110000001010101100001 ? -b11111101110110000001010101100001 D -b11110111011111110101110111100110 % -b11110111011111110101110111100110 . -b11110111011111110101110111100110 6 -b11110111011111110101110111100110 @ -b11110111011111110101110111100110 F -b11111101110110000001010101 ) -#499456000 -0& -#499472000 -b1111001111100 , -#499488000 -1& -#499504000 -b11000011011101011110010010100 " -b11000011011101011110010010100 4 -b11101111111101011110111110100111 1 -b11101111111101011110111110100111 C -b11000011011101011110010010100 0 -b11000011011101011110010010100 H -18 -b10010001010101111010011011100 < -b11010101101000001101100110000001 2 -b11010101101000001101100110000001 = -b11010101101000001101100110000001 : -b11000011011101011110010010100100 $ -b11000011011101011110010010100100 - -b11000011011101011110010010100100 5 -b11000011011101011110010010100100 ? -b11000011011101011110010010100100 D -b11101101110101010000101100100011 % -b11101101110101010000101100100011 . -b11101101110101010000101100100011 6 -b11101101110101010000101100100011 @ -b11101101110101010000101100100011 F -b11000011011101011110010010100 ) -#499520000 -0& -#499536000 -b1111001111101 , -#499552000 -1& -#499568000 -b111110 " -b111110 4 -b1111111111111111010111111111001 1 -b1111111111111111010111111111001 C -b111110 0 -b111110 H -18 -b10000000000000000101000100000111 < -b10111110111101010101101010101001 2 -b10111110111101010101101010101001 = -b10111110111101010101101010101001 : -b111110111101010000100110100001 $ -b111110111101010000100110100001 - -b111110111101010000100110100001 5 -b111110111101010000100110100001 ? -b111110111101010000100110100001 D -b1111111111111111010111011111000 % -b1111111111111111010111011111000 . -b1111111111111111010111011111000 6 -b1111111111111111010111011111000 @ -b1111111111111111010111011111000 F -b111110 ) -#499584000 -0& -#499600000 -b1111001111110 , -#499616000 -1& -#499632000 -b111011011 " -b111011011 4 -b1110111111111111110101110110111 1 -b1110111111111111110101110110111 C -b111011011 0 -b111011011 H -08 -b10101000100110001001111011001001 < -b11111100101000010100101001111 2 -b11111100101000010100101001111 = -b11111100101000010100101001111 : -b1110110111110111000101010000101 $ -b1110110111110111000101010000101 - -b1110110111110111000101010000101 5 -b1110110111110111000101010000101 ? -b1110110111110111000101010000101 D -b1010111011001110110000100110110 % -b1010111011001110110000100110110 . -b1010111011001110110000100110110 6 -b1010111011001110110000100110110 @ -b1010111011001110110000100110110 F -b111011011 ) -#499648000 -0& -#499664000 -b1111001111111 , -#499680000 -1& -#499696000 -b1110111011011110011110 " -b1110111011011110011110 4 -b1111011111101111011111101011010 1 -b1111011111101111011111101011010 C -b1110111011011110011110 0 -b1110111011011110011110 H -18 -b10001111100010001100110010110111 < -b11001011010000000110101100010010 2 -b11001011010000000110101100010010 = -b11001011010000000110101100010010 : -b111011101101111001111001011010 $ -b111011101101111001111001011010 - -b111011101101111001111001011010 5 -b111011101101111001111001011010 ? -b111011101101111001111001011010 D -b1110000011101110011001101001000 % -b1110000011101110011001101001000 . -b1110000011101110011001101001000 6 -b1110000011101110011001101001000 @ -b1110000011101110011001101001000 F -b1110111011011110011110 ) -#499712000 -0& -#499728000 -b1111010000000 , -#499744000 -1& -#499760000 -b111101011110001110000011 " -b111101011110001110000011 4 -b11111111111101111100011101011100 1 -b11111111111101111100011101011100 C -b111101011110001110000011 0 -b111101011110001110000011 H -b1010010011101010110111 < -b11110110000011001011110111010100 2 -b11110110000011001011110111010100 = -b11110110000011001011110111010100 : -b11110101111000111000001100011100 $ -b11110101111000111000001100011100 - -b11110101111000111000001100011100 5 -b11110101111000111000001100011100 ? -b11110101111000111000001100011100 D -b11111111110101101100010101001000 % -b11111111110101101100010101001000 . -b11111111110101101100010101001000 6 -b11111111110101101100010101001000 @ -b11111111110101101100010101001000 F -b111101011110001110000011 ) -#499776000 -0& -#499792000 -b1111010000001 , -#499808000 -1& -#499824000 -b111001011111000010 " -b111001011111000010 4 -b1111111011111110011110110101101 1 -b1111111011111110011110110101101 C -b111001011111000010 0 -b111001011111000010 H -18 -b10011000100010001100011101010011 < -b11010010000001001110110011011101 2 -b11010010000001001110110011011101 = -b11010010000001001110110011011101 : -b111001011111000010010110001001 $ -b111001011111000010010110001001 - -b111001011111000010010110001001 5 -b111001011111000010010110001001 ? -b111001011111000010010110001001 D -b1100111011101110011100010101100 % -b1100111011101110011100010101100 . -b1100111011101110011100010101100 6 -b1100111011101110011100010101100 @ -b1100111011101110011100010101100 F -b111001011111000010 ) -#499840000 -0& -#499856000 -b1111010000010 , -#499872000 -1& -#499888000 -b1111111110110111000011010010 " -b1111111110110111000011010010 4 -b11111111101101110010110111100100 1 -b11111111101101110010110111100100 C -b1111111110110111000011010010 0 -b1111111110110111000011010010 H -08 -b11010001101011000011011 < -b111111110001101000000 2 -b111111110001101000000 = -b111111110001101000000 : -b11111111101101110000110100100100 $ -b11111111101101110000110100100100 - -b11111111101101110000110100100100 5 -b11111111101101110000110100100100 ? -b11111111101101110000110100100100 D -b11111111100101110010100111100100 % -b11111111100101110010100111100100 . -b11111111100101110010100111100100 6 -b11111111100101110010100111100100 @ -b11111111100101110010100111100100 F -b1111111110110111000011010010 ) -#499904000 -0& -#499920000 -b1111010000011 , -#499936000 -1& -#499952000 -b1101101110111111 " -b1101101110111111 4 -b11111111111111111101000101101111 1 -b11111111111111111101000101101111 C -b1101101110111111 0 -b1101101110111111 H -b1000000000001010111011110000 < -b1110101111000001000000001011000 2 -b1110101111000001000000001011000 = -b1110101111000001000000001011000 : -b1101101110111111101000101100111 $ -b1101101110111111101000101100111 - -b1101101110111111101000101100111 5 -b1101101110111111101000101100111 ? -b1101101110111111101000101100111 D -b11110111111111110101000100001111 % -b11110111111111110101000100001111 . -b11110111111111110101000100001111 6 -b11110111111111110101000100001111 @ -b11110111111111110101000100001111 F -b1101101110111111 ) -#499968000 -0& -#499984000 -b1111010000100 , -#500000000 -1& -#500016000 -b11111111101111111 " -b11111111101111111 4 -b11111111101111111110110110101111 1 -b11111111101111111110110110101111 C -b11111111101111111 0 -b11111111101111111 H -18 -09 -b10001100110000001101101001010000 < -b10001100100000001010001111111101 2 -b10001100100000001010001111111101 = -b10001100100000001010001111111101 : -b11111111101111111100100110101100 $ -b11111111101111111100100110101100 - -b11111111101111111100100110101100 5 -b11111111101111111100100110101100 ? -b11111111101111111100100110101100 D -b1110011001111110010010110101111 % -b1110011001111110010010110101111 . -b1110011001111110010010110101111 6 -b1110011001111110010010110101111 @ -b1110011001111110010010110101111 F -b11111111101111111 ) -#500032000 -0& -#500048000 -b1111010000101 , -#500064000 -1& -#500080000 -b1111101111111110110111 " -b1111101111111110110111 4 -b1111111111111110111111110101111 1 -b1111111111111110111111110101111 C -b1111101111111110110111 0 -b1111101111111110110111 H -08 -b10101000000011001010011011010110 < -b100110000011000001011001100110 2 -b100110000011000001011001100110 = -b100110000011000001011001100110 : -b1111101111111110110111110001111 $ -b1111101111111110110111110001111 - -b1111101111111110110111110001111 5 -b1111101111111110110111110001111 ? -b1111101111111110110111110001111 D -b1010111111100110101100100101001 % -b1010111111100110101100100101001 . -b1010111111100110101100100101001 6 -b1010111111100110101100100101001 @ -b1010111111100110101100100101001 F -b1111101111111110110111 ) -#500096000 -0& -#500112000 -b1111010000110 , -#500128000 -1& -#500144000 -b1111111010 " -b1111111010 4 -b11111110111110111101111011011111 1 -b11111110111110111101111011011111 C -b1111111010 0 -b1111111010 H -19 -18 -b10000001000101101010001101101001 < -b1111111110010100110111000111001 2 -b1111111110010100110111000111001 = -b1111111110010100110111000111001 : -b11111110101100111100101011001111 $ -b11111110101100111100101011001111 - -b11111110101100111100101011001111 5 -b11111110101100111100101011001111 ? -b11111110101100111100101011001111 D -b1111110111010010101110010010110 % -b1111110111010010101110010010110 . -b1111110111010010101110010010110 6 -b1111110111010010101110010010110 @ -b1111110111010010101110010010110 F -b1111111010 ) -#500160000 -0& -#500176000 -b1111010000111 , -#500192000 -1& -#500208000 -b10111110011111101001100 " -b10111110011111101001100 4 -b11111111111111111001110100111001 1 -b11111111111111111001110100111001 C -b10111110011111101001100 0 -b10111110011111101001100 H -b10101000001000101110001011010110 < -b1100110101000010111101011100111 2 -b1100110101000010111101011100111 = -b1100110101000010111101011100111 : -b10111110011111101001100000010000 $ -b10111110011111101001100000010000 - -b10111110011111101001100000010000 5 -b10111110011111101001100000010000 ? -b10111110011111101001100000010000 D -b1010111110111010001110100101001 % -b1010111110111010001110100101001 . -b1010111110111010001110100101001 6 -b1010111110111010001110100101001 @ -b1010111110111010001110100101001 F -b10111110011111101001100 ) -#500224000 -0& -#500240000 -b1111010001000 , -#500256000 -1& -#500272000 -b111111111111 " -b111111111111 4 -b11111111111111101111110100111100 1 -b11111111111111101111110100111100 C -b111111111111 0 -b111111111111 H -09 -08 -b10001100010001001011001011 < -b10001010110100111100000100 2 -b10001010110100111100000100 = -b10001010110100111100000100 : -b11111111111110100011110000111000 $ -b11111111111110100011110000111000 - -b11111111111110100011110000111000 5 -b11111111111110100011110000111000 ? -b11111111111110100011110000111000 D -b11111101110011101110110100110100 % -b11111101110011101110110100110100 . -b11111101110011101110110100110100 6 -b11111101110011101110110100110100 @ -b11111101110011101110110100110100 F -b111111111111 ) -#500288000 -0& -#500304000 -b1111010001001 , -#500320000 -1& -#500336000 -b1111 " -b1111 4 -b1111111111111111111011101111111 1 -b1111111111111111111011101111111 C -b1111 0 -b1111 H -b10010000101000000101100110000100 < -b1011100100000011000010001100 2 -b1011100100000011000010001100 = -b1011100100000011000010001100 : -b1111010111011111101011100000111 $ -b1111010111011111101011100000111 - -b1111010111011111101011100000111 5 -b1111010111011111101011100000111 ? -b1111010111011111101011100000111 D -b1101111010111111010011001111011 % -b1101111010111111010011001111011 . -b1101111010111111010011001111011 6 -b1101111010111111010011001111011 @ -b1101111010111111010011001111011 F -b1111 ) -#500352000 -0& -#500368000 -b1111010001010 , -#500384000 -1& -#500400000 -b1101010110011100010 " -b1101010110011100010 4 -b11111111111111000101111101010 1 -b11111111111111000101111101010 C -b1101010110011100010 0 -b1101010110011100010 H -18 -b11100000000000000111011100010101 < -b11111010101101000000001010100000 2 -b11111010101101000000001010100000 = -b11111010101101000000001010100000 : -b11010101100111000101110001010 $ -b11010101100111000101110001010 - -b11010101100111000101110001010 5 -b11010101100111000101110001010 ? -b11010101100111000101110001010 D -b11111111111111000100011101010 % -b11111111111111000100011101010 . -b11111111111111000100011101010 6 -b11111111111111000100011101010 @ -b11111111111111000100011101010 F -b1101010110011100010 ) -#500416000 -0& -#500432000 -b1111010001011 , -#500448000 -1& -#500464000 -b1101 " -b1101 4 -b11111111111111111111011111111100 1 -b11111111111111111111011111111100 C -b1101 0 -b1101 H -b1101001110011100000100011 < -b11100001100101101010111010100000 2 -b11100001100101101010111010100000 = -b11100001100101101010111010100000 : -b11011111111011110111011001111100 $ -b11011111111011110111011001111100 - -b11011111111011110111011001111100 5 -b11011111111011110111011001111100 ? -b11011111111011110111011001111100 D -b11111110010110001100011111011100 % -b11111110010110001100011111011100 . -b11111110010110001100011111011100 6 -b11111110010110001100011111011100 @ -b11111110010110001100011111011100 F -b1101 ) -#500480000 -0& -#500496000 -b1111010001100 , -#500512000 -1& -#500528000 -b1111101110111011000000001010 " -b1111101110111011000000001010 4 -b1111111111111111101111011110011 1 -b1111111111111111101111011110011 C -b1111101110111011000000001010 0 -b1111101110111011000000001010 H -08 -b10001100100001011010000100011100 < -b1010011000110010000101101101 2 -b1010011000110010000101101101 = -b1010011000110010000101101101 : -b1111101110111011000000001010000 $ -b1111101110111011000000001010000 - -b1111101110111011000000001010000 5 -b1111101110111011000000001010000 ? -b1111101110111011000000001010000 D -b1110011011110100101111011100011 % -b1110011011110100101111011100011 . -b1110011011110100101111011100011 6 -b1110011011110100101111011100011 @ -b1110011011110100101111011100011 F -b1111101110111011000000001010 ) -#500544000 -0& -#500560000 -b1111010001101 , -#500576000 -1& -#500592000 -b11111111111111 " -b11111111111111 4 -b11111111111111011111111111111010 1 -b11111111111111011111111111111010 C -b11111111111111 0 -b11111111111111 H -08 -b10000101010101100001101 < -b10000001001011001010110 2 -b10000001001011001010110 = -b10000001001011001010110 : -b11111111111111011110101101001000 $ -b11111111111111011110101101001000 - -b11111111111111011110101101001000 5 -b11111111111111011110101101001000 ? -b11111111111111011110101101001000 D -b11111111101111010101010011110010 % -b11111111101111010101010011110010 . -b11111111101111010101010011110010 6 -b11111111101111010101010011110010 @ -b11111111101111010101010011110010 F -b11111111111111 ) -#500608000 -0& -#500624000 -b1111010001110 , -#500640000 -1& -#500656000 -b111101001111111110000010101 " -b111101001111111110000010101 4 -b11110111111111111010111011111111 1 -b11110111111111111010111011111111 C -b111101001111111110000010101 0 -b111101001111111110000010101 H -08 -b1011000001000011101001100011010 < -b1001101001000010101010111010101 2 -b1001101001000010101010111010101 = -b1001101001000010101010111010101 : -b11110100111111111000001010111010 $ -b11110100111111111000001010111010 - -b11110100111111111000001010111010 5 -b11110100111111111000001010111010 ? -b11110100111111111000001010111010 D -b10100111110111100010110011100101 % -b10100111110111100010110011100101 . -b10100111110111100010110011100101 6 -b10100111110111100010110011100101 @ -b10100111110111100010110011100101 F -b111101001111111110000010101 ) -#500672000 -0& -#500688000 -b1111010001111 , -#500704000 -1& -#500720000 -b111000111111011110 " -b111000111111011110 4 -b11110111111111111111111110011110 1 -b11110111111111111111111110011110 C -b111000111111011110 0 -b111000111111011110 H -18 -b1010000100111000000101110001 < -b11101110000010110001100100001110 2 -b11101110000010110001100100001110 = -b11101110000010110001100100001110 : -b11100011111101111001011110011100 $ -b11100011111101111001011110011100 - -b11100011111101111001011110011100 5 -b11100011111101111001011110011100 ? -b11100011111101111001011110011100 D -b11110101111011000111111010001110 % -b11110101111011000111111010001110 . -b11110101111011000111111010001110 6 -b11110101111011000111111010001110 @ -b11110101111011000111111010001110 F -b111000111111011110 ) -#500736000 -0& -#500752000 -b1111010010000 , -#500768000 -1& -#500784000 -b111000111011110100010000 " -b111000111011110100010000 4 -b11111111111111011101011011111011 1 -b11111111111111011101011011111011 C -b111000111011110100010000 0 -b111000111011110100010000 H -08 -b1000000000000100011100101010111 < -b100011101111110100101000110011 2 -b100011101111110100101000110011 = -b100011101111110100101000110011 : -b11100011101111010001000011011011 $ -b11100011101111010001000011011011 - -b11100011101111010001000011011011 5 -b11100011101111010001000011011011 ? -b11100011101111010001000011011011 D -b10111111111111011100011010101000 % -b10111111111111011100011010101000 . -b10111111111111011100011010101000 6 -b10111111111111011100011010101000 @ -b10111111111111011100011010101000 F -b111000111011110100010000 ) -#500800000 -0& -#500816000 -b1111010010001 , -#500832000 -1& -#500848000 -b11011110110111 " -b11011110110111 4 -b11101111011111111011111101111111 1 -b11101111011111111011111101111111 C -b11011110110111 0 -b11011110110111 H -08 -b10000100000000101001010001110 < -b1111111111011111001000011101101 2 -b1111111111011111001000011101101 = -b1111111111011111001000011101101 : -b1101111011011110011111001011110 $ -b1101111011011110011111001011110 - -b1101111011011110011111001011110 5 -b1101111011011110011111001011110 ? -b1101111011011110011111001011110 D -b11101111011111111010110101110001 % -b11101111011111111010110101110001 . -b11101111011111111010110101110001 6 -b11101111011111111010110101110001 @ -b11101111011111111010110101110001 F -b11011110110111 ) -#500864000 -0& -#500880000 -b1111010010010 , -#500896000 -1& -#500912000 -b11111 " -b11111 4 -b11111111111111111011101110111111 1 -b11111111111111111011101110111111 C -b11111 0 -b11111 H -18 -09 -b11000000010100000101111111000100 < -b10111100010011000111101101111010 2 -b10111100010011000111101101111010 = -b10111100010011000111101101111010 : -b11111011111111000001101110110101 $ -b11111011111111000001101110110101 - -b11111011111111000001101110110101 5 -b11111011111111000001101110110101 ? -b11111011111111000001101110110101 D -b111111101011111010000000111011 % -b111111101011111010000000111011 . -b111111101011111010000000111011 6 -b111111101011111010000000111011 @ -b111111101011111010000000111011 F -b11111 ) -#500928000 -0& -#500944000 -b1111010010011 , -#500960000 -1& -#500976000 -b10 " -b10 4 -b10111111110110111011001101011111 1 -b10111111110110111011001101011111 C -b10 0 -b10 H -19 -18 -b11000001001011000100110010100001 < -b1111110100001011110111010111111 2 -b1111110100001011110111010111111 = -b1111110100001011110111010111111 : -b10111101010110011010001000011101 $ -b10111101010110011010001000011101 - -b10111101010110011010001000011101 5 -b10111101010110011010001000011101 ? -b10111101010110011010001000011101 D -b111110110100111011001101011110 % -b111110110100111011001101011110 . -b111110110100111011001101011110 6 -b111110110100111011001101011110 @ -b111110110100111011001101011110 F -b10 ) -#500992000 -0& -#501008000 -b1111010010100 , -#501024000 -1& -#501040000 -b111111001110111101000110010100 " -b111111001110111101000110010100 4 -b11111111111111111111111011110011 1 -b11111111111111111111111011110011 C -b111111001110111101000110010100 0 -b111111001110111101000110010100 H -09 -08 -b100000000010000000011101011101 < -b11100111101110100110110101111 2 -b11100111101110100110110101111 = -b11100111101110100110110101111 : -b11111100111011110100011001010001 $ -b11111100111011110100011001010001 - -b11111100111011110100011001010001 5 -b11111100111011110100011001010001 ? -b11111100111011110100011001010001 D -b11011111111101111111100010100010 % -b11011111111101111111100010100010 . -b11011111111101111111100010100010 6 -b11011111111101111111100010100010 @ -b11011111111101111111100010100010 F -b111111001110111101000110010100 ) -#501056000 -0& -#501072000 -b1111010010101 , -#501088000 -1& -#501104000 -b11110111111101111110 " -b11110111111101111110 4 -b11111111111111111110101101111111 1 -b11111111111111111110101101111111 C -b11110111111101111110 0 -b11110111111101111110 H -18 -b10010100000000000011111111010011 < -b10001011111110000010101101000111 2 -b10001011111110000010101101000111 = -b10001011111110000010101101000111 : -b11110111111101111110101101110011 $ -b11110111111101111110101101110011 - -b11110111111101111110101101110011 5 -b11110111111101111110101101110011 ? -b11110111111101111110101101110011 D -b1101011111111111100000000101100 % -b1101011111111111100000000101100 . -b1101011111111111100000000101100 6 -b1101011111111111100000000101100 @ -b1101011111111111100000000101100 F -b11110111111101111110 ) -#501120000 -0& -#501136000 -b1111010010110 , -#501152000 -1& -#501168000 -b11111111 " -b11111111 4 -b11111111111111101010111010011100 1 -b11111111111111101010111010011100 C -b11111111 0 -b11111111 H -08 -b11000000000010101100111100111 < -b10111110111111110001010000100 2 -b10111110111111110001010000100 = -b10111110111111110001010000100 : -b11111111110111101000100010011100 $ -b11111111110111101000100010011100 - -b11111111110111101000100010011100 5 -b11111111110111101000100010011100 ? -b11111111110111101000100010011100 D -b11100111111111101010011000011000 % -b11100111111111101010011000011000 . -b11100111111111101010011000011000 6 -b11100111111111101010011000011000 @ -b11100111111111101010011000011000 F -b11111111 ) -#501184000 -0& -#501200000 -b1111010010111 , -#501216000 -1& -#501232000 -b11111110110100110111001 " -b11111110110100110111001 4 -b11111111110110110111111111001001 1 -b11111111110110110111111111001001 C -b11111110110100110111001 0 -b11111110110100110111001 H -b101000001001011101000111110110 < -b100110111110010100010111000000 2 -b100110111110010100010111000000 = -b100110111110010100010111000000 : -b11111110110100110111001111001001 $ -b11111110110100110111001111001001 - -b11111110110100110111001111001001 5 -b11111110110100110111001111001001 ? -b11111110110100110111001111001001 D -b11010111110110100010111000001001 % -b11010111110110100010111000001001 . -b11010111110110100010111000001001 6 -b11010111110110100010111000001001 @ -b11010111110110100010111000001001 F -b11111110110100110111001 ) -#501248000 -0& -#501264000 -b1111010011000 , -#501280000 -1& -#501296000 -b11111111111110110110100 " -b11111111111110110110100 4 -b1111111111111011111010011011101 1 -b1111111111111011111010011011101 C -b11111111111110110110100 0 -b11111111111110110110100 H -08 -b10000110110000100011101101110111 < -b110101111111110111111010101 2 -b110101111111110111111010101 = -b110101111111110111111010101 : -b1111111111111011011010001011101 $ -b1111111111111011011010001011101 - -b1111111111111011011010001011101 5 -b1111111111111011011010001011101 ? -b1111111111111011011010001011101 D -b1111001001111011100010010001000 % -b1111001001111011100010010001000 . -b1111001001111011100010010001000 6 -b1111001001111011100010010001000 @ -b1111001001111011100010010001000 F -b11111111111110110110100 ) -#501312000 -0& -#501328000 -b1111010011001 , -#501344000 -1& -#501360000 -b1111111111101110000011000100 " -b1111111111101110000011000100 4 -b11111111111111101111111111001110 1 -b11111111111111101111111111001110 C -b1111111111101110000011000100 0 -b1111111111101110000011000100 H -08 -b10100000000010000010000111011 < -b10011111011110001000010000110 2 -b10011111011110001000010000110 = -b10011111011110001000010000110 : -b11111111111011100000110001001010 $ -b11111111111011100000110001001010 - -b11111111111011100000110001001010 5 -b11111111111011100000110001001010 ? -b11111111111011100000110001001010 D -b11101011111111101111101111000100 % -b11101011111111101111101111000100 . -b11101011111111101111101111000100 6 -b11101011111111101111101111000100 @ -b11101011111111101111101111000100 F -b1111111111101110000011000100 ) -#501376000 -0& -#501392000 -b1111010011010 , -#501408000 -1& -#501424000 -b11111011 " -b11111011 4 -b11111101111111110111111100110111 1 -b11111101111111110111111100110111 C -b11111011 0 -b11111011 H -19 -08 -b10100110001010100111101000 < -b10000000100101000010100100011010 2 -b10000000100101000010100100011010 = -b10000000100101000010100100011010 : -b1111101111110110111111100110001 $ -b1111101111110110111111100110001 - -b1111101111110110111111100110001 5 -b1111101111110110111111100110001 ? -b1111101111110110111111100110001 D -b11111101011001110101011000010111 % -b11111101011001110101011000010111 . -b11111101011001110101011000010111 6 -b11111101011001110101011000010111 @ -b11111101011001110101011000010111 F -b11111011 ) -#501440000 -0& -#501456000 -b1111010011011 , -#501472000 -1& -#501488000 -b111111111101011000 " -b111111111101011000 4 -b1111111111111110010110101101101 1 -b1111111111111110010110101101101 C -b111111111101011000 0 -b111111111101011000 H -09 -08 -b10100001000000011101001010010010 < -b100000111011001101101110011000 2 -b100000111011001101101110011000 = -b100000111011001101101110011000 : -b1111111111010110000100100000101 $ -b1111111111010110000100100000101 - -b1111111111010110000100100000101 5 -b1111111111010110000100100000101 ? -b1111111111010110000100100000101 D -b1011110111111100010110101101101 % -b1011110111111100010110101101101 . -b1011110111111100010110101101101 6 -b1011110111111100010110101101101 @ -b1011110111111100010110101101101 F -b111111111101011000 ) -#501504000 -0& -#501520000 -b1111010011100 , -#501536000 -1& -#501552000 -b11 " -b11 4 -b11111111111111111110100111111101 1 -b11111111111111111110100111111101 C -b11 0 -b11 H -19 -08 -b1001100000000010101111001000010 < -b11001011110111110100100000101111 2 -b11001011110111110100100000101111 = -b11001011110111110100100000101111 : -b1111111110111011110100111101100 $ -b1111111110111011110100111101100 - -b1111111110111011110100111101100 5 -b1111111110111011110100111101100 ? -b1111111110111011110100111101100 D -b10110011111111101010000110111101 % -b10110011111111101010000110111101 . -b10110011111111101010000110111101 6 -b10110011111111101010000110111101 @ -b10110011111111101010000110111101 F -b11 ) -#501568000 -0& -#501584000 -b1111010011101 , -#501600000 -1& -#501616000 -b110110111111101101000 " -b110110111111101101000 4 -b11111111111110110100111111101111 1 -b11111111111110110100111111101111 C -b110110111111101101000 0 -b110110111111101101000 H -09 -18 -b11101011000010010100 < -b11011100000010011111010001100100 2 -b11011100000010011111010001100100 = -b11011100000010011111010001100100 : -b11011011111110110100001111001111 $ -b11011011111110110100001111001111 - -b11011011111110110100001111001111 5 -b11011011111110110100001111001111 ? -b11011011111110110100001111001111 D -b11111111111100010100111101101011 % -b11111111111100010100111101101011 . -b11111111111100010100111101101011 6 -b11111111111100010100111101101011 @ -b11111111111100010100111101101011 F -b110110111111101101000 ) -#501632000 -0& -#501648000 -b1111010011110 , -#501664000 -1& -#501680000 -b11100110111 " -b11100110111 4 -b1111111111110110110111100110111 1 -b1111111111110110110111100110111 C -b11100110111 0 -b11100110111 H -18 -b10000000001101011011011111001100 < -b10111001111100001101111100000011 2 -b10111001111100001101111100000011 = -b10111001111100001101111100000011 : -b111001101110110010011100110110 $ -b111001101110110010011100110110 - -b111001101110110010011100110110 5 -b111001101110110010011100110110 ? -b111001101110110010011100110110 D -b1111111110010100100100000110011 % -b1111111110010100100100000110011 . -b1111111110010100100100000110011 6 -b1111111110010100100100000110011 @ -b1111111110010100100100000110011 F -b11100110111 ) -#501696000 -0& -#501712000 -b1111010011111 , -#501728000 -1& -#501744000 -b1111001111111010101 " -b1111001111111010101 4 -b11111110111111111101011111101111 1 -b11111110111111111101011111101111 C -b1111001111111010101 0 -b1111001111111010101 H -08 -b11001100000110100100110101 < -b100001101011111011111010011101 2 -b100001101011111011111010011101 = -b100001101011111011111010011101 : -b11110011111110101010101100111 $ -b11110011111110101010101100111 - -b11110011111110101010101100111 5 -b11110011111110101010101100111 ? -b11110011111110101010101100111 D -b11111100110011111001011011001010 % -b11111100110011111001011011001010 . -b11111100110011111001011011001010 6 -b11111100110011111001011011001010 @ -b11111100110011111001011011001010 F -b1111001111111010101 ) -#501760000 -0& -#501776000 -b1111010100000 , -#501792000 -1& -#501808000 -b11111100101011010101010111101 " -b11111100101011010101010111101 4 -b11111110111011010111111111101011 1 -b11111110111011010111111111101011 C -b11111100101011010101010111101 0 -b11111100101011010101010111101 H -08 -b1001000100101001010110011100 < -b101101111111110101110001000 2 -b101101111111110101110001000 = -b101101111111110101110001000 : -b11111100101011010101010111101011 $ -b11111100101011010101010111101011 - -b11111100101011010101010111101011 5 -b11111100101011010101010111101011 ? -b11111100101011010101010111101011 D -b11110110111011010110101001100011 % -b11110110111011010110101001100011 . -b11110110111011010110101001100011 6 -b11110110111011010110101001100011 @ -b11110110111011010110101001100011 F -b11111100101011010101010111101 ) -#501824000 -0& -#501840000 -b1111010100001 , -#501856000 -1& -#501872000 -b10111011011110111001 " -b10111011011110111001 4 -b11111011111111111101111100101110 1 -b11111011111111111101111100101110 C -b10111011011110111001 0 -b10111011011110111001 H -18 -b101000100000011001011110011 < -b11000000100010111101000100010110 2 -b11000000100010111101000100010110 = -b11000000100010111101000100010110 : -b10111011011110111001111000100010 $ -b10111011011110111001111000100010 - -b10111011011110111001111000100010 5 -b10111011011110111001111000100010 ? -b10111011011110111001111000100010 D -b11111010111011111100110100001100 % -b11111010111011111100110100001100 . -b11111010111011111100110100001100 6 -b11111010111011111100110100001100 @ -b11111010111011111100110100001100 F -b10111011011110111001 ) -#501888000 -0& -#501904000 -b1111010100010 , -#501920000 -1& -#501936000 -b111111111110111 " -b111111111110111 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b111111111110111 0 -b111111111110111 H -18 -b10111001001001000010000100101110 < -b10111001000100101001001010011110 2 -b10111001000100101001001010011110 = -b10111001000100101001001010011110 : -b11111111111011100111000101101111 $ -b11111111111011100111000101101111 - -b11111111111011100111000101101111 5 -b11111111111011100111000101101111 ? -b11111111111011100111000101101111 D -b1000110110110111101111011010001 % -b1000110110110111101111011010001 . -b1000110110110111101111011010001 6 -b1000110110110111101111011010001 @ -b1000110110110111101111011010001 F -b111111111110111 ) -#501952000 -0& -#501968000 -b1111010100011 , -#501984000 -1& -#502000000 -b1 " -b1 4 -b11011111111111111110111111111111 1 -b11011111111111111110111111111111 C -b1 0 -b1 H -b100100000010000011001010000000 < -b11110000111001000001010100111001 2 -b11110000111001000001010100111001 = -b11110000111001000001010100111001 : -b11001100110110111110001010111000 $ -b11001100110110111110001010111000 - -b11001100110110111110001010111000 5 -b11001100110110111110001010111000 ? -b11001100110110111110001010111000 D -b11011011111101111100110101111111 % -b11011011111101111100110101111111 . -b11011011111101111100110101111111 6 -b11011011111101111100110101111111 @ -b11011011111101111100110101111111 F -b1 ) -#502016000 -0& -#502032000 -b1111010100100 , -#502048000 -1& -#502064000 -b11111111110110100101 " -b11111111110110100101 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b11111111110110100101 0 -b11111111110110100101 H -08 -19 -b1000000001000010011100010100 < -b10000111111100010101011000101001 2 -b10000111111100010101011000101001 = -b10000111111100010101011000101001 : -b1111111111011010010111100010100 $ -b1111111111011010010111100010100 - -b1111111111011010010111100010100 5 -b1111111111011010010111100010100 ? -b1111111111011010010111100010100 D -b11110111111110111101100011101011 % -b11110111111110111101100011101011 . -b11110111111110111101100011101011 6 -b11110111111110111101100011101011 @ -b11110111111110111101100011101011 F -b11111111110110100101 ) -#502080000 -0& -#502096000 -b1111010100101 , -#502112000 -1& -#502128000 -b100111011110110111011 " -b100111011110110111011 4 -b1111111111111111111111111111111 1 -b1111111111111111111111111111111 C -b100111011110110111011 0 -b100111011110110111011 H -09 -08 -b11000000100000001100000000010101 < -b1111011101111010111101101101 2 -b1111011101111010111101101101 = -b1111011101111010111101101101 : -b1001110111101101110111101010111 $ -b1001110111101101110111101010111 - -b1001110111101101110111101010111 5 -b1001110111101101110111101010111 ? -b1001110111101101110111101010111 D -b111111011111110011111111101010 % -b111111011111110011111111101010 . -b111111011111110011111111101010 6 -b111111011111110011111111101010 @ -b111111011111110011111111101010 F -b100111011110110111011 ) -#502144000 -0& -#502160000 -b1111010100110 , -#502176000 -1& -#502192000 -b1101000110111110111010 " -b1101000110111110111010 4 -b1111110110111111111011110111011 1 -b1111110110111111111011110111011 C -b1101000110111110111010 0 -b1101000110111110111010 H -18 -b10000001101100010100100101110110 < -b11101010100100001011111100101010 2 -b11101010100100001011111100101010 = -b11101010100100001011111100101010 : -b1101000110111110111010110110011 $ -b1101000110111110111010110110011 - -b1101000110111110111010110110011 5 -b1101000110111110111010110110011 ? -b1101000110111110111010110110011 D -b1111110010011101011011010001001 % -b1111110010011101011011010001001 . -b1111110010011101011011010001001 6 -b1111110010011101011011010001001 @ -b1111110010011101011011010001001 F -b1101000110111110111010 ) -#502208000 -0& -#502224000 -b1111010100111 , -#502240000 -1& -#502256000 -b11110 " -b11110 4 -b11111111110111101110101011011111 1 -b11111111110111101110101011011111 C -b11110 0 -b11110 H -08 -b10010001000011001010110100100 < -b1001111100000100000001101011 2 -b1001111100000100000001101011 = -b1001111100000100000001101011 : -b11110111110011101010101011000110 $ -b11110111110011101010101011000110 - -b11110111110011101010101011000110 5 -b11110111110011101010101011000110 ? -b11110111110011101010101011000110 D -b11101101110111100110101001011011 % -b11101101110111100110101001011011 . -b11101101110111100110101001011011 6 -b11101101110111100110101001011011 @ -b11101101110111100110101001011011 F -b11110 ) -#502272000 -0& -#502288000 -b1111010101000 , -#502304000 -1& -#502320000 -b1000011111111110100111010000 " -b1000011111111110100111010000 4 -b11111111111111111101110110001101 1 -b11111111111111111101110110001101 C -b1000011111111110100111010000 0 -b1000011111111110100111010000 H -18 -b100000010111001111011 < -b10001000000011101100101110000101 2 -b10001000000011101100101110000101 = -b10001000000011101100101110000101 : -b10000111111111101001110100001001 $ -b10000111111111101001110100001001 - -b10000111111111101001110100001001 5 -b10000111111111101001110100001001 ? -b10000111111111101001110100001001 D -b11111111111011111101000110000100 % -b11111111111011111101000110000100 . -b11111111111011111101000110000100 6 -b11111111111011111101000110000100 @ -b11111111111011111101000110000100 F -b1000011111111110100111010000 ) -#502336000 -0& -#502352000 -b1111010101001 , -#502368000 -1& -#502384000 -b11111011 " -b11111011 4 -b1111101111101111011011011011111 1 -b1111101111101111011011011011111 C -b11111011 0 -b11111011 H -08 -b11000010100011000100100100101000 < -b1000000011000111100111000000011 2 -b1000000011000111100111000000011 = -b1000000011000111100111000000011 : -b1111101110101111000010011011010 $ -b1111101110101111000010011011010 - -b1111101110101111000010011011010 5 -b1111101110101111000010011011010 ? -b1111101110101111000010011011010 D -b111101011100111011011011010111 % -b111101011100111011011011010111 . -b111101011100111011011011010111 6 -b111101011100111011011011010111 @ -b111101011100111011011011010111 F -b11111011 ) -#502400000 -0& -#502416000 -b1111010101010 , -#502432000 -1& -#502448000 -b1111011011010101 " -b1111011011010101 4 -b11110110111111011111100011110001 1 -b11110110111111011111100011110001 C -b1111011011010101 0 -b1111011011010101 H -08 -b101001110001110000111100001111 < -b100000100111000110011100010001 2 -b100000100111000110011100010001 = -b100000100111000110011100010001 : -b11110110110101010101100000000001 $ -b11110110110101010101100000000001 - -b11110110110101010101100000000001 5 -b11110110110101010101100000000001 ? -b11110110110101010101100000000001 D -b11010110001110001111000011110000 % -b11010110001110001111000011110000 . -b11010110001110001111000011110000 6 -b11010110001110001111000011110000 @ -b11010110001110001111000011110000 F -b1111011011010101 ) -#502464000 -0& -#502480000 -b1111010101011 , -#502496000 -1& -#502512000 -b10111111111111010000100100 " -b10111111111111010000100100 4 -b11111111111111101011010011101111 1 -b11111111111111101011010011101111 C -b10111111111111010000100100 0 -b10111111111111010000100100 H -08 -b1000001000010100101110011010 < -b1101000000111111101000000101010 2 -b1101000000111111101000000101010 = -b1101000000111111101000000101010 : -b1011111111111101000010010001111 $ -b1011111111111101000010010001111 - -b1011111111111101000010010001111 5 -b1011111111111101000010010001111 ? -b1011111111111101000010010001111 D -b11110111110111101011010001100101 % -b11110111110111101011010001100101 . -b11110111110111101011010001100101 6 -b11110111110111101011010001100101 @ -b11110111110111101011010001100101 F -b10111111111111010000100100 ) -#502528000 -0& -#502544000 -b1111010101100 , -#502560000 -1& -#502576000 -b111011 " -b111011 4 -b11111111101111110110101111011011 1 -b11111111101111110110101111011011 C -b111011 0 -b111011 H -08 -b100110011001001101011011100101 < -b10110001001000011101010110001 2 -b10110001001000011101010110001 = -b10110001001000011101010110001 : -b11101111101111110110001111001011 $ -b11101111101111110110001111001011 - -b11101111101111110110001111001011 5 -b11101111101111110110001111001011 ? -b11101111101111110110001111001011 D -b11011001100110110010100100011010 % -b11011001100110110010100100011010 . -b11011001100110110010100100011010 6 -b11011001100110110010100100011010 @ -b11011001100110110010100100011010 F -b111011 ) -#502592000 -0& -#502608000 -b1111010101101 , -#502624000 -1& -#502640000 -b11111101111 " -b11111101111 4 -b1111110111111110011111011111100 1 -b1111110111111110011111011111100 C -b11111101111 0 -b11111101111 H -08 -b10000001100101001111100100001011 < -b100101000011000101100100 2 -b100101000011000101100100 = -b100101000011000101100100 : -b1111110111111110011100001011000 $ -b1111110111111110011100001011000 - -b1111110111111110011100001011000 5 -b1111110111111110011100001011000 ? -b1111110111111110011100001011000 D -b1111110011010110000011011110100 % -b1111110011010110000011011110100 . -b1111110011010110000011011110100 6 -b1111110011010110000011011110100 @ -b1111110011010110000011011110100 F -b11111101111 ) -#502656000 -0& -#502672000 -b1111010101110 , -#502688000 -1& -#502704000 -b1011110111011111111110101110110 " -b1011110111011111111110101110110 4 -b11111111111111111111111111101101 1 -b11111111111111111111111111101101 C -b1011110111011111111110101110110 0 -b1011110111011111111110101110110 H -18 -b100110001101100100010111110 < -b11000010101001101100001110101011 2 -b11000010101001101100001110101011 = -b11000010101001101100001110101011 : -b10111101110111111111101011101100 $ -b10111101110111111111101011101100 - -b10111101110111111111101011101100 5 -b10111101110111111111101011101100 ? -b10111101110111111111101011101100 D -b11111011001110010011011101000001 % -b11111011001110010011011101000001 . -b11111011001110010011011101000001 6 -b11111011001110010011011101000001 @ -b11111011001110010011011101000001 F -b1011110111011111111110101110110 ) -#502720000 -0& -#502736000 -b1111010101111 , -#502752000 -1& -#502768000 -b11111101 " -b11111101 4 -b11111111111111111111010011111011 1 -b11111111111111111111010011111011 C -b11111101 0 -b11111101 H -18 -b10100100000101000010101100000111 < -b10100001100100010001111100101011 2 -b10100001100100010001111100101011 = -b10100001100100010001111100101011 : -b11111101011111001111010000100011 $ -b11111101011111001111010000100011 - -b11111101011111001111010000100011 5 -b11111101011111001111010000100011 ? -b11111101011111001111010000100011 D -b1011011111010111101010011111000 % -b1011011111010111101010011111000 . -b1011011111010111101010011111000 6 -b1011011111010111101010011111000 @ -b1011011111010111101010011111000 F -b11111101 ) -#502784000 -0& -#502800000 -b1111010110000 , -#502816000 -1& -#502832000 -1! -b0 " -b0 4 -b1010111111111110101111111111111 1 -b1010111111111110101111111111111 C -b0 0 -b0 H -18 -09 -b10101010000000001010000000000100 < -b10110001110110011111001011111010 2 -b10110001110110011111001011111010 = -1# -b10110001110110011111001011111010 : -b111110110010101001011110101 $ -b111110110010101001011110101 - -b111110110010101001011110101 5 -b111110110010101001011110101 ? -b111110110010101001011110101 D -b1010101111111110101111111111011 % -b1010101111111110101111111111011 . -b1010101111111110101111111111011 6 -b1010101111111110101111111111011 @ -b1010101111111110101111111111011 F -b0 ) -b1 ( -#502848000 -0& -#502864000 -b1111010110001 , -#502880000 -1& -#502896000 -0! -b1110001111110101101101101110101 " -b1110001111110101101101101110101 4 -b11110011111111011111011111101011 1 -b11110011111111011111011111101011 C -b1110001111110101101101101110101 0 -b1110001111110101101101101110101 H -b11001110000001101010110010111110 < -b10110001111111000110001110101010 2 -b10110001111111000110001110101010 = -0# -b10110001111111000110001110101010 : -b11100011111101011011011011101011 $ -b11100011111101011011011011101011 - -b11100011111101011011011011101011 5 -b11100011111101011011011011101011 ? -b11100011111101011011011011101011 D -b110001111110010101001101000001 % -b110001111110010101001101000001 . -b110001111110010101001101000001 6 -b110001111110010101001101000001 @ -b110001111110010101001101000001 F -b1110001111110101101101101110101 ) -b0 ( -#502912000 -0& -#502928000 -b1111010110010 , -#502944000 -1& -#502960000 -b1111111110111011010 " -b1111111110111011010 4 -b11111111111111111110111111111111 1 -b11111111111111111110111111111111 C -b1111111110111011010 0 -b1111111110111011010 H -08 -b1000001100000000001100000010010 < -b1000001001110110110001100001110 2 -b1000001001110110110001100001110 = -b1000001001110110110001100001110 : -b11111111101110110100101011111011 $ -b11111111101110110100101011111011 - -b11111111101110110100101011111011 5 -b11111111101110110100101011111011 ? -b11111111101110110100101011111011 D -b10111110011111111110011111101101 % -b10111110011111111110011111101101 . -b10111110011111111110011111101101 6 -b10111110011111111110011111101101 @ -b10111110011111111110011111101101 F -b1111111110111011010 ) -#502976000 -0& -#502992000 -b1111010110011 , -#503008000 -1& -#503024000 -b111111111111110000001101010 " -b111111111111110000001101010 4 -b1111111111111100100011110101101 1 -b1111111111111100100011110101101 C -b111111111111110000001101010 0 -b111111111111110000001101010 H -08 -b10000001000011011011100001011011 < -b1000010111011111100001001 2 -b1000010111011111100001001 = -b1000010111011111100001001 : -b1111111111111100000011010101101 $ -b1111111111111100000011010101101 - -b1111111111111100000011010101101 5 -b1111111111111100000011010101101 ? -b1111111111111100000011010101101 D -b1111110111100100100011110100100 % -b1111110111100100100011110100100 . -b1111110111100100100011110100100 6 -b1111110111100100100011110100100 @ -b1111110111100100100011110100100 F -b111111111111110000001101010 ) -#503040000 -0& -#503056000 -b1111010110100 , -#503072000 -1& -#503088000 -b111 " -b111 4 -b11111111110111110010111011011110 1 -b11111111110111110010111011011110 C -b111 0 -b111 H -19 -08 -b1010101000001101001110100011 < -b10001001011111111101011000101010 2 -b10001001011111111101011000101010 = -b10001001011111111101011000101010 : -b1111110110111110000001010000110 $ -b1111110110111110000001010000110 - -b1111110110111110000001010000110 5 -b1111110110111110000001010000110 ? -b1111110110111110000001010000110 D -b11110101010111110010110001011100 % -b11110101010111110010110001011100 . -b11110101010111110010110001011100 6 -b11110101010111110010110001011100 @ -b11110101010111110010110001011100 F -b111 ) -#503104000 -0& -#503120000 -b1111010110101 , -#503136000 -1& -#503152000 -b11111110010011 " -b11111110010011 4 -b11111111111111101101110100110011 1 -b11111111111111101101110100110011 C -b11111110010011 0 -b11111110010011 H -09 -08 -b100000000000010011001011001101 < -b11110010011011100001111010001 2 -b11110010011011100001111010001 = -b11110010011011100001111010001 : -b11111110010011001001000100000011 $ -b11111110010011001001000100000011 - -b11111110010011001001000100000011 5 -b11111110010011001001000100000011 ? -b11111110010011001001000100000011 D -b11011111111111101100110100110010 % -b11011111111111101100110100110010 . -b11011111111111101100110100110010 6 -b11011111111111101100110100110010 @ -b11011111111111101100110100110010 F -b11111110010011 ) -#503168000 -0& -#503184000 -b1111010110110 , -#503200000 -1& -#503216000 -b1111 " -b1111 4 -b11111101111111110111000001011100 1 -b11111101111111110111000001011100 C -b1111 0 -b1111 H -b1000010011010001011111111100011 < -b111100011010000011000000101100 2 -b111100011010000011000000101100 = -b111100011010000011000000101100 : -b11111001111111110111000001001000 $ -b11111001111111110111000001001000 - -b11111001111111110111000001001000 5 -b11111001111111110111000001001000 ? -b11111001111111110111000001001000 D -b10111101100101110100000000011100 % -b10111101100101110100000000011100 . -b10111101100101110100000000011100 6 -b10111101100101110100000000011100 @ -b10111101100101110100000000011100 F -b1111 ) -#503232000 -0& -#503248000 -b1111010110111 , -#503264000 -1& -#503280000 -1! -b0 " -b0 4 -b1011111011111111101111011111111 1 -b1011111011111111101111011111111 C -b0 0 -b0 H -18 -b10100010100000011110000100000000 < -b10110101101010111011100100011001 2 -b10110101101010111011100100011001 = -1# -b10110101101010111011100100011001 : -b10011001010011101100000011000 $ -b10011001010011101100000011000 - -b10011001010011101100000011000 5 -b10011001010011101100000011000 ? -b10011001010011101100000011000 D -b1011101011111100001111011111111 % -b1011101011111100001111011111111 . -b1011101011111100001111011111111 6 -b1011101011111100001111011111111 @ -b1011101011111100001111011111111 F -b0 ) -b1 ( -#503296000 -0& -#503312000 -b1111010111000 , -#503328000 -1& -#503344000 -0! -b11110111110111011 " -b11110111110111011 4 -b1111111111111111111100111001111 1 -b1111111111111111111100111001111 C -b11110111110111011 0 -b11110111110111011 H -18 -b10000010100000000100111110110001 < -b11111110011011110100100100111001 2 -b11111110011011110100100100111001 = -0# -b11111110011011110100100100111001 : -b1111011111011101111100110000111 $ -b1111011111011101111100110000111 - -b1111011111011101111100110000111 5 -b1111011111011101111100110000111 ? -b1111011111011101111100110000111 D -b1111101011111111011000001001110 % -b1111101011111111011000001001110 . -b1111101011111111011000001001110 6 -b1111101011111111011000001001110 @ -b1111101011111111011000001001110 F -b11110111110111011 ) -b0 ( -#503360000 -0& -#503376000 -b1111010111001 , -#503392000 -1& -#503408000 -1! -b0 " -b0 4 -b1111111111111110111101100011111 1 -b1111111111111110111101100011111 C -b0 0 -b0 H -b10000010001001111010010111100000 < -b10100001110101101101111011101100 2 -b10100001110101101101111011101100 = -1# -b10100001110101101101111011101100 : -b11111101011110011100100001011 $ -b11111101011110011100100001011 - -b11111101011110011100100001011 5 -b11111101011110011100100001011 ? -b11111101011110011100100001011 D -b1111101110110000101101000011111 % -b1111101110110000101101000011111 . -b1111101110110000101101000011111 6 -b1111101110110000101101000011111 @ -b1111101110110000101101000011111 F -b0 ) -b1 ( -#503424000 -0& -#503440000 -b1111010111010 , -#503456000 -1& -#503472000 -0! -b1110 " -b1110 4 -b11101111111111111110010101111110 1 -b11101111111111111110010101111110 C -b1110 0 -b1110 H -08 -b10000000010010101111010100011 < -b1001100001111010110 2 -b1001100001111010110 = -0# -b1001100001111010110 : -b11101111111110110110010100110010 $ -b11101111111110110110010100110010 - -b11101111111110110110010100110010 5 -b11101111111110110110010100110010 ? -b11101111111110110110010100110010 D -b11101111111101101010000101011100 % -b11101111111101101010000101011100 . -b11101111111101101010000101011100 6 -b11101111111101101010000101011100 @ -b11101111111101101010000101011100 F -b1110 ) -b0 ( -#503488000 -0& -#503504000 -b1111010111011 , -#503520000 -1& -#503536000 -b1111000110101 " -b1111000110101 4 -b11110101111011110111111111111011 1 -b11110101111011110111111111111011 C -b1111000110101 0 -b1111000110101 H -18 -b1010000101001101101000001100 < -b11111011110000110101010001001000 2 -b11111011110000110101010001001000 = -b11111011110000110101010001001000 : -b11110001101011100111101000111011 $ -b11110001101011100111101000111011 - -b11110001101011100111101000111011 5 -b11110001101011100111101000111011 ? -b11110001101011100111101000111011 D -b11110101111010110010010111110011 % -b11110101111010110010010111110011 . -b11110101111010110010010111110011 6 -b11110101111010110010010111110011 @ -b11110101111010110010010111110011 F -b1111000110101 ) -#503552000 -0& -#503568000 -b1111010111100 , -#503584000 -1& -#503600000 -1! -b0 " -b0 4 -b11111111011111110011011111111111 1 -b11111111011111110011011111111111 C -b0 0 -b0 H -08 -19 -b100100001110100111000000 < -b10000000000011000000101110100100 2 -b10000000000011000000101110100100 = -1# -b10000000000011000000101110100100 : -b1111111011110110010000111100011 $ -b1111111011110110010000111100011 - -b1111111011110110010000111100011 5 -b1111111011110110010000111100011 ? -b1111111011110110010000111100011 D -b11111111011011110001011000111111 % -b11111111011011110001011000111111 . -b11111111011011110001011000111111 6 -b11111111011011110001011000111111 @ -b11111111011011110001011000111111 F -b0 ) -b1 ( -#503616000 -0& -#503632000 -b1111010111101 , -#503648000 -1& -#503664000 -0! -b1111 " -b1111 4 -b11111111111111110110111111111110 1 -b11111111111111110110111111111110 C -b1111 0 -b1111 H -09 -18 -b10100011000000011101000001100011 < -b10100010100000010011001011100010 2 -b10100010100000010011001011100010 = -0# -b10100010100000010011001011100010 : -b11111111011111110110001001111110 $ -b11111111011111110110001001111110 - -b11111111011111110110001001111110 5 -b11111111011111110110001001111110 ? -b11111111011111110110001001111110 D -b1011100111111100010111110011100 % -b1011100111111100010111110011100 . -b1011100111111100010111110011100 6 -b1011100111111100010111110011100 @ -b1011100111111100010111110011100 F -b1111 ) -b0 ( -#503680000 -0& -#503696000 -b1111010111110 , -#503712000 -1& -#503728000 -b111111010001110000100111000 " -b111111010001110000100111000 4 -b11111111110111011111011100111111 1 -b11111111110111011111011100111111 C -b111111010001110000100111000 0 -b111111010001110000100111000 H -19 -18 -b10000001001000100000110011011010 < -b1111110001111100011001111110110 2 -b1111110001111100011001111110110 = -b1111110001111100011001111110110 : -b11111101000111000010011100011011 $ -b11111101000111000010011100011011 - -b11111101000111000010011100011011 5 -b11111101000111000010011100011011 ? -b11111101000111000010011100011011 D -b1111110110111011111001100100101 % -b1111110110111011111001100100101 . -b1111110110111011111001100100101 6 -b1111110110111011111001100100101 @ -b1111110110111011111001100100101 F -b111111010001110000100111000 ) -#503744000 -0& -#503760000 -b1111010111111 , -#503776000 -1& -#503792000 -b110011011110 " -b110011011110 4 -b10111111111111110011111000111111 1 -b10111111111111110011111000111111 C -b110011011110 0 -b110011011110 H -09 -08 -b1000000000100001110000111101101 < -b1110011100011000010000000101101 2 -b1110011100011000010000000101101 = -b1110011100011000010000000101101 : -b110011011110110011111000111111 $ -b110011011110110011111000111111 - -b110011011110110011111000111111 5 -b110011011110110011111000111111 ? -b110011011110110011111000111111 D -b10111111111011110001111000010010 % -b10111111111011110001111000010010 . -b10111111111011110001111000010010 6 -b10111111111011110001111000010010 @ -b10111111111011110001111000010010 F -b110011011110 ) -#503808000 -0& -#503824000 -b1111011000000 , -#503840000 -1& -#503856000 -b110101100011111 " -b110101100011111 4 -b11101111111111110110100111110011 1 -b11101111111111110110100111110011 C -b110101100011111 0 -b110101100011111 H -19 -08 -b110000000001001101011011101111 < -b10011011001001000011100011100011 2 -b10011011001001000011100011100011 = -b10011011001001000011100011100011 : -b1101011000111110110000111110011 $ -b1101011000111110110000111110011 - -b1101011000111110110000111110011 5 -b1101011000111110110000111110011 ? -b1101011000111110110000111110011 D -b11001111111110110010100100010000 % -b11001111111110110010100100010000 . -b11001111111110110010100100010000 6 -b11001111111110110010100100010000 @ -b11001111111110110010100100010000 F -b110101100011111 ) -#503872000 -0& -#503888000 -b1111011000001 , -#503904000 -1& -#503920000 -b1111111110011111100 " -b1111111110011111100 4 -b11111111111111111101110111101111 1 -b11111111111111111101110111101111 C -b1111111110011111100 0 -b1111111110011111100 H -09 -18 -b10001000100110100010001010110010 < -b10001000001110011011111101011101 2 -b10001000001110011011111101011101 = -b10001000001110011011111101011101 : -b11111111100111111001110010101010 $ -b11111111100111111001110010101010 - -b11111111100111111001110010101010 5 -b11111111100111111001110010101010 ? -b11111111100111111001110010101010 D -b1110111011001011101110101001101 % -b1110111011001011101110101001101 . -b1110111011001011101110101001101 6 -b1110111011001011101110101001101 @ -b1110111011001011101110101001101 F -b1111111110011111100 ) -#503936000 -0& -#503952000 -b1111011000010 , -#503968000 -1& -#503984000 -b11101111111011011010010 " -b11101111111011011010010 4 -b111111111111111111111010100111 1 -b111111111111111111111010100111 C -b11101111111011011010010 0 -b11101111111011011010010 H -18 -09 -b11010000010001001010010111011001 < -b11101110010000100101101001100001 2 -b11101110010000100101101001100001 = -b11101110010000100101101001100001 : -b11101111111011011010010000111 $ -b11101111111011011010010000111 - -b11101111111011011010010000111 5 -b11101111111011011010010000111 ? -b11101111111011011010010000111 D -b101111101110110101101000100110 % -b101111101110110101101000100110 . -b101111101110110101101000100110 6 -b101111101110110101101000100110 @ -b101111101110110101101000100110 F -b11101111111011011010010 ) -#504000000 -0& -#504016000 -b1111011000011 , -#504032000 -1& -#504048000 -b1110011101111 " -b1110011101111 4 -b11111111111111110111111111110011 1 -b11111111111111110111111111110011 C -b1110011101111 0 -b1110011101111 H -19 -18 -b10000010000100001110100101101100 < -b1101001100011100101100011001101 2 -b1101001100011100101100011001101 = -b1101001100011100101100011001101 : -b11100111011111010110111101100000 $ -b11100111011111010110111101100000 - -b11100111011111010110111101100000 5 -b11100111011111010110111101100000 ? -b11100111011111010110111101100000 D -b1111101111011110001011010010011 % -b1111101111011110001011010010011 . -b1111101111011110001011010010011 6 -b1111101111011110001011010010011 @ -b1111101111011110001011010010011 F -b1110011101111 ) -#504064000 -0& -#504080000 -b1111011000100 , -#504096000 -1& -#504112000 -b100110101011101001111 " -b100110101011101001111 4 -b1111111011111010011111110101010 1 -b1111111011111010011111110101010 C -b100110101011101001111 0 -b100110101011101001111 H -09 -18 -b10001000100010101100001101010101 < -b11010101111010000000001001111110 2 -b11010101111010000000001001111110 = -b11010101111010000000001001111110 : -b1001101010111010011111100101000 $ -b1001101010111010011111100101000 - -b1001101010111010011111100101000 5 -b1001101010111010011111100101000 ? -b1001101010111010011111100101000 D -b1110111011101010011110010101010 % -b1110111011101010011110010101010 . -b1110111011101010011110010101010 6 -b1110111011101010011110010101010 @ -b1110111011101010011110010101010 F -b100110101011101001111 ) -#504128000 -0& -#504144000 -b1111011000101 , -#504160000 -1& -#504176000 -b1 " -b1 4 -b11111111111101111101110111111111 1 -b11111111111101111101110111111111 C -b1 0 -b1 H -b10100000010110011110101010000000 < -b10100000010011111011100001101101 2 -b10100000010011111011100001101101 = -b10100000010011111011100001101101 : -b11111111111101011100110111101100 $ -b11111111111101011100110111101100 - -b11111111111101011100110111101100 5 -b11111111111101011100110111101100 ? -b11111111111101011100110111101100 D -b1011111101001100001010101111111 % -b1011111101001100001010101111111 . -b1011111101001100001010101111111 6 -b1011111101001100001010101111111 @ -b1011111101001100001010101111111 F -b1 ) -#504192000 -0& -#504208000 -b1111011000110 , -#504224000 -1& -#504240000 -b111101101001100010001000001111 " -b111101101001100010001000001111 4 -b1111111011111100111011000011111 1 -b1111111011111100111011000011111 C -b111101101001100010001000001111 0 -b111101101001100010001000001111 H -18 -b10000010100000011000100111111110 < -b11111101110011011100111000011110 2 -b11111101110011011100111000011110 = -b11111101110011011100111000011110 : -b1111011010011000100010000011111 $ -b1111011010011000100010000011111 - -b1111011010011000100010000011111 5 -b1111011010011000100010000011111 ? -b1111011010011000100010000011111 D -b1111101011111100111011000000001 % -b1111101011111100111011000000001 . -b1111101011111100111011000000001 6 -b1111101011111100111011000000001 @ -b1111101011111100111011000000001 F -b111101101001100010001000001111 ) -#504256000 -0& -#504272000 -b1111011000111 , -#504288000 -1& -#504304000 -b11111011110100 " -b11111011110100 4 -b11111111111111011011111110111001 1 -b11111111111111011011111110111001 C -b11111011110100 0 -b11111011110100 H -19 -08 -b1000000000100100100111001110 < -b10000101111010110101001101100111 2 -b10000101111010110101001101100111 = -b10000101111010110101001101100111 : -b1111101111010010000100110011000 $ -b1111101111010010000100110011000 - -b1111101111010010000100110011000 5 -b1111101111010010000100110011000 ? -b1111101111010010000100110011000 D -b11110111111111011011011000110001 % -b11110111111111011011011000110001 . -b11110111111111011011011000110001 6 -b11110111111111011011011000110001 @ -b11110111111111011011011000110001 F -b11111011110100 ) -#504320000 -0& -#504336000 -b1111011001000 , -#504352000 -1& -#504368000 -b1111 " -b1111 4 -b11111111111111111011010111011111 1 -b11111111111111111011010111011111 C -b1111 0 -b1111 H -b1101000001000000110111111100100 < -b11100111100011001001010110101001 2 -b11100111100011001001010110101001 = -b11100111100011001001010110101001 : -b1111111011011000010010111000100 $ -b1111111011011000010010111000100 - -b1111111011011000010010111000100 5 -b1111111011011000010010111000100 ? -b1111111011011000010010111000100 D -b10010111110111111001000000011011 % -b10010111110111111001000000011011 . -b10010111110111111001000000011011 6 -b10010111110111111001000000011011 @ -b10010111110111111001000000011011 F -b1111 ) -#504384000 -0& -#504400000 -b1111011001001 , -#504416000 -1& -#504432000 -b1111011 " -b1111011 4 -b11111111101111111111111101111111 1 -b11111111101111111111111101111111 C -b1111011 0 -b1111011 H -09 -18 -b100110100110000010010000110 < -b11111100011100100110000111100101 2 -b11111100011100100110000111100101 = -b11111100011100100110000111100101 : -b11110111100111110101110101011110 $ -b11110111100111110101110101011110 - -b11110111100111110101110101011110 5 -b11110111100111110101110101011110 ? -b11110111100111110101110101011110 D -b11111011001011001111101101111001 % -b11111011001011001111101101111001 . -b11111011001011001111101101111001 6 -b11111011001011001111101101111001 @ -b11111011001011001111101101111001 F -b1111011 ) -#504448000 -0& -#504464000 -b1111011001010 , -#504480000 -1& -#504496000 -b10101111 " -b10101111 4 -b11111111111111111111011111110111 1 -b11111111111111111111011111110111 C -b10101111 0 -b10101111 H -08 -b10000011000000100110010001010 < -b100110010111111011010000011110 2 -b100110010111111011010000011110 = -b100110010111111011010000011110 : -b10101111111110110011110010011 $ -b10101111111110110011110010011 - -b10101111111110110011110010011 5 -b10101111111110110011110010011 ? -b10101111111110110011110010011 D -b11101111100111111011001101110101 % -b11101111100111111011001101110101 . -b11101111100111111011001101110101 6 -b11101111100111111011001101110101 @ -b11101111100111111011001101110101 F -b10101111 ) -#504512000 -0& -#504528000 -b1111011001011 , -#504544000 -1& -#504560000 -b1101111111111 " -b1101111111111 4 -b11011111111111111010111111111011 1 -b11011111111111111010111111111011 C -b1101111111111 0 -b1101111111111 H -08 -b1101111000000100101001100001100 < -b1001111000000010110001100000101 2 -b1001111000000010110001100000101 = -b1001111000000010110001100000101 : -b11011111111111110000111111111000 $ -b11011111111111110000111111111000 - -b11011111111111110000111111111000 5 -b11011111111111110000111111111000 ? -b11011111111111110000111111111000 D -b10010000111111011010110011110011 % -b10010000111111011010110011110011 . -b10010000111111011010110011110011 6 -b10010000111111011010110011110011 @ -b10010000111111011010110011110011 F -b1101111111111 ) -#504576000 -0& -#504592000 -b1111011001100 , -#504608000 -1& -#504624000 -b11111111 " -b11111111 4 -b11111111111111111111011111011101 1 -b11111111111111111111011111011101 C -b11111111 0 -b11111111 H -b101010000001010111100101100111 < -b101001111011001110101100111101 2 -b101001111011001110101100111101 = -b101001111011001110101100111101 : -b11111111111001110111000111010101 $ -b11111111111001110111000111010101 - -b11111111111001110111000111010101 5 -b11111111111001110111000111010101 ? -b11111111111001110111000111010101 D -b11010101111110101000011010011000 % -b11010101111110101000011010011000 . -b11010101111110101000011010011000 6 -b11010101111110101000011010011000 @ -b11010101111110101000011010011000 F -b11111111 ) -#504640000 -0& -#504656000 -b1111011001101 , -#504672000 -1& -#504688000 -b11001110100111001000100111010 " -b11001110100111001000100111010 4 -b11101110111111111010111111010011 1 -b11101110111111111010111111010011 C -b11001110100111001000100111010 0 -b11001110100111001000100111010 H -18 -b11101000110000101100111111100 < -b11101011101101001110001111010000 2 -b11101011101101001110001111010000 = -b11101011101101001110001111010000 : -b11001110100111001000100111010011 $ -b11001110100111001000100111010011 - -b11001110100111001000100111010011 5 -b11001110100111001000100111010011 ? -b11001110100111001000100111010011 D -b11100010111001111010011000000011 % -b11100010111001111010011000000011 . -b11100010111001111010011000000011 6 -b11100010111001111010011000000011 @ -b11100010111001111010011000000011 F -b11001110100111001000100111010 ) -#504704000 -0& -#504720000 -b1111011001110 , -#504736000 -1& -#504752000 -b11000110110101 " -b11000110110101 4 -b1111111111101010111111101110011 1 -b1111111111101010111111101110011 C -b11000110110101 0 -b11000110110101 H -18 -b10010000000110101000001110001111 < -b11000001110011111010011011100011 2 -b11000001110011111010011011100011 = -b11000001110011111010011011100011 : -b110001101101010010001101010011 $ -b110001101101010010001101010011 - -b110001101101010010001101010011 5 -b110001101101010010001101010011 ? -b110001101101010010001101010011 D -b1101111111001010111110001110000 % -b1101111111001010111110001110000 . -b1101111111001010111110001110000 6 -b1101111111001010111110001110000 @ -b1101111111001010111110001110000 F -b11000110110101 ) -#504768000 -0& -#504784000 -b1111011001111 , -#504800000 -1& -#504816000 -b111110 " -b111110 4 -b11111111111101110101011110111111 1 -b11111111111101110101011110111111 C -b111110 0 -b111110 H -08 -b10000001010001010110001100101 < -b1001110110111111000100010011 2 -b1001110110111111000100010011 = -b1001110110111111000100010011 : -b11111001101100110100010010101101 $ -b11111001101100110100010010101101 - -b11111001101100110100010010101101 5 -b11111001101100110100010010101101 ? -b11111001101100110100010010101101 D -b11101111110101110101001110011010 % -b11101111110101110101001110011010 . -b11101111110101110101001110011010 6 -b11101111110101110101001110011010 @ -b11101111110101110101001110011010 F -b111110 ) -#504832000 -0& -#504848000 -b1111011010000 , -#504864000 -1& -#504880000 -b1101101110110010011001111111 " -b1101101110110010011001111111 4 -b11111111111111111111011111111111 1 -b11111111111111111111011111111111 C -b1101101110110010011001111111 0 -b1101101110110010011001111111 H -18 -b1000001000000010110110011011 < -b11100011110100101001010110011011 2 -b11100011110100101001010110011011 = -b11100011110100101001010110011011 : -b11011011101100100110011111111111 $ -b11011011101100100110011111111111 - -b11011011101100100110011111111111 5 -b11011011101100100110011111111111 ? -b11011011101100100110011111111111 D -b11110111110111111101001001100100 % -b11110111110111111101001001100100 . -b11110111110111111101001001100100 6 -b11110111110111111101001001100100 @ -b11110111110111111101001001100100 F -b1101101110110010011001111111 ) -#504896000 -0& -#504912000 -b1111011010001 , -#504928000 -1& -#504944000 -b11100 " -b11100 4 -b11111111111111111111101110111011 1 -b11111111111111111111101110111011 C -b11100 0 -b11100 H -b11000010010000010010110011100100 < -b10100101001110010001010110011111 2 -b10100101001110010001010110011111 = -b10100101001110010001010110011111 : -b11100010111101111110100010111010 $ -b11100010111101111110100010111010 - -b11100010111101111110100010111010 5 -b11100010111101111110100010111010 ? -b11100010111101111110100010111010 D -b111101101111101101001100011011 % -b111101101111101101001100011011 . -b111101101111101101001100011011 6 -b111101101111101101001100011011 @ -b111101101111101101001100011011 F -b11100 ) -#504960000 -0& -#504976000 -b1111011010010 , -#504992000 -1& -#505008000 -b10110111 " -b10110111 4 -b11111111111111111111010111011011 1 -b11111111111111111111010111011011 C -b10110111 0 -b10110111 H -09 -18 -b10100000001000100111000100111 < -b11001011110000110011001001000011 2 -b11001011110000110011001001000011 = -b11001011110000110011001001000011 : -b10110111101111101110010000011011 $ -b10110111101111101110010000011011 - -b10110111101111101110010000011011 5 -b10110111101111101110010000011011 ? -b10110111101111101110010000011011 D -b11101011111110111011000111011000 % -b11101011111110111011000111011000 . -b11101011111110111011000111011000 6 -b11101011111110111011000111011000 @ -b11101011111110111011000111011000 F -b10110111 ) -#505024000 -0& -#505040000 -b1111011010011 , -#505056000 -1& -#505072000 -b111111001111111011011001 " -b111111001111111011011001 4 -b10111111111111111111111011111110 1 -b10111111111111111111111011111110 C -b111111001111111011011001 0 -b111111001111111011011001 H -19 -08 -b1100000000000010011000100011001 < -b10011111010000001110011110010010 2 -b10011111010000001110011110010010 = -b10011111010000001110011110010010 : -b111111001111111011011001111000 $ -b111111001111111011011001111000 - -b111111001111111011011001111000 5 -b111111001111111011011001111000 ? -b111111001111111011011001111000 D -b10011111111111101100111011100110 % -b10011111111111101100111011100110 . -b10011111111111101100111011100110 6 -b10011111111111101100111011100110 @ -b10011111111111101100111011100110 F -b111111001111111011011001 ) -#505088000 -0& -#505104000 -b1111011010100 , -#505120000 -1& -#505136000 -b110 " -b110 4 -b1111111111111110100011101111111 1 -b1111111111111110100011101111111 C -b110 0 -b110 H -18 -09 -b10000000000000111011100010000011 < -b11101110100000101011101110110111 2 -b11101110100000101011101110110111 = -b11101110100000101011101110110111 : -b1101110011111110000001100110011 $ -b1101110011111110000001100110011 - -b1101110011111110000001100110011 5 -b1101110011111110000001100110011 ? -b1101110011111110000001100110011 D -b1111111111111000100011101111100 % -b1111111111111000100011101111100 . -b1111111111111000100011101111100 6 -b1111111111111000100011101111100 @ -b1111111111111000100011101111100 F -b110 ) -#505152000 -0& -#505168000 -b1111011010101 , -#505184000 -1& -#505200000 -b11100111111111100011 " -b11100111111111100011 4 -b11111111111111111001111111011011 1 -b11111111111111111001111111011011 C -b11100111111111100011 0 -b11100111111111100011 H -08 -19 -b1000001000100000111110000110100 < -b10110101000011111001100001001110 2 -b10110101000011111001100001001110 = -b10110101000011111001100001001110 : -b1110011111111110001110000011001 $ -b1110011111111110001110000011001 - -b1110011111111110001110000011001 5 -b1110011111111110001110000011001 ? -b1110011111111110001110000011001 D -b10111110111011111000001111001011 % -b10111110111011111000001111001011 . -b10111110111011111000001111001011 6 -b10111110111011111000001111001011 @ -b10111110111011111000001111001011 F -b11100111111111100011 ) -#505216000 -0& -#505232000 -b1111011010110 , -#505248000 -1& -#505264000 -b110111101111101 " -b110111101111101 4 -b11011110111110111111111111110001 1 -b11011110111110111111111111110001 C -b110111101111101 0 -b110111101111101 H -09 -18 -b10110101001001100001001010001110 < -b10010100001000010111001000100000 2 -b10010100001000010111001000100000 = -b10010100001000010111001000100000 : -b11011110111110110101111110010001 $ -b11011110111110110101111110010001 - -b11011110111110110101111110010001 5 -b11011110111110110101111110010001 ? -b11011110111110110101111110010001 D -b1001010110110011110110101110001 % -b1001010110110011110110101110001 . -b1001010110110011110110101110001 6 -b1001010110110011110110101110001 @ -b1001010110110011110110101110001 F -b110111101111101 ) -#505280000 -0& -#505296000 -b1111011010111 , -#505312000 -1& -#505328000 -b1111011111011101110001000 " -b1111011111011101110001000 4 -b11111111110111111111011101100111 1 -b11111111110111111111011101100111 C -b1111011111011101110001000 0 -b1111011111011101110001000 H -b10001100000100110011111000 < -b11111010000011100001000101011101 2 -b11111010000011100001000101011101 = -b11111010000011100001000101011101 : -b11110111110111011100010001100100 $ -b11110111110111011100010001100100 - -b11110111110111011100010001100100 5 -b11110111110111011100010001100100 ? -b11110111110111011100010001100100 D -b11111101110011111011001100000111 % -b11111101110011111011001100000111 . -b11111101110011111011001100000111 6 -b11111101110011111011001100000111 @ -b11111101110011111011001100000111 F -b1111011111011101110001000 ) -#505344000 -0& -#505360000 -b1111011011000 , -#505376000 -1& -#505392000 -b10111110110111110011011110 " -b10111110110111110011011110 4 -b11111110110111111011011111000110 1 -b11111110110111111011011111000110 C -b10111110110111110011011110 0 -b10111110110111110011011110 H -19 -18 -b10100001011000000100100000111001 < -b1100000001111110111111110111100 2 -b1100000001111110111111110111100 = -b1100000001111110111111110111100 : -b10111110110111110011011110000010 $ -b10111110110111110011011110000010 - -b10111110110111110011011110000010 5 -b10111110110111110011011110000010 ? -b10111110110111110011011110000010 D -b1011110100111111011011111000110 % -b1011110100111111011011111000110 . -b1011110100111111011011111000110 6 -b1011110100111111011011111000110 @ -b1011110100111111011011111000110 F -b10111110110111110011011110 ) -#505408000 -0& -#505424000 -b1111011011001 , -#505440000 -1& -#505456000 -b111100 " -b111100 4 -b1111111111111110001110111111101 1 -b1111111111111110001110111111101 C -b111100 0 -b111100 H -08 -09 -b10010000010000001111101101000110 < -b1010001100000001010010101011 2 -b1010001100000001010010101011 = -b1010001100000001010010101011 : -b1111001111011110001100101100100 $ -b1111001111011110001100101100100 - -b1111001111011110001100101100100 5 -b1111001111011110001100101100100 ? -b1111001111011110001100101100100 D -b1101111101111110000010010111001 % -b1101111101111110000010010111001 . -b1101111101111110000010010111001 6 -b1101111101111110000010010111001 @ -b1101111101111110000010010111001 F -b111100 ) -#505472000 -0& -#505488000 -b1111011011010 , -#505504000 -1& -#505520000 -b11101001111110 " -b11101001111110 4 -b1111101111111111011110101110101 1 -b1111101111111111011110101110101 C -b11101001111110 0 -b11101001111110 H -b10100010000000010101001110001110 < -b10110111111110000110010110100 2 -b10110111111110000110010110100 = -b10110111111110000110010110100 : -b1110100111111011011100100100101 $ -b1110100111111011011100100100101 - -b1110100111111011011100100100101 5 -b1110100111111011011100100100101 ? -b1110100111111011011100100100101 D -b1011101111111101010110001110001 % -b1011101111111101010110001110001 . -b1011101111111101010110001110001 6 -b1011101111111101010110001110001 @ -b1011101111111101010110001110001 F -b11101001111110 ) -#505536000 -0& -#505552000 -b1111011011011 , -#505568000 -1& -#505584000 -b1111011111111111001100010 " -b1111011111111111001100010 4 -b11111111111111111001100010100111 1 -b11111111111111111001100010100111 C -b1111011111111111001100010 0 -b1111011111111111001100010 H -b10001001110011101011001 < -b1111100010001000111111111111111 2 -b1111100010001000111111111111111 = -b1111100010001000111111111111111 : -b1111011111111111001100010100101 $ -b1111011111111111001100010100101 - -b1111011111111111001100010100101 5 -b1111011111111111001100010100101 ? -b1111011111111111001100010100101 D -b11111111101110110001100010100110 % -b11111111101110110001100010100110 . -b11111111101110110001100010100110 6 -b11111111101110110001100010100110 @ -b11111111101110110001100010100110 F -b1111011111111111001100010 ) -#505600000 -0& -#505616000 -b1111011011100 , -#505632000 -1& -#505648000 -b111011 " -b111011 4 -b11111111111111111111011101011011 1 -b11111111111111111111011101011011 C -b111011 0 -b111011 H -19 -08 -b1010001100000000000101110100110 < -b11001001001110111010001011110001 2 -b11001001001110111010001011110001 = -b11001001001110111010001011110001 : -b1110111101110111001011101001010 $ -b1110111101110111001011101001010 - -b1110111101110111001011101001010 5 -b1110111101110111001011101001010 ? -b1110111101110111001011101001010 D -b10101110011111111111010001011001 % -b10101110011111111111010001011001 . -b10101110011111111111010001011001 6 -b10101110011111111111010001011001 @ -b10101110011111111111010001011001 F -b111011 ) -#505664000 -0& -#505680000 -b1111011011101 , -#505696000 -1& -#505712000 -b1101111 " -b1101111 4 -b11111111111011111111110101111111 1 -b11111111111011111111110101111111 C -b1101111 0 -b1101111 H -09 -08 -b100110100110011100001010101000 < -b1011110100001011011011100101000 2 -b1011110100001011011011100101000 = -b1011110100001011011011100101000 : -b110111111010111111010001111111 $ -b110111111010111111010001111111 - -b110111111010111111010001111111 5 -b110111111010111111010001111111 ? -b110111111010111111010001111111 D -b11011001011001100011110101010111 % -b11011001011001100011110101010111 . -b11011001011001100011110101010111 6 -b11011001011001100011110101010111 @ -b11011001011001100011110101010111 F -b1101111 ) -#505728000 -0& -#505744000 -b1111011011110 , -#505760000 -1& -#505776000 -b1011011111010100101111010010 " -b1011011111010100101111010010 4 -b11111111111111101101111110010111 1 -b11111111111111101101111110010111 C -b1011011111010100101111010010 0 -b1011011111010100101111010010 H -09 -08 -b1010000000010011101001111100 < -b1100101111010111001100100010011 2 -b1100101111010111001100100010011 = -b1100101111010111001100100010011 : -b1011011111010100101111010010110 $ -b1011011111010100101111010010110 - -b1011011111010100101111010010110 5 -b1011011111010100101111010010110 ? -b1011011111010100101111010010110 D -b11110101111111101100010110000011 % -b11110101111111101100010110000011 . -b11110101111111101100010110000011 6 -b11110101111111101100010110000011 @ -b11110101111111101100010110000011 F -b1011011111010100101111010010 ) -#505792000 -0& -#505808000 -b1111011011111 , -#505824000 -1& -#505840000 -b11001110111111101110 " -b11001110111111101110 4 -b1111111111111110111010011011011 1 -b1111111111111110111010011011011 C -b11001110111111101110 0 -b11001110111111101110 H -b10100010000001001001101110110100 < -b1001100001000000110010010000 2 -b1001100001000000110010010000 = -b1001100001000000110010010000 : -b1100111011111110111000011011011 $ -b1100111011111110111000011011011 - -b1100111011111110111000011011011 5 -b1100111011111110111000011011011 ? -b1100111011111110111000011011011 D -b1011101111110110110010001001011 % -b1011101111110110110010001001011 . -b1011101111110110110010001001011 6 -b1011101111110110110010001001011 @ -b1011101111110110110010001001011 F -b11001110111111101110 ) -#505856000 -0& -#505872000 -b1111011100000 , -#505888000 -1& -#505904000 -b10111011110011101011111 " -b10111011110011101011111 4 -b10111111111111101011111000111011 1 -b10111111111111101011111000111011 C -b10111011110011101011111 0 -b10111011110011101011111 H -18 -b11001000010001011100100111010110 < -b10000100000101001000100000010010 2 -b10000100000101001000100000010010 = -b10000100000101001000100000010010 : -b10111011110011101011111000111011 $ -b10111011110011101011111000111011 - -b10111011110011101011111000111011 5 -b10111011110011101011111000111011 ? -b10111011110011101011111000111011 D -b110111101110100011011000101001 % -b110111101110100011011000101001 . -b110111101110100011011000101001 6 -b110111101110100011011000101001 @ -b110111101110100011011000101001 F -b10111011110011101011111 ) -#505920000 -0& -#505936000 -b1111011100001 , -#505952000 -1& -#505968000 -b1111001110111111110110110 " -b1111001110111111110110110 4 -b11110111111111111101101101101111 1 -b11110111111111111101101101101111 C -b1111001110111111110110110 0 -b1111001110111111110110110 H -19 -18 -b10001001001100010111110010111000 < -b1111100111100010101011111100101 2 -b1111100111100010101011111100101 = -b1111100111100010101011111100101 : -b11110011101111111101101100101100 $ -b11110011101111111101101100101100 - -b11110011101111111101101100101100 5 -b11110011101111111101101100101100 ? -b11110011101111111101101100101100 D -b1110110110011101000001101000111 % -b1110110110011101000001101000111 . -b1110110110011101000001101000111 6 -b1110110110011101000001101000111 @ -b1110110110011101000001101000111 F -b1111001110111111110110110 ) -#505984000 -0& -#506000000 -b1111011100010 , -#506016000 -1& -#506032000 -b110111101110111110101100 " -b110111101110111110101100 4 -b11111110111011111011111010111101 1 -b11111110111011111011111010111101 C -b110111101110111110101100 0 -b110111101110111110101100 H -09 -18 -b1001000111000100000111110111 < -b11101000000010111110111010101101 2 -b11101000000010111110111010101101 = -b11101000000010111110111010101101 : -b11011110111011111010110010110101 $ -b11011110111011111010110010110101 - -b11011110111011111010110010110101 5 -b11011110111011111010110010110101 ? -b11011110111011111010110010110101 D -b11110110111000111011111000001000 % -b11110110111000111011111000001000 . -b11110110111000111011111000001000 6 -b11110110111000111011111000001000 @ -b11110110111000111011111000001000 F -b110111101110111110101100 ) -#506048000 -0& -#506064000 -b1111011100011 , -#506080000 -1& -#506096000 -b1101011101111101111010 " -b1101011101111101111010 4 -b11111110111011111111111101110111 1 -b11111110111011111111111101110111 C -b1101011101111101111010 0 -b1101011101111101111010 H -08 -b11111100010000010010111000 < -b11110111000001100000111101110 2 -b11110111000001100000111101110 = -b11110111000001100000111101110 : -b11010111011111011110100110101 $ -b11010111011111011110100110101 - -b11010111011111011110100110101 5 -b11010111011111011110100110101 ? -b11010111011111011110100110101 D -b11111100000011101111101101000111 % -b11111100000011101111101101000111 . -b11111100000011101111101101000111 6 -b11111100000011101111101101000111 @ -b11111100000011101111101101000111 F -b1101011101111101111010 ) -#506112000 -0& -#506128000 -b1111011100100 , -#506144000 -1& -#506160000 -b1101011100100110100 " -b1101011100100110100 4 -b1111111111111111111011111111111 1 -b1111111111111111111011111111111 C -b1101011100100110100 0 -b1101011100100110100 H -18 -b10000000000000000000100010110011 < -b11101011100100110100111010100111 2 -b11101011100100110100111010100111 = -b11101011100100110100111010100111 : -b1101011100100110100010111110011 $ -b1101011100100110100010111110011 - -b1101011100100110100010111110011 5 -b1101011100100110100010111110011 ? -b1101011100100110100010111110011 D -b1111111111111111111011101001100 % -b1111111111111111111011101001100 . -b1111111111111111111011101001100 6 -b1111111111111111111011101001100 @ -b1111111111111111111011101001100 F -b1101011100100110100 ) -#506176000 -0& -#506192000 -b1111011100101 , -#506208000 -1& -#506224000 -b11 " -b11 4 -b11111111111111111101100111111111 1 -b11111111111111111101100111111111 C -b11 0 -b11 H -08 -b111001011100010111110100001 < -b111000111001111100110010101 2 -b111000111001111100110010101 = -b111000111001111100110010101 : -b11111111111011101100100111110011 $ -b11111111111011101100100111110011 - -b11111111111011101100100111110011 5 -b11111111111011101100100111110011 ? -b11111111111011101100100111110011 D -b11111000110100011101000001011110 % -b11111000110100011101000001011110 . -b11111000110100011101000001011110 6 -b11111000110100011101000001011110 @ -b11111000110100011101000001011110 F -b11 ) -#506240000 -0& -#506256000 -b1111011100110 , -#506272000 -1& -#506288000 -b1111100111 " -b1111100111 4 -b11111101111111111111111101110111 1 -b11111101111111111111111101110111 C -b1111100111 0 -b1111100111 H -b10011000000000000101110101001 < -b1100111110110100011100011011 2 -b1100111110110100011100011011 = -b1100111110110100011100011011 : -b11111001111110110011101101110001 $ -b11111001111110110011101101110001 - -b11111001111110110011101101110001 5 -b11111001111110110011101101110001 ? -b11111001111110110011101101110001 D -b11101100111111111111010001010110 % -b11101100111111111111010001010110 . -b11101100111111111111010001010110 6 -b11101100111111111111010001010110 @ -b11101100111111111111010001010110 F -b1111100111 ) -#506304000 -0& -#506320000 -b1111011100111 , -#506336000 -1& -#506352000 -b111000111111111 " -b111000111111111 4 -b11110111111111111111111111111111 1 -b11110111111111111111111111111111 C -b111000111111111 0 -b111000111111111 H -08 -b1000000010001110101100001111 < -b1111010000010001110011011011111 2 -b1111010000010001110011011011111 = -b1111010000010001110011011011111 : -b1110001111111111111101111001111 $ -b1110001111111111111101111001111 - -b1110001111111111111101111001111 5 -b1110001111111111111101111001111 ? -b1110001111111111111101111001111 D -b11110111111101110001010011110000 % -b11110111111101110001010011110000 . -b11110111111101110001010011110000 6 -b11110111111101110001010011110000 @ -b11110111111101110001010011110000 F -b111000111111111 ) -#506368000 -0& -#506384000 -b1111011101000 , -#506400000 -1& -#506416000 -b10 " -b10 4 -b11111111111110110100111101111110 1 -b11111111111110110100111101111110 C -b10 0 -b10 H -19 -18 -b10000011000011001011001111000001 < -b111111000001111100001100001110 2 -b111111000001111100001100001110 = -b111111000001111100001100001110 : -b10111011111110110000111101001100 $ -b10111011111110110000111101001100 - -b10111011111110110000111101001100 5 -b10111011111110110000111101001100 ? -b10111011111110110000111101001100 D -b1111100111100110100110000111110 % -b1111100111100110100110000111110 . -b1111100111100110100110000111110 6 -b1111100111100110100110000111110 @ -b1111100111100110100110000111110 F -b10 ) -#506432000 -0& -#506448000 -b1111011101001 , -#506464000 -1& -#506480000 -b1 " -b1 4 -b11111111111111111111111111111111 1 -b11111111111111111111111111111111 C -b1 0 -b1 H -b10010010001100000100010000100000 < -b101101111010000010101110100000 2 -b101101111010000010101110100000 = -b101101111010000010101110100000 : -b10011011101101111110011101111111 $ -b10011011101101111110011101111111 - -b10011011101101111110011101111111 5 -b10011011101101111110011101111111 ? -b10011011101101111110011101111111 D -b1101101110011111011101111011111 % -b1101101110011111011101111011111 . -b1101101110011111011101111011111 6 -b1101101110011111011101111011111 @ -b1101101110011111011101111011111 F -b1 ) -#506496000 -0& -#506512000 -b1111011101010 , -#506528000 -1& -#506544000 -b111011 " -b111011 4 -b1111111111111011111111111111111 1 -b1111111111111011111111111111111 C -b111011 0 -b111011 H -09 -b10000000000000100000110001000110 < -b11110111111111111010101100110101 2 -b11110111111111111010101100110101 = -b11110111111111111010101100110101 : -b1110111111111011001111011101110 $ -b1110111111111011001111011101110 - -b1110111111111011001111011101110 5 -b1110111111111011001111011101110 ? -b1110111111111011001111011101110 D -b1111111111111011111001110111001 % -b1111111111111011111001110111001 . -b1111111111111011111001110111001 6 -b1111111111111011111001110111001 @ -b1111111111111011111001110111001 F -b111011 ) -#506560000 -0& -#506576000 -b1111011101011 , -#506592000 -1& -#506608000 -b11111110010011101011 " -b11111110010011101011 4 -b1111111111101110101100101001111 1 -b1111111111101110101100101001111 C -b11111110010011101011 0 -b11111110010011101011 H -08 -b10101000000010001010111110110100 < -b100111001100000000100010111001 2 -b100111001100000000100010111001 = -b100111001100000000100010111001 : -b1111111001001110101100100000100 $ -b1111111001001110101100100000100 - -b1111111001001110101100100000100 5 -b1111111001001110101100100000100 ? -b1111111001001110101100100000100 D -b1010111111101110101000001001011 % -b1010111111101110101000001001011 . -b1010111111101110101000001001011 6 -b1010111111101110101000001001011 @ -b1010111111101110101000001001011 F -b11111110010011101011 ) -#506624000 -0& -#506640000 -b1111011101100 , -#506656000 -1& -#506672000 -b1111111101001111010 " -b1111111101001111010 4 -b1111111101111111010111100101101 1 -b1111111101111111010111100101101 C -b1111111101001111010 0 -b1111111101001111010 H -b10001010010001011111000111010011 < -b1001111011011001011011011101 2 -b1001111011011001011011011101 = -b1001111011011001011011011101 : -b1111111101001111010010100001001 $ -b1111111101001111010010100001001 - -b1111111101001111010010100001001 5 -b1111111101001111010010100001001 ? -b1111111101001111010010100001001 D -b1110101101110100000111000101100 % -b1110101101110100000111000101100 . -b1110101101110100000111000101100 6 -b1110101101110100000111000101100 @ -b1110101101110100000111000101100 F -b1111111101001111010 ) -#506688000 -0& -#506704000 -b1111011101101 , -#506720000 -1& -#506736000 -b11111110111111101010101100001110 " -b11111110111111101010101100001110 4 -b11111111111111111111101101001110 1 -b11111111111111111111101101001110 C -b11111110111111101010101100001110 0 -b11111110111111101010101100001110 H -18 -b10010000110010001000110010111111 < -b10001111110001110011011111001110 2 -b10001111110001110011011111001110 = -b10001111110001110011011111001110 : -b11111110111111101010101100001110 $ -b11111110111111101010101100001110 - -b11111110111111101010101100001110 5 -b11111110111111101010101100001110 ? -b11111110111111101010101100001110 D -b1101111001101110111001101000000 % -b1101111001101110111001101000000 . -b1101111001101110111001101000000 6 -b1101111001101110111001101000000 @ -b1101111001101110111001101000000 F -b11111110111111101010101100001110 ) -#506752000 -0& -#506768000 -b1111011101110 , -#506784000 -1& -#506800000 -b10110100111 " -b10110100111 4 -b11111111111111111111101110010101 1 -b11111111111111111111101110010101 C -b10110100111 0 -b10110100111 H -19 -18 -b10110000000000101010110001101010 < -b1100100111111010110011111111100 2 -b1100100111111010110011111111100 = -b1100100111111010110011111111100 : -b10110100111110101011101110010001 $ -b10110100111110101011101110010001 - -b10110100111110101011101110010001 5 -b10110100111110101011101110010001 ? -b10110100111110101011101110010001 D -b1001111111111010101001110010101 % -b1001111111111010101001110010101 . -b1001111111111010101001110010101 6 -b1001111111111010101001110010101 @ -b1001111111111010101001110010101 F -b10110100111 ) -#506816000 -0& -#506832000 -b1111011101111 , -#506848000 -1& -#506864000 -b11011 " -b11011 4 -b1101111111111110111101101111110 1 -b1101111111111110111101101111110 C -b11011 0 -b11011 H -08 -09 -b10011000010000001000011110100101 < -b111100111111010001100011100 2 -b111100111111010001100011100 = -b111100111111010001100011100 : -b1101111010111110001101101110110 $ -b1101111010111110001101101110110 - -b1101111010111110001101101110110 5 -b1101111010111110001101101110110 ? -b1101111010111110001101101110110 D -b1100111101111110111100001011010 % -b1100111101111110111100001011010 . -b1100111101111110111100001011010 6 -b1100111101111110111100001011010 @ -b1100111101111110111100001011010 F -b11011 ) -#506880000 -0& -#506896000 -b1111011110000 , -#506912000 -1& -#506928000 -b1000111111101111110110101100010 " -b1000111111101111110110101100010 4 -b11011111111111111111101111100101 1 -b11011111111111111111101111100101 C -b1000111111101111110110101100010 0 -b1000111111101111110110101100010 H -18 -b101111000000010100011000011110 < -b10111110111100010010000011100100 2 -b10111110111100010010000011100100 = -b10111110111100010010000011100100 : -b10001111111011111101101011000101 $ -b10001111111011111101101011000101 - -b10001111111011111101101011000101 5 -b10001111111011111101101011000101 ? -b10001111111011111101101011000101 D -b11010000111111101011100111100001 % -b11010000111111101011100111100001 . -b11010000111111101011100111100001 6 -b11010000111111101011100111100001 @ -b11010000111111101011100111100001 F -b1000111111101111110110101100010 ) -#506944000 -0& -#506960000 -b1111011110001 , -#506976000 -1& -#506992000 -b110011111001010111010111101110 " -b110011111001010111010111101110 4 -b11111111111111011111111110111011 1 -b11111111111111011111111110111011 C -b110011111001010111010111101110 0 -b110011111001010111010111101110 H -b100000100001011111011101 < -b11010000000101111110111110010111 2 -b11010000000101111110111110010111 = -b11010000000101111110111110010111 : -b11001111100101011101011110111001 $ -b11001111100101011101011110111001 - -b11001111100101011101011110111001 5 -b11001111100101011101011110111001 ? -b11001111100101011101011110111001 D -b11111111011111011110100000100010 % -b11111111011111011110100000100010 . -b11111111011111011110100000100010 6 -b11111111011111011110100000100010 @ -b11111111011111011110100000100010 F -b110011111001010111010111101110 ) -#507008000 -0& -#507024000 -b1111011110010 , -#507040000 -1& -#507056000 -b110011111001111000010101 " -b110011111001111000010101 4 -b11101111111011110100111010000111 1 -b11101111111011110100111010000111 C -b110011111001111000010101 0 -b110011111001111000010101 H -19 -08 -b110100000110001011000111111000 < -b10011011111001111011110001111001 2 -b10011011111001111011110001111001 = -b10011011111001111011110001111001 : -b1100111110011110000101010000000 $ -b1100111110011110000101010000000 - -b1100111110011110000101010000000 5 -b1100111110011110000101010000000 ? -b1100111110011110000101010000000 D -b11001011111001110100111000000111 % -b11001011111001110100111000000111 . -b11001011111001110100111000000111 6 -b11001011111001110100111000000111 @ -b11001011111001110100111000000111 F -b110011111001111000010101 ) -#507072000 -0& -#507088000 -b1111011110011 , -#507104000 -1& -#507120000 -b1101001101 " -b1101001101 4 -b11111111111101111011111111111111 1 -b11111111111101111011111111111111 C -b1101001101 0 -b1101001101 H -09 -18 -b10001110001110001000001001 < -b11010101101011001001110101101001 2 -b11010101101011001001110101101001 = -b11010101101011001001110101101001 : -b11010011011100111011101101011111 $ -b11010011011100111011101101011111 - -b11010011011100111011101101011111 5 -b11010011011100111011101101011111 ? -b11010011011100111011101101011111 D -b11111101110001110001110111110110 % -b11111101110001110001110111110110 . -b11111101110001110001110111110110 6 -b11111101110001110001110111110110 @ -b11111101110001110001110111110110 F -b1101001101 ) -#507136000 -0& -#507152000 -b1111011110100 , -#507168000 -1& -#507184000 -b10000011111 " -b10000011111 4 -b11011101111111011101011101110110 1 -b11011101111111011101011101110110 C -b10000011111 0 -b10000011111 H -19 -08 -b1100011010010110110110010001011 < -b10100101010010001100001110110010 2 -b10100101010010001100001110110010 = -b10100101010010001100001110110010 : -b1000001111111010101011100100110 $ -b1000001111111010101011100100110 - -b1000001111111010101011100100110 5 -b1000001111111010101011100100110 ? -b1000001111111010101011100100110 D -b10011100101101001001001101110100 % -b10011100101101001001001101110100 . -b10011100101101001001001101110100 6 -b10011100101101001001001101110100 @ -b10011100101101001001001101110100 F -b10000011111 ) -#507200000 -0& -#507216000 -b1111011110101 , -#507232000 -1& -#507248000 -b10111011011000110010111100001 " -b10111011011000110010111100001 4 -b10111111011111110011111101001111 1 -b10111111011111110011111101001111 C -b10111011011000110010111100001 0 -b10111011011000110010111100001 H -09 -08 -b1101000111000001100011110111100 < -b100100010000111111011011001100 2 -b100100010000111111011011001100 = -b100100010000111111011011001100 : -b10111011011000110010111100001111 $ -b10111011011000110010111100001111 - -b10111011011000110010111100001111 5 -b10111011011000110010111100001111 ? -b10111011011000110010111100001111 D -b10010111000111110011100001000011 % -b10010111000111110011100001000011 . -b10010111000111110011100001000011 6 -b10010111000111110011100001000011 @ -b10010111000111110011100001000011 F -b10111011011000110010111100001 ) -#507264000 -0& -#507280000 -b1111011110110 , -#507296000 -1& -#507312000 -b11111111111101110 " -b11111111111101110 4 -b11111111111111110111111001111111 1 -b11111111111111110111111001111111 C -b11111111111101110 0 -b11111111111101110 H -18 -b10100000010000001010010110110000 < -b10100000001101111100100111100010 2 -b10100000001101111100100111100010 = -b10100000001101111100100111100010 : -b11111111111101110010010000110001 $ -b11111111111101110010010000110001 - -b11111111111101110010010000110001 5 -b11111111111101110010010000110001 ? -b11111111111101110010010000110001 D -b1011111101111110101101001001111 % -b1011111101111110101101001001111 . -b1011111101111110101101001001111 6 -b1011111101111110101101001001111 @ -b1011111101111110101101001001111 F -b11111111111101110 ) -#507328000 -0& -#507344000 -b1111011110111 , -#507360000 -1& -#507376000 -b1101000101111110001011000101101 " -b1101000101111110001011000101101 4 -b1111101111111110001111000101101 1 -b1111101111111110001111000101101 C -b1101000101111110001011000101101 0 -b1101000101111110001011000101101 H -08 -b10100010001000001111010111011111 < -b1010111000000000110000001101 2 -b1010111000000000110000001101 = -b1010111000000000110000001101 : -b1101000101111110001011000101101 $ -b1101000101111110001011000101101 - -b1101000101111110001011000101101 5 -b1101000101111110001011000101101 ? -b1101000101111110001011000101101 D -b1011101110111110000101000100000 % -b1011101110111110000101000100000 . -b1011101110111110000101000100000 6 -b1011101110111110000101000100000 @ -b1011101110111110000101000100000 F -b1101000101111110001011000101101 ) -#507392000 -0& -#507408000 -b1111011111000 , -#507424000 -1& -#507440000 -b1110011111111111010100 " -b1110011111111111010100 4 -b11110111111111110111111111001010 1 -b11110111111111110111111111001010 C -b1110011111111111010100 0 -b1110011111111111010100 H -18 -b1100000000101100001001110101 < -b11110100000000100001010110111110 2 -b11110100000000100001010110111110 = -b11110100000000100001010110111110 : -b11100111111111110101001101001000 $ -b11100111111111110101001101001000 - -b11100111111111110101001101001000 5 -b11100111111111110101001101001000 ? -b11100111111111110101001101001000 D -b11110011111111010011110110001010 % -b11110011111111010011110110001010 . -b11110011111111010011110110001010 6 -b11110011111111010011110110001010 @ -b11110011111111010011110110001010 F -b1110011111111111010100 ) -#507456000 -0& -#507472000 -b1111011111001 , -#507488000 -1& -#507504000 -b11000 " -b11000 4 -b11111111111111111110101111111011 1 -b11111111111111111110101111111011 C -b11000 0 -b11000 H -08 -b100000001001110001100101 < -b1100100001111110110010101010001 2 -b1100100001111110110010101010001 = -b1100100001111110110010101010001 : -b1100011101111101100100011101011 $ -b1100011101111101100100011101011 - -b1100011101111101100100011101011 5 -b1100011101111101100100011101011 ? -b1100011101111101100100011101011 D -b11111111011111110110001110011010 % -b11111111011111110110001110011010 . -b11111111011111110110001110011010 6 -b11111111011111110110001110011010 @ -b11111111011111110110001110011010 F -b11000 ) -#507520000 -0& -#507536000 -b1111011111010 , -#507552000 -1& -#507568000 -1! -b0 " -b0 4 -b11111101011101111101011010011111 1 -b11111101011101111101011010011111 C -b0 0 -b0 H -b10010111010100111100101100001 < -b1010000011000011100111000000001 2 -b1010000011000011100111000000001 = -1# -b1010000011000011100111000000001 : -b111101011101110101010010011111 $ -b111101011101110101010010011111 - -b111101011101110101010010011111 5 -b111101011101110101010010011111 ? -b111101011101110101010010011111 D -b11101101000101011000011010011110 % -b11101101000101011000011010011110 . -b11101101000101011000011010011110 6 -b11101101000101011000011010011110 @ -b11101101000101011000011010011110 F -b0 ) -b1 ( -#507584000 -0& -#507600000 -b1111011111011 , -#507616000 -1& -#507632000 -0! -b111010010001111011001111000 " -b111010010001111011001111000 4 -b11111110111011110110111111101101 1 -b11111110111011110110111111101101 C -b111010010001111011001111000 0 -b111010010001111011001111000 H -19 -08 -b1110001000100111101011100011011 < -b11100101101000110011111010100101 2 -b11100101101000110011111010100101 = -0# -b11100101101000110011111010100101 : -b1110100100011110110011110001001 $ -b1110100100011110110011110001001 - -b1110100100011110110011110001001 5 -b1110100100011110110011110001001 ? -b1110100100011110110011110001001 D -b10001110111011000010100011100100 % -b10001110111011000010100011100100 . -b10001110111011000010100011100100 6 -b10001110111011000010100011100100 @ -b10001110111011000010100011100100 F -b111010010001111011001111000 ) -b0 ( -#507648000 -0& -#507664000 -b1111011111100 , -#507680000 -1& -#507696000 -b10011010011000010001111011000 " -b10011010011000010001111011000 4 -b11111111111110111011111111100011 1 -b11111111111110111011111111100011 C -b10011010011000010001111011000 0 -b10011010011000010001111011000 H -18 -b10000000000001000101001001011100 < -b11010011001010111000100011111 2 -b11010011001010111000100011111 = -b11010011001010111000100011111 : -b10011010011000010001111011000010 $ -b10011010011000010001111011000010 - -b10011010011000010001111011000010 5 -b10011010011000010001111011000010 ? -b10011010011000010001111011000010 D -b1111111111110111010110110100011 % -b1111111111110111010110110100011 . -b1111111111110111010110110100011 6 -b1111111111110111010110110100011 @ -b1111111111110111010110110100011 F -b10011010011000010001111011000 ) -#507712000 -0& -#507728000 -b1111011111101 , -#507744000 -1& -#507760000 -b110111111111 " -b110111111111 4 -b11111111111111111011111101111110 1 -b11111111111111111011111101111110 C -b110111111111 0 -b110111111111 H -b10000000000000001100000111001011 < -b1100000000000000111000100111010 2 -b1100000000000000111000100111010 = -b1100000000000000111000100111010 : -b11011111111111111010111101101110 $ -b11011111111111111010111101101110 - -b11011111111111111010111101101110 5 -b11011111111111111010111101101110 ? -b11011111111111111010111101101110 D -b1111111111111110011111000110100 % -b1111111111111110011111000110100 . -b1111111111111110011111000110100 6 -b1111111111111110011111000110100 @ -b1111111111111110011111000110100 F -b110111111111 ) -#507776000 -0& -#507792000 -b1111011111110 , -#507808000 -1& -#507824000 -b110111010 " -b110111010 4 -b11111111111111111111110010111111 1 -b11111111111111111111110010111111 C -b110111010 0 -b110111010 H -b10000101000001001100111101001000 < -b1100010011111001001101111010101 2 -b1100010011111001001101111010101 = -b1100010011111001001101111010101 : -b11011101011101111100110010001100 $ -b11011101011101111100110010001100 - -b11011101011101111100110010001100 5 -b11011101011101111100110010001100 ? -b11011101011101111100110010001100 D -b1111010111110110011000010110111 % -b1111010111110110011000010110111 . -b1111010111110110011000010110111 6 -b1111010111110110011000010110111 @ -b1111010111110110011000010110111 F -b110111010 ) -#507840000 -0& -#507856000 -b1111011111111 , -#507872000 -1& -#507888000 -b111110111100011000101000000 " -b111110111100011000101000000 4 -b1111101111101111011111011101101 1 -b1111101111101111011111011101101 C -b111110111100011000101000000 0 -b111110111100011000101000000 H -08 -09 -b10000010010010100100000100011011 < -b1011010101010100101001 2 -b1011010101010100101001 = -b1011010101010100101001 : -b1111101111000110001010000001101 $ -b1111101111000110001010000001101 - -b1111101111000110001010000001101 5 -b1111101111000110001010000001101 ? -b1111101111000110001010000001101 D -b1111101101101011011111011100100 % -b1111101101101011011111011100100 . -b1111101101101011011111011100100 6 -b1111101101101011011111011100100 @ -b1111101101101011011111011100100 F -b111110111100011000101000000 ) -#507904000 -0& -#507920000 -b1111100000000 , -#507936000 -1& -#507952000 -b10110111010 " -b10110111010 4 -b11110111010111111111101111111101 1 -b11110111010111111111101111111101 C -b10110111010 0 -b10110111010 H -18 -19 -b10011000101100100111110010001010 < -b1010000000011100111100001010011 2 -b1010000000011100111100001010011 = -b1010000000011100111100001010011 : -b10110111010110111111101111001000 $ -b10110111010110111111101111001000 - -b10110111010110111111101111001000 5 -b10110111010110111111101111001000 ? -b10110111010110111111101111001000 D -b1100111010011011000001101110101 % -b1100111010011011000001101110101 . -b1100111010011011000001101110101 6 -b1100111010011011000001101110101 @ -b1100111010011011000001101110101 F -b10110111010 ) -#507968000 -0& -#507984000 -b1111100000001 , -#508000000 -1& -#508016000 -b1011101101101111 " -b1011101101101111 4 -b11111111111111111101101010111101 1 -b11111111111111111101101010111101 C -b1011101101101111 0 -b1011101101101111 H -b10000000000000001011010111001111 < -b111011011100001000111010001101 2 -b111011011100001000111010001101 = -b111011011100001000111010001101 : -b10111011011011111101100010111101 $ -b10111011011011111101100010111101 - -b10111011011011111101100010111101 5 -b10111011011011111101100010111101 ? -b10111011011011111101100010111101 D -b1111111111111110100101000110000 % -b1111111111111110100101000110000 . -b1111111111111110100101000110000 6 -b1111111111111110100101000110000 @ -b1111111111111110100101000110000 F -b1011101101101111 ) -#508032000 -0& -#508048000 -b1111100000010 , -#508064000 -1& -#508080000 -b10111111110111101101001001 " -b10111111110111101101001001 4 -b11111111111111111111101001111111 1 -b11111111111111111111101001111111 C -b10111111110111101101001001 0 -b10111111110111101101001001 H -09 -18 -b10000100011101000011111011001 < -b11010000011011010101101000110111 2 -b11010000011011010101101000110111 = -b11010000011011010101101000110111 : -b10111111110111101101001001011101 $ -b10111111110111101101001001011101 - -b10111111110111101101001001011101 5 -b10111111110111101101001001011101 ? -b10111111110111101101001001011101 D -b11101111011100010111100000100110 % -b11101111011100010111100000100110 . -b11101111011100010111100000100110 6 -b11101111011100010111100000100110 @ -b11101111011100010111100000100110 F -b10111111110111101101001001 ) -#508096000 -0& -#508112000 -b1111100000011 , -#508128000 -1& -#508144000 -b111101011111110110011 " -b111101011111110110011 4 -b1111111111111101100110101111011 1 -b1111111111111101100110101111011 C -b111101011111110110011 0 -b111101011111110110011 H -08 -b10010000000110111011001010010101 < -b1011000110100111111111000111 2 -b1011000110100111111111000111 = -b1011000110100111111111000111 : -b1111010111111101100110100110001 $ -b1111010111111101100110100110001 - -b1111010111111101100110100110001 5 -b1111010111111101100110100110001 ? -b1111010111111101100110100110001 D -b1101111111001000100110101101010 % -b1101111111001000100110101101010 . -b1101111111001000100110101101010 6 -b1101111111001000100110101101010 @ -b1101111111001000100110101101010 F -b111101011111110110011 ) -#508160000 -0& -#508176000 -b1111100000100 , -#508192000 -1& -#508208000 -b1101110111100110110101101000 " -b1101110111100110110101101000 4 -b11101110111101111110101101000011 1 -b11101110111101111110101101000011 C -b1101110111100110110101101000 0 -b1101110111100110110101101000 H -19 -08 -b10111000010010011010111111100 < -b10000101111111001010000100111110 2 -b10000101111111001010000100111110 = -b10000101111111001010000100111110 : -b1101110111100110110101101000001 $ -b1101110111100110110101101000001 - -b1101110111100110110101101000001 5 -b1101110111100110110101101000001 ? -b1101110111100110110101101000001 D -b11101000111101101100101000000011 % -b11101000111101101100101000000011 . -b11101000111101101100101000000011 6 -b11101000111101101100101000000011 @ -b11101000111101101100101000000011 F -b1101110111100110110101101000 ) -#508224000 -0& -#508240000 -b1111100000101 , -#508256000 -1& -#508272000 -b1111001001111110000001001 " -b1111001001111110000001001 4 -b11111111111111110010110011100111 1 -b11111111111111110010110011100111 C -b1111001001111110000001001 0 -b1111001001111110000001001 H -09 -08 -b100010010000001101001100111000 < -b10100101111101101100000011011 2 -b10100101111101101100000011011 = -b10100101111101101100000011011 : -b11110010011111100000010011100010 $ -b11110010011111100000010011100010 - -b11110010011111100000010011100010 5 -b11110010011111100000010011100010 ? -b11110010011111100000010011100010 D -b11011101101111110010110011000111 % -b11011101101111110010110011000111 . -b11011101101111110010110011000111 6 -b11011101101111110010110011000111 @ -b11011101101111110010110011000111 F -b1111001001111110000001001 ) -#508288000 -0& -#508304000 -b1111100000110 , -#508320000 -1& -#508336000 -b11001 " -b11001 4 -b11111111111111111111011101111111 1 -b11111111111111111111011101111111 C -b11001 0 -b11001 H -19 -18 -b10001100000000000000100011000100 < -b1011011001101100111100100100100 2 -b1011011001101100111100100100100 = -b1011011001101100111100100100100 : -b11001111001101100111000001011111 $ -b11001111001101100111000001011111 - -b11001111001101100111000001011111 5 -b11001111001101100111000001011111 ? -b11001111001101100111000001011111 D -b1110011111111111111011100111011 % -b1110011111111111111011100111011 . -b1110011111111111111011100111011 6 -b1110011111111111111011100111011 @ -b1110011111111111111011100111011 F -b11001 ) -#508352000 -0& -#508368000 -b1111100000111 , -#508384000 -1& -#508400000 -b10111111001 " -b10111111001 4 -b11111111111111111101111111111101 1 -b11111111111111111101111111111101 C -b10111111001 0 -b10111111001 H -09 -08 -b1010000010000010001000101011 < -b1101001101000110111011000010101 2 -b1101001101000110111011000010101 = -b1101001101000110111011000010101 : -b1011111100110110101001111101001 $ -b1011111100110110101001111101001 - -b1011111100110110101001111101001 5 -b1011111100110110101001111101001 ? -b1011111100110110101001111101001 D -b11110101111101111101110111010100 % -b11110101111101111101110111010100 . -b11110101111101111101110111010100 6 -b11110101111101111101110111010100 @ -b11110101111101111101110111010100 F -b10111111001 ) -#508416000 -0& -#508432000 -b1111100001000 , -#508448000 -1& -#508464000 -b11101011111111011011 " -b11101011111111011011 4 -b11111111111111111111010110111110 1 -b11111111111111111111010110111110 C -b11101011111111011011 0 -b11101011111111011011 H -19 -18 -b10000000000001010000101101010011 < -b1101100000000101011110011101110 2 -b1101100000000101011110011101110 = -b1101100000000101011110011101110 : -b11101011111111011011000110011010 $ -b11101011111111011011000110011010 - -b11101011111111011011000110011010 5 -b11101011111111011011000110011010 ? -b11101011111111011011000110011010 D -b1111111111110101111010010101100 % -b1111111111110101111010010101100 . -b1111111111110101111010010101100 6 -b1111111111110101111010010101100 @ -b1111111111110101111010010101100 F -b11101011111111011011 ) -#508480000 -0& -#508496000 -b1111100001001 , -#508512000 -1& -#508528000 -b100111111011111101 " -b100111111011111101 4 -b11111111111111110111111111001110 1 -b11111111111111110111111111001110 C -b100111111011111101 0 -b100111111011111101 H -b10010110000010001010100010110001 < -b110101110010000001011100110100 2 -b110101110010000001011100110100 = -b110101110010000001011100110100 : -b10011111101111110110111010000010 $ -b10011111101111110110111010000010 - -b10011111101111110110111010000010 5 -b10011111101111110110111010000010 ? -b10011111101111110110111010000010 D -b1101001111101110101011101001110 % -b1101001111101110101011101001110 . -b1101001111101110101011101001110 6 -b1101001111101110101011101001110 @ -b1101001111101110101011101001110 F -b100111111011111101 ) -#508544000 -0& -#508560000 -b1111100001010 , -#508576000 -1& -#508592000 -b111111111111011100110001110110 " -b111111111111011100110001110110 4 -b11111111111101111011101111011010 1 -b11111111111101111011101111011010 C -b111111111111011100110001110110 0 -b111111111111011100110001110110 H -09 -18 -b10110000010010000110010010111101 < -b10110000001111111001011010011000 2 -b10110000001111111001011010011000 = -b10110000001111111001011010011000 : -b11111111111101110011000111011010 $ -b11111111111101110011000111011010 - -b11111111111101110011000111011010 5 -b11111111111101110011000111011010 ? -b11111111111101110011000111011010 D -b1001111101101111001101101000010 % -b1001111101101111001101101000010 . -b1001111101101111001101101000010 6 -b1001111101101111001101101000010 @ -b1001111101101111001101101000010 F -b111111111111011100110001110110 ) -#508608000 -0& -#508624000 -b1111100001011 , -#508640000 -1& -#508656000 -b110110111100111110 " -b110110111100111110 4 -b11111111111111111110101111101111 1 -b11111111111111111110101111101111 C -b110110111100111110 0 -b110110111100111110 H -08 -09 -b11000001111110011010011 < -b110111010101001110011111000011 2 -b110111010101001110011111000011 = -b110111010101001110011111000011 : -b110110111100111110101011101111 $ -b110110111100111110101011101111 - -b110110111100111110101011101111 5 -b110110111100111110101011101111 ? -b110110111100111110101011101111 D -b11111111100111110000001100101100 % -b11111111100111110000001100101100 . -b11111111100111110000001100101100 6 -b11111111100111110000001100101100 @ -b11111111100111110000001100101100 F -b110110111100111110 ) -#508672000 -0& -#508688000 -b1111100001100 , -#508704000 -1& -#508720000 -b1111011101111110 " -b1111011101111110 4 -b11111111101111111010111101111111 1 -b11111111101111111010111101111111 C -b1111011101111110 0 -b1111011101111110 H -b110000000101101011110000 < -b1111100011111110110101001110000 2 -b1111100011111110110101001110000 = -b1111100011111110110101001110000 : -b1111011101111110000111101111111 $ -b1111011101111110000111101111111 - -b1111011101111110000111101111111 5 -b1111011101111110000111101111111 ? -b1111011101111110000111101111111 D -b11111111001111111010010100001111 % -b11111111001111111010010100001111 . -b11111111001111111010010100001111 6 -b11111111001111111010010100001111 @ -b11111111001111111010010100001111 F -b1111011101111110 ) -#508736000 -0& -#508752000 -b1111100001101 , -#508768000 -1& -#508784000 -b1011101011 " -b1011101011 4 -b11111110111111111011101011110111 1 -b11111110111111111011101011110111 C -b1011101011 0 -b1011101011 H -19 -18 -b10100001000001000101010101101001 < -b1011011111101000001000001001111 2 -b1011011111101000001000001001111 = -b1011011111101000001000001001111 : -b10111010111011111011101011100101 $ -b10111010111011111011101011100101 - -b10111010111011111011101011100101 5 -b10111010111011111011101011100101 ? -b10111010111011111011101011100101 D -b1011110111110111010101010010110 % -b1011110111110111010101010010110 . -b1011110111110111010101010010110 6 -b1011110111110111010101010010110 @ -b1011110111110111010101010010110 F -b1011101011 ) -#508800000 -0& -#508816000 -b1111100001110 , -#508832000 -1& -#508848000 -b101111 " -b101111 4 -b11111111111111111111011111011110 1 -b11111111111111111111011111011110 C -b101111 0 -b101111 H -b10010101010100100001101010100101 < -b1010010110100001000110100110010 2 -b1010010110100001000110100110010 = -b1010010110100001000110100110010 : -b10111101011111100111001010001100 $ -b10111101011111100111001010001100 - -b10111101011111100111001010001100 5 -b10111101011111100111001010001100 ? -b10111101011111100111001010001100 D -b1101010101011011110010101011010 % -b1101010101011011110010101011010 . -b1101010101011011110010101011010 6 -b1101010101011011110010101011010 @ -b1101010101011011110010101011010 F -b101111 ) -#508864000 -0& -#508880000 -b1111100001111 , -#508896000 -1& -#508912000 -b1001111010111011 " -b1001111010111011 4 -b11111110111111110100011110110100 1 -b11111110111111110100011110110100 C -b1001111010111011 0 -b1001111010111011 H -b10001001000100011011101001001111 < -b100111110011001100000111010100 2 -b100111110011001100000111010100 = -b100111110011001100000111010100 : -b10011110101110110000011110000100 $ -b10011110101110110000011110000100 - -b10011110101110110000011110000100 5 -b10011110101110110000011110000100 ? -b10011110101110110000011110000100 D -b1110110111011100100010110110000 % -b1110110111011100100010110110000 . -b1110110111011100100010110110000 6 -b1110110111011100100010110110000 @ -b1110110111011100100010110110000 F -b1001111010111011 ) -#508928000 -0& -#508944000 -b1111100010000 , -#508960000 -1& -#508976000 -b110101101 " -b110101101 4 -b11111101111111111111110001010111 1 -b11111101111111111111110001010111 C -b110101101 0 -b110101101 H -09 -08 -b100010001000011100111110101010 < -b1010111111000011010101111110010 2 -b1010111111000011010101111110010 = -b1010111111000011010101111110010 : -b110101101111111101110001000111 $ -b110101101111111101110001000111 - -b110101101111111101110001000111 5 -b110101101111111101110001000111 ? -b110101101111111101110001000111 D -b11011101110111100011000001010101 % -b11011101110111100011000001010101 . -b11011101110111100011000001010101 6 -b11011101110111100011000001010101 @ -b11011101110111100011000001010101 F -b110101101 ) -#508992000 -0& -#509008000 -b1111100010001 , -#509024000 -1& -#509040000 -b111011101011011110 " -b111011101011011110 4 -b1111111111111111110010011011101 1 -b1111111111111111110010011011101 C -b111011101011011110 0 -b111011101011011110 H -09 -18 -b10000000000110001001101100110010 < -b11110111011101000101101111001111 2 -b11110111011101000101101111001111 = -b11110111011101000101101111001111 : -b1110111010110111100000010011100 $ -b1110111010110111100000010011100 - -b1110111010110111100000010011100 5 -b1110111010110111100000010011100 ? -b1110111010110111100000010011100 D -b1111111111001110110010011001101 % -b1111111111001110110010011001101 . -b1111111111001110110010011001101 6 -b1111111111001110110010011001101 @ -b1111111111001110110010011001101 F -b111011101011011110 ) -#509056000 -0& -#509072000 -b1111100010010 , -#509088000 -1& -#509104000 -b101110101010101011010011010 " -b101110101010101011010011010 4 -b11111101111111011111101111100101 1 -b11111101111111011111101111100101 C -b101110101010101011010011010 0 -b101110101010101011010011010 H -08 -b110000000100100110100111011 < -b1100011010101111011011011011101 2 -b1100011010101111011011011011101 = -b1100011010101111011011011011101 : -b1011101010101010110100110100001 $ -b1011101010101010110100110100001 - -b1011101010101010110100110100001 5 -b1011101010101010110100110100001 ? -b1011101010101010110100110100001 D -b11111001111111011011001011000100 % -b11111001111111011011001011000100 . -b11111001111111011011001011000100 6 -b11111001111111011011001011000100 @ -b11111001111111011011001011000100 F -b101110101010101011010011010 ) -#509120000 -0& -#509136000 -b1111100010011 , -#509152000 -1& -#509168000 -b1111101111110011 " -b1111101111110011 4 -b11111111111111111100110111011011 1 -b11111111111111111100110111011011 C -b1111101111110011 0 -b1111101111110011 H -08 -09 -b1010100000000011001001101111 < -b110011100110111001110111011 2 -b110011100110111001110111011 = -b110011100110111001110111011 : -b11111011111100110100000101001011 $ -b11111011111100110100000101001011 - -b11111011111100110100000101001011 5 -b11111011111100110100000101001011 ? -b11111011111100110100000101001011 D -b11110101011111111100110110010000 % -b11110101011111111100110110010000 . -b11110101011111111100110110010000 6 -b11110101011111111100110110010000 @ -b11110101011111111100110110010000 F -b1111101111110011 ) -#509184000 -0& -#509200000 -b1111100010100 , -#509216000 -1& -#509232000 -b11111111111110 " -b11111111111110 4 -b11111111111110111111111110111111 1 -b11111111111110111111111110111111 C -b11111111111110 0 -b11111111111110 H -18 -b10000000001001001010101001001101 < -b10000000001000001010100101111011 2 -b10000000001000001010100101111011 = -b10000000001000001010100101111011 : -b11111111111110111111111100101101 $ -b11111111111110111111111100101101 - -b11111111111110111111111100101101 5 -b11111111111110111111111100101101 ? -b11111111111110111111111100101101 D -b1111111110110110101010110110010 % -b1111111110110110101010110110010 . -b1111111110110110101010110110010 6 -b1111111110110110101010110110010 @ -b1111111110110110101010110110010 F -b11111111111110 ) -#509248000 -0& -#509264000 -b1111100010101 , -#509280000 -1& -#509296000 -b101100 " -b101100 4 -b1111111111111110111100100111001 1 -b1111111111111110111100100111001 C -b101100 0 -b101100 H -18 -09 -b10010000000001101000011011000110 < -b11101001010001011010011011101000 2 -b11101001010001011010011011101000 = -b11101001010001011010011011101000 : -b1011001001111110010000000100001 $ -b1011001001111110010000000100001 - -b1011001001111110010000000100001 5 -b1011001001111110010000000100001 ? -b1011001001111110010000000100001 D -b1101111111110010111100100111001 % -b1101111111110010111100100111001 . -b1101111111110010111100100111001 6 -b1101111111110010111100100111001 @ -b1101111111110010111100100111001 F -b101100 ) -#509312000 -0& -#509328000 -b1111100010110 , -#509344000 -1& -#509360000 -b101101100101111111000001011001 " -b101101100101111111000001011001 4 -b11111110111111111111010111100110 1 -b11111110111111111111010111100110 C -b101101100101111111000001011001 0 -b101101100101111111000001011001 H -18 -b10001010110100100101100111101 < -b11000111101110100000110010100010 2 -b11000111101110100000110010100010 = -b11000111101110100000110010100010 : -b10110110010111111100000101100100 $ -b10110110010111111100000101100100 - -b10110110010111111100000101100100 5 -b10110110010111111100000101100100 ? -b10110110010111111100000101100100 D -b11101110101001011011010011000010 % -b11101110101001011011010011000010 . -b11101110101001011011010011000010 6 -b11101110101001011011010011000010 @ -b11101110101001011011010011000010 F -b101101100101111111000001011001 ) -#509376000 -0& -#509392000 -b1111100010111 , -#509408000 -1& -#509424000 -b10110111111111110 " -b10110111111111110 4 -b11011111111111111111111111111110 1 -b11011111111111111111111111111110 C -b10110111111111110 0 -b10110111111111110 H -19 -08 -b110000000000001001011001010001 < -b10001100000000000010110100110000 2 -b10001100000000000010110100110000 = -b10001100000000000010110100110000 : -b1011011111111111001011011011110 $ -b1011011111111111001011011011110 - -b1011011111111111001011011011110 5 -b1011011111111111001011011011110 ? -b1011011111111111001011011011110 D -b11001111111111110110100110101110 % -b11001111111111110110100110101110 . -b11001111111111110110100110101110 6 -b11001111111111110110100110101110 @ -b11001111111111110110100110101110 F -b10110111111111110 ) -#509440000 -0& -#509456000 -b1111100011000 , -#509472000 -1& -#509488000 -b1101111 " -b1101111 4 -b1111111111111111110111110111111 1 -b1111111111111111110111110111111 C -b1101111 0 -b1101111 H -09 -18 -b10110000000000000001000011001000 < -b11100111110111111111011001110011 2 -b11100111110111111111011001110011 = -b11100111110111111111011001110011 : -b110111110111111110010110101010 $ -b110111110111111110010110101010 - -b110111110111111110010110101010 5 -b110111110111111110010110101010 ? -b110111110111111110010110101010 D -b1001111111111111110111100110111 % -b1001111111111111110111100110111 . -b1001111111111111110111100110111 6 -b1001111111111111110111100110111 @ -b1001111111111111110111100110111 F -b1101111 ) -#509504000 -0& -#509520000 -b1111100011001 , -#509536000 -1& -#509552000 -b111110111011111111011100010 " -b111110111011111111011100010 4 -b11111101110111111111111001101101 1 -b11111101110111111111111001101101 C -b111110111011111111011100010 0 -b111110111011111111011100010 H -19 -08 -b1110001000111100000110011011 < -b10001100000000111010111111000101 2 -b10001100000000111010111111000101 = -b10001100000000111010111111000101 : -b1111101110111111110111000101001 $ -b1111101110111111110111000101001 - -b1111101110111111110111000101001 5 -b1111101110111111110111000101001 ? -b1111101110111111110111000101001 D -b11110001110111000011111001100100 % -b11110001110111000011111001100100 . -b11110001110111000011111001100100 6 -b11110001110111000011111001100100 @ -b11110001110111000011111001100100 F -b111110111011111111011100010 ) -#509568000 -0& -#509584000 -b1111100011010 , -#509600000 -1& -#509616000 -1! -b0 " -b0 4 -b11111111111100111101001011111111 1 -b11111111111100111101001011111111 C -b0 0 -b0 H -09 -08 -b10011001010111110000000 < -b1101001001011100111001001111111 2 -b1101001001011100111001001111111 = -1# -b1101001001011100111001001111111 : -b1101000111000011100001011111110 $ -b1101000111000011100001011111110 - -b1101000111000011100001011111110 5 -b1101000111000011100001011111110 ? -b1101000111000011100001011111110 D -b11111111101100110101000001111111 % -b11111111101100110101000001111111 . -b11111111101100110101000001111111 6 -b11111111101100110101000001111111 @ -b11111111101100110101000001111111 F -b0 ) -b1 ( -#509632000 -0& -#509648000 -b1111100011011 , -#509664000 -1& -#509680000 -0! -b1 " -b1 4 -b11011111111111011110110000111111 1 -b11011111111111011110110000111111 C -b1 0 -b1 H -18 -b100000100000100001001111000000 < -b11111110001111110101101111110011 2 -b11111110001111110101101111110011 = -0# -b11111110001111110101101111110011 : -b11011101101111010100100000110010 $ -b11011101101111010100100000110010 - -b11011101101111010100100000110010 5 -b11011101101111010100100000110010 ? -b11011101101111010100100000110010 D -b11011111011111011110110000111111 % -b11011111011111011110110000111111 . -b11011111011111011110110000111111 6 -b11011111011111011110110000111111 @ -b11011111011111011110110000111111 F -b1 ) -b0 ( -#509696000 -0& -#509712000 -b1111100011100 , -#509728000 -1& -#509744000 -b111011100110100111111010011 " -b111011100110100111111010011 4 -b11111011111111101111111111111111 1 -b11111011111111101111111111111111 C -b111011100110100111111010011 0 -b111011100110100111111010011 H -08 -b1100000000010001101010011100 < -b1000111100110111001100100111011 2 -b1000111100110111001100100111011 = -b1000111100110111001100100111011 : -b111011100110100111111010011110 $ -b111011100110100111111010011110 - -b111011100110100111111010011110 5 -b111011100110100111111010011110 ? -b111011100110100111111010011110 D -b11110011111111101110010101100011 % -b11110011111111101110010101100011 . -b11110011111111101110010101100011 6 -b11110011111111101110010101100011 @ -b11110011111111101110010101100011 F -b111011100110100111111010011 ) -#509760000 -0& -#509776000 -b1111100011101 , -#509792000 -1& -#509808000 -b11110001101011010110101111110 " -b11110001101011010110101111110 4 -b11110111111111110111101111110011 1 -b11110111111111110111101111110011 C -b11110001101011010110101111110 0 -b11110001101011010110101111110 H -19 -18 -b10001001000001001000011111111100 < -b1111010101100011111001111101101 2 -b1111010101100011111001111101101 = -b1111010101100011111001111101101 : -b11110001101011010110101111110000 $ -b11110001101011010110101111110000 - -b11110001101011010110101111110000 5 -b11110001101011010110101111110000 ? -b11110001101011010110101111110000 D -b1110110111110110111100000000011 % -b1110110111110110111100000000011 . -b1110110111110110111100000000011 6 -b1110110111110110111100000000011 @ -b1110110111110110111100000000011 F -b11110001101011010110101111110 ) -#509824000 -0& -#509840000 -b1111100011110 , -#509856000 -1& -#509872000 -b11111011000111110101011100011 " -b11111011000111110101011100011 4 -b1111101100111111011101111101110 1 -b1111101100111111011101111101110 C -b11111011000111110101011100011 0 -b11111011000111110101011100011 H -08 -09 -b11000011111001011110110110011101 < -b1000001011101011001100100101100 2 -b1000001011101011001100100101100 = -b1000001011101011001100100101100 : -b1111101100011111010101110001110 $ -b1111101100011111010101110001110 - -b1111101100011111010101110001110 5 -b1111101100011111010101110001110 ? -b1111101100011111010101110001110 D -b111100000110100001001001100010 % -b111100000110100001001001100010 . -b111100000110100001001001100010 6 -b111100000110100001001001100010 @ -b111100000110100001001001100010 F -b11111011000111110101011100011 ) -#509888000 -0& -#509904000 -b1111100011111 , -#509920000 -1& -#509936000 -b111 " -b111 4 -b11111111111111111100100110111101 1 -b11111111111111111100100110111101 C -b111 0 -b111 H -18 -b101011111011100010 < -b11101110011110100100100010011111 2 -b11101110011110100100100010011111 = -b11101110011110100100100010011111 : -b11101110011101111000100110111100 $ -b11101110011101111000100110111100 - -b11101110011101111000100110111100 5 -b11101110011101111000100110111100 ? -b11101110011101111000100110111100 D -b11111111111111010100000100011101 % -b11111111111111010100000100011101 . -b11111111111111010100000100011101 6 -b11111111111111010100000100011101 @ -b11111111111111010100000100011101 F -b111 ) -#509952000 -0& -#509968000 -b1111100100000 , -#509984000 -1& -#510000000 -b11111 " -b11111 4 -b111111111111111011010111111011 1 -b111111111111111011010111111011 C -b11111 0 -b11111 H -18 -b11000000001010001101111100100111 < -b11100000000101110111010011100011 2 -b11100000000101110111010011100011 = -b11100000000101110111010011100011 : -b11111111011101001010110111011 $ -b11111111011101001010110111011 - -b11111111011101001010110111011 5 -b11111111011101001010110111011 ? -b11111111011101001010110111011 D -b111111110101110010000011011000 % -b111111110101110010000011011000 . -b111111110101110010000011011000 6 -b111111110101110010000011011000 @ -b111111110101110010000011011000 F -b11111 ) -#510016000 -0& -#510032000 -b1111100100001 , -#510048000 -1& -#510064000 -b10110101001 " -b10110101001 4 -b1111110110111111111000011011110 1 -b1111110110111111111000011011110 C -b10110101001 0 -b10110101001 H -18 -b10001001001000010011111101101011 < -b11100011101101001010111111000110 2 -b11100011101101001010111111000110 = -b11100011101101001010111111000110 : -b1011010100100110111000001011010 $ -b1011010100100110111000001011010 - -b1011010100100110111000001011010 5 -b1011010100100110111000001011010 ? -b1011010100100110111000001011010 D -b1110110110111101100000010010100 % -b1110110110111101100000010010100 . -b1110110110111101100000010010100 6 -b1110110110111101100000010010100 @ -b1110110110111101100000010010100 F -b10110101001 ) -#510080000 -0& -#510096000 -b1111100100010 , -#510112000 -1& -#510128000 -b110 " -b110 4 -b11101111111110111111111111111101 1 -b11101111111110111111111111111101 C -b110 0 -b110 H -08 -19 -b11100000001000011010010000011 < -b10001011011011101110101000101101 2 -b10001011011011101110101000101101 = -b10001011011011101110101000101101 : -b1101111011010101011010110101001 $ -b1101111011010101011010110101001 - -b1101111011010101011010110101001 5 -b1101111011010101011010110101001 ? -b1101111011010101011010110101001 D -b11100011111110111100101101111100 % -b11100011111110111100101101111100 . -b11100011111110111100101101111100 6 -b11100011111110111100101101111100 @ -b11100011111110111100101101111100 F -b110 ) -#510144000 -0& -#510160000 -b1111100100011 , -#510176000 -1& -#510192000 -b11111 " -b11111 4 -b1111111111111111111111000111110 1 -b1111111111111111111111000111110 C -b11111 0 -b11111 H -09 -08 -b10100010000001010100100111100101 < -b100010000001001100001000010100 2 -b100010000001001100001000010100 = -b100010000001001100001000010100 : -b1111111111111110111100000101110 $ -b1111111111111110111100000101110 - -b1111111111111110111100000101110 5 -b1111111111111110111100000101110 ? -b1111111111111110111100000101110 D -b1011101111110101011011000011010 % -b1011101111110101011011000011010 . -b1011101111110101011011000011010 6 -b1011101111110101011011000011010 @ -b1011101111110101011011000011010 F -b11111 ) -#510208000 -0& -#510224000 -b1111100100100 , -#510240000 -1& -#510256000 -b1111001011001111000000000 " -b1111001011001111000000000 4 -b11111111110111110101011000010111 1 -b11111111110111110101011000010111 C -b1111001011001111000000000 0 -b1111001011001111000000000 H -18 -b1010001010100111111000 < -b11110010111101111010101000001110 2 -b11110010111101111010101000001110 = -b11110010111101111010101000001110 : -b11110010110011110000000000010101 $ -b11110010110011110000000000010101 - -b11110010110011110000000000010101 5 -b11110010110011110000000000010101 ? -b11110010110011110000000000010101 D -b11111111110101110101011000000111 % -b11111111110101110101011000000111 . -b11111111110101110101011000000111 6 -b11111111110101110101011000000111 @ -b11111111110101110101011000000111 F -b1111001011001111000000000 ) -#510272000 -0& -#510288000 -b1111100100101 , -#510304000 -1& -#510320000 -b11111111101111001011001011 " -b11111111101111001011001011 4 -b1111111111111101101100101110111 1 -b1111111111111101101100101110111 C -b11111111101111001011001011 0 -b11111111101111001011001011 H -08 -b10000100000000010111111111011010 < -b11110111111101100101001101 2 -b11110111111101100101001101 = -b11110111111101100101001101 : -b1111111110111100101100101110010 $ -b1111111110111100101100101110010 - -b1111111110111100101100101110010 5 -b1111111110111100101100101110010 ? -b1111111110111100101100101110010 D -b1111011111111101000000000100101 % -b1111011111111101000000000100101 . -b1111011111111101000000000100101 6 -b1111011111111101000000000100101 @ -b1111011111111101000000000100101 F -b11111111101111001011001011 ) -#510336000 -0& -#510352000 -b1111100100110 , -#510368000 -1& -#510384000 -b1110111 " -b1110111 4 -b1111111111111111011111001011000 1 -b1111111111111111011111001011000 C -b1110111 0 -b1110111 H -18 -b10000001001000001101001110100111 < -b11111001000000100111000110110000 2 -b11111001000000100111000110110000 = -b11111001000000100111000110110000 : -b1110111111000011001111000001000 $ -b1110111111000011001111000001000 - -b1110111111000011001111000001000 5 -b1110111111000011001111000001000 ? -b1110111111000011001111000001000 D -b1111110110111110010110001011000 % -b1111110110111110010110001011000 . -b1111110110111110010110001011000 6 -b1111110110111110010110001011000 @ -b1111110110111110010110001011000 F -b1110111 ) -#510400000 -0& -#510416000 -b1111100100111 , -#510432000 -1& -#510448000 -b111111100111101000010011100 " -b111111100111101000010011100 4 -b1111111101111110000101111000111 1 -b1111111101111110000101111000111 C -b111111100111101000010011100 0 -b111111100111101000010011100 H -08 -b10000110010000011111110111111011 < -b101011111110000011111000011 2 -b101011111110000011111000011 = -b101011111110000011111000011 : -b1111111001111010000100111000111 $ -b1111111001111010000100111000111 - -b1111111001111010000100111000111 5 -b1111111001111010000100111000111 ? -b1111111001111010000100111000111 D -b1111001101111100000001000000100 % -b1111001101111100000001000000100 . -b1111001101111100000001000000100 6 -b1111001101111100000001000000100 @ -b1111001101111100000001000000100 F -b111111100111101000010011100 ) -#510464000 -0& -#510480000 -b1111100101000 , -#510496000 -1& -#510512000 -b1011111111111010110101011 " -b1011111111111010110101011 4 -b11111111111111101101010111101111 1 -b11111111111111101101010111101111 C -b1011111111111010110101011 0 -b1011111111111010110101011 H -18 -b111111111000010110111110011000 < -b11111111110111000100010100101000 2 -b11111111110111000100010100101000 = -b11111111110111000100010100101000 : -b10111111111110101101010110001111 $ -b10111111111110101101010110001111 - -b10111111111110101101010110001111 5 -b10111111111110101101010110001111 ? -b10111111111110101101010110001111 D -b11000000000111101001000001100111 % -b11000000000111101001000001100111 . -b11000000000111101001000001100111 6 -b11000000000111101001000001100111 @ -b11000000000111101001000001100111 F -b1011111111111010110101011 ) -#510528000 -0& -#510544000 -b1111100101001 , -#510560000 -1& -#510576000 -b1111011111111110100111011011 " -b1111011111111110100111011011 4 -b1111111111111111111111111011111 1 -b1111111111111111111111111011111 C -b1111011111111110100111011011 0 -b1111011111111110100111011011 H -b10000000000000000100011011111100 < -b11111011111111111001010111011001 2 -b11111011111111111001010111011001 = -b11111011111111111001010111011001 : -b1111011111111110100111011011100 $ -b1111011111111110100111011011100 - -b1111011111111110100111011011100 5 -b1111011111111110100111011011100 ? -b1111011111111110100111011011100 D -b1111111111111111011100100000011 % -b1111111111111111011100100000011 . -b1111111111111111011100100000011 6 -b1111111111111111011100100000011 @ -b1111111111111111011100100000011 F -b1111011111111110100111011011 ) -#510592000 -0& -#510608000 -b1111100101010 , -#510624000 -1& -#510640000 -b10110111111011110010010000 " -b10110111111011110010010000 4 -b11111111111111110110110101111110 1 -b11111111111111110110110101111110 C -b10110111111011110010010000 0 -b10110111111011110010010000 H -19 -18 -b10010000010000011011001010111001 < -b1001000001100001101011011110100 2 -b1001000001100001101011011110100 = -b1001000001100001101011011110100 : -b10110111111011110010010000111010 $ -b10110111111011110010010000111010 - -b10110111111011110010010000111010 5 -b10110111111011110010010000111010 ? -b10110111111011110010010000111010 D -b1101111101111100100110101000110 % -b1101111101111100100110101000110 . -b1101111101111100100110101000110 6 -b1101111101111100100110101000110 @ -b1101111101111100100110101000110 F -b10110111111011110010010000 ) -#510656000 -0& -#510672000 -b1111100101011 , -#510688000 -1& -#510704000 -b111101011 " -b111101011 4 -b11111111111111111110111011111111 1 -b11111111111111111110111011111111 C -b111101011 0 -b111101011 H -09 -08 -b110000001000000011101100001010 < -b1101101100111111110010100010110 2 -b1101101100111111110010100010110 = -b1101101100111111110010100010110 : -b111101011111111010101000001011 $ -b111101011111111010101000001011 - -b111101011111111010101000001011 5 -b111101011111111010101000001011 ? -b111101011111111010101000001011 D -b11001111110111111100010011110101 % -b11001111110111111100010011110101 . -b11001111110111111100010011110101 6 -b11001111110111111100010011110101 @ -b11001111110111111100010011110101 F -b111101011 ) -#510720000 -0& -#510736000 -b1111100101100 , -#510752000 -1& -#510768000 -b1101110111001110100001101100 " -b1101110111001110100001101100 4 -b11111111111111111000011011100101 1 -b11111111111111111000011011100101 C -b1101110111001110100001101100 0 -b1101110111001110100001101100 H -18 -09 -b1000111111111011011 < -b11011101110100110000011010100001 2 -b11011101110100110000011010100001 = -b11011101110100110000011010100001 : -b11011101110011101000011011000101 $ -b11011101110011101000011011000101 - -b11011101110011101000011011000101 5 -b11011101110011101000011011000101 ? -b11011101110011101000011011000101 D -b11111111111110111000000000100100 % -b11111111111110111000000000100100 . -b11111111111110111000000000100100 6 -b11111111111110111000000000100100 @ -b11111111111110111000000000100100 F -b1101110111001110100001101100 ) -#510784000 -0& -#510800000 -b1111100101101 , -#510816000 -1& -#510832000 -b1101101110011111111 " -b1101101110011111111 4 -b11111011111111111111111110111111 1 -b11111011111111111111111110111111 C -b1101101110011111111 0 -b1101101110011111111 H -08 -b1010110100000000010000101010010 < -b110010001000000001110001100110 2 -b110010001000000001110001100110 = -b110010001000000001110001100110 : -b11011011100111111111101100010011 $ -b11011011100111111111101100010011 - -b11011011100111111111101100010011 5 -b11011011100111111111101100010011 ? -b11011011100111111111101100010011 D -b10101001011111111101111010101101 % -b10101001011111111101111010101101 . -b10101001011111111101111010101101 6 -b10101001011111111101111010101101 @ -b10101001011111111101111010101101 F -b1101101110011111111 ) -#510848000 -0& -#510864000 -b1111100101110 , -#510880000 -1& -#510896000 -b11110110001110 " -b11110110001110 4 -b1111111111111101111100111110000 1 -b1111111111111101111100111110000 C -b11110110001110 0 -b11110110001110 H -18 -b10100000000011010110111001101111 < -b11011101100111000110100001100000 2 -b11011101100111000110100001100000 = -b11011101100111000110100001100000 : -b111101100011101111100111110000 $ -b111101100011101111100111110000 - -b111101100011101111100111110000 5 -b111101100011101111100111110000 ? -b111101100011101111100111110000 D -b1011111111100101001000110010000 % -b1011111111100101001000110010000 . -b1011111111100101001000110010000 6 -b1011111111100101001000110010000 @ -b1011111111100101001000110010000 F -b11110110001110 ) -#510912000 -0& -#510928000 -b1111100101111 , -#510944000 -1& -#510960000 -b10101101 " -b10101101 4 -b11111101111111100011111010111000 1 -b11111101111111100011111010111000 C -b10101101 0 -b10101101 H -19 -18 -b10100110010110111100100111100111 < -b1010011110110011110011010010000 2 -b1010011110110011110011010010000 = -b1010011110110011110011010010000 : -b10101101011111100001110010101000 $ -b10101101011111100001110010101000 - -b10101101011111100001110010101000 5 -b10101101011111100001110010101000 ? -b10101101011111100001110010101000 D -b1011001101001000011011000011000 % -b1011001101001000011011000011000 . -b1011001101001000011011000011000 6 -b1011001101001000011011000011000 @ -b1011001101001000011011000011000 F -b10101101 ) -#510976000 -0& -#510992000 -b1111100110000 , -#511008000 -1& -#511024000 -b1111010011111111 " -b1111010011111111 4 -b1111111111111111011101011101111 1 -b1111111111111111011101011101111 C -b1111010011111111 0 -b1111010011111111 H -09 -b10000000000010010100010100110000 < -b11111010100010001110011111010111 2 -b11111010100010001110011111010111 = -b11111010100010001110011111010111 : -b1111010011111111010001010100110 $ -b1111010011111111010001010100110 - -b1111010011111111010001010100110 5 -b1111010011111111010001010100110 ? -b1111010011111111010001010100110 D -b1111111111101101011101011001111 % -b1111111111101101011101011001111 . -b1111111111101101011101011001111 6 -b1111111111101101011101011001111 @ -b1111111111101101011101011001111 F -b1111010011111111 ) -#511040000 -0& -#511056000 -b1111100110001 , -#511072000 -1& -#511088000 -b100000110110011 " -b100000110110011 4 -b11111011011011111111110100010111 1 -b11111011011011111111110100010111 C -b100000110110011 0 -b100000110110011 H -18 -b100100101100011011111101110 < -b10000111111111011110110100000101 2 -b10000111111111011110110100000101 = -b10000111111111011110110100000101 : -b10000011011001111011010100010110 $ -b10000011011001111011010100010110 - -b10000011011001111011010100010110 5 -b10000011011001111011010100010110 ? -b10000011011001111011010100010110 D -b11111011011010011100100000010001 % -b11111011011010011100100000010001 . -b11111011011010011100100000010001 6 -b11111011011010011100100000010001 @ -b11111011011010011100100000010001 F -b100000110110011 ) -#511104000 -0& -#511120000 -b1111100110010 , -#511136000 -1& -#511152000 -b110001011 " -b110001011 4 -b11101111111111111111100111110111 1 -b11101111111111111111100111110111 C -b110001011 0 -b110001011 H -08 -b10010010100000010011001001001 < -b1110101010011011001000000111111 2 -b1110101010011011001000000111111 = -b1110101010011011001000000111111 : -b1100010111111010110100111110101 $ -b1100010111111010110100111110101 - -b1100010111111010110100111110101 5 -b1100010111111010110100111110101 ? -b1100010111111010110100111110101 D -b11101101101011111101100110110110 % -b11101101101011111101100110110110 . -b11101101101011111101100110110110 6 -b11101101101011111101100110110110 @ -b11101101101011111101100110110110 F -b110001011 ) -#511168000 -0& -#511184000 -b1111100110011 , -#511200000 -1& -#511216000 -b1111111011111001101 " -b1111111011111001101 4 -b1111111111111101111111011101100 1 -b1111111111111101111111011101100 C -b1111111011111001101 0 -b1111111011111001101 H -09 -18 -b10000000001000010100000101010011 < -b11111111100111100001000110010100 2 -b11111111100111100001000110010100 = -b11111111100111100001000110010100 : -b1111111011111001101000001000000 $ -b1111111011111001101000001000000 - -b1111111011111001101000001000000 5 -b1111111011111001101000001000000 ? -b1111111011111001101000001000000 D -b1111111110111101011111010101100 % -b1111111110111101011111010101100 . -b1111111110111101011111010101100 6 -b1111111110111101011111010101100 @ -b1111111110111101011111010101100 F -b1111111011111001101 ) -#511232000 -0& -#511248000 -b1111100110100 , -#511264000 -1& -#511280000 -1! -b0 " -b0 4 -b10111111111111111110111111111110 1 -b10111111111111111110111111111110 C -b0 0 -b0 H -08 -19 -b1100000000000000101000110100001 < -b10011111011110000011110101011010 2 -b10011111011110000011110101011010 = -1# -b10011111011110000011110101011010 : -b111111011101111110101110111000 $ -b111111011101111110101110111000 - -b111111011101111110101110111000 5 -b111111011101111110101110111000 ? -b111111011101111110101110111000 D -b10011111111111111010111001011110 % -b10011111111111111010111001011110 . -b10011111111111111010111001011110 6 -b10011111111111111010111001011110 @ -b10011111111111111010111001011110 F -b0 ) -b1 ( -#511296000 -0& -#511312000 -b1111100110101 , -#511328000 -1& -#511344000 -0! -b111111111101 " -b111111111101 4 -b11111111110111110011011111011111 1 -b11111111110111110011011111011111 C -b111111111101 0 -b111111111101 H -09 -18 -b10000010001001001110111010101011 < -b10000010000000110010010100110111 2 -b10000010000000110010010100110111 = -0# -b10000010000000110010010100110111 : -b11111111110111100011011010001011 $ -b11111111110111100011011010001011 - -b11111111110111100011011010001011 5 -b11111111110111100011011010001011 ? -b11111111110111100011011010001011 D -b1111101110110110001000101010100 % -b1111101110110110001000101010100 . -b1111101110110110001000101010100 6 -b1111101110110110001000101010100 @ -b1111101110110110001000101010100 F -b111111111101 ) -b0 ( -#511360000 -0& -#511376000 -b1111100110110 , -#511392000 -1& -#511408000 -b1101111111100010110 " -b1101111111100010110 4 -b11111111111111101111100011011111 1 -b11111111111111101111100011011111 C -b1101111111100010110 0 -b1101111111100010110 H -19 -18 -b10000110101000010100011100110010 < -b1100110100001000000100000001101 2 -b1100110100001000000100000001101 = -b1100110100001000000100000001101 : -b11011111111000101100000011011010 $ -b11011111111000101100000011011010 - -b11011111111000101100000011011010 5 -b11011111111000101100000011011010 ? -b11011111111000101100000011011010 D -b1111001010111101011100011001101 % -b1111001010111101011100011001101 . -b1111001010111101011100011001101 6 -b1111001010111101011100011001101 @ -b1111001010111101011100011001101 F -b1101111111100010110 ) -#511424000 -0& -#511440000 -b1111100110111 , -#511456000 -1& -#511472000 -b1011101111010 " -b1011101111010 4 -b10111111110110001101101011111011 1 -b10111111110110001101101011111011 C -b1011101111010 0 -b1011101111010 H -b11000000101001111111110110001100 < -b1111100011110001101011000100110 2 -b1111100011110001101011000100110 = -b1111100011110001101011000100110 : -b10111011110100001101100010011001 $ -b10111011110100001101100010011001 - -b10111011110100001101100010011001 5 -b10111011110100001101100010011001 ? -b10111011110100001101100010011001 D -b111111010110000000001001110011 % -b111111010110000000001001110011 . -b111111010110000000001001110011 6 -b111111010110000000001001110011 @ -b111111010110000000001001110011 F -b1011101111010 ) -#511488000 -0& -#511504000 -b1111100111000 , -#511520000 -1& -#511536000 -b111111111 " -b111111111 4 -b11111111111111111101100110111111 1 -b11111111111111111101100110111111 C -b111111111 0 -b111111111 H -09 -18 -b10000011001100000111011001101000 < -b10000011001001001100011100100100 2 -b10000011001001001100011100100100 = -b10000011001001001100011100100100 : -b11111111111101000101000010111011 $ -b11111111111101000101000010111011 - -b11111111111101000101000010111011 5 -b11111111111101000101000010111011 ? -b11111111111101000101000010111011 D -b1111100110011111000100110010111 % -b1111100110011111000100110010111 . -b1111100110011111000100110010111 6 -b1111100110011111000100110010111 @ -b1111100110011111000100110010111 F -b111111111 ) -#511552000 -0& -#511568000 -b1111100111001 , -#511584000 -1& -#511600000 -b10101010111110 " -b10101010111110 4 -b1111111011111111101100101110101 1 -b1111111011111111101100101110101 C -b10101010111110 0 -b10101010111110 H -18 -09 -b10000000110001000010011110001110 < -b11010110010000010010100011110011 2 -b11010110010000010010100011110011 = -b11010110010000010010100011110011 : -b1010101011111010000000101100100 $ -b1010101011111010000000101100100 - -b1010101011111010000000101100100 5 -b1010101011111010000000101100100 ? -b1010101011111010000000101100100 D -b1111111001110111101100001110001 % -b1111111001110111101100001110001 . -b1111111001110111101100001110001 6 -b1111111001110111101100001110001 @ -b1111111001110111101100001110001 F -b10101010111110 ) -#511616000 -0& -#511632000 -b1111100111010 , -#511648000 -1& -#511664000 -b1110001111110 " -b1110001111110 4 -b1111101111110111111110101110110 1 -b1111101111110111111110101110110 C -b1110001111110 0 -b1110001111110 H -08 -b11100010010001100001101110101101 < -b1010100010000100001100100100010 2 -b1010100010000100001100100100010 = -b1010100010000100001100100100010 : -b1110001111110111111110101110100 $ -b1110001111110111111110101110100 - -b1110001111110111111110101110100 5 -b1110001111110111111110101110100 ? -b1110001111110111111110101110100 D -b11101101110011110010001010010 % -b11101101110011110010001010010 . -b11101101110011110010001010010 6 -b11101101110011110010001010010 @ -b11101101110011110010001010010 F -b1110001111110 ) -#511680000 -0& -#511696000 -b1111100111011 , -#511712000 -1& -#511728000 -b1101101 " -b1101101 4 -b11111110111111111001011010111111 1 -b11111110111111111001011010111111 C -b1101101 0 -b1101101 H -08 -b1001001000100000110101101100110 < -b100011110011111110110110011101 2 -b100011110011111110110110011101 = -b100011110011111110110110011101 : -b11011010101111111000001000110110 $ -b11011010101111111000001000110110 - -b11011010101111111000001000110110 5 -b11011010101111111000001000110110 ? -b11011010101111111000001000110110 D -b10110110111011111001010010011001 % -b10110110111011111001010010011001 . -b10110110111011111001010010011001 6 -b10110110111011111001010010011001 @ -b10110110111011111001010010011001 F -b1101101 ) -#511744000 -0& -#511760000 -b1111100111100 , -#511776000 -1& -#511792000 -b1101111010110111011101011 " -b1101111010110111011101011 4 -b11111110111111111111010110100111 1 -b11111110111111111111010110100111 C -b1101111010110111011101011 0 -b1101111010110111011101011 H -18 -19 -b10011001000000000111111001011000 < -b1110111101101111111001111100000 2 -b1110111101101111111001111100000 = -b1110111101101111111001111100000 : -b11011110101101110111010110000111 $ -b11011110101101110111010110000111 - -b11011110101101110111010110000111 5 -b11011110101101110111010110000111 ? -b11011110101101110111010110000111 D -b1100110111111111000000110100111 % -b1100110111111111000000110100111 . -b1100110111111111000000110100111 6 -b1100110111111111000000110100111 @ -b1100110111111111000000110100111 F -b1101111010110111011101011 ) -#511808000 -0& -#511824000 -b1111100111101 , -#511840000 -1& -#511856000 -b1111110111111111101 " -b1111110111111111101 4 -b11111111111111111111111100011110 1 -b11111111111111111111111100011110 C -b1111110111111111101 0 -b1111110111111111101 H -08 -19 -b1000100100000010100010011110011 < -b11000011100000010010001100001110 2 -b11000011100000010010001100001110 = -b11000011100000010010001100001110 : -b1111110111111111101111000011010 $ -b1111110111111111101111000011010 - -b1111110111111111101111000011010 5 -b1111110111111111101111000011010 ? -b1111110111111111101111000011010 D -b10111011011111101011101100001100 % -b10111011011111101011101100001100 . -b10111011011111101011101100001100 6 -b10111011011111101011101100001100 @ -b10111011011111101011101100001100 F -b1111110111111111101 ) -#511872000 -0& -#511888000 -b1111100111110 , -#511904000 -1& -#511920000 -b1101111010011101 " -b1101111010011101 4 -b1101111111011101110111111101111 1 -b1101111111011101110111111101111 C -b1101111010011101 0 -b1101111010011101 H -09 -08 -b10010100010100110001100100110000 < -b11101000011110011010100000 2 -b11101000011110011010100000 = -b11101000011110011010100000 : -b1101111010011101100110101101111 $ -b1101111010011101100110101101111 - -b1101111010011101100110101101111 5 -b1101111010011101100110101101111 ? -b1101111010011101100110101101111 D -b1101011101011001110011011001111 % -b1101011101011001110011011001111 . -b1101011101011001110011011001111 6 -b1101011101011001110011011001111 @ -b1101011101011001110011011001111 F -b1101111010011101 ) -#511936000 -0& -#511952000 -b1111100111111 , -#511968000 -1& -#511984000 -b110110101101111100 " -b110110101101111100 4 -b11111111111111111111011011101111 1 -b11111111111111111111011011101111 C -b110110101101111100 0 -b110110101101111100 H -b11001000000100110010010 < -b1101101110100111001101000011010 2 -b1101101110100111001101000011010 = -b1101101110100111001101000011010 : -b1101101011011111001000010000111 $ -b1101101011011111001000010000111 - -b1101101011011111001000010000111 5 -b1101101011011111001000010000111 ? -b1101101011011111001000010000111 D -b11111111100110111111011001101101 % -b11111111100110111111011001101101 . -b11111111100110111111011001101101 6 -b11111111100110111111011001101101 @ -b11111111100110111111011001101101 F -b110110101101111100 ) -#512000000 -0& -#512016000 -b1111101000000 , -#512032000 -1& -#512048000 -b101011111111110100110011100100 " -b101011111111110100110011100100 4 -b10111111111111111011001110010010 1 -b10111111111111111011001110010010 C -b101011111111110100110011100100 0 -b101011111111110100110011100100 H -19 -18 -b11000001000001000111111011111101 < -b1110001000000011011001010001110 2 -b1110001000000011011001010001110 = -b1110001000000011011001010001110 : -b10101111111111010011001110010000 $ -b10101111111111010011001110010000 - -b10101111111111010011001110010000 5 -b10101111111111010011001110010000 ? -b10101111111111010011001110010000 D -b111110111110111000000100000010 % -b111110111110111000000100000010 . -b111110111110111000000100000010 6 -b111110111110111000000100000010 @ -b111110111110111000000100000010 F -b101011111111110100110011100100 ) -#512064000 -0& -#512080000 -b1111101000001 , -#512096000 -1& -#512112000 -b1011111101 " -b1011111101 4 -b10111111011111110110111101110111 1 -b10111111011111110110111101110111 C -b1011111101 0 -b1011111101 H -09 -08 -b1000110110000101001100011001001 < -b110000100011010011000111111 2 -b110000100011010011000111111 = -b110000100011010011000111111 : -b10111111010011110000110101110101 $ -b10111111010011110000110101110101 - -b10111111010011110000110101110101 5 -b10111111010011110000110101110101 ? -b10111111010011110000110101110101 D -b10111001001111010110011100110110 % -b10111001001111010110011100110110 . -b10111001001111010110011100110110 6 -b10111001001111010110011100110110 @ -b10111001001111010110011100110110 F -b1011111101 ) -#512128000 -0& -#512144000 -b1111101000010 , -#512160000 -1& -#512176000 -b111111011110111000010001 " -b111111011110111000010001 4 -b11111111011111111001110101101111 1 -b11111111011111111001110101101111 C -b111111011110111000010001 0 -b111111011110111000010001 H -08 -b100010111110001010011001 < -b1000000000001110110011011100111 2 -b1000000000001110110011011100111 = -b1000000000001110110011011100111 : -b111111011110111000010001001101 $ -b111111011110111000010001001101 - -b111111011110111000010001001101 5 -b111111011110111000010001001101 ? -b111111011110111000010001001101 D -b11111111011101000001110101100110 % -b11111111011101000001110101100110 . -b11111111011101000001110101100110 6 -b11111111011101000001110101100110 @ -b11111111011101000001110101100110 F -b111111011110111000010001 ) -#512192000 -0& -#512208000 -b1111101000011 , -#512224000 -1& -#512240000 -b1011110111111011010000101 " -b1011110111111011010000101 4 -b1111110111111111011010111111111 1 -b1111110111111111011010111111111 C -b1011110111111011010000101 0 -b1011110111111011010000101 H -b11010001000100011100101101111001 < -b110000000011110110110011110111 2 -b110000000011110110110011110111 = -b110000000011110110110011110111 : -b1011110111111011010000101111101 $ -b1011110111111011010000101111101 - -b1011110111111011010000101111101 5 -b1011110111111011010000101111101 ? -b1011110111111011010000101111101 D -b101110111011100011010010000110 % -b101110111011100011010010000110 . -b101110111011100011010010000110 6 -b101110111011100011010010000110 @ -b101110111011100011010010000110 F -b1011110111111011010000101 ) -#512256000 -0& -#512272000 -b1111101000100 , -#512288000 -1& -#512304000 -b1101001111110111001 " -b1101001111110111001 4 -b11101111111111111111100111101101 1 -b11101111111111111111100111101101 C -b1101001111110111001 0 -b1101001111110111001 H -19 -08 -b111000110100101001111010010011 < -b10100010110011100011100001110101 2 -b10100010110011100011100001110101 = -b10100010110011100011100001110101 : -b1101001111110111001100111100001 $ -b1101001111110111001100111100001 - -b1101001111110111001100111100001 5 -b1101001111110111001100111100001 ? -b1101001111110111001100111100001 D -b11000111001011010110000101101100 % -b11000111001011010110000101101100 . -b11000111001011010110000101101100 6 -b11000111001011010110000101101100 @ -b11000111001011010110000101101100 F -b1101001111110111001 ) -#512320000 -0& -#512336000 -b1111101000101 , -#512352000 -1& -#512368000 -b11111110111011110001 " -b11111110111011110001 4 -b11111111111111111110001111111001 1 -b11111111111111111110001111111001 C -b11111110111011110001 0 -b11111110111011110001 H -09 -08 -b10000000010001101111100010110 < -b101111111001101100001001101111 2 -b101111111001101100001001101111 = -b101111111001101100001001101111 : -b11111110111011110001101011000 $ -b11111110111011110001101011000 - -b11111110111011110001101011000 5 -b11111110111011110001101011000 ? -b11111110111011110001101011000 D -b11101111111101110010000011101001 % -b11101111111101110010000011101001 . -b11101111111101110010000011101001 6 -b11101111111101110010000011101001 @ -b11101111111101110010000011101001 F -b11111110111011110001 ) -#512384000 -0& -#512400000 -b1111101000110 , -#512416000 -1& -#512432000 -b1111011011111 " -b1111011011111 4 -b11111111111111111011111011011011 1 -b11111111111111111011111011011011 C -b1111011011111 0 -b1111011011111 H -08 -09 -b1010000001001001101101101101100 < -b1000111001001001001101000110110 2 -b1000111001001001001101000110110 = -b1000111001001001001101000110110 : -b11110110111111111011111011001001 $ -b11110110111111111011111011001001 - -b11110110111111111011111011001001 5 -b11110110111111111011111011001001 ? -b11110110111111111011111011001001 D -b10101111110110110010010010010011 % -b10101111110110110010010010010011 . -b10101111110110110010010010010011 6 -b10101111110110110010010010010011 @ -b10101111110110110010010010010011 F -b1111011011111 ) -#512448000 -0& -#512464000 -b1111101000111 , -#512480000 -1& -#512496000 -b110111111111010011100000100 " -b110111111111010011100000100 4 -b11111111111111110011101000100111 1 -b11111111111111110011101000100111 C -b110111111111010011100000100 0 -b110111111111010011100000100 H -08 -b110000000110001101010111111100 < -b1101000000101100000111000100001 2 -b1101000000101100000111000100001 = -b1101000000101100000111000100001 : -b110111111111010011100000100100 $ -b110111111111010011100000100100 - -b110111111111010011100000100100 5 -b110111111111010011100000100100 ? -b110111111111010011100000100100 D -b11001111111001110010101000000011 % -b11001111111001110010101000000011 . -b11001111111001110010101000000011 6 -b11001111111001110010101000000011 @ -b11001111111001110010101000000011 F -b110111111111010011100000100 ) -#512512000 -0& -#512528000 -b1111101001000 , -#512544000 -1& -#512560000 -b111101101110 " -b111101101110 4 -b1111011011111111111111111110111 1 -b1111011011111111111111111110111 C -b111101101110 0 -b111101101110 H -09 -08 -b11010100101000001100010000001100 < -b1010000000110001011101111100011 2 -b1010000000110001011101111100011 = -b1010000000110001011101111100011 : -b1111011011101111111011111010110 $ -b1111011011101111111011111010110 - -b1111011011101111111011111010110 5 -b1111011011101111111011111010110 ? -b1111011011101111111011111010110 D -b101011010111110011101111110011 % -b101011010111110011101111110011 . -b101011010111110011101111110011 6 -b101011010111110011101111110011 @ -b101011010111110011101111110011 F -b111101101110 ) -#512576000 -0& -#512592000 -b1111101001001 , -#512608000 -1& -#512624000 -b1111100000111100 " -b1111100000111100 4 -b11111111111111101100011011110001 1 -b11111111111111101100011011110001 C -b1111100000111100 0 -b1111100000111100 H -19 -18 -b10000000001100010111101110101111 < -b1111000011011011100001010010001 2 -b1111000011011011100001010010001 = -b1111000011011011100001010010001 : -b11111000001111000100011011100001 $ -b11111000001111000100011011100001 - -b11111000001111000100011011100001 5 -b11111000001111000100011011100001 ? -b11111000001111000100011011100001 D -b1111111110011101000010001010000 % -b1111111110011101000010001010000 . -b1111111110011101000010001010000 6 -b1111111110011101000010001010000 @ -b1111111110011101000010001010000 F -b1111100000111100 ) -#512640000 -0& -#512656000 -b1111101001010 , -#512672000 -1& -#512688000 -b11011101011101 " -b11011101011101 4 -b11111111011111111110111101111111 1 -b11111111011111111110111101111111 C -b11011101011101 0 -b11011101011101 H -09 -18 -b1100000100011011010001101 < -b11011110111110010110010010011101 2 -b11011110111110010110010010011101 = -b11011110111110010110010010011101 : -b11011101011101110010111000001111 $ -b11011101011101110010111000001111 - -b11011101011101110010111000001111 5 -b11011101011101110010111000001111 ? -b11011101011101110010111000001111 D -b11111110011111011100100101110010 % -b11111110011111011100100101110010 . -b11111110011111011100100101110010 6 -b11111110011111011100100101110010 @ -b11111110011111011100100101110010 F -b11011101011101 ) -#512704000 -0& -#512720000 -b1111101001011 , -#512736000 -1& -#512752000 -b1101110000001110 " -b1101110000001110 4 -b11111111111111110101111100001111 1 -b11111111111111110101111100001111 C -b1101110000001110 0 -b1101110000001110 H -08 -b101010101111110000 < -b1101110000010011011101011111101 2 -b1101110000010011011101011111101 = -b1101110000010011011101011111101 : -b1101110000001110000111100001100 $ -b1101110000001110000111100001100 - -b1101110000001110000111100001100 5 -b1101110000001110000111100001100 ? -b1101110000001110000111100001100 D -b11111111111111010101010000001111 % -b11111111111111010101010000001111 . -b11111111111111010101010000001111 6 -b11111111111111010101010000001111 @ -b11111111111111010101010000001111 F -b1101110000001110 ) -#512768000 -0& -#512784000 -b1111101001100 , -#512800000 -1& -#512816000 -b11111111111 " -b11111111111 4 -b11111111111111101111111110111111 1 -b11111111111111101111111110111111 C -b11111111111 0 -b11111111111 H -18 -b10000010000100110000100011101010 < -b10000010000001011111010010011001 2 -b10000010000001011111010010011001 = -b10000010000001011111010010011001 : -b11111111111100101110101110101110 $ -b11111111111100101110101110101110 - -b11111111111100101110101110101110 5 -b11111111111100101110101110101110 ? -b11111111111100101110101110101110 D -b1111101111011001111011100010101 % -b1111101111011001111011100010101 . -b1111101111011001111011100010101 6 -b1111101111011001111011100010101 @ -b1111101111011001111011100010101 F -b11111111111 ) -#512832000 -0& -#512848000 -b1111101001101 , -#512864000 -1& -#512880000 -b11010101 " -b11010101 4 -b11011111111111110110101111111101 1 -b11011111111111110110101111111101 C -b11010101 0 -b11010101 H -09 -08 -b1100001100010011011110101000111 < -b110111011100101110011100111101 2 -b110111011100101110011100111101 = -b110111011100101110011100111101 : -b11010101111010010010100111110101 $ -b11010101111010010010100111110101 - -b11010101111010010010100111110101 5 -b11010101111010010010100111110101 ? -b11010101111010010010100111110101 D -b10011110011101100100001010111000 % -b10011110011101100100001010111000 . -b10011110011101100100001010111000 6 -b10011110011101100100001010111000 @ -b10011110011101100100001010111000 F -b11010101 ) -#512896000 -0& -#512912000 -b1111101001110 , -#512928000 -1& -#512944000 -b1011011 " -b1011011 4 -b11111111111111111111100111111010 1 -b11111111111111111111100111111010 C -b1011011 0 -b1011011 H -08 -b1000000011111000000111 < -b1011100000110110111011010010010 2 -b1011100000110110111011010010010 = -b1011100000110110111011010010010 : -b1011011111110110011100010001010 $ -b1011011111110110011100010001010 - -b1011011111110110011100010001010 5 -b1011011111110110011100010001010 ? -b1011011111110110011100010001010 D -b11111111110111111100000111111000 % -b11111111110111111100000111111000 . -b11111111110111111100000111111000 6 -b11111111110111111100000111111000 @ -b11111111110111111100000111111000 F -b1011011 ) -#512960000 -0& -#512976000 -b1111101001111 , -#512992000 -1& -#513008000 -b1 " -b1 4 -b11111111111111111111110111111111 1 -b11111111111111111111110111111111 C -b1 0 -b1 H -18 -b1000101000001101100100000 < -b11000001000101000001100011010100 2 -b11000001000101000001100011010100 = -b11000001000101000001100011010100 : -b10111111111111111111110110110011 $ -b10111111111111111111110110110011 - -b10111111111111111111110110110011 5 -b10111111111111111111110110110011 ? -b10111111111111111111110110110011 D -b11111110111010111110010011011111 % -b11111110111010111110010011011111 . -b11111110111010111110010011011111 6 -b11111110111010111110010011011111 @ -b11111110111010111110010011011111 F -b1 ) -#513024000 -0& -#513040000 -b1111101010000 , -#513056000 -1& -#513072000 -b1111011 " -b1111011 4 -b1111101111111111111111110110111 1 -b1111101111111111111111110110111 C -b1111011 0 -b1111011 H -18 -b10001011000000000000000001101000 < -b11001000110111100011110000100000 2 -b11001000110111100011110000100000 = -b11001000110111100011110000100000 : -b111101110111100011101110110111 $ -b111101110111100011101110110111 - -b111101110111100011101110110111 5 -b111101110111100011101110110111 ? -b111101110111100011101110110111 D -b1110100111111111111111110010111 % -b1110100111111111111111110010111 . -b1110100111111111111111110010111 6 -b1110100111111111111111110010111 @ -b1110100111111111111111110010111 F -b1111011 ) -#513088000 -0& -#513104000 -b1111101010001 , -#513120000 -1& -#513136000 -b11011011111101011001 " -b11011011111101011001 4 -b11111111111111111110111111111011 1 -b11111111111111111110111111111011 C -b11011011111101011001 0 -b11011011111101011001 H -08 -19 -b101100100000001101100000010100 < -b10011010011110111010011001101000 2 -b10011010011110111010011001101000 = -b10011010011110111010011001101000 : -b1101101111110101100111001010011 $ -b1101101111110101100111001010011 - -b1101101111110101100111001010011 5 -b1101101111110101100111001010011 ? -b1101101111110101100111001010011 D -b11010011011111110010011111101011 % -b11010011011111110010011111101011 . -b11010011011111110010011111101011 6 -b11010011011111110010011111101011 @ -b11010011011111110010011111101011 F -b11011011111101011001 ) -#513152000 -0& -#513168000 -b1111101010010 , -#513184000 -1& -#513200000 -b1111010000011011010110110111101 " -b1111010000011011010110110111101 4 -b11110111101111111111101111111011 1 -b11110111101111111111101111111011 C -b1111010000011011010110110111101 0 -b1111010000011011010110110111101 H -09 -08 -b101100010000000000111000111110 < -b100000010110110110100110111001 2 -b100000010110110110100110111001 = -b100000010110110110100110111001 : -b11110100000110110101101101111010 $ -b11110100000110110101101101111010 - -b11110100000110110101101101111010 5 -b11110100000110110101101101111010 ? -b11110100000110110101101101111010 D -b11010011101111111111000111000001 % -b11010011101111111111000111000001 . -b11010011101111111111000111000001 6 -b11010011101111111111000111000001 @ -b11010011101111111111000111000001 F -b1111010000011011010110110111101 ) -#513216000 -0& -#513232000 -b1111101010011 , -#513248000 -1& -#513264000 -b101 " -b101 4 -b11111111101111111101111001111101 1 -b11111111101111111101111001111101 C -b101 0 -b101 H -18 -b100010011001000010011110100010 < -b11100010001000100011110111001000 2 -b11100010001000100011110111001000 = -b11100010001000100011110111001000 : -b10111111101111100001011000100101 $ -b10111111101111100001011000100101 - -b10111111101111100001011000100101 5 -b10111111101111100001011000100101 ? -b10111111101111100001011000100101 D -b11011101100110111101100001011101 % -b11011101100110111101100001011101 . -b11011101100110111101100001011101 6 -b11011101100110111101100001011101 @ -b11011101100110111101100001011101 F -b101 ) -#513280000 -0& -#513296000 -b1111101010100 , -#513312000 -1& -#513328000 -b11 " -b11 4 -b11111011111111111110111010011110 1 -b11111011111111111110111010011110 C -b11 0 -b11 H -08 -b100100100100000101111101100001 < -b11111010010000100110101100110 2 -b11111010010000100110101100110 = -b11111010010000100110101100110 : -b11111010101101111110111000000100 $ -b11111010101101111110111000000100 - -b11111010101101111110111000000100 5 -b11111010101101111110111000000100 ? -b11111010101101111110111000000100 D -b11011011011011111010000010011110 % -b11011011011011111010000010011110 . -b11011011011011111010000010011110 6 -b11011011011011111010000010011110 @ -b11011011011011111010000010011110 F -b11 ) -#513344000 -0& -#513360000 -b1111101010101 , -#513376000 -1& -#513392000 -b1010111011011011011010011010010 " -b1010111011011011011010011010010 4 -b1111111111011011011011011110010 1 -b1111111111011011011011011110010 C -b1010111011011011011010011010010 0 -b1010111011011011011010011010010 H -18 -b10010100001101100111110111011111 < -b11101011101001000011001010110010 2 -b11101011101001000011001010110010 = -b11101011101001000011001010110010 : -b1010111011011011011010011010010 $ -b1010111011011011011010011010010 - -b1010111011011011011010011010010 5 -b1010111011011011011010011010010 ? -b1010111011011011011010011010010 D -b1101011110010011000001000100000 % -b1101011110010011000001000100000 . -b1101011110010011000001000100000 6 -b1101011110010011000001000100000 @ -b1101011110010011000001000100000 F -b1010111011011011011010011010010 ) -#513408000 -0& -#513424000 -b1111101010110 , -#513440000 -1& -#513456000 -b111110 " -b111110 4 -b111111111111101111111111111111 1 -b111111111111101111111111111111 C -b111110 0 -b111110 H -b11001000001100010011001001001000 < -b11100111101011111111000100100100 2 -b11100111101011111111000100100100 = -b11100111101011111111000100100100 : -b11111011111101011111011011011 $ -b11111011111101011111011011011 - -b11111011111101011111011011011 5 -b11111011111101011111011011011 ? -b11111011111101011111011011011 D -b110111110011101100110110110111 % -b110111110011101100110110110111 . -b110111110011101100110110110111 6 -b110111110011101100110110110111 @ -b110111110011101100110110110111 F -b111110 ) -#513472000 -0& -#513488000 -b1111101010111 , -#513504000 -1& -#513520000 -b1111 " -b1111 4 -b11110000000000000000000000011100 1 -b11110000000000000000000000011100 C -b1111 0 -b1111 H -b11111111111111111111111111100011 < -b11101111111111111111111111100100 2 -b11101111111111111111111111100100 = -b11101111111111111111111111100100 : -b11110000000000000000000000000000 $ -b11110000000000000000000000000000 - -b11110000000000000000000000000000 5 -b11110000000000000000000000000000 ? -b11110000000000000000000000000000 D -b11100 % -b11100 . -b11100 6 -b11100 @ -b11100 F -b1111 ) -#513536000 -0& -#513552000 -b1111101011000 , -#513568000 -1& -#513584000 -1! -b0 " -b0 4 -b1111 1 -b1111 C -b0 0 -b0 H -08 -09 -b11111111111111111111111111111011 < -b1011 2 -b1011 = -1# -b1011 : -b1111 $ -b1111 - -b1111 5 -b1111 ? -b1111 D -b100 % -b100 . -b100 6 -b100 @ -b100 F -b0 ) -b1 ( -#513600000 -0& -#513616000 -b1111101011001 , -#513632000 -1& -#513648000 -0! -b11111111111111101010110110000001 " -b11111111111111101010110110000001 4 -b11111101110111111011011101101111 1 -b11111101110111111011011101101111 C -b11111111111111101010110110000001 0 -b11111111111111101010110110000001 H -08 -b111010011100000100100011010110 < -b11 7 -b11 A -b11 E -b0 2 -b0 = -0# -b1011 * -b1011 / -b110111110010110100101100011110 : -b11111101010110110000001001000111 $ -b11111101010110110000001001000111 - -b11111101010110110000001001000111 5 -b11111101010110110000001001000111 ? -b11111101010110110000001001000111 D -b11000101100011111011011100101001 % -b11000101100011111011011100101001 . -b11000101100011111011011100101001 6 -b11000101100011111011011100101001 @ -b11000101100011111011011100101001 F -b11111111111111101010110110000001 ) -b0 ( -#513664000 -0& -#513680000 -b1111101011010 , -#513696000 -1& -#513712000 -b101111110011110010110 " -b101111110011110010110 4 -b101111110011110010110 0 -b101111110011110010110 H -b1 2 -b1 = -18 -b10000000101010010101101001010111 < -b10011000100100001111000101000000 : -b10111111001111001011011101000 $ -b10111111001111001011011101000 - -b10111111001111001011011101000 5 -b10111111001111001011011101000 ? -b10111111001111001011011101000 D -b1111111010101101010010110101000 % -b1111111010101101010010110101000 . -b1111111010101101010010110101000 6 -b1111111010101101010010110101000 @ -b1111111010101101010010110101000 F -b101111110011110010110 ) -#513728000 -0& -#513744000 -b1111101011011 , -#513760000 -1& -#513776000 -b11111111110101111111111100001101 " -b11111111110101111111111100001101 4 -b11111111110101111111111100001101 0 -b11111111110101111111111100001101 H -b1 2 -b1 = -18 -b10101001000011010001011111010111 < -b10000001000011000010010100011101 : -b11010111111111110000110101000101 $ -b11010111111111110000110101000101 - -b11010111111111110000110101000101 5 -b11010111111111110000110101000101 ? -b11010111111111110000110101000101 D -b1010110111100101110100000101000 % -b1010110111100101110100000101000 . -b1010110111100101110100000101000 6 -b1010110111100101110100000101000 @ -b1010110111100101110100000101000 F -b11111111110101111111111100001101 ) -#513792000 -0& -#513808000 -b1111101011100 , -#513824000 -1& -#513840000 -b11110001110111110100011100000111 " -b11110001110111110100011100000111 4 -b11110001110111110100011100000111 0 -b11110001110111110100011100000111 H -b1 2 -b1 = -09 -18 -b100100100000110011001011111101 < -b11101100000000000100111100011011 : -b11000111011111010001110000011101 $ -b11000111011111010001110000011101 - -b11000111011111010001110000011101 5 -b11000111011111010001110000011101 ? -b11000111011111010001110000011101 D -b11011011011111001100110100000010 % -b11011011011111001100110100000010 . -b11011011011111001100110100000010 6 -b11011011011111001100110100000010 @ -b11011011011111001100110100000010 F -b11110001110111110100011100000111 ) -#513856000 -0& -#513872000 -b1111101011101 , -#513888000 -1& -#513904000 -b101110111011 " -b101110111011 4 -b101110111011 0 -b101110111011 H -b0 2 -b0 = -08 -b10000001001010100101101100 < -b1011111111000101011111101111001 : -b1011101110111100001011000001100 $ -b1011101110111100001011000001100 - -b1011101110111100001011000001100 5 -b1011101110111100001011000001100 ? -b1011101110111100001011000001100 D -b11111101111110110101011010010011 % -b11111101111110110101011010010011 . -b11111101111110110101011010010011 6 -b11111101111110110101011010010011 @ -b11111101111110110101011010010011 F -b101110111011 ) -#513920000 -0& -#513936000 -b1111101011110 , -#513952000 -1& -#513968000 -b1001011111110111001 " -b1001011111110111001 4 -b1001011111110111001 0 -b1001011111110111001 H -b1 2 -b1 = -18 -b10000000100000001111001011110011 < -b11001100011111001000011111100000 : -b1001011111110111001010011101100 $ -b1001011111110111001010011101100 - -b1001011111110111001010011101100 5 -b1001011111110111001010011101100 ? -b1001011111110111001010011101100 D -b1111111011111110000110100001100 % -b1111111011111110000110100001100 . -b1111111011111110000110100001100 6 -b1111111011111110000110100001100 @ -b1111111011111110000110100001100 F -b1001011111110111001 ) -#513984000 -0& -#514000000 -b1111101011111 , -#514016000 -1& -#514032000 -b11111111111111111111111100101110 " -b11111111111111111111111100101110 4 -b11111111111111111111111100101110 0 -b11111111111111111111111100101110 H -b0 2 -b0 = -08 -b1000000010001000110111000110000 < -b111111110110111110011110010000 : -b11111111100101110111100101011111 $ -b11111111100101110111100101011111 - -b11111111100101110111100101011111 5 -b11111111100101110111100101011111 ? -b11111111100101110111100101011111 D -b10111111101110111001000111001111 % -b10111111101110111001000111001111 . -b10111111101110111001000111001111 6 -b10111111101110111001000111001111 @ -b10111111101110111001000111001111 F -b11111111111111111111111100101110 ) -#514048000 -0& -#514064000 -b1111101100000 , -#514080000 -1& -#514096000 -b11111111111110111111111011110000 " -b11111111111110111111111011110000 4 -b11111111111110111111111011110000 0 -b11111111111110111111111011110000 H -b1 2 -b1 = -18 -b10100100000001011000000000010101 < -b10010100000000010100000011010100 : -b11101111111110111100000010111110 $ -b11101111111110111100000010111110 - -b11101111111110111100000010111110 5 -b11101111111110111100000010111110 ? -b11101111111110111100000010111110 D -b1011011111110100111111111101010 % -b1011011111110100111111111101010 . -b1011011111110100111111111101010 6 -b1011011111110100111111111101010 @ -b1011011111110100111111111101010 F -b11111111111110111111111011110000 ) -#514112000 -0& -#514128000 -b1111101100001 , -#514144000 -1& -#514160000 -1! -b0 " -b0 4 -b0 0 -b0 H -b1 2 -b1 = -18 -09 -b10000000000000001000000001000001 < -1# -b10111110111110011110111101011001 : -b111110111110010110111100010111 $ -b111110111110010110111100010111 - -b111110111110010110111100010111 5 -b111110111110010110111100010111 ? -b111110111110010110111100010111 D -b1111111111111110111111110111110 % -b1111111111111110111111110111110 . -b1111111111111110111111110111110 6 -b1111111111111110111111110111110 @ -b1111111111111110111111110111110 F -b0 ) -b1 ( -#514176000 -0& -#514192000 -b1111101100010 , -#514208000 -1& -#514224000 -0! -b11111111111111111011111100001011 " -b11111111111111111011111100001011 4 -b11111111111111111011111100001011 0 -b11111111111111111011111100001011 H -b1 2 -b1 = -18 -b10000010001100010101000000011010 < -0# -b10000010001010010011000110010010 : -b11111111111101111110000101110111 $ -b11111111111101111110000101110111 - -b11111111111101111110000101110111 5 -b11111111111101111110000101110111 ? -b11111111111101111110000101110111 D -b1111101110011101010111111100101 % -b1111101110011101010111111100101 . -b1111101110011101010111111100101 6 -b1111101110011101010111111100101 @ -b1111101110011101010111111100101 F -b11111111111111111011111100001011 ) -b0 ( -#514240000 -0& -#514256000 -b1111101100011 , -#514272000 -1& -#514288000 -b1110111111011 " -b1110111111011 4 -b1110111111011 0 -b1110111111011 H -b1 2 -b1 = -18 -09 -b10000100010010001111100110101101 < -b11111100001101100110010000000000 : -b1110111111011010110101001010010 $ -b1110111111011010110101001010010 - -b1110111111011010110101001010010 5 -b1110111111011010110101001010010 ? -b1110111111011010110101001010010 D -b1111011101101110000011001010010 % -b1111011101101110000011001010010 . -b1111011101101110000011001010010 6 -b1111011101101110000011001010010 @ -b1111011101101110000011001010010 F -b1110111111011 ) -#514304000 -0& -#514320000 -b1111101100100 , -#514336000 -1& -#514352000 -b1111111111111 " -b1111111111111 4 -b1111111111111 0 -b1111111111111 H -b0 2 -b0 = -08 -b100100001100101111000001110 < -b1000100100001011110001010110101 : -b111111111111111000010010100110 $ -b111111111111111000010010100110 - -b111111111111111000010010100110 5 -b111111111111111000010010100110 ? -b111111111111111000010010100110 D -b11111011011110011010000111110001 % -b11111011011110011010000111110001 . -b11111011011110011010000111110001 6 -b11111011011110011010000111110001 @ -b11111011011110011010000111110001 F -b1111111111111 ) -#514368000 -0& -#514384000 -b1111101100101 , -#514400000 -1& -#514416000 -b11111111111111111110111111110001 " -b11111111111111111110111111110001 4 -b11111111111111111110111111110001 0 -b11111111111111111110111111110001 H -b0 2 -b0 = -08 -b10000000000010011110000010000 < -b111111110011110111000100110 : -b11110111111110001011001000010101 $ -b11110111111110001011001000010101 - -b11110111111110001011001000010101 5 -b11110111111110001011001000010101 ? -b11110111111110001011001000010101 D -b11101111111111101100001111101111 % -b11101111111111101100001111101111 . -b11101111111111101100001111101111 6 -b11101111111111101100001111101111 @ -b11101111111111101100001111101111 F -b11111111111111111110111111110001 ) -#514432000 -0& -#514448000 -b1111101100110 , -#514464000 -1& -#514480000 -b11111111111101101110001011100001 " -b11111111111101101110001011100001 4 -b11111111111101101110001011100001 0 -b11111111111101101110001011100001 H -b1 2 -b1 = -18 -b10100000001001000101110100 < -b10111001100101111001100110101010 : -b10110111000101110000100000110101 $ -b10110111000101110000100000110101 - -b10110111000101110000100000110101 5 -b10110111000101110000100000110101 ? -b10110111000101110000100000110101 D -b11111101011111110110111010001011 % -b11111101011111110110111010001011 . -b11111101011111110110111010001011 6 -b11111101011111110110111010001011 @ -b11111101011111110110111010001011 F -b11111111111101101110001011100001 ) -#514496000 -0& -#514512000 -b1111101100111 , -#514528000 -1& -#514544000 -b1111 " -b1111 4 -b1111 0 -b1111 H -b0 2 -b0 = -08 -b11010010011000001001110100100100 < -b1001100110111000110110010000111 : -b1111010011110111100111101100010 $ -b1111010011110111100111101100010 - -b1111010011110111100111101100010 5 -b1111010011110111100111101100010 ? -b1111010011110111100111101100010 D -b101101100111110110001011011011 % -b101101100111110110001011011011 . -b101101100111110110001011011011 6 -b101101100111110110001011011011 @ -b101101100111110110001011011011 F -b1111 ) -#514560000 -0& -#514576000 -b1111101101000 , -#514592000 -1& -#514608000 -b11011111100001 " -b11011111100001 4 -b11011111100001 0 -b11011111100001 H -b10001100011100001110001110 < -b1110001111101011011100110010000 : -b1101111110000111111011000000001 $ -b1101111110000111111011000000001 - -b1101111110000111111011000000001 5 -b1101111110000111111011000000001 ? -b1101111110000111111011000000001 D -b11111101110011100011110001110001 % -b11111101110011100011110001110001 . -b11111101110011100011110001110001 6 -b11111101110011100011110001110001 @ -b11111101110011100011110001110001 F -b11011111100001 ) -#514624000 -0& -#514640000 -b1111101101001 , -#514656000 -1& -#514672000 -b1011011111011100001 " -b1011011111011100001 4 -b1011011111011100001 0 -b1011011111011100001 H -b1 2 -b1 = -18 -b10000000000000100111111010010100 < -b10101101111110011000011011000000 : -b101101111101110000100000101011 $ -b101101111101110000100000101011 - -b101101111101110000100000101011 5 -b101101111101110000100000101011 ? -b101101111101110000100000101011 D -b1111111111111011000000101101011 % -b1111111111111011000000101101011 . -b1111111111111011000000101101011 6 -b1111111111111011000000101101011 @ -b1111111111111011000000101101011 F -b1011011111011100001 ) -#514688000 -0& -#514704000 -b1111101101010 , -#514720000 -1& -#514736000 -b11111111111111111111111111011010 " -b11111111111111111111111111011010 4 -b11111111111111111111111111011010 0 -b11111111111111111111111111011010 H -b1 2 -b1 = -18 -b100000000100110001001100111 < -b11011110011100010010110111101110 : -b11011010011011101100101110000110 $ -b11011010011011101100101110000110 - -b11011010011011101100101110000110 5 -b11011010011011101100101110000110 ? -b11011010011011101100101110000110 D -b11111011111111011001110110011000 % -b11111011111111011001110110011000 . -b11111011111111011001110110011000 6 -b11111011111111011001110110011000 @ -b11111011111111011001110110011000 F -b11111111111111111111111111011010 ) -#514752000 -0& -#514768000 -b1111101101011 , -#514784000 -1& -#514800000 -b11111111111111111111110001000111 " -b11111111111111111111110001000111 4 -b11111111111111111111110001000111 0 -b11111111111111111111110001000111 H -b1000000001001111010110001100 < -b11101010010001000101101111010100 : -b11100010001111110110011001000111 $ -b11100010001111110110011001000111 - -b11100010001111110110011001000111 5 -b11100010001111110110011001000111 ? -b11100010001111110110011001000111 D -b11110111111110110000101001110011 % -b11110111111110110000101001110011 . -b11110111111110110000101001110011 6 -b11110111111110110000101001110011 @ -b11110111111110110000101001110011 F -b11111111111111111111110001000111 ) -#514816000 -0& -#514832000 -b1111101101100 , -#514848000 -1& -#514864000 -b1 " -b1 4 -b1 0 -b1 H -19 -b0 2 -b0 = -08 -b1100001110000000111000000000001 < -b10110001010111111000010010001000 : -b1001111100111110001010010000110 $ -b1001111100111110001010010000110 - -b1001111100111110001010010000110 5 -b1001111100111110001010010000110 ? -b1001111100111110001010010000110 D -b10011110001111111000111111111110 % -b10011110001111111000111111111110 . -b10011110001111111000111111111110 6 -b10011110001111111000111111111110 @ -b10011110001111111000111111111110 F -b1 ) -#514880000 -0& -#514896000 -b1111101101101 , -#514912000 -1& -#514928000 -b1000000000000110011101101100001 < -b11000000000000001010101001011010 : -b1111111111111010110111011111000 $ -b1111111111111010110111011111000 - -b1111111111111010110111011111000 5 -b1111111111111010110111011111000 ? -b1111111111111010110111011111000 D -b10111111111111001100010010011110 % -b10111111111111001100010010011110 . -b10111111111111001100010010011110 6 -b10111111111111001100010010011110 @ -b10111111111111001100010010011110 F -#514944000 -0& -#514960000 -b1111101101110 , -#514976000 -1& -#514992000 -b1111111011111 " -b1111111011111 4 -b1111111011111 0 -b1111111011111 H -b11011000010001010111010101101 < -b10011010100001011100000010101010 : -b1111111011111010001000111111100 $ -b1111111011111010001000111111100 - -b1111111011111010001000111111100 5 -b1111111011111010001000111111100 ? -b1111111011111010001000111111100 D -b11100100111101110101000101010010 % -b11100100111101110101000101010010 . -b11100100111101110101000101010010 6 -b11100100111101110101000101010010 @ -b11100100111101110101000101010010 F -b1111111011111 ) -#515008000 -0& -#515024000 -b1111101101111 , -#515040000 -1& -#515056000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -09 -b1 2 -b1 = -18 -b10000011001010000111000011 < -b11110001100010100001111010001001 : -b11101111011111010111110011000101 $ -b11101111011111010111110011000101 - -b11101111011111010111110011000101 5 -b11101111011111010111110011000101 ? -b11101111011111010111110011000101 D -b11111101111100110101111000111100 % -b11111101111100110101111000111100 . -b11111101111100110101111000111100 6 -b11111101111100110101111000111100 @ -b11111101111100110101111000111100 F -b11111111111111111111111111111110 ) -#515072000 -0& -#515088000 -b1111101110000 , -#515104000 -1& -#515120000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10010010000101001011011101100001 < -b10010010000101000000111100111110 : -b11111111111111110101011111011100 $ -b11111111111111110101011111011100 - -b11111111111111110101011111011100 5 -b11111111111111110101011111011100 ? -b11111111111111110101011111011100 D -b1101101111010110100100010011110 % -b1101101111010110100100010011110 . -b1101101111010110100100010011110 6 -b1101101111010110100100010011110 @ -b1101101111010110100100010011110 F -b11111111111111111111111111111111 ) -#515136000 -0& -#515152000 -b1111101110001 , -#515168000 -1& -#515184000 -b11111111111111111111111110101110 " -b11111111111111111111111110101110 4 -b11111111111111111111111110101110 0 -b11111111111111111111111110101110 H -b0 2 -b0 = -09 -08 -b1010010000000001111010110100111 < -b11100000011100011000111 : -b10101110011011110100001100011111 $ -b10101110011011110100001100011111 - -b10101110011011110100001100011111 5 -b10101110011011110100001100011111 ? -b10101110011011110100001100011111 D -b10101101111111110000101001011000 % -b10101101111111110000101001011000 . -b10101101111111110000101001011000 6 -b10101101111111110000101001011000 @ -b10101101111111110000101001011000 F -b11111111111111111111111110101110 ) -#515200000 -0& -#515216000 -b1111101110010 , -#515232000 -1& -#515248000 -b1011010111110110111 " -b1011010111110110111 4 -b1011010111110110111 0 -b1011010111110110111 H -b0 2 -b0 = -08 -b11011000010110101001011100010011 < -b110011010101100000110010110010 : -b1011010111110110111010110011110 $ -b1011010111110110111010110011110 - -b1011010111110110111010110011110 5 -b1011010111110110111010110011110 ? -b1011010111110110111010110011110 D -b100111101001010110100011101100 % -b100111101001010110100011101100 . -b100111101001010110100011101100 6 -b100111101001010110100011101100 @ -b100111101001010110100011101100 F -b1011010111110110111 ) -#515264000 -0& -#515280000 -b1111101110011 , -#515296000 -1& -#515312000 -b111001 " -b111001 4 -b111001 0 -b111001 H -b0 2 -b0 = -19 -08 -b1001010100000110011110011000110 < -b10111101001010100010010111110000 : -b1110010101001101110100100101001 $ -b1110010101001101110100100101001 - -b1110010101001101110100100101001 5 -b1110010101001101110100100101001 ? -b1110010101001101110100100101001 D -b10110101011111001100001100111001 % -b10110101011111001100001100111001 . -b10110101011111001100001100111001 6 -b10110101011111001100001100111001 @ -b10110101011111001100001100111001 F -b111001 ) -#515328000 -0& -#515344000 -b1111101110100 , -#515360000 -1& -#515376000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b1000000011110010010001010000101 < -b1000000011101100111101001001100 : -b11111111111111010101011111000110 $ -b11111111111111010101011111000110 - -b11111111111111010101011111000110 5 -b11111111111111010101011111000110 ? -b11111111111111010101011111000110 D -b10111111100001101101110101111010 % -b10111111100001101101110101111010 . -b10111111100001101101110101111010 6 -b10111111100001101101110101111010 @ -b10111111100001101101110101111010 F -b11111111111111111111111111111111 ) -#515392000 -0& -#515408000 -b1111101110101 , -#515424000 -1& -#515440000 -b11111110111100111001101110010101 " -b11111110111100111001101110010101 4 -b11111110111100111001101110010101 0 -b11111110111100111001101110010101 H -b1 2 -b1 = -18 -b11100000000000011010010010111010 < -b10111110011101010001011101100001 : -b11011110011100110111001010100110 $ -b11011110011100110111001010100110 - -b11011110011100110111001010100110 5 -b11011110011100110111001010100110 ? -b11011110011100110111001010100110 D -b11111111111100101101101000101 % -b11111111111100101101101000101 . -b11111111111100101101101000101 6 -b11111111111100101101101000101 @ -b11111111111100101101101000101 F -b11111110111100111001101110010101 ) -#515456000 -0& -#515472000 -b1111101110110 , -#515488000 -1& -#515504000 -b1011111101111110101110 " -b1011111101111110101110 4 -b1011111101111110101110 0 -b1011111101111110101110 H -b1 2 -b1 = -18 -09 -b10000010011010001111100100111000 < -b10011010010110001101000001000001 : -b10111111011111101011100001000 $ -b10111111011111101011100001000 - -b10111111011111101011100001000 5 -b10111111011111101011100001000 ? -b10111111011111101011100001000 D -b1111101100101110000011011000111 % -b1111101100101110000011011000111 . -b1111101100101110000011011000111 6 -b1111101100101110000011011000111 @ -b1111101100101110000011011000111 F -b1011111101111110101110 ) -#515520000 -0& -#515536000 -b1111101110111 , -#515552000 -1& -#515568000 -b111111 " -b111111 4 -b111111 0 -b111111 H -19 -b0 2 -b0 = -08 -b1000100100001011000010000110 < -b10001000100010111011000111011101 : -b1111111111110110000000101010110 $ -b1111111111110110000000101010110 - -b1111111111110110000000101010110 5 -b1111111111110110000000101010110 ? -b1111111111110110000000101010110 D -b11110111011011110100111101111001 % -b11110111011011110100111101111001 . -b11110111011011110100111101111001 6 -b11110111011011110100111101111001 @ -b11110111011011110100111101111001 F -b111111 ) -#515584000 -0& -#515600000 -b1111101111000 , -#515616000 -1& -#515632000 -b11111111111110111101011011101001 " -b11111111111110111101011011101001 4 -b11111111111110111101011011101001 0 -b11111111111110111101011011101001 H -09 -b1000001010010000011111100010100 < -b11111111111111000100111001010 : -b11011110101101110100101010110101 $ -b11011110101101110100101010110101 - -b11011110101101110100101010110101 5 -b11011110101101110100101010110101 ? -b11011110101101110100101010110101 D -b10111110101101111100000011101011 % -b10111110101101111100000011101011 . -b10111110101101111100000011101011 6 -b10111110101101111100000011101011 @ -b10111110101101111100000011101011 F -b11111111111110111101011011101001 ) -#515648000 -0& -#515664000 -b1111101111001 , -#515680000 -1& -#515696000 -b11111111111101111111111111111010 " -b11111111111101111111111111111010 4 -b11111111111101111111111111111010 0 -b11111111111101111111111111111010 H -b1 2 -b1 = -18 -b11010000000110101111101110110101 < -b10110000000110101110001110111000 : -b11011111111111111110100000000010 $ -b11011111111111111110100000000010 - -b11011111111111111110100000000010 5 -b11011111111111111110100000000010 ? -b11011111111111111110100000000010 D -b101111111001010000010001001010 % -b101111111001010000010001001010 . -b101111111001010000010001001010 6 -b101111111001010000010001001010 @ -b101111111001010000010001001010 F -b11111111111101111111111111111010 ) -#515712000 -0& -#515728000 -b1111101111010 , -#515744000 -1& -#515760000 -b11110111111111110101101111000011 " -b11110111111111110101101111000011 4 -b11110111111111110101101111000011 0 -b11110111111111110101101111000011 H -b1000000001001111100101011110 < -b11111000000000111011000011100101 : -b11101111111111101011011110000110 $ -b11101111111111101011011110000110 - -b11101111111111101011011110000110 5 -b11101111111111101011011110000110 ? -b11101111111111101011011110000110 D -b11110111111110110000011010100001 % -b11110111111110110000011010100001 . -b11110111111110110000011010100001 6 -b11110111111110110000011010100001 @ -b11110111111110110000011010100001 F -b11110111111111110101101111000011 ) -#515776000 -0& -#515792000 -b1111101111011 , -#515808000 -1& -#515824000 -b11111111111 " -b11111111111 4 -b11111111111 0 -b11111111111 H -b0 2 -b0 = -08 -b11000000000111111101110110001011 < -b1000000000111101110100101000011 : -b1111111111111110000101110110111 $ -b1111111111111110000101110110111 - -b1111111111111110000101110110111 5 -b1111111111111110000101110110111 ? -b1111111111111110000101110110111 D -b111111111000000010001001110100 % -b111111111000000010001001110100 . -b111111111000000010001001110100 6 -b111111111000000010001001110100 @ -b111111111000000010001001110100 F -b11111111111 ) -#515840000 -0& -#515856000 -b1111101111100 , -#515872000 -1& -#515888000 -b10111101011111011011100001 " -b10111101011111011011100001 4 -b10111101011111011011100001 0 -b10111101011111011011100001 H -b0 2 -b0 = -08 -b1000111000010011010110110011011 < -b1110110011010010001101110110011 : -b101111010111110110111000010111 $ -b101111010111110110111000010111 - -b101111010111110110111000010111 5 -b101111010111110110111000010111 ? -b101111010111110110111000010111 D -b10111000111101100101001001100100 % -b10111000111101100101001001100100 . -b10111000111101100101001001100100 6 -b10111000111101100101001001100100 @ -b10111000111101100101001001100100 F -b10111101011111011011100001 ) -#515904000 -0& -#515920000 -b1111101111101 , -#515936000 -1& -#515952000 -b1111000001 " -b1111000001 4 -b1111000001 0 -b1111000001 H -b0 2 -b0 = -09 -08 -b10001001000111001001001100001010 < -b1010110111011011100101100 : -b1111000001111110010010000100001 $ -b1111000001111110010010000100001 - -b1111000001111110010010000100001 5 -b1111000001111110010010000100001 ? -b1111000001111110010010000100001 D -b1110110111000110110110011110101 % -b1110110111000110110110011110101 . -b1110110111000110110110011110101 6 -b1110110111000110110110011110101 @ -b1110110111000110110110011110101 F -b1111000001 ) -#515968000 -0& -#515984000 -b1111101111110 , -#516000000 -1& -#516016000 -b11111111111111111111111100111111 " -b11111111111111111111111100111111 4 -b11111111111111111111111100111111 0 -b11111111111111111111111100111111 H -b1 2 -b1 = -18 -19 -b10010000110000000110110110001010 < -b1111000101111111110101011000111 : -b11100111111111110111110100111100 $ -b11100111111111110111110100111100 - -b11100111111111110111110100111100 5 -b11100111111111110111110100111100 ? -b11100111111111110111110100111100 D -b1101111001111111001001001110101 % -b1101111001111111001001001110101 . -b1101111001111111001001001110101 6 -b1101111001111111001001001110101 @ -b1101111001111111001001001110101 F -b11111111111111111111111100111111 ) -#516032000 -0& -#516048000 -b1111101111111 , -#516064000 -1& -#516080000 -b11101101111 " -b11101101111 4 -b11101101111 0 -b11101101111 H -b0 2 -b0 = -08 -19 -b1010000100001001011111010001011 < -b11000111100001001001110110101000 : -b1110110111111111101111100011100 $ -b1110110111111111101111100011100 - -b1110110111111111101111100011100 5 -b1110110111111111101111100011100 ? -b1110110111111111101111100011100 D -b10101111011110110100000101110100 % -b10101111011110110100000101110100 . -b10101111011110110100000101110100 6 -b10101111011110110100000101110100 @ -b10101111011110110100000101110100 F -b11101101111 ) -#516096000 -0& -#516112000 -b1111110000000 , -#516128000 -1& -#516144000 -b11011111111111101100000010111 " -b11011111111111101100000010111 4 -b11011111111111101100000010111 0 -b11011111111111101100000010111 H -b1 2 -b1 = -18 -09 -b10001000100001101011111000011101 < -b11111000100001100001111001111101 : -b1101111111111110110000001011111 $ -b1101111111111110110000001011111 - -b1101111111111110110000001011111 5 -b1101111111111110110000001011111 ? -b1101111111111110110000001011111 D -b1110111011110010100000111100010 % -b1110111011110010100000111100010 . -b1110111011110010100000111100010 6 -b1110111011110010100000111100010 @ -b1110111011110010100000111100010 F -b11011111111111101100000010111 ) -#516160000 -0& -#516176000 -b1111110000001 , -#516192000 -1& -#516208000 -b11111111111111111011010111111111 " -b11111111111111111011010111111111 4 -b11111111111111111011010111111111 0 -b11111111111111111011010111111111 H -19 -b1 2 -b1 = -18 -b10001100000000010111111001110000 < -b1100111000000010111110101000001 : -b11011010111111111111111011010000 $ -b11011010111111111111111011010000 - -b11011010111111111111111011010000 5 -b11011010111111111111111011010000 ? -b11011010111111111111111011010000 D -b1110011111111101000000110001111 % -b1110011111111101000000110001111 . -b1110011111111101000000110001111 6 -b1110011111111101000000110001111 @ -b1110011111111101000000110001111 F -b11111111111111111011010111111111 ) -#516224000 -0& -#516240000 -b1111110000010 , -#516256000 -1& -#516272000 -b1101 " -b1101 4 -b1101 0 -b1101 H -09 -b0 2 -b0 = -08 -b11001000000001101100100 < -b1110000001111101100000011010111 : -b1101111110110101011110101110010 $ -b1101111110110101011110101110010 - -b1101111110110101011110101110010 5 -b1101111110110101011110101110010 ? -b1101111110110101011110101110010 D -b11111111100110111111110010011011 % -b11111111100110111111110010011011 . -b11111111100110111111110010011011 6 -b11111111100110111111110010011011 @ -b11111111100110111111110010011011 F -b1101 ) -#516288000 -0& -#516304000 -b1111110000011 , -#516320000 -1& -#516336000 -b110101 " -b110101 4 -b110101 0 -b110101 H -b1 2 -b1 = -18 -b10001000100001001001110100100110 < -b11110100100000100011100010110000 : -b1101011111111011001101110001001 $ -b1101011111111011001101110001001 - -b1101011111111011001101110001001 5 -b1101011111111011001101110001001 ? -b1101011111111011001101110001001 D -b1110111011110110110001011011001 % -b1110111011110110110001011011001 . -b1110111011110110110001011011001 6 -b1110111011110110110001011011001 @ -b1110111011110110110001011011001 F -b110101 ) -#516352000 -0& -#516368000 -b1111110000100 , -#516384000 -1& -#516400000 -b11111111111111111111111111100011 " -b11111111111111111111111111100011 4 -b11111111111111111111111111100011 0 -b11111111111111111111111111100011 H -b1 2 -b1 = -18 -b10101110011100110 < -b11000111110110001000111010010110 : -b11000111110101110011000110101111 $ -b11000111110101110011000110101111 - -b11000111110101110011000110101111 5 -b11000111110101110011000110101111 ? -b11000111110101110011000110101111 D -b11111111111111101010001100011001 % -b11111111111111101010001100011001 . -b11111111111111101010001100011001 6 -b11111111111111101010001100011001 @ -b11111111111111101010001100011001 F -b11111111111111111111111111100011 ) -#516416000 -0& -#516432000 -b1111110000101 , -#516448000 -1& -#516464000 -b11111111111111111111111111100110 " -b11111111111111111111111111100110 4 -b11111111111111111111111111100110 0 -b11111111111111111111111111100110 H -b0 2 -b0 = -08 -b101101000101001100010111101000 < -b100000010101000000101001011011 : -b11110011001111110100010001110010 $ -b11110011001111110100010001110010 - -b11110011001111110100010001110010 5 -b11110011001111110100010001110010 ? -b11110011001111110100010001110010 D -b11010010111010110011101000010111 % -b11010010111010110011101000010111 . -b11010010111010110011101000010111 6 -b11010010111010110011101000010111 @ -b11010010111010110011101000010111 F -b11111111111111111111111111100110 ) -#516480000 -0& -#516496000 -b1111110000110 , -#516512000 -1& -#516528000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10000101000011101011111100000010 < -b10000011111010101001001100011010 : -b11111110110110111101010000010111 $ -b11111110110110111101010000010111 - -b11111110110110111101010000010111 5 -b11111110110110111101010000010111 ? -b11111110110110111101010000010111 D -b1111010111100010100000011111101 % -b1111010111100010100000011111101 . -b1111010111100010100000011111101 6 -b1111010111100010100000011111101 @ -b1111010111100010100000011111101 F -b11111111111111111111111111111111 ) -#516544000 -0& -#516560000 -b1111110000111 , -#516576000 -1& -#516592000 -b11111111111111111111111111110101 " -b11111111111111111111111111110101 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -b11000001011000101101100111101101 < -b11000001001110010110101000110000 : -b11111111110101101001000001000010 $ -b11111111110101101001000001000010 - -b11111111110101101001000001000010 5 -b11111111110101101001000001000010 ? -b11111111110101101001000001000010 D -b111110100111010010011000010010 % -b111110100111010010011000010010 . -b111110100111010010011000010010 6 -b111110100111010010011000010010 @ -b111110100111010010011000010010 F -b11111111111111111111111111110101 ) -#516608000 -0& -#516624000 -b1111110001000 , -#516640000 -1& -#516656000 -b11111000111011111101111100111111 " -b11111000111011111101111100111111 4 -b11111000111011111101111100111111 0 -b11111000111011111101111100111111 H -b101101001001011110111111101 < -b11101001011001000011101011111011 : -b11100011101111110111110011111101 $ -b11100011101111110111110011111101 - -b11100011101111110111110011111101 5 -b11100011101111110111110011111101 ? -b11100011101111110111110011111101 D -b11111010010110110100001000000010 % -b11111010010110110100001000000010 . -b11111010010110110100001000000010 6 -b11111010010110110100001000000010 @ -b11111010010110110100001000000010 F -b11111000111011111101111100111111 ) -#516672000 -0& -#516688000 -b1111110001001 , -#516704000 -1& -#516720000 -b11111111111111011011011000010111 " -b11111111111111011011011000010111 4 -b11111111111111011011011000010111 0 -b11111111111111011011011000010111 H -b1 2 -b1 = -18 -b1000101000101100011000 < -b11111110111111011001011010111010 : -b11111110110110110000101110100001 $ -b11111110110110110000101110100001 - -b11111110110110110000101110100001 5 -b11111110110110110000101110100001 ? -b11111110110110110000101110100001 D -b11111111110111010111010011100111 % -b11111111110111010111010011100111 . -b11111111110111010111010011100111 6 -b11111111110111010111010011100111 @ -b11111111110111010111010011100111 F -b11111111111111011011011000010111 ) -#516736000 -0& -#516752000 -b1111110001010 , -#516768000 -1& -#516784000 -b110110011001110101 " -b110110011001110101 4 -b110110011001110101 0 -b110110011001110101 H -b1 2 -b1 = -18 -b10100101001011101001000001010011 < -b11011011100101011110000011111000 : -b110110011001110101000010100100 $ -b110110011001110101000010100100 - -b110110011001110101000010100100 5 -b110110011001110101000010100100 ? -b110110011001110101000010100100 D -b1011010110100010110111110101100 % -b1011010110100010110111110101100 . -b1011010110100010110111110101100 6 -b1011010110100010110111110101100 @ -b1011010110100010110111110101100 F -b110110011001110101 ) -#516800000 -0& -#516816000 -b1111110001011 , -#516832000 -1& -#516848000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1010000111111001000111 < -b111110001111101111100 : -b11111111111101101010000100110100 $ -b11111111111101101010000100110100 - -b11111111111101101010000100110100 5 -b11111111111101101010000100110100 ? -b11111111111101101010000100110100 D -b11111111110101111000000110111000 % -b11111111110101111000000110111000 . -b11111111110101111000000110111000 6 -b11111111110101111000000110111000 @ -b11111111110101111000000110111000 F -b11111111111111111111111111111111 ) -#516864000 -0& -#516880000 -b1111110001100 , -#516896000 -1& -#516912000 -b11111110011111011010101100011101 " -b11111110011111011010101100011101 4 -b11111110011111011010101100011101 0 -b11111110011111011010101100011101 H -19 -b1 2 -b1 = -18 -b10000000001010000010001001011001 < -b11111100100101110100110110101 : -b10011111011010101100011101011011 $ -b10011111011010101100011101011011 - -b10011111011010101100011101011011 5 -b10011111011010101100011101011011 ? -b10011111011010101100011101011011 D -b1111111110101111101110110100110 % -b1111111110101111101110110100110 . -b1111111110101111101110110100110 6 -b1111111110101111101110110100110 @ -b1111111110101111101110110100110 F -b11111110011111011010101100011101 ) -#516928000 -0& -#516944000 -b1111110001101 , -#516960000 -1& -#516976000 -b11111111111111111101111110001101 " -b11111111111111111101111110001101 4 -b11111111111111111101111110001101 0 -b11111111111111111101111110001101 H -b0 2 -b0 = -08 -09 -b110000000000011100111010110 < -b101101111110101010110100001 : -b11111111101111110001101111001010 $ -b11111111101111110001101111001010 - -b11111111101111110001101111001010 5 -b11111111101111110001101111001010 ? -b11111111101111110001101111001010 D -b11111001111111111100011000101001 % -b11111001111111111100011000101001 . -b11111001111111111100011000101001 6 -b11111001111111111100011000101001 @ -b11111001111111111100011000101001 F -b11111111111111111101111110001101 ) -#516992000 -0& -#517008000 -b1111110001110 , -#517024000 -1& -#517040000 -b11111111111111111111111111110111 " -b11111111111111111111111111110111 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b0 2 -b0 = -08 -b101011001000000000111100000111 < -b100010100110000110101110010010 : -b11110111011110000101110010001010 $ -b11110111011110000101110010001010 - -b11110111011110000101110010001010 5 -b11110111011110000101110010001010 ? -b11110111011110000101110010001010 D -b11010100110111111111000011111000 % -b11010100110111111111000011111000 . -b11010100110111111111000011111000 6 -b11010100110111111111000011111000 @ -b11010100110111111111000011111000 F -b11111111111111111111111111110111 ) -#517056000 -0& -#517072000 -b1111110001111 , -#517088000 -1& -#517104000 -b11111010100111111010001 " -b11111010100111111010001 4 -b11111010100111111010001 0 -b11111010100111111010001 H -b0 2 -b0 = -08 -b11100000000001000011110000110111 < -b1011101010101000000110111110010 : -b1111101010011111101000110111010 $ -b1111101010011111101000110111010 - -b1111101010011111101000110111010 5 -b1111101010011111101000110111010 ? -b1111101010011111101000110111010 D -b11111111110111100001111001000 % -b11111111110111100001111001000 . -b11111111110111100001111001000 6 -b11111111110111100001111001000 @ -b11111111110111100001111001000 F -b11111010100111111010001 ) -#517120000 -0& -#517136000 -b1111110010000 , -#517152000 -1& -#517168000 -b11111111101001001111110110001001 " -b11111111101001001111110110001001 4 -b11111111101001001111110110001001 0 -b11111111101001001111110110001001 H -b1 2 -b1 = -18 -b100010011100001010111 < -b10100101000011101100001001000100 : -b10100100111111011000100111101100 $ -b10100100111111011000100111101100 - -b10100100111111011000100111101100 5 -b10100100111111011000100111101100 ? -b10100100111111011000100111101100 D -b11111111111011101100011110101000 % -b11111111111011101100011110101000 . -b11111111111011101100011110101000 6 -b11111111111011101100011110101000 @ -b11111111111011101100011110101000 F -b11111111101001001111110110001001 ) -#517184000 -0& -#517200000 -b1111110010001 , -#517216000 -1& -#517232000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b10111001001000000000111001100101 < -b10110001000111000111111000111011 : -b11110111111111000110111111010101 $ -b11110111111111000110111111010101 - -b11110111111111000110111111010101 5 -b11110111111111000110111111010101 ? -b11110111111111000110111111010101 D -b1000110110111111111000110011010 % -b1000110110111111111000110011010 . -b1000110110111111111000110011010 6 -b1000110110111111111000110011010 @ -b1000110110111111111000110011010 F -b11111111111111111111111111111101 ) -#517248000 -0& -#517264000 -b1111110010010 , -#517280000 -1& -#517296000 -b11111111110010111011111111111000 " -b11111111110010111011111111111000 4 -b11111111110010111011111111111000 0 -b11111111110010111011111111111000 H -b10100000001000001010011011111011 < -b10011100110111001010011010000000 : -b11111100101110111111111110000100 $ -b11111100101110111111111110000100 - -b11111100101110111111111110000100 5 -b11111100101110111111111110000100 ? -b11111100101110111111111110000100 D -b1011111110111110101100100000100 % -b1011111110111110101100100000100 . -b1011111110111110101100100000100 6 -b1011111110111110101100100000100 @ -b1011111110111110101100100000100 F -b11111111110010111011111111111000 ) -#517312000 -0& -#517328000 -b1111110010011 , -#517344000 -1& -#517360000 -b1110011111101100111000100 " -b1110011111101100111000100 4 -b1110011111101100111000100 0 -b1110011111101100111000100 H -b0 2 -b0 = -08 -09 -b11100010001000000000000010111010 < -b11100000110110011100101001001 : -b111001111110110011100010001110 $ -b111001111110110011100010001110 - -b111001111110110011100010001110 5 -b111001111110110011100010001110 ? -b111001111110110011100010001110 D -b11101110111111111111101000101 % -b11101110111111111111101000101 . -b11101110111111111111101000101 6 -b11101110111111111111101000101 @ -b11101110111111111111101000101 F -b1110011111101100111000100 ) -#517376000 -0& -#517392000 -b1111110010100 , -#517408000 -1& -#517424000 -b10111010011111101110001 " -b10111010011111101110001 4 -b10111010011111101110001 0 -b10111010011111101110001 H -b1100110010000011101010111 < -b1011110110110000111100001101011 : -b1011101001111110111000100010011 $ -b1011101001111110111000100010011 - -b1011101001111110111000100010011 5 -b1011101001111110111000100010011 ? -b1011101001111110111000100010011 D -b11111110011001101111100010101000 % -b11111110011001101111100010101000 . -b11111110011001101111100010101000 6 -b11111110011001101111100010101000 @ -b11111110011001101111100010101000 F -b10111010011111101110001 ) -#517440000 -0& -#517456000 -b1111110010101 , -#517472000 -1& -#517488000 -b101111 " -b101111 4 -b101111 0 -b101111 H -b1000000000010010111011101101001 < -b1001100000010001110101011010010 : -b1011111111110111001101101000 $ -b1011111111110111001101101000 - -b1011111111110111001101101000 5 -b1011111111110111001101101000 ? -b1011111111110111001101101000 D -b10111111111101101000100010010110 % -b10111111111101101000100010010110 . -b10111111111101101000100010010110 6 -b10111111111101101000100010010110 @ -b10111111111101101000100010010110 F -b101111 ) -#517504000 -0& -#517520000 -b1111110010110 , -#517536000 -1& -#517552000 -b1011110 " -b1011110 4 -b1011110 0 -b1011110 H -b1 2 -b1 = -09 -18 -b10001000000001101011101001000111 < -b11100110011001100110111110100011 : -b1011110010111111011010101011011 $ -b1011110010111111011010101011011 - -b1011110010111111011010101011011 5 -b1011110010111111011010101011011 ? -b1011110010111111011010101011011 D -b1110111111110010100010110111000 % -b1110111111110010100010110111000 . -b1110111111110010100010110111000 6 -b1110111111110010100010110111000 @ -b1110111111110010100010110111000 F -b1011110 ) -#517568000 -0& -#517584000 -b1111110010111 , -#517600000 -1& -#517616000 -b10101111111010010101111 " -b10101111111010010101111 4 -b10101111111010010101111 0 -b10101111111010010101111 H -b0 2 -b0 = -08 -b100100000100011111001110111 < -b1011100011101101110110111110011 : -b1010111111101001010111101111011 $ -b1010111111101001010111101111011 - -b1010111111101001010111101111011 5 -b1010111111101001010111101111011 ? -b1010111111101001010111101111011 D -b11111011011111011100000110001000 % -b11111011011111011100000110001000 . -b11111011011111011100000110001000 6 -b11111011011111011100000110001000 @ -b11111011011111011100000110001000 F -b10101111111010010101111 ) -#517632000 -0& -#517648000 -b1111110011000 , -#517664000 -1& -#517680000 -b1111101000011101 " -b1111101000011101 4 -b1111101000011101 0 -b1111101000011101 H -b0 2 -b0 = -19 -08 -b1010001110000100110111010010001 < -b10010000010010011110110111111110 : -b111110100001110111111101101100 $ -b111110100001110111111101101100 - -b111110100001110111111101101100 5 -b111110100001110111111101101100 ? -b111110100001110111111101101100 D -b10101110001111011001000101101110 % -b10101110001111011001000101101110 . -b10101110001111011001000101101110 6 -b10101110001111011001000101101110 @ -b10101110001111011001000101101110 F -b1111101000011101 ) -#517696000 -0& -#517712000 -b1111110011001 , -#517728000 -1& -#517744000 -b11111111111111111111111111010111 " -b11111111111111111111111111010111 4 -b11111111111111111111111111010111 0 -b11111111111111111111111111010111 H -b1 2 -b1 = -18 -b10010011000001100001000011100111 < -b1101010110011010110101111010111 : -b11010111110001110101101011101111 $ -b11010111110001110101101011101111 - -b11010111110001110101101011101111 5 -b11010111110001110101101011101111 ? -b11010111110001110101101011101111 D -b1101100111110011110111100011000 % -b1101100111110011110111100011000 . -b1101100111110011110111100011000 6 -b1101100111110011110111100011000 @ -b1101100111110011110111100011000 F -b11111111111111111111111111010111 ) -#517760000 -0& -#517776000 -b1111110011010 , -#517792000 -1& -#517808000 -b11010110100101101010001010010 " -b11010110100101101010001010010 4 -b11010110100101101010001010010 0 -b11010110100101101010001010010 H -09 -b0 2 -b0 = -08 -b1000000000001111011011111101 < -b1110011010011000100100001001000 : -b1101011010010110101000101001010 $ -b1101011010010110101000101001010 - -b1101011010010110101000101001010 5 -b1101011010010110101000101001010 ? -b1101011010010110101000101001010 D -b11110111111111110000100100000010 % -b11110111111111110000100100000010 . -b11110111111111110000100100000010 6 -b11110111111111110000100100000010 @ -b11110111111111110000100100000010 F -b11010110100101101010001010010 ) -#517824000 -0& -#517840000 -b1111110011011 , -#517856000 -1& -#517872000 -b1111111011011 " -b1111111011011 4 -b1111111011011 0 -b1111111011011 H -b0 2 -b0 = -09 -08 -b10000010100000001110011011101101 < -b1111100001001110100011011 : -b1111111011011111011011000101101 $ -b1111111011011111011011000101101 - -b1111111011011111011011000101101 5 -b1111111011011111011011000101101 ? -b1111111011011111011011000101101 D -b1111101011111110001100100010010 % -b1111101011111110001100100010010 . -b1111101011111110001100100010010 6 -b1111101011111110001100100010010 @ -b1111101011111110001100100010010 F -b1111111011011 ) -#517888000 -0& -#517904000 -b1111110011100 , -#517920000 -1& -#517936000 -b11111111101111111101001100110000 " -b11111111101111111101001100110000 4 -b11111111101111111101001100110000 0 -b11111111101111111101001100110000 H -b1 2 -b1 = -18 -b10100101100000011101010001111110 < -b10100101000000010111101011011111 : -b11111111011111111010011001100000 $ -b11111111011111111010011001100000 - -b11111111011111111010011001100000 5 -b11111111011111111010011001100000 ? -b11111111011111111010011001100000 D -b1011010011111100010101110000001 % -b1011010011111100010101110000001 . -b1011010011111100010101110000001 6 -b1011010011111100010101110000001 @ -b1011010011111100010101110000001 F -b11111111101111111101001100110000 ) -#517952000 -0& -#517968000 -b1111110011101 , -#517984000 -1& -#518000000 -b101111101111110 " -b101111101111110 4 -b101111101111110 0 -b101111101111110 H -19 -b0 2 -b0 = -08 -b1000000001000001100101100001111 < -b10011111100111110111011011110010 : -b1011111011111101010101111100010 $ -b1011111011111101010101111100010 - -b1011111011111101010101111100010 5 -b1011111011111101010101111100010 ? -b1011111011111101010101111100010 D -b10111111110111110011010011110000 % -b10111111110111110011010011110000 . -b10111111110111110011010011110000 6 -b10111111110111110011010011110000 @ -b10111111110111110011010011110000 F -b101111101111110 ) -#518016000 -0& -#518032000 -b1111110011110 , -#518048000 -1& -#518064000 -b11111111111111111111111111110011 " -b11111111111111111111111111110011 4 -b11111111111111111111111111110011 0 -b11111111111111111111111111110011 H -b1 2 -b1 = -18 -09 -b10100000111000111111111000100 < -b10110011011100000011111110010000 : -b10011111010100111011111111001011 $ -b10011111010100111011111111001011 - -b10011111010100111011111111001011 5 -b10011111010100111011111111001011 ? -b10011111010100111011111111001011 D -b11101011111000111000000000111011 % -b11101011111000111000000000111011 . -b11101011111000111000000000111011 6 -b11101011111000111000000000111011 @ -b11101011111000111000000000111011 F -b11111111111111111111111111110011 ) -#518080000 -0& -#518096000 -b1111110011111 , -#518112000 -1& -#518128000 -b11111111111111110011111011110110 " -b11111111111111110011111011110110 4 -b11111111111111110011111011110110 0 -b11111111111111110011111011110110 H -b10001000110100010001110010001 < -b11100000110101111010111000101101 : -b11001111101111011000101010011011 $ -b11001111101111011000101010011011 - -b11001111101111011000101010011011 5 -b11001111101111011000101010011011 ? -b11001111101111011000101010011011 D -b11101110111001011101110001101110 % -b11101110111001011101110001101110 . -b11101110111001011101110001101110 6 -b11101110111001011101110001101110 @ -b11101110111001011101110001101110 F -b11111111111111110011111011110110 ) -#518144000 -0& -#518160000 -b1111110100000 , -#518176000 -1& -#518192000 -b11101111111101110001010001111100 " -b11101111111101110001010001111100 4 -b11101111111101110001010001111100 0 -b11101111111101110001010001111100 H -b1101000100000010000010011110 < -b11101100111111100100100110010111 : -b11011111111011100010100011111000 $ -b11011111111011100010100011111000 - -b11011111111011100010100011111000 5 -b11011111111011100010100011111000 ? -b11011111111011100010100011111000 D -b11110010111011111101111101100001 % -b11110010111011111101111101100001 . -b11110010111011111101111101100001 6 -b11110010111011111101111101100001 @ -b11110010111011111101111101100001 F -b11101111111101110001010001111100 ) -#518208000 -0& -#518224000 -b1111110100001 , -#518240000 -1& -#518256000 -b111011100010111010001011110000 " -b111011100010111010001011110000 4 -b111011100010111010001011110000 0 -b111011100010111010001011110000 H -b0 2 -b0 = -08 -19 -b101100000000101001001111011110 < -b10100011000110011101100111000000 : -b1110111000101110100010111100001 $ -b1110111000101110100010111100001 - -b1110111000101110100010111100001 5 -b1110111000101110100010111100001 ? -b1110111000101110100010111100001 D -b11010011111111010110110000100001 % -b11010011111111010110110000100001 . -b11010011111111010110110000100001 6 -b11010011111111010110110000100001 @ -b11010011111111010110110000100001 F -b111011100010111010001011110000 ) -#518272000 -0& -#518288000 -b1111110100010 , -#518304000 -1& -#518320000 -b11111111111111101001111111101001 " -b11111111111111101001111111101001 4 -b11111111111111101001111111101001 0 -b11111111111111101001111111101001 H -09 -b0 2 -b0 = -08 -b10100110011010101111111110100 < -b1001110011001010111111011100 : -b11110100111111110100111111100111 $ -b11110100111111110100111111100111 - -b11110100111111110100111111100111 5 -b11110100111111110100111111100111 ? -b11110100111111110100111111100111 D -b11101011001100101010000000001011 % -b11101011001100101010000000001011 . -b11101011001100101010000000001011 6 -b11101011001100101010000000001011 @ -b11101011001100101010000000001011 F -b11111111111111101001111111101001 ) -#518336000 -0& -#518352000 -b1111110100011 , -#518368000 -1& -#518384000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -08 -b11000000000000101100000101000001 < -b111010111101111100010110011010 : -b1111010111101010000010001011000 $ -b1111010111101010000010001011000 - -b1111010111101010000010001011000 5 -b1111010111101010000010001011000 ? -b1111010111101010000010001011000 D -b111111111111010011111010111110 % -b111111111111010011111010111110 . -b111111111111010011111010111110 6 -b111111111111010011111010111110 @ -b111111111111010011111010111110 F -b1 ) -#518400000 -0& -#518416000 -b1111110100100 , -#518432000 -1& -#518448000 -b1111110110111110010 " -b1111110110111110010 4 -b1111110110111110010 0 -b1111110110111110010 H -b0 2 -b0 = -19 -08 -b1100010000010011000000011110011 < -b11100000111010001010100010111011 : -b1111110110111110010011111000111 $ -b1111110110111110010011111000111 - -b1111110110111110010011111000111 5 -b1111110110111110010011111000111 ? -b1111110110111110010011111000111 D -b10011101111101100111111100001100 % -b10011101111101100111111100001100 . -b10011101111101100111111100001100 6 -b10011101111101100111111100001100 @ -b10011101111101100111111100001100 F -b1111110110111110010 ) -#518464000 -0& -#518480000 -b1111110100101 , -#518496000 -1& -#518512000 -b11111111111111111111111111110011 " -b11111111111111111111111111110011 4 -b11111111111111111111111111110011 0 -b11111111111111111111111111110011 H -09 -b1 2 -b1 = -18 -b10010110100000011011100111001000 < -b10010000011100010100010101010000 : -b11111001111011111000101110000111 $ -b11111001111011111000101110000111 - -b11111001111011111000101110000111 5 -b11111001111011111000101110000111 ? -b11111001111011111000101110000111 D -b1101001011111100100011000110111 % -b1101001011111100100011000110111 . -b1101001011111100100011000110111 6 -b1101001011111100100011000110111 @ -b1101001011111100100011000110111 F -b11111111111111111111111111110011 ) -#518528000 -0& -#518544000 -b1111110100110 , -#518560000 -1& -#518576000 -b11111111111111111111111101111111 " -b11111111111111111111111101111111 4 -b11111111111111111111111101111111 0 -b11111111111111111111111101111111 H -b0 2 -b0 = -09 -08 -b1000000010000000111000010001001 < -b100000001111011110111000101110 : -b11011111111111010111110110100100 $ -b11011111111111010111110110100100 - -b11011111111111010111110110100100 5 -b11011111111111010111110110100100 ? -b11011111111111010111110110100100 D -b10111111101111111000111101110110 % -b10111111101111111000111101110110 . -b10111111101111111000111101110110 6 -b10111111101111111000111101110110 @ -b10111111101111111000111101110110 F -b11111111111111111111111101111111 ) -#518592000 -0& -#518608000 -b1111110100111 , -#518624000 -1& -#518640000 -b11111111111111001111010110011101 " -b11111111111111001111010110011101 4 -b11111111111111001111010110011101 0 -b11111111111111001111010110011101 H -b10100000010010100010111110101 < -b111110111111011110001000111 : -b11110011110101100111011001010001 $ -b11110011110101100111011001010001 - -b11110011110101100111011001010001 5 -b11110011110101100111011001010001 ? -b11110011110101100111011001010001 D -b11101011111101101011101000001010 % -b11101011111101101011101000001010 . -b11101011111101101011101000001010 6 -b11101011111101101011101000001010 @ -b11101011111101101011101000001010 F -b11111111111111001111010110011101 ) -#518656000 -0& -#518672000 -b1111110101000 , -#518688000 -1& -#518704000 -b1110111111 " -b1110111111 4 -b1110111111 0 -b1110111111 H -b11010000000000110011111101001011 < -b1100000000101100011101000001 : -b111011111111111000011111110101 $ -b111011111111111000011111110101 - -b111011111111111000011111110101 5 -b111011111111111000011111110101 ? -b111011111111111000011111110101 D -b101111111111001100000010110100 % -b101111111111001100000010110100 . -b101111111111001100000010110100 6 -b101111111111001100000010110100 @ -b101111111111001100000010110100 F -b1110111111 ) -#518720000 -0& -#518736000 -b1111110101001 , -#518752000 -1& -#518768000 -b11111111111111111110011110110101 " -b11111111111111111110011110110101 4 -b11111111111111111110011110110101 0 -b11111111111111111110011110110101 H -b1 2 -b1 = -18 -b1010001110001000101100101110 < -b11011001101000101010010101100100 : -b11001111011010100001101000110101 $ -b11001111011010100001101000110101 - -b11001111011010100001101000110101 5 -b11001111011010100001101000110101 ? -b11001111011010100001101000110101 D -b11110101110001110111010011010001 % -b11110101110001110111010011010001 . -b11110101110001110111010011010001 6 -b11110101110001110111010011010001 @ -b11110101110001110111010011010001 F -b11111111111111111110011110110101 ) -#518784000 -0& -#518800000 -b1111110101010 , -#518816000 -1& -#518832000 -b1111001010111011011000010100101 " -b1111001010111011011000010100101 4 -b1111001010111011011000010100101 0 -b1111001010111011011000010100101 H -b10000000100010101100100111111111 < -b11111001111010000111101010100101 : -b1111001010111011011000010100101 $ -b1111001010111011011000010100101 - -b1111001010111011011000010100101 5 -b1111001010111011011000010100101 ? -b1111001010111011011000010100101 D -b1111111011101010011011000000000 % -b1111111011101010011011000000000 . -b1111111011101010011011000000000 6 -b1111111011101010011011000000000 @ -b1111111011101010011011000000000 F -b1111001010111011011000010100101 ) -#518848000 -0& -#518864000 -b1111110101011 , -#518880000 -1& -#518896000 -b11111 " -b11111 4 -b11111 0 -b11111 H -b0 2 -b0 = -08 -19 -b1111010100001001110110101100101 < -b11111000101110000010110000100101 : -b1111110001100110011111010111111 $ -b1111110001100110011111010111111 - -b1111110001100110011111010111111 5 -b1111110001100110011111010111111 ? -b1111110001100110011111010111111 D -b10000101011110110001001010011010 % -b10000101011110110001001010011010 . -b10000101011110110001001010011010 6 -b10000101011110110001001010011010 @ -b10000101011110110001001010011010 F -b11111 ) -#518912000 -0& -#518928000 -b1111110101100 , -#518944000 -1& -#518960000 -b11111110011101 " -b11111110011101 4 -b11111110011101 0 -b11111110011101 H -b1001100110100001010010110101111 < -b10001100011011100111011001001111 : -b111111100111011101000010011111 $ -b111111100111011101000010011111 - -b111111100111011101000010011111 5 -b111111100111011101000010011111 ? -b111111100111011101000010011111 D -b10110011001011110101101001010000 % -b10110011001011110101101001010000 . -b10110011001011110101101001010000 6 -b10110011001011110101101001010000 @ -b10110011001011110101101001010000 F -b11111110011101 ) -#518976000 -0& -#518992000 -b1111110101101 , -#519008000 -1& -#519024000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -09 -08 -b110000000000100110100000001 < -b1010101111011010101111011110110 : -b1001111111011010001000111110100 $ -b1001111111011010001000111110100 - -b1001111111011010001000111110100 5 -b1001111111011010001000111110100 ? -b1001111111011010001000111110100 D -b11111001111111111011001011111110 % -b11111001111111111011001011111110 . -b11111001111111111011001011111110 6 -b11111001111111111011001011111110 @ -b11111001111111111011001011111110 F -b1 ) -#519040000 -0& -#519056000 -b1111110101110 , -#519072000 -1& -#519088000 -b11111110111111111 " -b11111110111111111 4 -b11111110111111111 0 -b11111110111111111 H -b0 2 -b0 = -19 -08 -b101001101010000101000111010001 < -b10101001001010000010111100000011 : -b1111111011111111101110100110001 $ -b1111111011111111101110100110001 - -b1111111011111111101110100110001 5 -b1111111011111111101110100110001 ? -b1111111011111111101110100110001 D -b11010110010101111010111000101110 % -b11010110010101111010111000101110 . -b11010110010101111010111000101110 6 -b11010110010101111010111000101110 @ -b11010110010101111010111000101110 F -b11111110111111111 ) -#519104000 -0& -#519120000 -b1111110101111 , -#519136000 -1& -#519152000 -b11111110101111101 " -b11111110101111101 4 -b11111110101111101 0 -b11111110101111101 H -b0 2 -b0 = -09 -08 -b10100100000010110100100111110001 < -b100011011010101001111011001001 : -b1111111010111110101010011010111 $ -b1111111010111110101010011010111 - -b1111111010111110101010011010111 5 -b1111111010111110101010011010111 ? -b1111111010111110101010011010111 D -b1011011111101001011011000001110 % -b1011011111101001011011000001110 . -b1011011111101001011011000001110 6 -b1011011111101001011011000001110 @ -b1011011111101001011011000001110 F -b11111110101111101 ) -#519168000 -0& -#519184000 -b1111110110000 , -#519200000 -1& -#519216000 -b11111101011110110011100111010 " -b11111101011110110011100111010 4 -b11111101011110110011100111010 0 -b11111101011110110011100111010 H -b0 2 -b0 = -19 -08 -b1100001001100000001111100111101 < -b11011111111011011011110000100111 : -b1111110101111011001110011101001 $ -b1111110101111011001110011101001 - -b1111110101111011001110011101001 5 -b1111110101111011001110011101001 ? -b1111110101111011001110011101001 D -b10011110110011111110000011000010 % -b10011110110011111110000011000010 . -b10011110110011111110000011000010 6 -b10011110110011111110000011000010 @ -b10011110110011111110000011000010 F -b11111101011110110011100111010 ) -#519232000 -0& -#519248000 -b1111110110001 , -#519264000 -1& -#519280000 -b11111111111111111110111111111111 " -b11111111111111111110111111111111 4 -b11111111111111111110111111111111 0 -b11111111111111111110111111111111 H -09 -b0 2 -b0 = -08 -b1000101100010101011101110001111 < -b110101100010100010101001101010 : -b11101111111111110110111011011010 $ -b11101111111111110110111011011010 - -b11101111111111110110111011011010 5 -b11101111111111110110111011011010 ? -b11101111111111110110111011011010 D -b10111010011101010100010001110000 % -b10111010011101010100010001110000 . -b10111010011101010100010001110000 6 -b10111010011101010100010001110000 @ -b10111010011101010100010001110000 F -b11111111111111111110111111111111 ) -#519296000 -0& -#519312000 -b1111110110010 , -#519328000 -1& -#519344000 -b11111111101111010100101111 " -b11111111101111010100101111 4 -b11111111101111010100101111 0 -b11111111101111010100101111 H -b0 2 -b0 = -08 -b10000000001010001111111010011010 < -b1111010010010010110 : -b1111111110111101010010111111011 $ -b1111111110111101010010111111011 - -b1111111110111101010010111111011 5 -b1111111110111101010010111111011 ? -b1111111110111101010010111111011 D -b1111111110101110000000101100101 % -b1111111110101110000000101100101 . -b1111111110101110000000101100101 6 -b1111111110101110000000101100101 @ -b1111111110101110000000101100101 F -b11111111101111010100101111 ) -#519360000 -0& -#519376000 -b1111110110011 , -#519392000 -1& -#519408000 -b11111111111100101011111111001000 " -b11111111111100101011111111001000 4 -b11111111111100101011111111001000 0 -b11111111111100101011111111001000 H -b10000100100001011000100010111 < -b11010100000111100111111111 : -b11110010101111111100100011100111 $ -b11110010101111111100100011100111 - -b11110010101111111100100011100111 5 -b11110010101111111100100011100111 ? -b11110010101111111100100011100111 D -b11101111011011110100111011101000 % -b11101111011011110100111011101000 . -b11101111011011110100111011101000 6 -b11101111011011110100111011101000 @ -b11101111011011110100111011101000 F -b11111111111100101011111111001000 ) -#519424000 -0& -#519440000 -b1111110110100 , -#519456000 -1& -#519472000 -b111110111110010011101011100 " -b111110111110010011101011100 4 -b111110111110010011101011100 0 -b111110111110010011101011100 H -19 -b0 2 -b0 = -08 -b1001001000110000101111010111011 < -b11000111000010101101010010000111 : -b1111101111100100111010111001011 $ -b1111101111100100111010111001011 - -b1111101111100100111010111001011 5 -b1111101111100100111010111001011 ? -b1111101111100100111010111001011 D -b10110110111001111010000101000100 % -b10110110111001111010000101000100 . -b10110110111001111010000101000100 6 -b10110110111001111010000101000100 @ -b10110110111001111010000101000100 F -b111110111110010011101011100 ) -#519488000 -0& -#519504000 -b1111110110101 , -#519520000 -1& -#519536000 -b11011101000110100001010100000111 " -b11011101000110100001010100000111 4 -b11011101000110100001010100000111 0 -b11011101000110100001010100000111 H -b1 2 -b1 = -18 -b10100101000001011101010000111110 < -b1011111001110011111111001001101 : -b10111010001101000010101000001110 $ -b10111010001101000010101000001110 - -b10111010001101000010101000001110 5 -b10111010001101000010101000001110 ? -b10111010001101000010101000001110 D -b1011010111110100010101111000001 % -b1011010111110100010101111000001 . -b1011010111110100010101111000001 6 -b1011010111110100010101111000001 @ -b1011010111110100010101111000001 F -b11011101000110100001010100000111 ) -#519552000 -0& -#519568000 -b1111110110110 , -#519584000 -1& -#519600000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -09 -08 -b1110000001100001001001000100101 < -b1101101001011100100000100100011 : -b11111100111111011010111011111101 $ -b11111100111111011010111011111101 - -b11111100111111011010111011111101 5 -b11111100111111011010111011111101 ? -b11111100111111011010111011111101 D -b10001111110011110110110111011010 % -b10001111110011110110110111011010 . -b10001111110011110110110111011010 6 -b10001111110011110110110111011010 @ -b10001111110011110110110111011010 F -b11111111111111111111111111111111 ) -#519616000 -0& -#519632000 -b1111110110111 , -#519648000 -1& -#519664000 -b110111 " -b110111 4 -b110111 0 -b110111 H -b1 2 -b1 = -18 -b10000001001010001100101100000110 < -b11110001000001000111110111100010 : -b1101111110110111011001011011011 $ -b1101111110110111011001011011011 - -b1101111110110111011001011011011 5 -b1101111110110111011001011011011 ? -b1101111110110111011001011011011 D -b1111110110101110011010011111001 % -b1111110110101110011010011111001 . -b1111110110101110011010011111001 6 -b1111110110101110011010011111001 @ -b1111110110101110011010011111001 F -b110111 ) -#519680000 -0& -#519696000 -b1111110111000 , -#519712000 -1& -#519728000 -b11111111111101101111110001110011 " -b11111111111101101111110001110011 4 -b11111111111101101111110001110011 0 -b11111111111101101111110001110011 H -b11010000000101011110011110111010 < -b11001110111101010111011000100110 : -b11111110110111111000111001101011 $ -b11111110110111111000111001101011 - -b11111110110111111000111001101011 5 -b11111110110111111000111001101011 ? -b11111110110111111000111001101011 D -b101111111010100001100001000101 % -b101111111010100001100001000101 . -b101111111010100001100001000101 6 -b101111111010100001100001000101 @ -b101111111010100001100001000101 F -b11111111111101101111110001110011 ) -#519744000 -0& -#519760000 -b1111110111001 , -#519776000 -1& -#519792000 -b11111110111 " -b11111110111 4 -b11111110111 0 -b11111110111 H -b0 2 -b0 = -08 -b10000001100000001111101010101011 < -b1000000000010011011010101 : -b1111111011111110010110000101001 $ -b1111111011111110010110000101001 - -b1111111011111110010110000101001 5 -b1111111011111110010110000101001 ? -b1111111011111110010110000101001 D -b1111110011111110000010101010100 % -b1111110011111110000010101010100 . -b1111110011111110000010101010100 6 -b1111110011111110000010101010100 @ -b1111110011111110000010101010100 F -b11111110111 ) -#519808000 -0& -#519824000 -b1111110111010 , -#519840000 -1& -#519856000 -b11111011110110111101111111 " -b11111011110110111101111111 4 -b11111011110110111101111111 0 -b11111011110110111101111111 H -b1 2 -b1 = -18 -b10000000000000001001001110011100 < -b10011111011111000000111110011010 : -b11111011110110111101111111101 $ -b11111011110110111101111111101 - -b11111011110110111101111111101 5 -b11111011110110111101111111101 ? -b11111011110110111101111111101 D -b1111111111111110110110001100011 % -b1111111111111110110110001100011 . -b1111111111111110110110001100011 6 -b1111111111111110110110001100011 @ -b1111111111111110110110001100011 F -b11111011110110111101111111 ) -#519872000 -0& -#519888000 -b1111110111011 , -#519904000 -1& -#519920000 -b111 " -b111 4 -b111 0 -b111 H -b0 2 -b0 = -08 -b1000000000001111010011100011 < -b1111111110000000101000001111101 : -b1110111101111110101101110011001 $ -b1110111101111110101101110011001 - -b1110111101111110101101110011001 5 -b1110111101111110101101110011001 ? -b1110111101111110101101110011001 D -b11110111111111110000101100011100 % -b11110111111111110000101100011100 . -b11110111111111110000101100011100 6 -b11110111111111110000101100011100 @ -b11110111111111110000101100011100 F -b111 ) -#519936000 -0& -#519952000 -b1111110111100 , -#519968000 -1& -#519984000 -b11100111111101101101000000001010 " -b11100111111101101101000000001010 4 -b11100111111101101101000000001010 0 -b11100111111101101101000000001010 H -b0 2 -b0 = -08 -b1001001000100100011011010111110 < -b11000111111111101011011010011 : -b11001111111011011010000000010100 $ -b11001111111011011010000000010100 - -b11001111111011011010000000010100 5 -b11001111111011011010000000010100 ? -b11001111111011011010000000010100 D -b10110110111011011100100101000001 % -b10110110111011011100100101000001 . -b10110110111011011100100101000001 6 -b10110110111011011100100101000001 @ -b10110110111011011100100101000001 F -b11100111111101101101000000001010 ) -#520000000 -0& -#520016000 -b1111110111101 , -#520032000 -1& -#520048000 -b11111111111111111111100101011101 " -b11111111111111111111100101011101 4 -b11111111111111111111100101011101 0 -b11111111111111111111100101011101 H -b1 2 -b1 = -18 -19 -b10000101000000000010011100101101 < -b1101010011101011100111011101110 : -b11100101011101011010011111000000 $ -b11100101011101011010011111000000 - -b11100101011101011010011111000000 5 -b11100101011101011010011111000000 ? -b11100101011101011010011111000000 D -b1111010111111111101100011010010 % -b1111010111111111101100011010010 . -b1111010111111111101100011010010 6 -b1111010111111111101100011010010 @ -b1111010111111111101100011010010 F -b11111111111111111111100101011101 ) -#520064000 -0& -#520080000 -b1111110111110 , -#520096000 -1& -#520112000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -09 -08 -b1001000101110110110111100010 < -b100111001011010000111000011 : -b11111011110011100011001111100000 $ -b11111011110011100011001111100000 - -b11111011110011100011001111100000 5 -b11111011110011100011001111100000 ? -b11111011110011100011001111100000 D -b11110110111010001001001000011101 % -b11110110111010001001001000011101 . -b11110110111010001001001000011101 6 -b11110110111010001001001000011101 @ -b11110110111010001001001000011101 F -b11111111111111111111111111111111 ) -#520128000 -0& -#520144000 -b1111110111111 , -#520160000 -1& -#520176000 -b11100111111011111000111110011011 " -b11100111111011111000111110011011 4 -b11100111111011111000111110011011 0 -b11100111111011111000111110011011 H -b1 2 -b1 = -18 -b11000100010000101111110001011111 < -b10101100001100101000101111111011 : -b11100111111011111000111110011011 $ -b11100111111011111000111110011011 - -b11100111111011111000111110011011 5 -b11100111111011111000111110011011 ? -b11100111111011111000111110011011 D -b111011101111010000001110100000 % -b111011101111010000001110100000 . -b111011101111010000001110100000 6 -b111011101111010000001110100000 @ -b111011101111010000001110100000 F -b11100111111011111000111110011011 ) -#520192000 -0& -#520208000 -b1111111000000 , -#520224000 -1& -#520240000 -b1111111 " -b1111111 4 -b1111111 0 -b1111111 H -b1 2 -b1 = -18 -09 -b10001010010100101000110100001001 < -b10101010010010001111100010111000 : -b11111111101100110101110101110 $ -b11111111101100110101110101110 - -b11111111101100110101110101110 5 -b11111111101100110101110101110 ? -b11111111101100110101110101110 D -b1110101101011010111001011110110 % -b1110101101011010111001011110110 . -b1110101101011010111001011110110 6 -b1110101101011010111001011110110 @ -b1110101101011010111001011110110 F -b1111111 ) -#520256000 -0& -#520272000 -b1111111000001 , -#520288000 -1& -#520304000 -b11111111100101111110111000110110 " -b11111111100101111110111000110110 4 -b11111111100101111110111000110110 0 -b11111111100101111110111000110110 H -b1 2 -b1 = -18 -b110001011101011111110111 < -b10011000101101000000111000110101 : -b10010111111011100011011000111101 $ -b10010111111011100011011000111101 - -b10010111111011100011011000111101 5 -b10010111111011100011011000111101 ? -b10010111111011100011011000111101 D -b11111111001110100010100000001000 % -b11111111001110100010100000001000 . -b11111111001110100010100000001000 6 -b11111111001110100010100000001000 @ -b11111111001110100010100000001000 F -b11111111100101111110111000110110 ) -#520320000 -0& -#520336000 -b1111111000010 , -#520352000 -1& -#520368000 -b1111110110110111101111111 " -b1111110110110111101111111 4 -b1111110110110111101111111 0 -b1111110110110111101111111 H -b0 2 -b0 = -08 -b11001010001001101011000100111010 < -b1001100101001010000100111001 : -b111111011011011110111111111110 $ -b111111011011011110111111111110 - -b111111011011011110111111111110 5 -b111111011011011110111111111110 ? -b111111011011011110111111111110 D -b110101110110010100111011000101 % -b110101110110010100111011000101 . -b110101110110010100111011000101 6 -b110101110110010100111011000101 @ -b110101110110010100111011000101 F -b1111110110110111101111111 ) -#520384000 -0& -#520400000 -b1111111000011 , -#520416000 -1& -#520432000 -b11110101111111001101000 " -b11110101111111001101000 4 -b11110101111111001101000 0 -b11110101111111001101000 H -b100000000010011011101110111 < -b1111110111111111001111111100100 : -b1111010111111100110100001101100 $ -b1111010111111100110100001101100 - -b1111010111111100110100001101100 5 -b1111010111111100110100001101100 ? -b1111010111111100110100001101100 D -b11111011111111101100100010001000 % -b11111011111111101100100010001000 . -b11111011111111101100100010001000 6 -b11111011111111101100100010001000 @ -b11111011111111101100100010001000 F -b11110101111111001101000 ) -#520448000 -0& -#520464000 -b1111111000100 , -#520480000 -1& -#520496000 -b11111010011111000110001010010111 " -b11111010011111000110001010010111 4 -b11111010011111000110001010010111 0 -b11111010011111000110001010010111 H -b1 2 -b1 = -18 -b11000000000000001000010000111100 < -b10010011111000111001100011111000 : -b11010011111000110001010010111011 $ -b11010011111000110001010010111011 - -b11010011111000110001010010111011 5 -b11010011111000110001010010111011 ? -b11010011111000110001010010111011 D -b111111111111110111101111000011 % -b111111111111110111101111000011 . -b111111111111110111101111000011 6 -b111111111111110111101111000011 @ -b111111111111110111101111000011 F -b11111010011111000110001010010111 ) -#520512000 -0& -#520528000 -b1111111000101 , -#520544000 -1& -#520560000 -b110111111011111001011011110101 " -b110111111011111001011011110101 4 -b110111111011111001011011110101 0 -b110111111011111001011011110101 H -b1 2 -b1 = -18 -b10001000001010001000011110011110 < -b11111000000001111011010110001001 : -b1101111110111110010110111101010 $ -b1101111110111110010110111101010 - -b1101111110111110010110111101010 5 -b1101111110111110010110111101010 ? -b1101111110111110010110111101010 D -b1110111110101110111100001100001 % -b1110111110101110111100001100001 . -b1110111110101110111100001100001 6 -b1110111110101110111100001100001 @ -b1110111110101110111100001100001 F -b110111111011111001011011110101 ) -#520576000 -0& -#520592000 -b1111111000110 , -#520608000 -1& -#520624000 -b11101011111 " -b11101011111 4 -b11101011111 0 -b11101011111 H -b0 2 -b0 = -08 -b1000000000000111000011001011 < -b1111101111100101100100011100001 : -b1110101111100100101100000010101 $ -b1110101111100100101100000010101 - -b1110101111100100101100000010101 5 -b1110101111100100101100000010101 ? -b1110101111100100101100000010101 D -b11110111111111111000111100110100 % -b11110111111111111000111100110100 . -b11110111111111111000111100110100 6 -b11110111111111111000111100110100 @ -b11110111111111111000111100110100 F -b11101011111 ) -#520640000 -0& -#520656000 -b1111111000111 , -#520672000 -1& -#520688000 -b11111010101110011111110010110010 " -b11111010101110011111110010110010 4 -b11111010101110011111110010110010 0 -b11111010101110011111110010110010 H -b1 2 -b1 = -18 -b110100111011011 < -b10101011101000000011010011111100 : -b10101011100111111100101100100000 $ -b10101011100111111100101100100000 - -b10101011100111111100101100100000 5 -b10101011100111111100101100100000 ? -b10101011100111111100101100100000 D -b11111111111111111001011000100100 % -b11111111111111111001011000100100 . -b11111111111111111001011000100100 6 -b11111111111111111001011000100100 @ -b11111111111111111001011000100100 F -b11111010101110011111110010110010 ) -#520704000 -0& -#520720000 -b1111111001000 , -#520736000 -1& -#520752000 -b1110 " -b1110 4 -b1110 0 -b1110 H -b0 2 -b0 = -08 -b100000000001100000000100101 < -b111111111011110000010110110010 : -b111011111011100100010110001100 $ -b111011111011100100010110001100 - -b111011111011100100010110001100 5 -b111011111011100100010110001100 ? -b111011111011100100010110001100 D -b11111011111111110011111111011010 % -b11111011111111110011111111011010 . -b11111011111111110011111111011010 6 -b11111011111111110011111111011010 @ -b11111011111111110011111111011010 F -b1110 ) -#520768000 -0& -#520784000 -b1111111001001 , -#520800000 -1& -#520816000 -b11111 " -b11111 4 -b11111 0 -b11111 H -b0 2 -b0 = -19 -08 -b100101000000010011111110000101 < -b10100100101000000000100110111000 : -b1111111100111101100101000110010 $ -b1111111100111101100101000110010 - -b1111111100111101100101000110010 5 -b1111111100111101100101000110010 ? -b1111111100111101100101000110010 D -b11011010111111101100000001111010 % -b11011010111111101100000001111010 . -b11011010111111101100000001111010 6 -b11011010111111101100000001111010 @ -b11011010111111101100000001111010 F -b11111 ) -#520832000 -0& -#520848000 -b1111111001010 , -#520864000 -1& -#520880000 -b11111110011111011100111010100011 " -b11111110011111011100111010100011 4 -b11111110011111011100111010100011 0 -b11111110011111011100111010100011 H -b1 2 -b1 = -18 -09 -b1000000000000000000110001111001 < -b11011111011100111011010101100000 : -b10011111011100111010100011100110 $ -b10011111011100111010100011100110 - -b10011111011100111010100011100110 5 -b10011111011100111010100011100110 ? -b10011111011100111010100011100110 D -b10111111111111111111001110000110 % -b10111111111111111111001110000110 . -b10111111111111111111001110000110 6 -b10111111111111111111001110000110 @ -b10111111111111111111001110000110 F -b11111110011111011100111010100011 ) -#520896000 -0& -#520912000 -b1111111001011 , -#520928000 -1& -#520944000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10101010010000000110001100000001 < -b10100111111110111101101010110000 : -b11111101101110110111011110101110 $ -b11111101101110110111011110101110 - -b11111101101110110111011110101110 5 -b11111101101110110111011110101110 ? -b11111101101110110111011110101110 D -b1010101101111111001110011111110 % -b1010101101111111001110011111110 . -b1010101101111111001110011111110 6 -b1010101101111111001110011111110 @ -b1010101101111111001110011111110 F -b11111111111111111111111111111111 ) -#520960000 -0& -#520976000 -b1111111001100 , -#520992000 -1& -#521008000 -b100101111110111 " -b100101111110111 4 -b100101111110111 0 -b100101111110111 H -b0 2 -b0 = -08 -09 -b11111011101001011100011001101111 < -b1000111100111011000101001001101 : -b1001011111101111100001111011101 $ -b1001011111101111100001111011101 - -b1001011111101111100001111011101 5 -b1001011111101111100001111011101 ? -b1001011111101111100001111011101 D -b100010110100011100110010000 % -b100010110100011100110010000 . -b100010110100011100110010000 6 -b100010110100011100110010000 @ -b100010110100011100110010000 F -b100101111110111 ) -#521024000 -0& -#521040000 -b1111111001101 , -#521056000 -1& -#521072000 -b11111011111110100101 " -b11111011111110100101 4 -b11111011111110100101 0 -b11111011111110100101 H -b10110000000000110001110111010100 < -b101110000000000100011010110011 : -b1111101111111010010100011011110 $ -b1111101111111010010100011011110 - -b1111101111111010010100011011110 5 -b1111101111111010010100011011110 ? -b1111101111111010010100011011110 D -b1001111111111001110001000101011 % -b1001111111111001110001000101011 . -b1001111111111001110001000101011 6 -b1001111111111001110001000101011 @ -b1001111111111001110001000101011 F -b11111011111110100101 ) -#521088000 -0& -#521104000 -b1111111001110 , -#521120000 -1& -#521136000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b1 2 -b1 = -18 -b10011010110011110001000100111 < -b11110011010010011011011111001011 : -b11011111111011111101010110100011 $ -b11011111111011111101010110100011 - -b11011111111011111101010110100011 5 -b11011111111011111101010110100011 ? -b11011111111011111101010110100011 D -b11101100101001100001110111011000 % -b11101100101001100001110111011000 . -b11101100101001100001110111011000 6 -b11101100101001100001110111011000 @ -b11101100101001100001110111011000 F -b11111111111111111111111111011111 ) -#521152000 -0& -#521168000 -b1111111001111 , -#521184000 -1& -#521200000 -b111111011001011000111 " -b111111011001011000111 4 -b111111011001011000111 0 -b111111011001011000111 H -b0 2 -b0 = -08 -19 -b100000000001101001110010101 < -b10000010110010111111001010000011 : -b1111110110010110001111011101101 $ -b1111110110010110001111011101101 - -b1111110110010110001111011101101 5 -b1111110110010110001111011101101 ? -b1111110110010110001111011101101 D -b11111011111111110010110001101010 % -b11111011111111110010110001101010 . -b11111011111111110010110001101010 6 -b11111011111111110010110001101010 @ -b11111011111111110010110001101010 F -b111111011001011000111 ) -#521216000 -0& -#521232000 -b1111111010000 , -#521248000 -1& -#521264000 -b1011001110 " -b1011001110 4 -b1011001110 0 -b1011001110 H -b1 2 -b1 = -09 -18 -b10000000010101101010111010001010 < -b11011010001101100000101000101001 : -b1011001110111110101101110011110 $ -b1011001110111110101101110011110 - -b1011001110111110101101110011110 5 -b1011001110111110101101110011110 ? -b1011001110111110101101110011110 D -b1111111101010010101000101110101 % -b1111111101010010101000101110101 . -b1111111101010010101000101110101 6 -b1111111101010010101000101110101 @ -b1111111101010010101000101110101 F -b1011001110 ) -#521280000 -0& -#521296000 -b1111111010001 , -#521312000 -1& -#521328000 -b101101110 " -b101101110 4 -b101101110 0 -b101101110 H -b0 2 -b0 = -08 -19 -b100100010000100101110111001001 < -b10000000000000011101010010000000 : -b1011011101111110111011010110110 $ -b1011011101111110111011010110110 - -b1011011101111110111011010110110 5 -b1011011101111110111011010110110 ? -b1011011101111110111011010110110 D -b11011011101111011010001000110110 % -b11011011101111011010001000110110 . -b11011011101111011010001000110110 6 -b11011011101111011010001000110110 @ -b11011011101111011010001000110110 F -b101101110 ) -#521344000 -0& -#521360000 -b1111111010010 , -#521376000 -1& -#521392000 -b11111111111110110111110110000100 " -b11111111111110110111110110000100 4 -b11111111111110110111110110000100 0 -b11111111111110110111110110000100 H -09 -b0 2 -b0 = -08 -b11100000000000010110010110110 < -b10010111110110011010011110010 : -b11110110111110110000100000111011 $ -b11110110111110110000100000111011 - -b11110110111110110000100000111011 5 -b11110110111110110000100000111011 ? -b11110110111110110000100000111011 D -b11100011111111111101001101001001 % -b11100011111111111101001101001001 . -b11100011111111111101001101001001 6 -b11100011111111111101001101001001 @ -b11100011111111111101001101001001 F -b11111111111110110111110110000100 ) -#521408000 -0& -#521424000 -b1111111010011 , -#521440000 -1& -#521456000 -b11111111111111111110111111011101 " -b11111111111111111110111111011101 4 -b11111111111111111110111111011101 0 -b11111111111111111110111111011101 H -b1000000000001101110000111110011 < -b111111000001001011110000001000 : -b11111110111111011101101000010100 $ -b11111110111111011101101000010100 - -b11111110111111011101101000010100 5 -b11111110111111011101101000010100 ? -b11111110111111011101101000010100 D -b10111111111110010001111000001100 % -b10111111111110010001111000001100 . -b10111111111110010001111000001100 6 -b10111111111110010001111000001100 @ -b10111111111110010001111000001100 F -b11111111111111111110111111011101 ) -#521472000 -0& -#521488000 -b1111111010100 , -#521504000 -1& -#521520000 -b11111111111111111111111111100010 " -b11111111111111111111111111100010 4 -b11111111111111111111111111100010 0 -b11111111111111111111111111100010 H -b1 2 -b1 = -18 -b10000110000010000011000110 < -b11001000000101110010111100100000 : -b11000101111111110000111001011001 $ -b11000101111111110000111001011001 - -b11000101111111110000111001011001 5 -b11000101111111110000111001011001 ? -b11000101111111110000111001011001 D -b11111101111001111101111100111001 % -b11111101111001111101111100111001 . -b11111101111001111101111100111001 6 -b11111101111001111101111100111001 @ -b11111101111001111101111100111001 F -b11111111111111111111111111100010 ) -#521536000 -0& -#521552000 -b1111111010101 , -#521568000 -1& -#521584000 -b110 " -b110 4 -b110 0 -b110 H -b1 2 -b1 = -18 -b10000000001000001100011101000011 < -b11110000000111010100101100110001 : -b1101111111111001000001111101101 $ -b1101111111111001000001111101101 - -b1101111111111001000001111101101 5 -b1101111111111001000001111101101 ? -b1101111111111001000001111101101 D -b1111111110111110011100010111100 % -b1111111110111110011100010111100 . -b1111111110111110011100010111100 6 -b1111111110111110011100010111100 @ -b1111111110111110011100010111100 F -b110 ) -#521600000 -0& -#521616000 -b1111111010110 , -#521632000 -1& -#521648000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -19 -b1 2 -b1 = -18 -b10000010000100010010010111100100 < -b1011101101111010011111000101000 : -b11011011101011000001100001000011 $ -b11011011101011000001100001000011 - -b11011011101011000001100001000011 5 -b11011011101011000001100001000011 ? -b11011011101011000001100001000011 D -b1111101111011101101101000011011 % -b1111101111011101101101000011011 . -b1111101111011101101101000011011 6 -b1111101111011101101101000011011 @ -b1111101111011101101101000011011 F -b11111111111111111111111111111011 ) -#521664000 -0& -#521680000 -b1111111010111 , -#521696000 -1& -#521712000 -b11000110001 " -b11000110001 4 -b11000110001 0 -b11000110001 H -b0 2 -b0 = -08 -b100000010100001111001110001011 < -b10000011011100001001101001101111 : -b1100011000111111010011011100011 $ -b1100011000111111010011011100011 - -b1100011000111111010011011100011 5 -b1100011000111111010011011100011 ? -b1100011000111111010011011100011 D -b11011111101011110000110001110100 % -b11011111101011110000110001110100 . -b11011111101011110000110001110100 6 -b11011111101011110000110001110100 @ -b11011111101011110000110001110100 F -b11000110001 ) -#521728000 -0& -#521744000 -b1111111011000 , -#521760000 -1& -#521776000 -b11111111111111111111111100001111 " -b11111111111111111111111100001111 4 -b11111111111111111111111100001111 0 -b11111111111111111111111100001111 H -b1 2 -b1 = -18 -09 -b101010010000100011100001001 < -b11001001010001111010010011011100 : -b11000011111111110101110111010010 $ -b11000011111111110101110111010010 - -b11000011111111110101110111010010 5 -b11000011111111110101110111010010 ? -b11000011111111110101110111010010 D -b11111010101101111011100011110110 % -b11111010101101111011100011110110 . -b11111010101101111011100011110110 6 -b11111010101101111011100011110110 @ -b11111010101101111011100011110110 F -b11111111111111111111111100001111 ) -#521792000 -0& -#521808000 -b1111111011001 , -#521824000 -1& -#521840000 -b10011001111010110 " -b10011001111010110 4 -b10011001111010110 0 -b10011001111010110 H -19 -b0 2 -b0 = -08 -b1001000000101100001101111110001 < -b10010101000010111011110100010111 : -b1001100111101011010000100100101 $ -b1001100111101011010000100100101 - -b1001100111101011010000100100101 5 -b1001100111101011010000100100101 ? -b1001100111101011010000100100101 D -b10110111111010011110010000001110 % -b10110111111010011110010000001110 . -b10110111111010011110010000001110 6 -b10110111111010011110010000001110 @ -b10110111111010011110010000001110 F -b10011001111010110 ) -#521856000 -0& -#521872000 -b1111111011010 , -#521888000 -1& -#521904000 -b11111001 " -b11111001 4 -b11111001 0 -b11111001 H -b0 2 -b0 = -09 -08 -b10100011010111100001000 < -b1111101000000011010111000011110 : -b1111100101011111111111100010101 $ -b1111100101011111111111100010101 - -b1111100101011111111111100010101 5 -b1111100101011111111111100010101 ? -b1111100101011111111111100010101 D -b11111111101011100101000011110111 % -b11111111101011100101000011110111 . -b11111111101011100101000011110111 6 -b11111111101011100101000011110111 @ -b11111111101011100101000011110111 F -b11111001 ) -#521920000 -0& -#521936000 -b1111111011011 , -#521952000 -1& -#521968000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -b10000011000001100001100010001000 < -b10000000110000110001111101110100 : -b11111101101111010000011011101011 $ -b11111101101111010000011011101011 - -b11111101101111010000011011101011 5 -b11111101101111010000011011101011 ? -b11111101101111010000011011101011 D -b1111100111110011110011101110111 % -b1111100111110011110011101110111 . -b1111100111110011110011101110111 6 -b1111100111110011110011101110111 @ -b1111100111110011110011101110111 F -b11111111111111111111111111111011 ) -#521984000 -0& -#522000000 -b1111111011100 , -#522016000 -1& -#522032000 -b111001110 " -b111001110 4 -b111001110 0 -b111001110 H -b1 2 -b1 = -18 -09 -b10000010100000000110110001101001 < -b11110110001000000100000010101000 : -b1110011100111111101010000111110 $ -b1110011100111111101010000111110 - -b1110011100111111101010000111110 5 -b1110011100111111101010000111110 ? -b1110011100111111101010000111110 D -b1111101011111111001001110010110 % -b1111101011111111001001110010110 . -b1111101011111111001001110010110 6 -b1111101011111111001001110010110 @ -b1111101011111111001001110010110 F -b111001110 ) -#522048000 -0& -#522064000 -b1111111011101 , -#522080000 -1& -#522096000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b101111110000000 < -b11101110111111011011100001010100 : -b11101110111111010101100011010011 $ -b11101110111111010101100011010011 - -b11101110111111010101100011010011 5 -b11101110111111010101100011010011 ? -b11101110111111010101100011010011 D -b11111111111111111010000001111111 % -b11111111111111111010000001111111 . -b11111111111111111010000001111111 6 -b11111111111111111010000001111111 @ -b11111111111111111010000001111111 F -b11111111111111111111111111111111 ) -#522112000 -0& -#522128000 -b1111111011110 , -#522144000 -1& -#522160000 -b11110111111 " -b11110111111 4 -b11110111111 0 -b11110111111 H -b0 2 -b0 = -08 -b10100001000011000000010010101011 < -b11101000010110011111010000110 : -b1111011111111110011100111011010 $ -b1111011111111110011100111011010 - -b1111011111111110011100111011010 5 -b1111011111111110011100111011010 ? -b1111011111111110011100111011010 D -b1011110111100111111101101010100 % -b1011110111100111111101101010100 . -b1011110111100111111101101010100 6 -b1011110111100111111101101010100 @ -b1011110111100111111101101010100 F -b11110111111 ) -#522176000 -0& -#522192000 -b1111111011111 , -#522208000 -1& -#522224000 -b11111111 " -b11111111 4 -b11111111 0 -b11111111 H -b0 2 -b0 = -19 -08 -b10000010000000001111111101000 < -b10010000001101110100100000110010 : -b1111111111101110010100001001001 $ -b1111111111101110010100001001001 - -b1111111111101110010100001001001 5 -b1111111111101110010100001001001 ? -b1111111111101110010100001001001 D -b11101111101111111110000000010111 % -b11101111101111111110000000010111 . -b11101111101111111110000000010111 6 -b11101111101111111110000000010111 @ -b11101111101111111110000000010111 F -b11111111 ) -#522240000 -0& -#522256000 -b1111111100000 , -#522272000 -1& -#522288000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -09 -08 -b1000000000000000000100001 < -1# -b11010111000100000110110111011 : -b11001111000100000110110011001 $ -b11001111000100000110110011001 - -b11001111000100000110110011001 5 -b11001111000100000110110011001 ? -b11001111000100000110110011001 D -b11111110111111111111111111011110 % -b11111110111111111111111111011110 . -b11111110111111111111111111011110 6 -b11111110111111111111111111011110 @ -b11111110111111111111111111011110 F -b0 ) -b1 ( -#522304000 -0& -#522320000 -b1111111100001 , -#522336000 -1& -#522352000 -0! -b11111101011100111011110010100000 " -b11111101011100111011110010100000 4 -b11111101011100111011110010100000 0 -b11111101011100111011110010100000 H -b1 2 -b1 = -18 -b11001100001000011000110000011100 < -0# -b10110111101111110111000100100011 : -b11101011100111011110010100000110 $ -b11101011100111011110010100000110 - -b11101011100111011110010100000110 5 -b11101011100111011110010100000110 ? -b11101011100111011110010100000110 D -b110011110111100111001111100011 % -b110011110111100111001111100011 . -b110011110111100111001111100011 6 -b110011110111100111001111100011 @ -b110011110111100111001111100011 F -b11111101011100111011110010100000 ) -b0 ( -#522368000 -0& -#522384000 -b1111111100010 , -#522400000 -1& -#522416000 -b1000111110110010 " -b1000111110110010 4 -b1000111110110010 0 -b1000111110110010 H -b0 2 -b0 = -08 -b1001000000101001000011110000 < -b1010000110110111010010001111111 : -b1000111110110010001001110001110 $ -b1000111110110010001001110001110 - -b1000111110110010001001110001110 5 -b1000111110110010001001110001110 ? -b1000111110110010001001110001110 D -b11110110111111010110111100001111 % -b11110110111111010110111100001111 . -b11110110111111010110111100001111 6 -b11110110111111010110111100001111 @ -b11110110111111010110111100001111 F -b1000111110110010 ) -#522432000 -0& -#522448000 -b1111111100011 , -#522464000 -1& -#522480000 -b111111111010101011111001000010 " -b111111111010101011111001000010 4 -b111111111010101011111001000010 0 -b111111111010101011111001000010 H -b0 2 -b0 = -19 -08 -b10000100010001011010101111110 < -b10010000010111100011001000000011 : -b1111111110101010111110010000100 $ -b1111111110101010111110010000100 - -b1111111110101010111110010000100 5 -b1111111110101010111110010000100 ? -b1111111110101010111110010000100 D -b11101111011101110100101010000001 % -b11101111011101110100101010000001 . -b11101111011101110100101010000001 6 -b11101111011101110100101010000001 @ -b11101111011101110100101010000001 F -b111111111010101011111001000010 ) -#522496000 -0& -#522512000 -b1111111100100 , -#522528000 -1& -#522544000 -b10111111101111111000000 " -b10111111101111111000000 4 -b10111111101111111000000 0 -b10111111101111111000000 H -b0 2 -b0 = -09 -08 -b101110011100100110110111 < -b1100000100110011000101001011110 : -b1011111110111111100000010100110 $ -b1011111110111111100000010100110 - -b1011111110111111100000010100110 5 -b1011111110111111100000010100110 ? -b1011111110111111100000010100110 D -b11111111010001100011011001001000 % -b11111111010001100011011001001000 . -b11111111010001100011011001001000 6 -b11111111010001100011011001001000 @ -b11111111010001100011011001001000 F -b10111111101111111000000 ) -#522560000 -0& -#522576000 -b1111111100101 , -#522592000 -1& -#522608000 -b11111111111111111111111101111011 " -b11111111111111111111111101111011 4 -b11111111111111111111111101111011 0 -b11111111111111111111111101111011 H -b1 2 -b1 = -18 -b10010101001000100011001100101101 < -b10010011000011110100010101110010 : -b11111101111011010001001001000100 $ -b11111101111011010001001001000100 - -b11111101111011010001001001000100 5 -b11111101111011010001001001000100 ? -b11111101111011010001001001000100 D -b1101010110111011100110011010010 % -b1101010110111011100110011010010 . -b1101010110111011100110011010010 6 -b1101010110111011100110011010010 @ -b1101010110111011100110011010010 F -b11111111111111111111111101111011 ) -#522624000 -0& -#522640000 -b1111111100110 , -#522656000 -1& -#522672000 -b10001111111111110001000 " -b10001111111111110001000 4 -b10001111111111110001000 0 -b10001111111111110001000 H -b0 2 -b0 = -08 -09 -b1000110000001110101111110111 < -b1010000110000000111010001010101 : -b1000111111111111000100001011101 $ -b1000111111111111000100001011101 - -b1000111111111111000100001011101 5 -b1000111111111111000100001011101 ? -b1000111111111111000100001011101 D -b11110111001111110001010000001000 % -b11110111001111110001010000001000 . -b11110111001111110001010000001000 6 -b11110111001111110001010000001000 @ -b11110111001111110001010000001000 F -b10001111111111110001000 ) -#522688000 -0& -#522704000 -b1111111100111 , -#522720000 -1& -#522736000 -b1001101111 " -b1001101111 4 -b1001101111 0 -b1001101111 H -b11100100100101000010011000101010 < -b110010100100110111110101100010 : -b1001101111111110101011100110111 $ -b1001101111111110101011100110111 - -b1001101111111110101011100110111 5 -b1001101111111110101011100110111 ? -b1001101111111110101011100110111 D -b11011011010111101100111010101 % -b11011011010111101100111010101 . -b11011011010111101100111010101 6 -b11011011010111101100111010101 @ -b11011011010111101100111010101 F -b1001101111 ) -#522752000 -0& -#522768000 -b1111111101000 , -#522784000 -1& -#522800000 -b1111111010101010011010111001 " -b1111111010101010011010111001 4 -b1111111010101010011010111001 0 -b1111111010101010011010111001 H -b10000011001110001110001101011100 < -b10100011100001100100101010 : -b1111111010101010011010111001101 $ -b1111111010101010011010111001101 - -b1111111010101010011010111001101 5 -b1111111010101010011010111001101 ? -b1111111010101010011010111001101 D -b1111100110001110001110010100011 % -b1111100110001110001110010100011 . -b1111100110001110001110010100011 6 -b1111100110001110001110010100011 @ -b1111100110001110001110010100011 F -b1111111010101010011010111001 ) -#522816000 -0& -#522832000 -b1111111101001 , -#522848000 -1& -#522864000 -b10011111 " -b10011111 4 -b10011111 0 -b10011111 H -19 -b0 2 -b0 = -08 -b1000011100110000001100101001000 < -b10010011100100001100110001001000 : -b1001111111110001011001011111111 $ -b1001111111110001011001011111111 - -b1001111111110001011001011111111 5 -b1001111111110001011001011111111 ? -b1001111111110001011001011111111 D -b10111100011001111110011010110111 % -b10111100011001111110011010110111 . -b10111100011001111110011010110111 6 -b10111100011001111110011010110111 @ -b10111100011001111110011010110111 F -b10011111 ) -#522880000 -0& -#522896000 -b1111111101010 , -#522912000 -1& -#522928000 -b1111001001101110001110001 " -b1111001001101110001110001 4 -b1111001001101110001110001 0 -b1111001001101110001110001 H -b10001000100001101010111011001 < -b10001010010001111111001000101101 : -b1111001001101110001110001010011 $ -b1111001001101110001110001010011 - -b1111001001101110001110001010011 5 -b1111001001101110001110001010011 ? -b1111001001101110001110001010011 D -b11101110111011110010101000100110 % -b11101110111011110010101000100110 . -b11101110111011110010101000100110 6 -b11101110111011110010101000100110 @ -b11101110111011110010101000100110 F -b1111001001101110001110001 ) -#522944000 -0& -#522960000 -b1111111101011 , -#522976000 -1& -#522992000 -b111110111111101 " -b111110111111101 4 -b111110111111101 0 -b111110111111101 H -b0 2 -b0 = -09 -08 -b11001000100001010101101100001111 < -b1000110100000101000011010100000 : -b1111101111111010010101110010000 $ -b1111101111111010010101110010000 - -b1111101111111010010101110010000 5 -b1111101111111010010101110010000 ? -b1111101111111010010101110010000 D -b110111011110101010010011110000 % -b110111011110101010010011110000 . -b110111011110101010010011110000 6 -b110111011110101010010011110000 @ -b110111011110101010010011110000 F -b111110111111101 ) -#523008000 -0& -#523024000 -b1111111101100 , -#523040000 -1& -#523056000 -b11111111111111111111111111110111 " -b11111111111111111111111111110111 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -b11010100010010101011010111100100 < -b10001111110101111101010011100100 : -b10111011100011010001111011111111 $ -b10111011100011010001111011111111 - -b10111011100011010001111011111111 5 -b10111011100011010001111011111111 ? -b10111011100011010001111011111111 D -b101011101101010100101000011011 % -b101011101101010100101000011011 . -b101011101101010100101000011011 6 -b101011101101010100101000011011 @ -b101011101101010100101000011011 F -b11111111111111111111111111110111 ) -#523072000 -0& -#523088000 -b1111111101101 , -#523104000 -1& -#523120000 -b11 " -b11 4 -b11 0 -b11 H -19 -b0 2 -b0 = -08 -b1000100110000010001001100010 < -b10000101100011011000011110110000 : -b1111100111101010110010101001101 $ -b1111100111101010110010101001101 - -b1111100111101010110010101001101 5 -b1111100111101010110010101001101 ? -b1111100111101010110010101001101 D -b11110111011001111101110110011101 % -b11110111011001111101110110011101 . -b11110111011001111101110110011101 6 -b11110111011001111101110110011101 @ -b11110111011001111101110110011101 F -b11 ) -#523136000 -0& -#523152000 -b1111111101110 , -#523168000 -1& -#523184000 -b11111111111111111111110101111101 " -b11111111111111111111110101111101 4 -b11111111111111111111110101111101 0 -b11111111111111111111110101111101 H -b1 2 -b1 = -18 -09 -b1000010101010000010011111001010 < -b11110010010101101101011000000010 : -b10101111101011101010111000110111 $ -b10101111101011101010111000110111 - -b10101111101011101010111000110111 5 -b10101111101011101010111000110111 ? -b10101111101011101010111000110111 D -b10111101010101111101100000110101 % -b10111101010101111101100000110101 . -b10111101010101111101100000110101 6 -b10111101010101111101100000110101 @ -b10111101010101111101100000110101 F -b11111111111111111111110101111101 ) -#523200000 -0& -#523216000 -b1111111101111 , -#523232000 -1& -#523248000 -b11111111101011111011111111010110 " -b11111111101011111011111111010110 4 -b11111111101011111011111111010110 0 -b11111111101011111011111111010110 H -b1 2 -b1 = -19 -18 -b10010000000000001011101101010111 < -b111111110000001001001000000101 : -b10101111101111111101011010101101 $ -b10101111101111111101011010101101 - -b10101111101111111101011010101101 5 -b10101111101111111101011010101101 ? -b10101111101111111101011010101101 D -b1101111111111110100010010101000 % -b1101111111111110100010010101000 . -b1101111111111110100010010101000 6 -b1101111111111110100010010101000 @ -b1101111111111110100010010101000 F -b11111111101011111011111111010110 ) -#523264000 -0& -#523280000 -b1111111110000 , -#523296000 -1& -#523312000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10010100000000000001110010100100 < -b10001111100001010011100111101100 : -b11111011100001010001110101000111 $ -b11111011100001010001110101000111 - -b11111011100001010001110101000111 5 -b11111011100001010001110101000111 ? -b11111011100001010001110101000111 D -b1101011111111111110001101011011 % -b1101011111111111110001101011011 . -b1101011111111111110001101011011 6 -b1101011111111111110001101011011 @ -b1101011111111111110001101011011 F -b11111111111111111111111111111111 ) -#523328000 -0& -#523344000 -b1111111110001 , -#523360000 -1& -#523376000 -b0 2 -b0 = -08 -b10001000000110011010101100111 < -b10000111000010100000101000000 : -b11111111110111100000101111011000 $ -b11111111110111100000101111011000 - -b11111111110111100000101111011000 5 -b11111111110111100000101111011000 ? -b11111111110111100000101111011000 D -b11101110111111001100101010011000 % -b11101110111111001100101010011000 . -b11101110111111001100101010011000 6 -b11101110111111001100101010011000 @ -b11101110111111001100101010011000 F -#523392000 -0& -#523408000 -b1111111110010 , -#523424000 -1& -#523440000 -b11111111111111111111111111110101 " -b11111111111111111111111111110101 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -b1 2 -b1 = -18 -b11110000000010101000001100100101 < -b11001000000010000111011110111101 : -b11010111111111011111010010010111 $ -b11010111111111011111010010010111 - -b11010111111111011111010010010111 5 -b11010111111111011111010010010111 ? -b11010111111111011111010010010111 D -b1111111101010111110011011010 % -b1111111101010111110011011010 . -b1111111101010111110011011010 6 -b1111111101010111110011011010 @ -b1111111101010111110011011010 F -b11111111111111111111111111110101 ) -#523456000 -0& -#523472000 -b1111111110011 , -#523488000 -1& -#523504000 -b11111111111111111111111100110011 " -b11111111111111111111111100110011 4 -b11111111111111111111111100110011 0 -b11111111111111111111111100110011 H -b1 2 -b1 = -19 -18 -b10000000001010000101100111101001 < -b1001101000001001111110010111010 : -b11001100110111001010001011010000 $ -b11001100110111001010001011010000 - -b11001100110111001010001011010000 5 -b11001100110111001010001011010000 ? -b11001100110111001010001011010000 D -b1111111110101111010011000010110 % -b1111111110101111010011000010110 . -b1111111110101111010011000010110 6 -b1111111110101111010011000010110 @ -b1111111110101111010011000010110 F -b11111111111111111111111100110011 ) -#523520000 -0& -#523536000 -b1111111110100 , -#523552000 -1& -#523568000 -b1011111 " -b1011111 4 -b1011111 0 -b1011111 H -b0 2 -b0 = -08 -b101100100001000101110000000111 < -b10001100000001000100111000111010 : -b1011111011111111111001000110010 $ -b1011111011111111111001000110010 - -b1011111011111111111001000110010 5 -b1011111011111111111001000110010 ? -b1011111011111111111001000110010 D -b11010011011110111010001111111000 % -b11010011011110111010001111111000 . -b11010011011110111010001111111000 6 -b11010011011110111010001111111000 @ -b11010011011110111010001111111000 F -b1011111 ) -#523584000 -0& -#523600000 -b1111111110101 , -#523616000 -1& -#523632000 -b11111111011111111111100111100001 " -b11111111011111111111100111100001 4 -b11111111011111111111100111100001 0 -b11111111011111111111100111100001 H -09 -b1 2 -b1 = -18 -b10100000000000001011001011011010 < -b10001111111111111110111100010010 : -b11101111111111110011110000110111 $ -b11101111111111110011110000110111 - -b11101111111111110011110000110111 5 -b11101111111111110011110000110111 ? -b11101111111111110011110000110111 D -b1011111111111110100110100100101 % -b1011111111111110100110100100101 . -b1011111111111110100110100100101 6 -b1011111111111110100110100100101 @ -b1011111111111110100110100100101 F -b11111111011111111111100111100001 ) -#523648000 -0& -#523664000 -b1111111110110 , -#523680000 -1& -#523696000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -19 -18 -b10000011000000011001101000000000 < -b1101010101100010000001110010000 : -b11100111101011110110100110001111 $ -b11100111101011110110100110001111 - -b11100111101011110110100110001111 5 -b11100111101011110110100110001111 ? -b11100111101011110110100110001111 D -b1111100111111100110010111111111 % -b1111100111111100110010111111111 . -b1111100111111100110010111111111 6 -b1111100111111100110010111111111 @ -b1111100111111100110010111111111 F -b11111111111111111111111111111111 ) -#523712000 -0& -#523728000 -b1111111110111 , -#523744000 -1& -#523760000 -b11 " -b11 4 -b11 0 -b11 H -b0 2 -b0 = -08 -19 -b110110000010111010100010 < -b10000000110100110011010100001000 : -b1111111111110110000011001100101 $ -b1111111111110110000011001100101 - -b1111111111110110000011001100101 5 -b1111111111110110000011001100101 ? -b1111111111110110000011001100101 D -b11111111001001111101000101011101 % -b11111111001001111101000101011101 . -b11111111001001111101000101011101 6 -b11111111001001111101000101011101 @ -b11111111001001111101000101011101 F -b11 ) -#523776000 -0& -#523792000 -b1111111111000 , -#523808000 -1& -#523824000 -b1001100111111110111 " -b1001100111111110111 4 -b1001100111111110111 0 -b1001100111111110111 H -b0 2 -b0 = -09 -08 -b1100110000011110001010011 < -b1001110100101111011000100000100 : -b1001100111111110111010010110000 $ -b1001100111111110111010010110000 - -b1001100111111110111010010110000 5 -b1001100111111110111010010110000 ? -b1001100111111110111010010110000 D -b11111110011001111100001110101100 % -b11111110011001111100001110101100 . -b11111110011001111100001110101100 6 -b11111110011001111100001110101100 @ -b11111110011001111100001110101100 F -b1001100111111110111 ) -#523840000 -0& -#523856000 -b1111111111001 , -#523872000 -1& -#523888000 -b1011 " -b1011 4 -b1011 0 -b1011 H -b1 2 -b1 = -18 -b10000000000111001000111010000100 < -b11100000000000101111101100011101 : -b1011111111001100110110010011000 $ -b1011111111001100110110010011000 - -b1011111111001100110110010011000 5 -b1011111111001100110110010011000 ? -b1011111111001100110110010011000 D -b1111111111000110111000101111011 % -b1111111111000110111000101111011 . -b1111111111000110111000101111011 6 -b1111111111000110111000101111011 @ -b1111111111000110111000101111011 F -b1011 ) -#523904000 -0& -#523920000 -b1111111111010 , -#523936000 -1& -#523952000 -b110110011110111000111100 " -b110110011110111000111100 4 -b110110011110111000111100 0 -b110110011110111000111100 H -b0 2 -b0 = -08 -19 -b1011010000000011001111110011000 < -b11000110111110001011111000010101 : -b1101100111101110001111001111100 $ -b1101100111101110001111001111100 - -b1101100111101110001111001111100 5 -b1101100111101110001111001111100 ? -b1101100111101110001111001111100 D -b10100101111111100110000001100111 % -b10100101111111100110000001100111 . -b10100101111111100110000001100111 6 -b10100101111111100110000001100111 @ -b10100101111111100110000001100111 F -b110110011110111000111100 ) -#523968000 -0& -#523984000 -b1111111111011 , -#524000000 -1& -#524016000 -b11111 " -b11111 4 -b11111 0 -b11111 H -b1 2 -b1 = -09 -18 -b10001000000010011010111100000111 < -b10100111011101110011100100000101 : -b11111011011011000100111111101 $ -b11111011011011000100111111101 - -b11111011011011000100111111101 5 -b11111011011011000100111111101 ? -b11111011011011000100111111101 D -b1110111111101100101000011111000 % -b1110111111101100101000011111000 . -b1110111111101100101000011111000 6 -b1110111111101100101000011111000 @ -b1110111111101100101000011111000 F -b11111 ) -#524032000 -0& -#524048000 -b1111111111100 , -#524064000 -1& -#524080000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b10110011100001111101110100000 < -1# -b1110110010111110011001000111011 : -b1011111111011100011011010011010 $ -b1011111111011100011011010011010 - -b1011111111011100011011010011010 5 -b1011111111011100011011010011010 ? -b1011111111011100011011010011010 D -b11101001100011110000010001011111 % -b11101001100011110000010001011111 . -b11101001100011110000010001011111 6 -b11101001100011110000010001011111 @ -b11101001100011110000010001011111 F -b0 ) -b1 ( -#524096000 -0& -#524112000 -b1111111111101 , -#524128000 -1& -#524144000 -0! -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -09 -b110110000000001101001000101011 < -0# -b110101111110100111001101100000 : -b11111111111110011010000100110100 $ -b11111111111110011010000100110100 - -b11111111111110011010000100110100 5 -b11111111111110011010000100110100 ? -b11111111111110011010000100110100 D -b11001001111111110010110111010100 % -b11001001111111110010110111010100 . -b11001001111111110010110111010100 6 -b11001001111111110010110111010100 @ -b11001001111111110010110111010100 F -b11111111111111111111111111111111 ) -b0 ( -#524160000 -0& -#524176000 -b1111111111110 , -#524192000 -1& -#524208000 -b11111111111111111111011110111000 " -b11111111111111111111011110111000 4 -b11111111111111111111011110111000 0 -b11111111111111111111011110111000 H -b1 2 -b1 = -18 -b1010000100001011101111001101 < -b11101000111101000100101100111001 : -b11011110111000111000111101101011 $ -b11011110111000111000111101101011 - -b11011110111000111000111101101011 5 -b11011110111000111000111101101011 ? -b11011110111000111000111101101011 D -b11110101111011110100010000110010 % -b11110101111011110100010000110010 . -b11110101111011110100010000110010 6 -b11110101111011110100010000110010 @ -b11110101111011110100010000110010 F -b11111111111111111111011110111000 ) -#524224000 -0& -#524240000 -b1111111111111 , -#524256000 -1& -#524272000 -b11 " -b11 4 -b11 0 -b11 H -b0 2 -b0 = -08 -b10110010000100000001110000000010 < -b100001000010111000110110000100 : -b1101110111110110111000110000001 $ -b1101110111110110111000110000001 - -b1101110111110110111000110000001 5 -b1101110111110110111000110000001 ? -b1101110111110110111000110000001 D -b1001101111011111110001111111101 % -b1001101111011111110001111111101 . -b1001101111011111110001111111101 6 -b1001101111011111110001111111101 @ -b1001101111011111110001111111101 F -b11 ) -#524288000 -0& -#524304000 -b10000000000000 , -#524320000 -1& -#524336000 -b1011111101100100101001100111101 " -b1011111101100100101001100111101 4 -b1011111101100100101001100111101 0 -b1011111101100100101001100111101 H -b100000000111011111011111 < -b1100000001100101100101100011101 : -b1011111101100100101001100111101 $ -b1011111101100100101001100111101 - -b1011111101100100101001100111101 5 -b1011111101100100101001100111101 ? -b1011111101100100101001100111101 D -b11111111011111111000100000100000 % -b11111111011111111000100000100000 . -b11111111011111111000100000100000 6 -b11111111011111111000100000100000 @ -b11111111011111111000100000100000 F -b1011111101100100101001100111101 ) -#524352000 -0& -#524368000 -b10000000000001 , -#524384000 -1& -#524400000 -b111111101001110011101011 " -b111111101001110011101011 4 -b111111101001110011101011 0 -b111111101001110011101011 H -b0 2 -b0 = -19 -08 -b1000100100001011000111011000 < -b10000111110111110010011101100111 : -b1111111010011100111010110001110 $ -b1111111010011100111010110001110 - -b1111111010011100111010110001110 5 -b1111111010011100111010110001110 ? -b1111111010011100111010110001110 D -b11110111011011110100111000100111 % -b11110111011011110100111000100111 . -b11110111011011110100111000100111 6 -b11110111011011110100111000100111 @ -b11110111011011110100111000100111 F -b111111101001110011101011 ) -#524416000 -0& -#524432000 -b10000000000010 , -#524448000 -1& -#524464000 -b11111111111111110111001110100111 " -b11111111111111110111001110100111 4 -b11111111111111110111001110100111 0 -b11111111111111110111001110100111 H -b1 2 -b1 = -18 -09 -b11100011100001001101001000010010 < -b11010001111110011100001011011100 : -b11101110011101001111000011001001 $ -b11101110011101001111000011001001 - -b11101110011101001111000011001001 5 -b11101110011101001111000011001001 ? -b11101110011101001111000011001001 D -b11100011110110010110111101101 % -b11100011110110010110111101101 . -b11100011110110010110111101101 6 -b11100011110110010110111101101 @ -b11100011110110010110111101101 F -b11111111111111110111001110100111 ) -#524480000 -0& -#524496000 -b10000000000011 , -#524512000 -1& -#524528000 -b1101110111011110011010 " -b1101110111011110011010 4 -b1101110111011110011010 0 -b1101110111011110011010 H -b1 2 -b1 = -18 -b10000000010100110010000101110110 < -b11101111010000100101011001101101 : -b1101110111011110011010011110110 $ -b1101110111011110011010011110110 - -b1101110111011110011010011110110 5 -b1101110111011110011010011110110 ? -b1101110111011110011010011110110 D -b1111111101011001101111010001001 % -b1111111101011001101111010001001 . -b1111111101011001101111010001001 6 -b1111111101011001101111010001001 @ -b1111111101011001101111010001001 F -b1101110111011110011010 ) -#524544000 -0& -#524560000 -b10000000000100 , -#524576000 -1& -#524592000 -b1111111111011111110100011 " -b1111111111011111110100011 4 -b1111111111011111110100011 0 -b1111111111011111110100011 H -19 -b0 2 -b0 = -08 -b1100000010001111001111011001 < -b10001011111110001101110011001001 : -b1111111111011111110100011101111 $ -b1111111111011111110100011101111 - -b1111111111011111110100011101111 5 -b1111111111011111110100011101111 ? -b1111111111011111110100011101111 D -b11110011111101110000110000100110 % -b11110011111101110000110000100110 . -b11110011111101110000110000100110 6 -b11110011111101110000110000100110 @ -b11110011111101110000110000100110 F -b1111111111011111110100011 ) -#524608000 -0& -#524624000 -b10000000000101 , -#524640000 -1& -#524656000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b10001010001010011100000001 < -b1010000011010101001000 : -b11111101111111111000111001000110 $ -b11111101111111111000111001000110 - -b11111101111111111000111001000110 5 -b11111101111111111000111001000110 ? -b11111101111111111000111001000110 D -b11111101110101110101100011111110 % -b11111101110101110101100011111110 . -b11111101110101110101100011111110 6 -b11111101110101110101100011111110 @ -b11111101110101110101100011111110 F -b11111111111111111111111111111111 ) -#524672000 -0& -#524688000 -b10000000000110 , -#524704000 -1& -#524720000 -b11111111111111111111101111011000 " -b11111111111111111111101111011000 4 -b11111111111111111111101111011000 0 -b11111111111111111111101111011000 H -b100011111001001000101010010 < -b11111101111001001011111011 : -b11111111011110110000000110101000 $ -b11111111011110110000000110101000 - -b11111111011110110000000110101000 5 -b11111111011110110000000110101000 ? -b11111111011110110000000110101000 D -b11111011100000110110111010101101 % -b11111011100000110110111010101101 . -b11111011100000110110111010101101 6 -b11111011100000110110111010101101 @ -b11111011100000110110111010101101 F -b11111111111111111111101111011000 ) -#524736000 -0& -#524752000 -b10000000000111 , -#524768000 -1& -#524784000 -b101111110111001110111001 " -b101111110111001110111001 4 -b101111110111001110111001 0 -b101111110111001110111001 H -b1 2 -b1 = -18 -b10010010101111011110001111111000 < -b11110010011101111100000011010011 : -b1011111101110011101110011011010 $ -b1011111101110011101110011011010 - -b1011111101110011101110011011010 5 -b1011111101110011101110011011010 ? -b1011111101110011101110011011010 D -b1101101010000100001110000000111 % -b1101101010000100001110000000111 . -b1101101010000100001110000000111 6 -b1101101010000100001110000000111 @ -b1101101010000100001110000000111 F -b101111110111001110111001 ) -#524800000 -0& -#524816000 -b10000000001000 , -#524832000 -1& -#524848000 -b10001111 " -b10001111 4 -b10001111 0 -b10001111 H -b0 2 -b0 = -08 -b100000110001001001010001001000 < -b1101000101000111010110100110111 : -b1000111110111110001100011101110 $ -b1000111110111110001100011101110 - -b1000111110111110001100011101110 5 -b1000111110111110001100011101110 ? -b1000111110111110001100011101110 D -b11011111001110110110101110110111 % -b11011111001110110110101110110111 . -b11011111001110110110101110110111 6 -b11011111001110110110101110110111 @ -b11011111001110110110101110110111 F -b10001111 ) -#524864000 -0& -#524880000 -b10000000001001 , -#524896000 -1& -#524912000 -b11111111111111111111111010111111 " -b11111111111111111111111010111111 4 -b11111111111111111111111010111111 0 -b11111111111111111111111010111111 H -b1 2 -b1 = -18 -19 -b10000000010001000000011001101011 < -b1101100010000100011000111011110 : -b11101011111111100010101101110010 $ -b11101011111111100010101101110010 - -b11101011111111100010101101110010 5 -b11101011111111100010101101110010 ? -b11101011111111100010101101110010 D -b1111111101110111111100110010100 % -b1111111101110111111100110010100 . -b1111111101110111111100110010100 6 -b1111111101110111111100110010100 @ -b1111111101110111111100110010100 F -b11111111111111111111111010111111 ) -#524928000 -0& -#524944000 -b10000000001010 , -#524960000 -1& -#524976000 -b11111111111111111100111011110111 " -b11111111111111111100111011110111 4 -b11111111111111111100111011110111 0 -b11111111111111111100111011110111 H -b10000000010101101110101110101110 < -b11110010001001110110000111001 : -b10011101111011100000000010001010 $ -b10011101111011100000000010001010 - -b10011101111011100000000010001010 5 -b10011101111011100000000010001010 ? -b10011101111011100000000010001010 D -b1111111101010010001010001010001 % -b1111111101010010001010001010001 . -b1111111101010010001010001010001 6 -b1111111101010010001010001010001 @ -b1111111101010010001010001010001 F -b11111111111111111100111011110111 ) -#524992000 -0& -#525008000 -b10000000001011 , -#525024000 -1& -#525040000 -b111011111110111101 " -b111011111110111101 4 -b111011111110111101 0 -b111011111110111101 H -b0 2 -b0 = -08 -b1000110001000011111001101010010 < -b10111110000110011010101011011000 : -b1110111111101111011011110000101 $ -b1110111111101111011011110000101 - -b1110111111101111011011110000101 5 -b1110111111101111011011110000101 ? -b1110111111101111011011110000101 D -b10111001110111100000110010101101 % -b10111001110111100000110010101101 . -b10111001110111100000110010101101 6 -b10111001110111100000110010101101 @ -b10111001110111100000110010101101 F -b111011111110111101 ) -#525056000 -0& -#525072000 -b10000000001100 , -#525088000 -1& -#525104000 -b1100 " -b1100 4 -b1100 0 -b1100 H -b1 2 -b1 = -09 -18 -b10000001000000000011100100000101 < -b10110011111111010010111000101110 : -b110010111111001111010100101000 $ -b110010111111001111010100101000 - -b110010111111001111010100101000 5 -b110010111111001111010100101000 ? -b110010111111001111010100101000 D -b1111110111111111100011011111010 % -b1111110111111111100011011111010 . -b1111110111111111100011011111010 6 -b1111110111111111100011011111010 @ -b1111110111111111100011011111010 F -b1100 ) -#525120000 -0& -#525136000 -b10000000001101 , -#525152000 -1& -#525168000 -b11110111001111010001001010001100 " -b11110111001111010001001010001100 4 -b11110111001111010001001010001100 0 -b11110111001111010001001010001100 H -b0 2 -b0 = -08 -b110001000001000011110110111110 < -b11111011111100110001011011000 : -b11101110011110100010010100011001 $ -b11101110011110100010010100011001 - -b11101110011110100010010100011001 5 -b11101110011110100010010100011001 ? -b11101110011110100010010100011001 D -b11001110111110111100001001000001 % -b11001110111110111100001001000001 . -b11001110111110111100001001000001 6 -b11001110111110111100001001000001 @ -b11001110111110111100001001000001 F -b11110111001111010001001010001100 ) -#525184000 -0& -#525200000 -b10000000001110 , -#525216000 -1& -#525232000 -b11100011101 " -b11100011101 4 -b11100011101 0 -b11100011101 H -19 -b0 2 -b0 = -08 -b1111000001100010001011111001011 < -b11101010000100001111010111101101 : -b1110001110111111101111000100001 $ -b1110001110111111101111000100001 - -b1110001110111111101111000100001 5 -b1110001110111111101111000100001 ? -b1110001110111111101111000100001 D -b10000111110011101110100000110100 % -b10000111110011101110100000110100 . -b10000111110011101110100000110100 6 -b10000111110011101110100000110100 @ -b10000111110011101110100000110100 F -b11100011101 ) -#525248000 -0& -#525264000 -b10000000001111 , -#525280000 -1& -#525296000 -b11111111111111111111111111111000 " -b11111111111111111111111111111000 4 -b11111111111111111111111111111000 0 -b11111111111111111111111111111000 H -09 -b1 2 -b1 = -18 -b10010000010000100101010011000111 < -b10001001010000011100010010101001 : -b11111000111111110110111111100001 $ -b11111000111111110110111111100001 - -b11111000111111110110111111100001 5 -b11111000111111110110111111100001 ? -b11111000111111110110111111100001 D -b1101111101111011010101100111000 % -b1101111101111011010101100111000 . -b1101111101111011010101100111000 6 -b1101111101111011010101100111000 @ -b1101111101111011010101100111000 F -b11111111111111111111111111111000 ) -#525312000 -0& -#525328000 -b10000000010000 , -#525344000 -1& -#525360000 -b1110011101111 " -b1110011101111 4 -b1110011101111 0 -b1110011101111 H -19 -b0 2 -b0 = -08 -b1101000000100010001011011101101 < -b11011011110011110111100111000010 : -b1110011101111100110001011010100 $ -b1110011101111100110001011010100 - -b1110011101111100110001011010100 5 -b1110011101111100110001011010100 ? -b1110011101111100110001011010100 D -b10010111111011101110100100010010 % -b10010111111011101110100100010010 . -b10010111111011101110100100010010 6 -b10010111111011101110100100010010 @ -b10010111111011101110100100010010 F -b1110011101111 ) -#525376000 -0& -#525392000 -b10000000010001 , -#525408000 -1& -#525424000 -b11111111111111111011110111101101 " -b11111111111111111011110111101101 4 -b11111111111111111011110111101101 0 -b11111111111111111011110111101101 H -b1 2 -b1 = -18 -b10000000000000000111011110110101 < -b1111110111110000010111101100101 : -b11111110111101111011011110101111 $ -b11111110111101111011011110101111 - -b11111110111101111011011110101111 5 -b11111110111101111011011110101111 ? -b11111110111101111011011110101111 D -b1111111111111111000100001001010 % -b1111111111111111000100001001010 . -b1111111111111111000100001001010 6 -b1111111111111111000100001001010 @ -b1111111111111111000100001001010 F -b11111111111111111011110111101101 ) -#525440000 -0& -#525456000 -b10000000010010 , -#525472000 -1& -#525488000 -b11111111111111111110111111111101 " -b11111111111111111110111111111101 4 -b11111111111111111110111111111101 0 -b11111111111111111110111111111101 H -b0 2 -b0 = -08 -09 -b1100000000001110111010010000 < -b11111111111010110011100001 : -b11110111111111101011111001010000 $ -b11110111111111101011111001010000 - -b11110111111111101011111001010000 5 -b11110111111111101011111001010000 ? -b11110111111111101011111001010000 D -b11110011111111110001000101101111 % -b11110011111111110001000101101111 . -b11110011111111110001000101101111 6 -b11110011111111110001000101101111 @ -b11110011111111110001000101101111 F -b11111111111111111110111111111101 ) -#525504000 -0& -#525520000 -b10000000010011 , -#525536000 -1& -#525552000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11000011010000011101110000000001 < -b10111110111110111110010111100000 : -b11111011101110100000100111011110 $ -b11111011101110100000100111011110 - -b11111011101110100000100111011110 5 -b11111011101110100000100111011110 ? -b11111011101110100000100111011110 D -b111100101111100010001111111110 % -b111100101111100010001111111110 . -b111100101111100010001111111110 6 -b111100101111100010001111111110 @ -b111100101111100010001111111110 F -b11111111111111111111111111111111 ) -#525568000 -0& -#525584000 -b10000000010100 , -#525600000 -1& -#525616000 -b101 " -b101 4 -b101 0 -b101 H -b0 2 -b0 = -08 -09 -b1000000000100000111101011000101 < -b1010111111010000000110111000101 : -b10111110101111001001011111111 $ -b10111110101111001001011111111 - -b10111110101111001001011111111 5 -b10111110101111001001011111111 ? -b10111110101111001001011111111 D -b10111111111011111000010100111010 % -b10111111111011111000010100111010 . -b10111111111011111000010100111010 6 -b10111111111011111000010100111010 @ -b10111111111011111000010100111010 F -b101 ) -#525632000 -0& -#525648000 -b10000000010101 , -#525664000 -1& -#525680000 -b11111111111111111101011111011100 " -b11111111111111111101011111011100 4 -b11111111111111111101011111011100 0 -b11111111111111111101011111011100 H -b0 2 -b0 = -08 -09 -b1011010000000000100110100010000 < -b1000101111011101010000110111111 : -b11101011111011100101010010101110 $ -b11101011111011100101010010101110 - -b11101011111011100101010010101110 5 -b11101011111011100101010010101110 ? -b11101011111011100101010010101110 D -b10100101111111111011001011101111 % -b10100101111111111011001011101111 . -b10100101111111111011001011101111 6 -b10100101111111111011001011101111 @ -b10100101111111111011001011101111 F -b11111111111111111101011111011100 ) -#525696000 -0& -#525712000 -b10000000010110 , -#525728000 -1& -#525744000 -b11110 " -b11110 4 -b11110 0 -b11110 H -b0 2 -b0 = -08 -b10011001000010001011110110000101 < -b10100000101001100011111011101 : -b1111011000011000000101001010111 $ -b1111011000011000000101001010111 - -b1111011000011000000101001010111 5 -b1111011000011000000101001010111 ? -b1111011000011000000101001010111 D -b1100110111101110100001001111010 % -b1100110111101110100001001111010 . -b1100110111101110100001001111010 6 -b1100110111101110100001001111010 @ -b1100110111101110100001001111010 F -b11110 ) -#525760000 -0& -#525776000 -b10000000010111 , -#525792000 -1& -#525808000 -b11111111111111111111111111111100 " -b11111111111111111111111111111100 4 -b11111111111111111111111111111100 0 -b11111111111111111111111111111100 H -b1 2 -b1 = -18 -b110000100110000011110100100011 < -b11111111101111110101011000101101 : -b11001111001001110001100100001001 $ -b11001111001001110001100100001001 - -b11001111001001110001100100001001 5 -b11001111001001110001100100001001 ? -b11001111001001110001100100001001 D -b11001111011001111100001011011100 % -b11001111011001111100001011011100 . -b11001111011001111100001011011100 6 -b11001111011001111100001011011100 @ -b11001111011001111100001011011100 F -b11111111111111111111111111111100 ) -#525824000 -0& -#525840000 -b10000000011000 , -#525856000 -1& -#525872000 -b11111111111111111111110111100001 " -b11111111111111111111110111100001 4 -b11111111111111111111110111100001 0 -b11111111111111111111110111100001 H -b0 2 -b0 = -08 -b11000111001111111000010101 < -b11000101001000010001110100 : -b11111111111101111000011001011110 $ -b11111111111101111000011001011110 - -b11111111111101111000011001011110 5 -b11111111111101111000011001011110 ? -b11111111111101111000011001011110 D -b11111100111000110000000111101010 % -b11111100111000110000000111101010 . -b11111100111000110000000111101010 6 -b11111100111000110000000111101010 @ -b11111100111000110000000111101010 F -b11111111111111111111110111100001 ) -#525888000 -0& -#525904000 -b10000000011001 , -#525920000 -1& -#525936000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -19 -b1 2 -b1 = -18 -b10000010000000110111011101000100 < -b1101011110000101100110011100011 : -b11101001101111110101010110011110 $ -b11101001101111110101010110011110 - -b11101001101111110101010110011110 5 -b11101001101111110101010110011110 ? -b11101001101111110101010110011110 D -b1111101111111001000100010111011 % -b1111101111111001000100010111011 . -b1111101111111001000100010111011 6 -b1111101111111001000100010111011 @ -b1111101111111001000100010111011 F -b11111111111111111111111111111101 ) -#525952000 -0& -#525968000 -b10000000011010 , -#525984000 -1& -#526000000 -b11111111111111111111111111110001 " -b11111111111111111111111111110001 4 -b11111111111111111111111111110001 0 -b11111111111111111111111111110001 H -b0 2 -b0 = -08 -09 -b1010000100010001100010010000110 < -b110011100001101000110110001101 : -b11100010111111011100100100000110 $ -b11100010111111011100100100000110 - -b11100010111111011100100100000110 5 -b11100010111111011100100100000110 ? -b11100010111111011100100100000110 D -b10101111011101110011101101111001 % -b10101111011101110011101101111001 . -b10101111011101110011101101111001 6 -b10101111011101110011101101111001 @ -b10101111011101110011101101111001 F -b11111111111111111111111111110001 ) -#526016000 -0& -#526032000 -b10000000011011 , -#526048000 -1& -#526064000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -19 -b1 2 -b1 = -18 -b10001000001100000100111101000001 < -b10100001011111001101001011011 : -b10001011111111110100101100011001 $ -b10001011111111110100101100011001 - -b10001011111111110100101100011001 5 -b10001011111111110100101100011001 ? -b10001011111111110100101100011001 D -b1110111110011111011000010111110 % -b1110111110011111011000010111110 . -b1110111110011111011000010111110 6 -b1110111110011111011000010111110 @ -b1110111110011111011000010111110 F -b11111111111111111111111111111110 ) -#526080000 -0& -#526096000 -b10000000011100 , -#526112000 -1& -#526128000 -b11111111111111111101001111011111 " -b11111111111111111101001111011111 4 -b11111111111111111101001111011111 0 -b11111111111111111101001111011111 H -b10010000010000001010100011101110 < -b110111111111101011101110011001 : -b10100111101111100001001010101010 $ -b10100111101111100001001010101010 - -b10100111101111100001001010101010 5 -b10100111101111100001001010101010 ? -b10100111101111100001001010101010 D -b1101111101111110101011100010001 % -b1101111101111110101011100010001 . -b1101111101111110101011100010001 6 -b1101111101111110101011100010001 @ -b1101111101111110101011100010001 F -b11111111111111111101001111011111 ) -#526144000 -0& -#526160000 -b10000000011101 , -#526176000 -1& -#526192000 -b1110 " -b1110 4 -b1110 0 -b1110 H -b0 2 -b0 = -08 -09 -b11000000000100010001101101100100 < -b110111100100000011110000000010 : -b1110111011111110010000010011101 $ -b1110111011111110010000010011101 - -b1110111011111110010000010011101 5 -b1110111011111110010000010011101 ? -b1110111011111110010000010011101 D -b111111111011101110010010011011 % -b111111111011101110010010011011 . -b111111111011101110010010011011 6 -b111111111011101110010010011011 @ -b111111111011101110010010011011 F -b1110 ) -#526208000 -0& -#526224000 -b10000000011110 , -#526240000 -1& -#526256000 -b10001110111111011111001000100010 " -b10001110111111011111001000100010 4 -b10001110111111011111001000100010 0 -b10001110111111011111001000100010 H -b1 2 -b1 = -18 -b1000100000010011111000010111111 < -b11010011000001111110001011100010 : -b10001110111111011111001000100010 $ -b10001110111111011111001000100010 - -b10001110111111011111001000100010 5 -b10001110111111011111001000100010 ? -b10001110111111011111001000100010 D -b10111011111101100000111101000000 % -b10111011111101100000111101000000 . -b10111011111101100000111101000000 6 -b10111011111101100000111101000000 @ -b10111011111101100000111101000000 F -b10001110111111011111001000100010 ) -#526272000 -0& -#526288000 -b10000000011111 , -#526304000 -1& -#526320000 -b111010011101101101101011 " -b111010011101101101101011 4 -b111010011101101101101011 0 -b111010011101101101101011 H -b0 2 -b0 = -08 -b10101000001001101110011111011000 < -b11101000101001001110101011110 : -b1110100111011011011010110000101 $ -b1110100111011011011010110000101 - -b1110100111011011011010110000101 5 -b1110100111011011011010110000101 ? -b1110100111011011011010110000101 D -b1010111110110010001100000100111 % -b1010111110110010001100000100111 . -b1010111110110010001100000100111 6 -b1010111110110010001100000100111 @ -b1010111110110010001100000100111 F -b111010011101101101101011 ) -#526336000 -0& -#526352000 -b10000000100000 , -#526368000 -1& -#526384000 -b11111111111110111010010111110001 " -b11111111111110111010010111110001 4 -b11111111111110111010010111110001 0 -b11111111111110111010010111110001 H -b1 2 -b1 = -18 -19 -b10000101000000110010111111010011 < -b111111011000100100100100000111 : -b10111010010111110001100100110011 $ -b10111010010111110001100100110011 - -b10111010010111110001100100110011 5 -b10111010010111110001100100110011 ? -b10111010010111110001100100110011 D -b1111010111111001101000000101100 % -b1111010111111001101000000101100 . -b1111010111111001101000000101100 6 -b1111010111111001101000000101100 @ -b1111010111111001101000000101100 F -b11111111111110111010010111110001 ) -#526400000 -0& -#526416000 -b10000000100001 , -#526432000 -1& -#526448000 -b1101110 " -b1101110 4 -b1101110 0 -b1101110 H -b0 2 -b0 = -08 -b11000011100000101111100100111 < -b10000110111000011011001000000011 : -b1101110011100010101001011011011 $ -b1101110011100010101001011011011 - -b1101110011100010101001011011011 5 -b1101110011100010101001011011011 ? -b1101110011100010101001011011011 D -b11100111100011111010000011011000 % -b11100111100011111010000011011000 . -b11100111100011111010000011011000 6 -b11100111100011111010000011011000 @ -b11100111100011111010000011011000 F -b1101110 ) -#526464000 -0& -#526480000 -b10000000100010 , -#526496000 -1& -#526512000 -b11111111111111101101111111111011 " -b11111111111111101101111111111011 4 -b11111111111111101101111111111011 0 -b11111111111111101101111111111011 H -b1 2 -b1 = -18 -09 -b10000001001010110010 < -b11011100000001110111111011101010 : -b11011011111111110110110000110111 $ -b11011011111111110110110000110111 - -b11011011111111110110110000110111 5 -b11011011111111110110110000110111 ? -b11011011111111110110110000110111 D -b11111111111101111110110101001101 % -b11111111111101111110110101001101 . -b11111111111101111110110101001101 6 -b11111111111101111110110101001101 @ -b11111111111101111110110101001101 F -b11111111111111101101111111111011 ) -#526528000 -0& -#526544000 -b10000000100011 , -#526560000 -1& -#526576000 -b1111111011111111 " -b1111111011111111 4 -b1111111011111111 0 -b1111111011111111 H -19 -b0 2 -b0 = -08 -b100000000100001100100000110000 < -b10011111100100000111001110000100 : -b1111111011111111010101101010011 $ -b1111111011111111010101101010011 - -b1111111011111111010101101010011 5 -b1111111011111111010101101010011 ? -b1111111011111111010101101010011 D -b11011111111011110011011111001111 % -b11011111111011110011011111001111 . -b11011111111011110011011111001111 6 -b11011111111011110011011111001111 @ -b11011111111011110011011111001111 F -b1111111011111111 ) -#526592000 -0& -#526608000 -b10000000100100 , -#526624000 -1& -#526640000 -b11110111111010111011101000 " -b11110111111010111011101000 4 -b11110111111010111011101000 0 -b11110111111010111011101000 H -b0 2 -b0 = -09 -08 -b100001010001100000100110111100 < -b1000000010000111000000100000100 : -b11110111111010111011101000111 $ -b11110111111010111011101000111 - -b11110111111010111011101000111 5 -b11110111111010111011101000111 ? -b11110111111010111011101000111 D -b11011110101110011111011001000011 % -b11011110101110011111011001000011 . -b11011110101110011111011001000011 6 -b11011110101110011111011001000011 @ -b11011110101110011111011001000011 F -b11110111111010111011101000 ) -#526656000 -0& -#526672000 -b10000000100101 , -#526688000 -1& -#526704000 -b11111111111101111111111010011010 " -b11111111111101111111111010011010 4 -b11111111111101111111111010011010 0 -b11111111111101111111111010011010 H -b1 2 -b1 = -18 -09 -b11110100110101 < -b11011111111110101010011110000010 : -b11011111111110100110101001001100 $ -b11011111111110100110101001001100 - -b11011111111110100110101001001100 5 -b11011111111110100110101001001100 ? -b11011111111110100110101001001100 D -b11111111111111111100001011001010 % -b11111111111111111100001011001010 . -b11111111111111111100001011001010 6 -b11111111111111111100001011001010 @ -b11111111111111111100001011001010 F -b11111111111101111111111010011010 ) -#526720000 -0& -#526736000 -b10000000100110 , -#526752000 -1& -#526768000 -b11100101111111101111 " -b11100101111111101111 4 -b11100101111111101111 0 -b11100101111111101111 H -b0 2 -b0 = -08 -b10010000001110000101110110010100 < -b11001101111101101010011011 : -b1110010111111110111110100000110 $ -b1110010111111110111110100000110 - -b1110010111111110111110100000110 5 -b1110010111111110111110100000110 ? -b1110010111111110111110100000110 D -b1101111110001111010001001101011 % -b1101111110001111010001001101011 . -b1101111110001111010001001101011 6 -b1101111110001111010001001101011 @ -b1101111110001111010001001101011 F -b11100101111111101111 ) -#526784000 -0& -#526800000 -b10000000100111 , -#526816000 -1& -#526832000 -b11111 " -b11111 4 -b11111 0 -b11111 H -b1 2 -b1 = -18 -b10000001010000000110101101000110 < -b10111111101111110111101011001001 : -b111110011111110000111110000010 $ -b111110011111110000111110000010 - -b111110011111110000111110000010 5 -b111110011111110000111110000010 ? -b111110011111110000111110000010 D -b1111110101111111001010010111001 % -b1111110101111111001010010111001 . -b1111110101111111001010010111001 6 -b1111110101111111001010010111001 @ -b1111110101111111001010010111001 F -b11111 ) -#526848000 -0& -#526864000 -b10000000101000 , -#526880000 -1& -#526896000 -b10101111111 " -b10101111111 4 -b10101111111 0 -b10101111111 H -b0 2 -b0 = -08 -19 -b1100110011001010110111111101100 < -b10010010011001000111100001100011 : -b101011111111110000100001110110 $ -b101011111111110000100001110110 - -b101011111111110000100001110110 5 -b101011111111110000100001110110 ? -b101011111111110000100001110110 D -b10011001100110101001000000010011 % -b10011001100110101001000000010011 . -b10011001100110101001000000010011 6 -b10011001100110101001000000010011 @ -b10011001100110101001000000010011 F -b10101111111 ) -#526912000 -0& -#526928000 -b10000000101001 , -#526944000 -1& -#526960000 -b11111110111101110011000011100000 " -b11111110111101110011000011100000 4 -b11111110111101110011000011100000 0 -b11111110111101110011000011100000 H -09 -b0 2 -b0 = -08 -b1010010000000000011100010011010 < -b110000111001100101010010110011 : -b11011110111001100001110000011000 $ -b11011110111001100001110000011000 - -b11011110111001100001110000011000 5 -b11011110111001100001110000011000 ? -b11011110111001100001110000011000 D -b10101101111111111100011101100101 % -b10101101111111111100011101100101 . -b10101101111111111100011101100101 6 -b10101101111111111100011101100101 @ -b10101101111111111100011101100101 F -b11111110111101110011000011100000 ) -#526976000 -0& -#526992000 -b10000000101010 , -#527008000 -1& -#527024000 -b11111111111111011101011111011110 " -b11111111111111011101011111011110 4 -b11111111111111011101011111011110 0 -b11111111111111011101011111011110 H -b1 2 -b1 = -18 -19 -b10000000000100101011100011010011 < -b1011101100100001010010000110010 : -b11011101011111011110101101011110 $ -b11011101011111011110101101011110 - -b11011101011111011110101101011110 5 -b11011101011111011110101101011110 ? -b11011101011111011110101101011110 D -b1111111111011010100011100101100 % -b1111111111011010100011100101100 . -b1111111111011010100011100101100 6 -b1111111111011010100011100101100 @ -b1111111111011010100011100101100 F -b11111111111111011101011111011110 ) -#527040000 -0& -#527056000 -b10000000101011 , -#527072000 -1& -#527088000 -b11111111111111111111100100010100 " -b11111111111111111111100100010100 4 -b11111111111111111111100100010100 0 -b11111111111111111111100100010100 H -b0 2 -b0 = -09 -08 -b1000011010010010100110011010 < -b1000011010000100110000101000 : -b11111111111111110010001010001101 $ -b11111111111111110010001010001101 - -b11111111111111110010001010001101 5 -b11111111111111110010001010001101 ? -b11111111111111110010001010001101 D -b11110111100101101101011001100101 % -b11110111100101101101011001100101 . -b11110111100101101101011001100101 6 -b11110111100101101101011001100101 @ -b11110111100101101101011001100101 F -b11111111111111111111100100010100 ) -#527104000 -0& -#527120000 -b10000000101100 , -#527136000 -1& -#527152000 -b11111111111111011011111000001100 " -b11111111111111011011111000001100 4 -b11111111111111011011111000001100 0 -b11111111111111011011111000001100 H -b1011010001000000000110110111 < -b1001000000100000111001100011 : -b11111101101111100000110010101011 $ -b11111101101111100000110010101011 - -b11111101101111100000110010101011 5 -b11111101101111100000110010101011 ? -b11111101101111100000110010101011 D -b11110100101110111111111001001000 % -b11110100101110111111111001001000 . -b11110100101110111111111001001000 6 -b11110100101110111111111001001000 @ -b11110100101110111111111001001000 F -b11111111111111011011111000001100 ) -#527168000 -0& -#527184000 -b10000000101101 , -#527200000 -1& -#527216000 -1! -b0 " -b0 4 -b0 0 -b0 H -b1 2 -b1 = -18 -b10000000011100110010111110100000 < -1# -b11001000011100100000100100110011 : -b1000111111111101101100110010010 $ -b1000111111111101101100110010010 - -b1000111111111101101100110010010 5 -b1000111111111101101100110010010 ? -b1000111111111101101100110010010 D -b1111111100011001101000001011111 % -b1111111100011001101000001011111 . -b1111111100011001101000001011111 6 -b1111111100011001101000001011111 @ -b1111111100011001101000001011111 F -b0 ) -b1 ( -#527232000 -0& -#527248000 -b10000000101110 , -#527264000 -1& -#527280000 -0! -b10011 " -b10011 4 -b10011 0 -b10011 H -b0 2 -b0 = -08 -b100001000000100001111100101 < -0# -b1010001111111111000010011011000 : -b1001101110111110100000011110010 $ -b1001101110111110100000011110010 - -b1001101110111110100000011110010 5 -b1001101110111110100000011110010 ? -b1001101110111110100000011110010 D -b11111011110111111011110000011010 % -b11111011110111111011110000011010 . -b11111011110111111011110000011010 6 -b11111011110111111011110000011010 @ -b11111011110111111011110000011010 F -b10011 ) -b0 ( -#527296000 -0& -#527312000 -b10000000101111 , -#527328000 -1& -#527344000 -b1111101110011110011110111 " -b1111101110011110011110111 4 -b1111101110011110011110111 0 -b1111101110011110011110111 H -b0 2 -b0 = -19 -08 -b1000010011010001100000111111001 < -b11000000001101111111111111010011 : -b1111101110011110011110111011001 $ -b1111101110011110011110111011001 - -b1111101110011110011110111011001 5 -b1111101110011110011110111011001 ? -b1111101110011110011110111011001 D -b10111101100101110011111000000110 % -b10111101100101110011111000000110 . -b10111101100101110011111000000110 6 -b10111101100101110011111000000110 @ -b10111101100101110011111000000110 F -b1111101110011110011110111 ) -#527360000 -0& -#527376000 -b10000000110000 , -#527392000 -1& -#527408000 -b11100011111011 " -b11100011111011 4 -b11100011111011 0 -b11100011111011 H -b1 2 -b1 = -09 -18 -b10110111010010101001100100101111 < -b11110000010001100011000000100011 : -b111000111110111001011011110011 $ -b111000111110111001011011110011 - -b111000111110111001011011110011 5 -b111000111110111001011011110011 ? -b111000111110111001011011110011 D -b1001000101101010110011011010000 % -b1001000101101010110011011010000 . -b1001000101101010110011011010000 6 -b1001000101101010110011011010000 @ -b1001000101101010110011011010000 F -b11100011111011 ) -#527424000 -0& -#527440000 -b10000000110001 , -#527456000 -1& -#527472000 -b11100110000101101101001010111001 " -b11100110000101101101001010111001 4 -b11100110000101101101001010111001 0 -b11100110000101101101001010111001 H -b0 2 -b0 = -08 -b1001100110100100000000010011111 < -b110010111010001101001101011001 : -b11100110000101101101001010111001 $ -b11100110000101101101001010111001 - -b11100110000101101101001010111001 5 -b11100110000101101101001010111001 ? -b11100110000101101101001010111001 D -b10110011001011011111111101100000 % -b10110011001011011111111101100000 . -b10110011001011011111111101100000 6 -b10110011001011011111111101100000 @ -b10110011001011011111111101100000 F -b11100110000101101101001010111001 ) -#527488000 -0& -#527504000 -b10000000110010 , -#527520000 -1& -#527536000 -1! -b0 " -b0 4 -b0 0 -b0 H -b1 2 -b1 = -18 -b10000100010000011001111001100000 < -1# -b11000011101111111111110011111101 : -b111111011111100101111010011100 $ -b111111011111100101111010011100 - -b111111011111100101111010011100 5 -b111111011111100101111010011100 ? -b111111011111100101111010011100 D -b1111011101111100110000110011111 % -b1111011101111100110000110011111 . -b1111011101111100110000110011111 6 -b1111011101111100110000110011111 @ -b1111011101111100110000110011111 F -b0 ) -b1 ( -#527552000 -0& -#527568000 -b10000000110011 , -#527584000 -1& -#527600000 -0! -b11110111110101100100010100100 " -b11110111110101100100010100100 4 -b11110111110101100100010100100 0 -b11110111110101100100010100100 H -19 -b0 2 -b0 = -08 -b100001011010000100010000011101 < -0# -b10011101010100110110011010110000 : -b1111011111010110010001010010010 $ -b1111011111010110010001010010010 - -b1111011111010110010001010010010 5 -b1111011111010110010001010010010 ? -b1111011111010110010001010010010 D -b11011110100101111011101111100010 % -b11011110100101111011101111100010 . -b11011110100101111011101111100010 6 -b11011110100101111011101111100010 @ -b11011110100101111011101111100010 F -b11110111110101100100010100100 ) -b0 ( -#527616000 -0& -#527632000 -b10000000110100 , -#527648000 -1& -#527664000 -b11111111111111011110100010110010 " -b11111111111111011110100010110010 4 -b11111111111111011110100010110010 0 -b11111111111111011110100010110010 H -09 -b0 2 -b0 = -08 -b1110000001001010101110100011101 < -b1110000000111001111111111101000 : -b11111111111101111010001011001010 $ -b11111111111101111010001011001010 - -b11111111111101111010001011001010 5 -b11111111111101111010001011001010 ? -b11111111111101111010001011001010 D -b10001111110110101010001011100010 % -b10001111110110101010001011100010 . -b10001111110110101010001011100010 6 -b10001111110110101010001011100010 @ -b10001111110110101010001011100010 F -b11111111111111011110100010110010 ) -#527680000 -0& -#527696000 -b10000000110101 , -#527712000 -1& -#527728000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1000010000000000010001111000110 < -b111111110001101011000000011101 : -b11111101110001101000110001010110 $ -b11111101110001101000110001010110 - -b11111101110001101000110001010110 5 -b11111101110001101000110001010110 ? -b11111101110001101000110001010110 D -b10111101111111111101110000111001 % -b10111101111111111101110000111001 . -b10111101111111111101110000111001 6 -b10111101111111111101110000111001 @ -b10111101111111111101110000111001 F -b11111111111111111111111111111110 ) -#527744000 -0& -#527760000 -b10000000110110 , -#527776000 -1& -#527792000 -b111011110110100001100 " -b111011110110100001100 4 -b111011110110100001100 0 -b111011110110100001100 H -19 -b0 2 -b0 = -08 -b1100100010010010111000100110101 < -b11011011111111011010001011000100 : -b1110111101101000011000110001110 $ -b1110111101101000011000110001110 - -b1110111101101000011000110001110 5 -b1110111101101000011000110001110 ? -b1110111101101000011000110001110 D -b10011011101101101000111011001010 % -b10011011101101101000111011001010 . -b10011011101101101000111011001010 6 -b10011011101101101000111011001010 @ -b10011011101101101000111011001010 F -b111011110110100001100 ) -#527808000 -0& -#527824000 -b10000000110111 , -#527840000 -1& -#527856000 -b111110100110110100111011 " -b111110100110110100111011 4 -b111110100110110100111011 0 -b111110100110110100111011 H -b110001000000000011100101011000 < -b10101110001101101101011101001100 : -b1111101001101101001110111110011 $ -b1111101001101101001110111110011 - -b1111101001101101001110111110011 5 -b1111101001101101001110111110011 ? -b1111101001101101001110111110011 D -b11001110111111111100011010100111 % -b11001110111111111100011010100111 . -b11001110111111111100011010100111 6 -b11001110111111111100011010100111 @ -b11001110111111111100011010100111 F -b111110100110110100111011 ) -#527872000 -0& -#527888000 -b10000000111000 , -#527904000 -1& -#527920000 -b110011001111110 " -b110011001111110 4 -b110011001111110 0 -b110011001111110 H -b0 2 -b0 = -09 -08 -b11011110000100000000010110010000 < -b10001010011110111110111011110 : -b110011001111110111100001001101 $ -b110011001111110111100001001101 - -b110011001111110111100001001101 5 -b110011001111110111100001001101 ? -b110011001111110111100001001101 D -b100001111011111111101001101111 % -b100001111011111111101001101111 . -b100001111011111111101001101111 6 -b100001111011111111101001101111 @ -b100001111011111111101001101111 F -b110011001111110 ) -#527936000 -0& -#527952000 -b10000000111001 , -#527968000 -1& -#527984000 -b11111111111111111111111010111111 " -b11111111111111111111111010111111 4 -b11111111111111111111111010111111 0 -b11111111111111111111111010111111 H -19 -b1 2 -b1 = -18 -b10001001001000001011011000001001 < -b111001000100100100000111000100 : -b10101111111100011000101110111010 $ -b10101111111100011000101110111010 - -b10101111111100011000101110111010 5 -b10101111111100011000101110111010 ? -b10101111111100011000101110111010 D -b1110110110111110100100111110110 % -b1110110110111110100100111110110 . -b1110110110111110100100111110110 6 -b1110110110111110100100111110110 @ -b1110110110111110100100111110110 F -b11111111111111111111111010111111 ) -#528000000 -0& -#528016000 -b10000000111010 , -#528032000 -1& -#528048000 -b11111111010111111110010100010011 " -b11111111010111111110010100010011 4 -b11111111010111111110010100010011 0 -b11111111010111111110010100010011 H -b0 2 -b0 = -09 -08 -b101000000110000111100110111110 < -b100110110110000100001111100101 : -b11111110101111111100101000100110 $ -b11111110101111111100101000100110 - -b11111110101111111100101000100110 5 -b11111110101111111100101000100110 ? -b11111110101111111100101000100110 D -b11010111111001111000011001000001 % -b11010111111001111000011001000001 . -b11010111111001111000011001000001 6 -b11010111111001111000011001000001 @ -b11010111111001111000011001000001 F -b11111111010111111110010100010011 ) -#528064000 -0& -#528080000 -b10000000111011 , -#528096000 -1& -#528112000 -b111111111010110110101000 " -b111111111010110110101000 4 -b111111111010110110101000 0 -b111111111010110110101000 H -b100100000011000010000101111001 < -b1100011111101111000101110101111 : -b111111111010110110101000110101 $ -b111111111010110110101000110101 - -b111111111010110110101000110101 5 -b111111111010110110101000110101 ? -b111111111010110110101000110101 D -b11011011111100111101111010000110 % -b11011011111100111101111010000110 . -b11011011111100111101111010000110 6 -b11011011111100111101111010000110 @ -b11011011111100111101111010000110 F -b111111111010110110101000 ) -#528128000 -0& -#528144000 -b10000000111100 , -#528160000 -1& -#528176000 -b1111011010111110101010100010 " -b1111011010111110101010100010 4 -b1111011010111110101010100010 0 -b1111011010111110101010100010 H -b10010000011101010010011111101 < -b1001111101111100100111110001001 : -b111101101011111010101010001011 $ -b111101101011111010101010001011 - -b111101101011111010101010001011 5 -b111101101011111010101010001011 ? -b111101101011111010101010001011 D -b11101101111100010101101100000010 % -b11101101111100010101101100000010 . -b11101101111100010101101100000010 6 -b11101101111100010101101100000010 @ -b11101101111100010101101100000010 F -b1111011010111110101010100010 ) -#528192000 -0& -#528208000 -b10000000111101 , -#528224000 -1& -#528240000 -b11110111111010001000111110 " -b11110111111010001000111110 4 -b11110111111010001000111110 0 -b11110111111010001000111110 H -b1 2 -b1 = -18 -b10010010000010011110011010111100 < -b10110001000001101111100010110000 : -b11110111111010001000111110011 $ -b11110111111010001000111110011 - -b11110111111010001000111110011 5 -b11110111111010001000111110011 ? -b11110111111010001000111110011 D -b1101101111101100001100101000011 % -b1101101111101100001100101000011 . -b1101101111101100001100101000011 6 -b1101101111101100001100101000011 @ -b1101101111101100001100101000011 F -b11110111111010001000111110 ) -#528256000 -0& -#528272000 -b10000000111110 , -#528288000 -1& -#528304000 -b11111111111111111111111111010110 " -b11111111111111111111111111010110 4 -b11111111111111111111111111010110 0 -b11111111111111111111111111010110 H -19 -b1 2 -b1 = -18 -b10010000100000000100100011100111 < -b1100111011010000000110010110010 : -b11010110111001111100001111001010 $ -b11010110111001111100001111001010 - -b11010110111001111100001111001010 5 -b11010110111001111100001111001010 ? -b11010110111001111100001111001010 D -b1101111011111111011011100011000 % -b1101111011111111011011100011000 . -b1101111011111111011011100011000 6 -b1101111011111111011011100011000 @ -b1101111011111111011011100011000 F -b11111111111111111111111111010110 ) -#528320000 -0& -#528336000 -b10000000111111 , -#528352000 -1& -#528368000 -b11111111111111111111111111010111 " -b11111111111111111111111111010111 4 -b11111111111111111111111111010111 0 -b11111111111111111111111111010111 H -b1 2 -b1 = -09 -18 -b11100001000001111110001000110 < -b11001100000010111100001110100011 : -b10101111111010101100011101011100 $ -b10101111111010101100011101011100 - -b10101111111010101100011101011100 5 -b10101111111010101100011101011100 ? -b10101111111010101100011101011100 D -b11100011110111110000001110111001 % -b11100011110111110000001110111001 . -b11100011110111110000001110111001 6 -b11100011110111110000001110111001 @ -b11100011110111110000001110111001 F -b11111111111111111111111111010111 ) -#528384000 -0& -#528400000 -b10000001000000 , -#528416000 -1& -#528432000 -b11111111111111111111111101111111 " -b11111111111111111111111101111111 4 -b11111111111111111111111101111111 0 -b11111111111111111111111101111111 H -b10100010011000111011110000001001 < -b10000010010100110011111010110010 : -b11011111111011111000001010101000 $ -b11011111111011111000001010101000 - -b11011111111011111000001010101000 5 -b11011111111011111000001010101000 ? -b11011111111011111000001010101000 D -b1011101100111000100001111110110 % -b1011101100111000100001111110110 . -b1011101100111000100001111110110 6 -b1011101100111000100001111110110 @ -b1011101100111000100001111110110 F -b11111111111111111111111101111111 ) -#528448000 -0& -#528464000 -b10000001000001 , -#528480000 -1& -#528496000 -b11111111111111111111011110101100 " -b11111111111111111111011110101100 4 -b11111111111111111111011110101100 0 -b11111111111111111111011110101100 H -b10000100110000000110011111010000 < -b10000000100101100111111010000000 : -b11111011110101100001011010101111 $ -b11111011110101100001011010101111 - -b11111011110101100001011010101111 5 -b11111011110101100001011010101111 ? -b11111011110101100001011010101111 D -b1111011001111111001100000101111 % -b1111011001111111001100000101111 . -b1111011001111111001100000101111 6 -b1111011001111111001100000101111 @ -b1111011001111111001100000101111 F -b11111111111111111111011110101100 ) -#528512000 -0& -#528528000 -b10000001000010 , -#528544000 -1& -#528560000 -b110111101110101001 " -b110111101110101001 4 -b110111101110101001 0 -b110111101110101001 H -b0 2 -b0 = -08 -09 -b10100000110000000111110011110010 < -b10000001101011010011110001101 : -b1101111011101010010101010011010 $ -b1101111011101010010101010011010 - -b1101111011101010010101010011010 5 -b1101111011101010010101010011010 ? -b1101111011101010010101010011010 D -b1011111001111111000001100001101 % -b1011111001111111000001100001101 . -b1011111001111111000001100001101 6 -b1011111001111111000001100001101 @ -b1011111001111111000001100001101 F -b110111101110101001 ) -#528576000 -0& -#528592000 -b10000001000011 , -#528608000 -1& -#528624000 -b11111111111111110111111010111000 " -b11111111111111110111111010111000 4 -b11111111111111110111111010111000 0 -b11111111111111110111111010111000 H -b0 2 -b0 = -08 -b100000011000000000100000010011 < -b11000010010111001000011010011 : -b11110111111010111000100010111111 $ -b11110111111010111000100010111111 - -b11110111111010111000100010111111 5 -b11110111111010111000100010111111 ? -b11110111111010111000100010111111 D -b11011111100111111111011111101100 % -b11011111100111111111011111101100 . -b11011111100111111111011111101100 6 -b11011111100111111111011111101100 @ -b11011111100111111111011111101100 F -b11111111111111110111111010111000 ) -#528640000 -0& -#528656000 -b10000001000100 , -#528672000 -1& -#528688000 -b11111111111111111100001101101010 " -b11111111111111111100001101101010 4 -b11111111111111111100001101101010 0 -b11111111111111111100001101101010 H -b1 2 -b1 = -18 -b10101010000100000101000000111101 < -b10101010000011110101110111100111 : -b11111111111111110000110110101001 $ -b11111111111111110000110110101001 - -b11111111111111110000110110101001 5 -b11111111111111110000110110101001 ? -b11111111111111110000110110101001 D -b1010101111011111010111111000010 % -b1010101111011111010111111000010 . -b1010101111011111010111111000010 6 -b1010101111011111010111111000010 @ -b1010101111011111010111111000010 F -b11111111111111111100001101101010 ) -#528704000 -0& -#528720000 -b10000001000101 , -#528736000 -1& -#528752000 -b11011111011010110111001010 " -b11011111011010110111001010 4 -b11011111011010110111001010 0 -b11011111011010110111001010 H -b1 2 -b1 = -18 -09 -b10000100010101010000110000011011 < -b10111100001011111110100011000111 : -b110111110110101101110010101011 $ -b110111110110101101110010101011 - -b110111110110101101110010101011 5 -b110111110110101101110010101011 ? -b110111110110101101110010101011 D -b1111011101010101111001111100100 % -b1111011101010101111001111100100 . -b1111011101010101111001111100100 6 -b1111011101010101111001111100100 @ -b1111011101010101111001111100100 F -b11011111011010110111001010 ) -#528768000 -0& -#528784000 -b10000001000110 , -#528800000 -1& -#528816000 -b1110100011111110101 " -b1110100011111110101 4 -b1110100011111110101 0 -b1110100011111110101 H -b0 2 -b0 = -08 -b10101000001101010110001011110011 < -b11100101101001011010101010000 : -b1110100011111110101001001011100 $ -b1110100011111110101001001011100 - -b1110100011111110101001001011100 5 -b1110100011111110101001001011100 ? -b1110100011111110101001001011100 D -b1010111110010101001110100001100 % -b1010111110010101001110100001100 . -b1010111110010101001110100001100 6 -b1010111110010101001110100001100 @ -b1010111110010101001110100001100 F -b1110100011111110101 ) -#528832000 -0& -#528848000 -b10000001000111 , -#528864000 -1& -#528880000 -b11111111111111111111111010000111 " -b11111111111111111111111010000111 4 -b11111111111111111111111010000111 0 -b11111111111111111111111010000111 H -b1 2 -b1 = -18 -b101110101001000101000101001001 < -b11010000100100110101000110111110 : -b10100001111011110000000001110100 $ -b10100001111011110000000001110100 - -b10100001111011110000000001110100 5 -b10100001111011110000000001110100 ? -b10100001111011110000000001110100 D -b11010001010110111010111010110110 % -b11010001010110111010111010110110 . -b11010001010110111010111010110110 6 -b11010001010110111010111010110110 @ -b11010001010110111010111010110110 F -b11111111111111111111111010000111 ) -#528896000 -0& -#528912000 -b10000001001000 , -#528928000 -1& -#528944000 -b101000110111111100011101000110 " -b101000110111111100011101000110 4 -b101000110111111100011101000110 0 -b101000110111111100011101000110 H -b0 2 -b0 = -08 -b10010000110001101000100011110 < -b1100011110110000101111110101100 : -b1010001101111111000111010001101 $ -b1010001101111111000111010001101 - -b1010001101111111000111010001101 5 -b1010001101111111000111010001101 ? -b1010001101111111000111010001101 D -b11101101111001110010111011100001 % -b11101101111001110010111011100001 . -b11101101111001110010111011100001 6 -b11101101111001110010111011100001 @ -b11101101111001110010111011100001 F -b101000110111111100011101000110 ) -#528960000 -0& -#528976000 -b10000001001001 , -#528992000 -1& -#529008000 -b11111111111111111111111111110101 " -b11111111111111111111111111110101 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -b0 2 -b0 = -08 -09 -b1010100101100101011010011101000 < -b1001111101011011011110101010011 : -b11111010111110110000100001101010 $ -b11111010111110110000100001101010 - -b11111010111110110000100001101010 5 -b11111010111110110000100001101010 ? -b11111010111110110000100001101010 D -b10101011010011010100101100010111 % -b10101011010011010100101100010111 . -b10101011010011010100101100010111 6 -b10101011010011010100101100010111 @ -b10101011010011010100101100010111 F -b11111111111111111111111111110101 ) -#529024000 -0& -#529040000 -b10000001001010 , -#529056000 -1& -#529072000 -b11111111011111111010110000011010 " -b11111111011111111010110000011010 4 -b11111111011111111010110000011010 0 -b11111111011111111010110000011010 H -b1 2 -b1 = -18 -19 -b10000001000000011001111111011100 < -b1111100111111110000000010110010 : -b11111011111111010110000011010101 $ -b11111011111111010110000011010101 - -b11111011111111010110000011010101 5 -b11111011111111010110000011010101 ? -b11111011111111010110000011010101 D -b1111110111111100110000000100011 % -b1111110111111100110000000100011 . -b1111110111111100110000000100011 6 -b1111110111111100110000000100011 @ -b1111110111111100110000000100011 F -b11111111011111111010110000011010 ) -#529088000 -0& -#529104000 -b10000001001011 , -#529120000 -1& -#529136000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b10000000101000001010101100000011 < -b1111011000111010000110011000111 : -b11111010011111000110000111000011 $ -b11111010011111000110000111000011 - -b11111010011111000110000111000011 5 -b11111010011111000110000111000011 ? -b11111010011111000110000111000011 D -b1111111010111110101010011111100 % -b1111111010111110101010011111100 . -b1111111010111110101010011111100 6 -b1111111010111110101010011111100 @ -b1111111010111110101010011111100 F -b11111111111111111111111111111111 ) -#529152000 -0& -#529168000 -b10000001001100 , -#529184000 -1& -#529200000 -b11111111111011111110111011100111 " -b11111111111011111110111011100111 4 -b11111111111011111110111011100111 0 -b11111111111011111110111011100111 H -b0 2 -b0 = -08 -09 -b1000001100000100110010000110101 < -b1001111100000001000000101 : -b10111111101110111001110111001111 $ -b10111111101110111001110111001111 - -b10111111101110111001110111001111 5 -b10111111101110111001110111001111 ? -b10111111101110111001110111001111 D -b10111110011111011001101111001010 % -b10111110011111011001101111001010 . -b10111110011111011001101111001010 6 -b10111110011111011001101111001010 @ -b10111110011111011001101111001010 F -b11111111111011111110111011100111 ) -#529216000 -0& -#529232000 -b10000001001101 , -#529248000 -1& -#529264000 -b111011100111100001001 " -b111011100111100001001 4 -b111011100111100001001 0 -b111011100111100001001 H -b10000000010001111111111010111 < -b101101110110000000100011011000 : -b11101110011110000100100000000 $ -b11101110011110000100100000000 - -b11101110011110000100100000000 5 -b11101110011110000100100000000 ? -b11101110011110000100100000000 D -b11101111111101110000000000101000 % -b11101111111101110000000000101000 . -b11101111111101110000000000101000 6 -b11101111111101110000000000101000 @ -b11101111111101110000000000101000 F -b111011100111100001001 ) -#529280000 -0& -#529296000 -b10000001001110 , -#529312000 -1& -#529328000 -b110 " -b110 4 -b110 0 -b110 H -b1 2 -b1 = -18 -b10001001001100100010101111000011 < -b11110001000010010100101110011111 : -b1100111110101110001111111011011 $ -b1100111110101110001111111011011 - -b1100111110101110001111111011011 5 -b1100111110101110001111111011011 ? -b1100111110101110001111111011011 D -b1110110110011011101010000111100 % -b1110110110011011101010000111100 . -b1110110110011011101010000111100 6 -b1110110110011011101010000111100 @ -b1110110110011011101010000111100 F -b110 ) -#529344000 -0& -#529360000 -b10000001001111 , -#529376000 -1& -#529392000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b0 2 -b0 = -08 -b10010000111000100100101101 < -b10001111110000011101010110 : -b11111111111110110111111000101000 $ -b11111111111110110111111000101000 - -b11111111111110110111111000101000 5 -b11111111111110110111111000101000 ? -b11111111111110110111111000101000 D -b11111101101111000111011011010010 % -b11111101101111000111011011010010 . -b11111101101111000111011011010010 6 -b11111101101111000111011011010010 @ -b11111101101111000111011011010010 F -b11111111111111111111111111111110 ) -#529408000 -0& -#529424000 -b10000001010000 , -#529440000 -1& -#529456000 -b1010110111011111000110110000 " -b1010110111011111000110110000 4 -b1010110111011111000110110000 0 -b1010110111011111000110110000 H -b1000001000001010011100 < -b1010111000100000001000000100001 : -b1010110111011111000110110000100 $ -b1010110111011111000110110000100 - -b1010110111011111000110110000100 5 -b1010110111011111000110110000100 ? -b1010110111011111000110110000100 D -b11111111110111110111110101100011 % -b11111111110111110111110101100011 . -b11111111110111110111110101100011 6 -b11111111110111110111110101100011 @ -b11111111110111110111110101100011 F -b1010110111011111000110110000 ) -#529472000 -0& -#529488000 -b10000001010001 , -#529504000 -1& -#529520000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -19 -b1 2 -b1 = -18 -b10000000000000001010000000100111 < -b1111011111100000010111010001000 : -b11111011111011111000111001100000 $ -b11111011111011111000111001100000 - -b11111011111011111000111001100000 5 -b11111011111011111000111001100000 ? -b11111011111011111000111001100000 D -b1111111111111110101111111011000 % -b1111111111111110101111111011000 . -b1111111111111110101111111011000 6 -b1111111111111110101111111011000 @ -b1111111111111110101111111011000 F -b11111111111111111111111111111011 ) -#529536000 -0& -#529552000 -b10000001010010 , -#529568000 -1& -#529584000 -b11111111111111001110111111100001 " -b11111111111111001110111111100001 4 -b11111111111111001110111111100001 0 -b11111111111111001110111111100001 H -b10000000010000011011010010110010 < -b11110001111011101110011111101 : -b10011101111111000010100001001010 $ -b10011101111111000010100001001010 - -b10011101111111000010100001001010 5 -b10011101111111000010100001001010 ? -b10011101111111000010100001001010 D -b1111111101111100100101101001101 % -b1111111101111100100101101001101 . -b1111111101111100100101101001101 6 -b1111111101111100100101101001101 @ -b1111111101111100100101101001101 F -b11111111111111001110111111100001 ) -#529600000 -0& -#529616000 -b10000001010011 , -#529632000 -1& -#529648000 -b11111111111111111111111111011001 " -b11111111111111111111111111011001 4 -b11111111111111111111111111011001 0 -b11111111111111111111111111011001 H -b10000100101000000011111100101000 < -b1110001100111111010100001010111 : -b11101100111111110110100100101110 $ -b11101100111111110110100100101110 - -b11101100111111110110100100101110 5 -b11101100111111110110100100101110 ? -b11101100111111110110100100101110 D -b1111011010111111100000011010111 % -b1111011010111111100000011010111 . -b1111011010111111100000011010111 6 -b1111011010111111100000011010111 @ -b1111011010111111100000011010111 F -b11111111111111111111111111011001 ) -#529664000 -0& -#529680000 -b10000001010100 , -#529696000 -1& -#529712000 -b1111000111111011100 " -b1111000111111011100 4 -b1111000111111011100 0 -b1111000111111011100 H -b0 2 -b0 = -08 -b1011000001001101101010010110011 < -b11010001001001001001111000000111 : -b1111000111111011100100101010011 $ -b1111000111111011100100101010011 - -b1111000111111011100100101010011 5 -b1111000111111011100100101010011 ? -b1111000111111011100100101010011 D -b10100111110110010010101101001100 % -b10100111110110010010101101001100 . -b10100111110110010010101101001100 6 -b10100111110110010010101101001100 @ -b10100111110110010010101101001100 F -b1111000111111011100 ) -#529728000 -0& -#529744000 -b10000001010101 , -#529760000 -1& -#529776000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b1100000000011000100110011000000 < -b1011011110010111101010011111010 : -b11111011101111111000100000111001 $ -b11111011101111111000100000111001 - -b11111011101111111000100000111001 5 -b11111011101111111000100000111001 ? -b11111011101111111000100000111001 D -b10011111111100111011001100111111 % -b10011111111100111011001100111111 . -b10011111111100111011001100111111 6 -b10011111111100111011001100111111 @ -b10011111111100111011001100111111 F -b11111111111111111111111111111111 ) -#529792000 -0& -#529808000 -b10000001010110 , -#529824000 -1& -#529840000 -b11111111111111111111010010001101 " -b11111111111111111111010010001101 4 -b11111111111111111111010010001101 0 -b11111111111111111111010010001101 H -b1 2 -b1 = -18 -b11000000000000111001000011110000 < -b10111010010010100011100110110010 : -b11111010010001101010100011000001 $ -b11111010010001101010100011000001 - -b11111010010001101010100011000001 5 -b11111010010001101010100011000001 ? -b11111010010001101010100011000001 D -b111111111111000110111100001111 % -b111111111111000110111100001111 . -b111111111111000110111100001111 6 -b111111111111000110111100001111 @ -b111111111111000110111100001111 F -b11111111111111111111010010001101 ) -#529856000 -0& -#529872000 -b10000001010111 , -#529888000 -1& -#529904000 -b1010100001001110101011111 " -b1010100001001110101011111 4 -b1010100001001110101011111 0 -b1010100001001110101011111 H -b0 2 -b0 = -08 -b1000010000000001101110011001 < -b1011100011001110111001110000111 : -b1010100001001110101011111101101 $ -b1010100001001110101011111101101 - -b1010100001001110101011111101101 5 -b1010100001001110101011111101101 ? -b1010100001001110101011111101101 D -b11110111101111111110010001100110 % -b11110111101111111110010001100110 . -b11110111101111111110010001100110 6 -b11110111101111111110010001100110 @ -b11110111101111111110010001100110 F -b1010100001001110101011111 ) -#529920000 -0& -#529936000 -b10000001011000 , -#529952000 -1& -#529968000 -b110000101111101 " -b110000101111101 4 -b110000101111101 0 -b110000101111101 H -b1 2 -b1 = -18 -b11100100000000111001100110110001 < -b11111100011000101101101101100000 : -b11000010111110100000110101110 $ -b11000010111110100000110101110 - -b11000010111110100000110101110 5 -b11000010111110100000110101110 ? -b11000010111110100000110101110 D -b11011111111000110011001001110 % -b11011111111000110011001001110 . -b11011111111000110011001001110 6 -b11011111111000110011001001110 @ -b11011111111000110011001001110 F -b110000101111101 ) -#529984000 -0& -#530000000 -b10000001011001 , -#530016000 -1& -#530032000 -b11111111111111111111111111110011 " -b11111111111111111111111111110011 4 -b11111111111111111111111111110011 0 -b11111111111111111111111111110011 H -b1 2 -b1 = -19 -18 -b10010011010100000100100100000100 < -b110000110011110111100000100111 : -b10011101011111110010111100100010 $ -b10011101011111110010111100100010 - -b10011101011111110010111100100010 5 -b10011101011111110010111100100010 ? -b10011101011111110010111100100010 D -b1101100101011111011011011111011 % -b1101100101011111011011011111011 . -b1101100101011111011011011111011 6 -b1101100101011111011011011111011 @ -b1101100101011111011011011111011 F -b11111111111111111111111111110011 ) -#530048000 -0& -#530064000 -b10000001011010 , -#530080000 -1& -#530096000 -b11111110100111100100 " -b11111110100111100100 4 -b11111110100111100100 0 -b11111110100111100100 H -09 -b1 2 -b1 = -18 -b10000110010010101111100101010101 < -b11000101111100101000101000011101 : -b111111101001111001000011000111 $ -b111111101001111001000011000111 - -b111111101001111001000011000111 5 -b111111101001111001000011000111 ? -b111111101001111001000011000111 D -b1111001101101010000011010101010 % -b1111001101101010000011010101010 . -b1111001101101010000011010101010 6 -b1111001101101010000011010101010 @ -b1111001101101010000011010101010 F -b11111110100111100100 ) -#530112000 -0& -#530128000 -b10000001011011 , -#530144000 -1& -#530160000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b10000001010001110110010100001111 < -b10000001010001001011101101000011 : -b11111111111111010101011000110011 $ -b11111111111111010101011000110011 - -b11111111111111010101011000110011 5 -b11111111111111010101011000110011 ? -b11111111111111010101011000110011 D -b1111110101110001001101011110000 % -b1111110101110001001101011110000 . -b1111110101110001001101011110000 6 -b1111110101110001001101011110000 @ -b1111110101110001001101011110000 F -b11111111111111111111111111111101 ) -#530176000 -0& -#530192000 -b10000001011100 , -#530208000 -1& -#530224000 -b1101101011111100111011011 " -b1101101011111100111011011 4 -b1101101011111100111011011 0 -b1101101011111100111011011 H -b0 2 -b0 = -08 -09 -b1000100101010001010111010 < -b110111110100011101111000101010 : -b110110101111110011101101101111 $ -b110110101111110011101101101111 - -b110110101111110011101101101111 5 -b110110101111110011101101101111 ? -b110110101111110011101101101111 D -b11111110111011010101110101000101 % -b11111110111011010101110101000101 . -b11111110111011010101110101000101 6 -b11111110111011010101110101000101 @ -b11111110111011010101110101000101 F -b1101101011111100111011011 ) -#530240000 -0& -#530256000 -b10000001011101 , -#530272000 -1& -#530288000 -b110110111101 " -b110110111101 4 -b110110111101 0 -b110110111101 H -b0 2 -b0 = -19 -08 -b100100000000000000000011101100 < -b10010001111010110110100110111111 : -b1101101111010110110100011010010 $ -b1101101111010110110100011010010 - -b1101101111010110110100011010010 5 -b1101101111010110110100011010010 ? -b1101101111010110110100011010010 D -b11011011111111111111111100010011 % -b11011011111111111111111100010011 . -b11011011111111111111111100010011 6 -b11011011111111111111111100010011 @ -b11011011111111111111111100010011 F -b110110111101 ) -#530304000 -0& -#530320000 -b10000001011110 , -#530336000 -1& -#530352000 -b111111111 " -b111111111 4 -b111111111 0 -b111111111 H -b0 2 -b0 = -09 -08 -b10010100000110000110010111001001 < -b10100000100000100010001100111 : -b1111111111101111101111010011101 $ -b1111111111101111101111010011101 - -b1111111111101111101111010011101 5 -b1111111111101111101111010011101 ? -b1111111111101111101111010011101 D -b1101011111001111001101000110110 % -b1101011111001111001101000110110 . -b1101011111001111001101000110110 6 -b1101011111001111001101000110110 @ -b1101011111001111001101000110110 F -b111111111 ) -#530368000 -0& -#530384000 -b10000001011111 , -#530400000 -1& -#530416000 -b11110111111 " -b11110111111 4 -b11110111111 0 -b11110111111 H -b10101000000101001000010001101011 < -b100100000101000111000111010111 : -b1111011111111111110110101101011 $ -b1111011111111111110110101101011 - -b1111011111111111110110101101011 5 -b1111011111111111110110101101011 ? -b1111011111111111110110101101011 D -b1010111111010110111101110010100 % -b1010111111010110111101110010100 . -b1010111111010110111101110010100 6 -b1010111111010110111101110010100 @ -b1010111111010110111101110010100 F -b11110111111 ) -#530432000 -0& -#530448000 -b10000001100000 , -#530464000 -1& -#530480000 -b101111111001110110001010111 " -b101111111001110110001010111 4 -b101111111001110110001010111 0 -b101111111001110110001010111 H -b1 2 -b1 = -18 -b10001000000011011111111110111011 < -b11100111110111001100010100111011 : -b1011111110011101100010101111111 $ -b1011111110011101100010101111111 - -b1011111110011101100010101111111 5 -b1011111110011101100010101111111 ? -b1011111110011101100010101111111 D -b1110111111100100000000001000100 % -b1110111111100100000000001000100 . -b1110111111100100000000001000100 6 -b1110111111100100000000001000100 @ -b1110111111100100000000001000100 F -b101111111001110110001010111 ) -#530496000 -0& -#530512000 -b10000001100001 , -#530528000 -1& -#530544000 -b11111111111111111110110101111101 " -b11111111111111111110110101111101 4 -b11111111111111111110110101111101 0 -b11111111111111111110110101111101 H -b1 2 -b1 = -19 -18 -b10000000100000000111010000010001 < -b1111011110111111100110010000001 : -b11111011010111110101100001101111 $ -b11111011010111110101100001101111 - -b11111011010111110101100001101111 5 -b11111011010111110101100001101111 ? -b11111011010111110101100001101111 D -b1111111011111111000101111101110 % -b1111111011111111000101111101110 . -b1111111011111111000101111101110 6 -b1111111011111111000101111101110 @ -b1111111011111111000101111101110 F -b11111111111111111110110101111101 ) -#530560000 -0& -#530576000 -b10000001100010 , -#530592000 -1& -#530608000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -09 -b1100000000010101100111010100001 < -b1001110111101010010111100100010 : -b11101110111010100110000010000000 $ -b11101110111010100110000010000000 - -b11101110111010100110000010000000 5 -b11101110111010100110000010000000 ? -b11101110111010100110000010000000 D -b10011111111101010011000101011110 % -b10011111111101010011000101011110 . -b10011111111101010011000101011110 6 -b10011111111101010011000101011110 @ -b10011111111101010011000101011110 F -b11111111111111111111111111111111 ) -#530624000 -0& -#530640000 -b10000001100011 , -#530656000 -1& -#530672000 -b101010111011110100011100 " -b101010111011110100011100 4 -b101010111011110100011100 0 -b101010111011110100011100 H -19 -b0 2 -b0 = -08 -b1000100011000001010101111111000 < -b10011010001111110011101000010100 : -b1010101110111101000111000011011 $ -b1010101110111101000111000011011 - -b1010101110111101000111000011011 5 -b1010101110111101000111000011011 ? -b1010101110111101000111000011011 D -b10111011100111110101010000000111 % -b10111011100111110101010000000111 . -b10111011100111110101010000000111 6 -b10111011100111110101010000000111 @ -b10111011100111110101010000000111 F -b101010111011110100011100 ) -#530688000 -0& -#530704000 -b10000001100100 , -#530720000 -1& -#530736000 -b11111110100100111111111000101100 " -b11111110100100111111111000101100 4 -b11111110100100111111111000101100 0 -b11111110100100111111111000101100 H -b1 2 -b1 = -18 -09 -b110000001100000011010101111001 < -b11010101001011111100000010001001 : -b10100100111111111000101100001111 $ -b10100100111111111000101100001111 - -b10100100111111111000101100001111 5 -b10100100111111111000101100001111 ? -b10100100111111111000101100001111 D -b11001111110011111100101010000110 % -b11001111110011111100101010000110 . -b11001111110011111100101010000110 6 -b11001111110011111100101010000110 @ -b11001111110011111100101010000110 F -b11111110100100111111111000101100 ) -#530752000 -0& -#530768000 -b10000001100101 , -#530784000 -1& -#530800000 -b101100101010111010000111000 " -b101100101010111010000111000 4 -b101100101010111010000111000 0 -b101100101010111010000111000 H -b0 2 -b0 = -08 -b1000101101101000111011 < -b1011001011110100001110110111101 : -b1011001010101110100001110000001 $ -b1011001010101110100001110000001 - -b1011001010101110100001110000001 5 -b1011001010101110100001110000001 ? -b1011001010101110100001110000001 D -b11111111110111010010010111000100 % -b11111111110111010010010111000100 . -b11111111110111010010010111000100 6 -b11111111110111010010010111000100 @ -b11111111110111010010010111000100 F -b101100101010111010000111000 ) -#530816000 -0& -#530832000 -b10000001100110 , -#530848000 -1& -#530864000 -b11111111111111111111111111110101 " -b11111111111111111111111111110101 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -b1 2 -b1 = -18 -b10100001000010100000010100001010 < -b10011111110001010101000111010011 : -b11111110101110110100110011001000 $ -b11111110101110110100110011001000 - -b11111110101110110100110011001000 5 -b11111110101110110100110011001000 ? -b11111110101110110100110011001000 D -b1011110111101011111101011110101 % -b1011110111101011111101011110101 . -b1011110111101011111101011110101 6 -b1011110111101011111101011110101 @ -b1011110111101011111101011110101 F -b11111111111111111111111111110101 ) -#530880000 -0& -#530896000 -b10000001100111 , -#530912000 -1& -#530928000 -b1101111111001 " -b1101111111001 4 -b1101111111001 0 -b1101111111001 H -b0 2 -b0 = -08 -19 -b1100001100001001001101011101110 < -b10011001011110001000000110101100 : -b110111111100111110011010111101 $ -b110111111100111110011010111101 - -b110111111100111110011010111101 5 -b110111111100111110011010111101 ? -b110111111100111110011010111101 D -b10011110011110110110010100010001 % -b10011110011110110110010100010001 . -b10011110011110110110010100010001 6 -b10011110011110110110010100010001 @ -b10011110011110110110010100010001 F -b1101111111001 ) -#530944000 -0& -#530960000 -b10000001101000 , -#530976000 -1& -#530992000 -b11111110011011010011111000010 " -b11111110011011010011111000010 4 -b11111110011011010011111000010 0 -b11111110011011010011111000010 H -b1000000000000011111111101011101 < -b10111111001110001001111001101001 : -b1111111001101101001111100001011 $ -b1111111001101101001111100001011 - -b1111111001101101001111100001011 5 -b1111111001101101001111100001011 ? -b1111111001101101001111100001011 D -b10111111111111100000000010100010 % -b10111111111111100000000010100010 . -b10111111111111100000000010100010 6 -b10111111111111100000000010100010 @ -b10111111111111100000000010100010 F -b11111110011011010011111000010 ) -#531008000 -0& -#531024000 -b10000001101001 , -#531040000 -1& -#531056000 -b11111111111111111111100101111111 " -b11111111111111111111100101111111 4 -b11111111111111111111100101111111 0 -b11111111111111111111100101111111 H -b1 2 -b1 = -18 -19 -b10000000000101000110111011001011 < -b11000000101000101101001011101 : -b10010111111111111110101110010001 $ -b10010111111111111110101110010001 - -b10010111111111111110101110010001 5 -b10010111111111111110101110010001 ? -b10010111111111111110101110010001 D -b1111111111010111001000100110100 % -b1111111111010111001000100110100 . -b1111111111010111001000100110100 6 -b1111111111010111001000100110100 @ -b1111111111010111001000100110100 F -b11111111111111111111100101111111 ) -#531072000 -0& -#531088000 -b10000001101010 , -#531104000 -1& -#531120000 -b1111111110111110110010011 " -b1111111110111110110010011 4 -b1111111110111110110010011 0 -b1111111110111110110010011 H -09 -b0 2 -b0 = -08 -b11000010000000001101000001011010 < -b1111100001000001010111100 : -b111111111011111011001001100001 $ -b111111111011111011001001100001 - -b111111111011111011001001100001 5 -b111111111011111011001001100001 ? -b111111111011111011001001100001 D -b111101111111110010111110100101 % -b111101111111110010111110100101 . -b111101111111110010111110100101 6 -b111101111111110010111110100101 @ -b111101111111110010111110100101 F -b1111111110111110110010011 ) -#531136000 -0& -#531152000 -b10000001101011 , -#531168000 -1& -#531184000 -b111111111101111100100111001 " -b111111111101111100100111001 4 -b111111111101111100100111001 0 -b111111111101111100100111001 H -b0 2 -b0 = -08 -b1000010000011011000001111011101 < -b1100010000010010110100011000100 : -b11111111110111110010011100110 $ -b11111111110111110010011100110 - -b11111111110111110010011100110 5 -b11111111110111110010011100110 ? -b11111111110111110010011100110 D -b10111101111100100111110000100010 % -b10111101111100100111110000100010 . -b10111101111100100111110000100010 6 -b10111101111100100111110000100010 @ -b10111101111100100111110000100010 F -b111111111101111100100111001 ) -#531200000 -0& -#531216000 -b10000001101100 , -#531232000 -1& -#531248000 -b11111111111111011110101010111011 " -b11111111111111011110101010111011 4 -b11111111111111011110101010111011 0 -b11111111111111011110101010111011 H -b0 2 -b0 = -08 -09 -b10100000000000111100000010100 < -b11010101100101010111001010 : -b11101111010101011101110110110101 $ -b11101111010101011101110110110101 - -b11101111010101011101110110110101 5 -b11101111010101011101110110110101 ? -b11101111010101011101110110110101 D -b11101011111111111000011111101011 % -b11101011111111111000011111101011 . -b11101011111111111000011111101011 6 -b11101011111111111000011111101011 @ -b11101011111111111000011111101011 F -b11111111111111011110101010111011 ) -#531264000 -0& -#531280000 -b10000001101101 , -#531296000 -1& -#531312000 -b11111111100010111001011010000111 " -b11111111100010111001011010000111 4 -b11111111100010111001011010000111 0 -b11111111100010111001011010000111 H -19 -b1 2 -b1 = -18 -b10000100000110001000010100110111 < -b1111101011110000110011000110 : -b10001011100101101000011110001110 $ -b10001011100101101000011110001110 - -b10001011100101101000011110001110 5 -b10001011100101101000011110001110 ? -b10001011100101101000011110001110 D -b1111011111001110111101011001000 % -b1111011111001110111101011001000 . -b1111011111001110111101011001000 6 -b1111011111001110111101011001000 @ -b1111011111001110111101011001000 F -b11111111100010111001011010000111 ) -#531328000 -0& -#531344000 -b10000001101110 , -#531360000 -1& -#531376000 -b11111111111111111100001001110011 " -b11111111111111111100001001110011 4 -b11111111111111111100001001110011 0 -b11111111111111111100001001110011 H -b1 2 -b1 = -09 -18 -b11010010000001011011100000110100 < -b11010000000110010101000100110101 : -b11111110000100111001100100000000 $ -b11111110000100111001100100000000 - -b11111110000100111001100100000000 5 -b11111110000100111001100100000000 ? -b11111110000100111001100100000000 D -b101101111110100100011111001011 % -b101101111110100100011111001011 . -b101101111110100100011111001011 6 -b101101111110100100011111001011 @ -b101101111110100100011111001011 F -b11111111111111111100001001110011 ) -#531392000 -0& -#531408000 -b10000001101111 , -#531424000 -1& -#531440000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -08 -b1001000010100001111100001 < -b1111111110000010001010010010010 : -b1111110100111111101000010110000 $ -b1111110100111111101000010110000 - -b1111110100111111101000010110000 5 -b1111110100111111101000010110000 ? -b1111110100111111101000010110000 D -b11111110110111101011110000011110 % -b11111110110111101011110000011110 . -b11111110110111101011110000011110 6 -b11111110110111101011110000011110 @ -b11111110110111101011110000011110 F -b1 ) -#531456000 -0& -#531472000 -b10000001110000 , -#531488000 -1& -#531504000 -b111101111111110001010101001110 " -b111101111111110001010101001110 4 -b111101111111110001010101001110 0 -b111101111111110001010101001110 H -b0 2 -b0 = -19 -08 -b1000010000000011001111111110 < -b10000100001111100101111010011100 : -b1111011111111100010101010011101 $ -b1111011111111100010101010011101 - -b1111011111111100010101010011101 5 -b1111011111111100010101010011101 ? -b1111011111111100010101010011101 D -b11110111101111111100110000000001 % -b11110111101111111100110000000001 . -b11110111101111111100110000000001 6 -b11110111101111111100110000000001 @ -b11110111101111111100110000000001 F -b111101111111110001010101001110 ) -#531520000 -0& -#531536000 -b10000001110001 , -#531552000 -1& -#531568000 -b11111111111111101001101111111010 " -b11111111111111101001101111111010 4 -b11111111111111101001101111111010 0 -b11111111111111101001101111111010 H -b1 2 -b1 = -18 -09 -b11001010000010001110100001110010 < -b10011101100010000100010101111000 : -b11010011011111110101110100000101 $ -b11010011011111110101110100000101 - -b11010011011111110101110100000101 5 -b11010011011111110101110100000101 ? -b11010011011111110101110100000101 D -b110101111101110001011110001101 % -b110101111101110001011110001101 . -b110101111101110001011110001101 6 -b110101111101110001011110001101 @ -b110101111101110001011110001101 F -b11111111111111101001101111111010 ) -#531584000 -0& -#531600000 -b10000001110010 , -#531616000 -1& -#531632000 -b11101111111 " -b11101111111 4 -b11101111111 0 -b11101111111 H -b0 2 -b0 = -08 -b1000010001001101100000101101100 < -b1111110001000011011100000001001 : -b111011111110101111011010011100 $ -b111011111110101111011010011100 - -b111011111110101111011010011100 5 -b111011111110101111011010011100 ? -b111011111110101111011010011100 D -b10111101110110010011111010010011 % -b10111101110110010011111010010011 . -b10111101110110010011111010010011 6 -b10111101110110010011111010010011 @ -b10111101110110010011111010010011 F -b11101111111 ) -#531648000 -0& -#531664000 -b10000001110011 , -#531680000 -1& -#531696000 -b10001100 " -b10001100 4 -b10001100 0 -b10001100 H -b0 2 -b0 = -09 -08 -b11010101100100101100110111101000 < -b11011101000101011100110000000 : -b1000110000011111110101110010111 $ -b1000110000011111110101110010111 - -b1000110000011111110101110010111 5 -b1000110000011111110101110010111 ? -b1000110000011111110101110010111 D -b101010011011010011001000010111 % -b101010011011010011001000010111 . -b101010011011010011001000010111 6 -b101010011011010011001000010111 @ -b101010011011010011001000010111 F -b10001100 ) -#531712000 -0& -#531728000 -b10000001110100 , -#531744000 -1& -#531760000 -b11111111111111111111101010110111 " -b11111111111111111111101010110111 4 -b11111111111111111111101010110111 0 -b11111111111111111111101010110111 H -b1 2 -b1 = -18 -b10000100001110000001101100 < -b11010111110011100011111111001110 : -b11010101101111010101111101100001 $ -b11010101101111010101111101100001 - -b11010101101111010101111101100001 5 -b11010101101111010101111101100001 ? -b11010101101111010101111101100001 D -b11111101111011110001111110010011 % -b11111101111011110001111110010011 . -b11111101111011110001111110010011 6 -b11111101111011110001111110010011 @ -b11111101111011110001111110010011 F -b11111111111111111111101010110111 ) -#531776000 -0& -#531792000 -b10000001110101 , -#531808000 -1& -#531824000 -b11111111111111111111111010111010 " -b11111111111111111111111010111010 4 -b11111111111111111111111010111010 0 -b11111111111111111111111010111010 H -b0 2 -b0 = -08 -b110000000011001010111000001101 < -b101010111101100101111011001100 : -b11111010111010011011000010111110 $ -b11111010111010011011000010111110 - -b11111010111010011011000010111110 5 -b11111010111010011011000010111110 ? -b11111010111010011011000010111110 D -b11001111111100110101000111110010 % -b11001111111100110101000111110010 . -b11001111111100110101000111110010 6 -b11001111111100110101000111110010 @ -b11001111111100110101000111110010 F -b11111111111111111111111010111010 ) -#531840000 -0& -#531856000 -b10000001110110 , -#531872000 -1& -#531888000 -b11111111101111111111011010101101 " -b11111111101111111111011010101101 4 -b11111111101111111111011010101101 0 -b11111111101111111111011010101101 H -b1 2 -b1 = -18 -b10010000000100101011001 < -b11110000010001011011010010100101 : -b11101111111111011010101101001011 $ -b11101111111111011010101101001011 - -b11101111111111011010101101001011 5 -b11101111111111011010101101001011 ? -b11101111111111011010101101001011 D -b11111111101101111111011010100110 % -b11111111101101111111011010100110 . -b11111111101101111111011010100110 6 -b11111111101101111111011010100110 @ -b11111111101101111111011010100110 F -b11111111101111111111011010101101 ) -#531904000 -0& -#531920000 -b10000001110111 , -#531936000 -1& -#531952000 -b1111011 " -b1111011 4 -b1111011 0 -b1111011 H -b0 2 -b0 = -08 -b11100100011000000000000011000111 < -b1100000001111110011010011100111 : -b1111011110111110011010000011111 $ -b1111011110111110011010000011111 - -b1111011110111110011010000011111 5 -b1111011110111110011010000011111 ? -b1111011110111110011010000011111 D -b11011100111111111111100111000 % -b11011100111111111111100111000 . -b11011100111111111111100111000 6 -b11011100111111111111100111000 @ -b11011100111111111111100111000 F -b1111011 ) -#531968000 -0& -#531984000 -b10000001111000 , -#532000000 -1& -#532016000 -b11111111111111111110111111001111 " -b11111111111111111110111111001111 4 -b11111111111111111110111111001111 0 -b11111111111111111110111111001111 H -19 -b1 2 -b1 = -18 -b10000000100001101001010111101101 < -b111111110001100100101000011011 : -b10111111001111111011010000101101 $ -b10111111001111111011010000101101 - -b10111111001111111011010000101101 5 -b10111111001111111011010000101101 ? -b10111111001111111011010000101101 D -b1111111011110010110101000010010 % -b1111111011110010110101000010010 . -b1111111011110010110101000010010 6 -b1111111011110010110101000010010 @ -b1111111011110010110101000010010 F -b11111111111111111110111111001111 ) -#532032000 -0& -#532048000 -b10000001111001 , -#532064000 -1& -#532080000 -b11001111 " -b11001111 4 -b11001111 0 -b11001111 H -09 -b1 2 -b1 = -18 -b10000010011000001010000111001001 < -b10110110011000000000001101001111 : -b110011111111110110000110000101 $ -b110011111111110110000110000101 - -b110011111111110110000110000101 5 -b110011111111110110000110000101 ? -b110011111111110110000110000101 D -b1111101100111110101111000110110 % -b1111101100111110101111000110110 . -b1111101100111110101111000110110 6 -b1111101100111110101111000110110 @ -b1111101100111110101111000110110 F -b11001111 ) -#532096000 -0& -#532112000 -b10000001111010 , -#532128000 -1& -#532144000 -b1001 " -b1001 4 -b1001 0 -b1001 H -b10000000111000100001000001000100 < -b11010000010111100000001110110001 : -b1001111011110111111001101101100 $ -b1001111011110111111001101101100 - -b1001111011110111111001101101100 5 -b1001111011110111111001101101100 ? -b1001111011110111111001101101100 D -b1111111000111011110111110111011 % -b1111111000111011110111110111011 . -b1111111000111011110111110111011 6 -b1111111000111011110111110111011 @ -b1111111000111011110111110111011 F -b1001 ) -#532160000 -0& -#532176000 -b10000001111011 , -#532192000 -1& -#532208000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -19 -b1 2 -b1 = -18 -b10000000000000000101100101000110 < -b1110111111001011000000110010010 : -b11110111111001010010100001001011 $ -b11110111111001010010100001001011 - -b11110111111001010010100001001011 5 -b11110111111001010010100001001011 ? -b11110111111001010010100001001011 D -b1111111111111111010011010111001 % -b1111111111111111010011010111001 . -b1111111111111111010011010111001 6 -b1111111111111111010011010111001 @ -b1111111111111111010011010111001 F -b11111111111111111111111111111011 ) -#532224000 -0& -#532240000 -b10000001111100 , -#532256000 -1& -#532272000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10100010110100000101001001101000 < -b10100010110001111111111001011001 : -b11111111111101111010101111110000 $ -b11111111111101111010101111110000 - -b11111111111101111010101111110000 5 -b11111111111101111010101111110000 ? -b11111111111101111010101111110000 D -b1011101001011111010110110010111 % -b1011101001011111010110110010111 . -b1011101001011111010110110010111 6 -b1011101001011111010110110010111 @ -b1011101001011111010110110010111 F -b11111111111111111111111111111111 ) -#532288000 -0& -#532304000 -b10000001111101 , -#532320000 -1& -#532336000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b0 2 -b0 = -09 -08 -b1000010000100100111101101100011 < -b1000100011000001010101110 : -b10111110111111110000011101001010 $ -b10111110111111110000011101001010 - -b10111110111111110000011101001010 5 -b10111110111111110000011101001010 ? -b10111110111111110000011101001010 D -b10111101111011011000010010011100 % -b10111101111011011000010010011100 . -b10111101111011011000010010011100 6 -b10111101111011011000010010011100 @ -b10111101111011011000010010011100 F -b11111111111111111111111111111011 ) -#532352000 -0& -#532368000 -b10000001111110 , -#532384000 -1& -#532400000 -b11101101011001101000010 " -b11101101011001101000010 4 -b11101101011001101000010 0 -b11101101011001101000010 H -b0 2 -b0 = -08 -b10001100100110110101110111 < -b1111000111001011010111111100100 : -b1110110101100110100001001101100 $ -b1110110101100110100001001101100 - -b1110110101100110100001001101100 5 -b1110110101100110100001001101100 ? -b1110110101100110100001001101100 D -b11111101110011011001001010001000 % -b11111101110011011001001010001000 . -b11111101110011011001001010001000 6 -b11111101110011011001001010001000 @ -b11111101110011011001001010001000 F -b11101101011001101000010 ) -#532416000 -0& -#532432000 -b10000001111111 , -#532448000 -1& -#532464000 -b101111010101101010 " -b101111010101101010 4 -b101111010101101010 0 -b101111010101101010 H -b11000000001100101011101011010010 < -b11110111000000001100010001000 : -b1011110101011010101110110110101 $ -b1011110101011010101110110110101 - -b1011110101011010101110110110101 5 -b1011110101011010101110110110101 ? -b1011110101011010101110110110101 D -b111111110011010100010100101101 % -b111111110011010100010100101101 . -b111111110011010100010100101101 6 -b111111110011010100010100101101 @ -b111111110011010100010100101101 F -b101111010101101010 ) -#532480000 -0& -#532496000 -b10000010000000 , -#532512000 -1& -#532528000 -b11111111111111110111001111101110 " -b11111111111111110111001111101110 4 -b11111111111111110111001111101110 0 -b11111111111111110111001111101110 H -19 -b1 2 -b1 = -18 -b10100000000000010101011001110001 < -b1111100111111001111101000110100 : -b11011100111110111010001111000010 $ -b11011100111110111010001111000010 - -b11011100111110111010001111000010 5 -b11011100111110111010001111000010 ? -b11011100111110111010001111000010 D -b1011111111111101010100110001110 % -b1011111111111101010100110001110 . -b1011111111111101010100110001110 6 -b1011111111111101010100110001110 @ -b1011111111111101010100110001110 F -b11111111111111110111001111101110 ) -#532544000 -0& -#532560000 -b10000010000001 , -#532576000 -1& -#532592000 -b11111111111111111101011010100100 " -b11111111111111111101011010100100 4 -b11111111111111111101011010100100 0 -b11111111111111111101011010100100 H -b0 2 -b0 = -09 -08 -b10100000010010001001110010100 < -b10010101111100011011011011011 : -b11111110101101010010001101000110 $ -b11111110101101010010001101000110 - -b11111110101101010010001101000110 5 -b11111110101101010010001101000110 ? -b11111110101101010010001101000110 D -b11101011111101101110110001101011 % -b11101011111101101110110001101011 . -b11101011111101101110110001101011 6 -b11101011111101101110110001101011 @ -b11101011111101101110110001101011 F -b11111111111111111101011010100100 ) -#532608000 -0& -#532624000 -b10000010000010 , -#532640000 -1& -#532656000 -b1101 " -b1101 4 -b1101 0 -b1101 H -b1000010001000110001100000100 < -b1110011001111100001010110100011 : -b1101010111110011011001010011110 $ -b1101010111110011011001010011110 - -b1101010111110011011001010011110 5 -b1101010111110011011001010011110 ? -b1101010111110011011001010011110 D -b11110111101110111001110011111011 % -b11110111101110111001110011111011 . -b11110111101110111001110011111011 6 -b11110111101110111001110011111011 @ -b11110111101110111001110011111011 F -b1101 ) -#532672000 -0& -#532688000 -b10000010000011 , -#532704000 -1& -#532720000 -b10111111101 " -b10111111101 4 -b10111111101 0 -b10111111101 H -b10000100000110101101101001011 < -b1110000010111111101010010100001 : -b1011111110111000111100101010101 $ -b1011111110111000111100101010101 - -b1011111110111000111100101010101 5 -b1011111110111000111100101010101 ? -b1011111110111000111100101010101 D -b11101111011111001010010010110100 % -b11101111011111001010010010110100 . -b11101111011111001010010010110100 6 -b11101111011111001010010010110100 @ -b11101111011111001010010010110100 F -b10111111101 ) -#532736000 -0& -#532752000 -b10000010000100 , -#532768000 -1& -#532784000 -b110111101110011010110011 " -b110111101110011010110011 4 -b110111101110011010110011 0 -b110111101110011010110011 H -b101000000100111110110111001 < -b111100101111000010101001111010 : -b110111101110011010110011000000 $ -b110111101110011010110011000000 - -b110111101110011010110011000000 5 -b110111101110011010110011000000 ? -b110111101110011010110011000000 D -b11111010111111011000001001000110 % -b11111010111111011000001001000110 . -b11111010111111011000001001000110 6 -b11111010111111011000001001000110 @ -b11111010111111011000001001000110 F -b110111101110011010110011 ) -#532800000 -0& -#532816000 -b10000010000101 , -#532832000 -1& -#532848000 -b11111111111111111111111111101000 " -b11111111111111111111111111101000 4 -b11111111111111111111111111101000 0 -b11111111111111111111111111101000 H -b0 2 -b0 = -08 -09 -b1000000001101000000001011110001 < -b1000000001011100010011010110000 : -b11111111111110100010001110111110 $ -b11111111111110100010001110111110 - -b11111111111110100010001110111110 5 -b11111111111110100010001110111110 ? -b11111111111110100010001110111110 D -b10111111110010111111110100001110 % -b10111111110010111111110100001110 . -b10111111110010111111110100001110 6 -b10111111110010111111110100001110 @ -b10111111110010111111110100001110 F -b11111111111111111111111111101000 ) -#532864000 -0& -#532880000 -b10000010000110 , -#532896000 -1& -#532912000 -b11111111111101111101111111001110 " -b11111111111101111101111111001110 4 -b11111111111101111101111111001110 0 -b11111111111101111101111111001110 H -19 -b1 2 -b1 = -18 -b10000000000000010010111001010100 < -b111110111111111010000010100110 : -b10111110111111100111001001010001 $ -b10111110111111100111001001010001 - -b10111110111111100111001001010001 5 -b10111110111111100111001001010001 ? -b10111110111111100111001001010001 D -b1111111111111101101000110101011 % -b1111111111111101101000110101011 . -b1111111111111101101000110101011 6 -b1111111111111101101000110101011 @ -b1111111111111101101000110101011 F -b11111111111101111101111111001110 ) -#532928000 -0& -#532944000 -b10000010000111 , -#532960000 -1& -#532976000 -b111101111101011001 " -b111101111101011001 4 -b111101111101011001 0 -b111101111101011001 H -09 -b1 2 -b1 = -18 -b10000001101001010110110111010010 < -b11111101100100001010001000110001 : -b1111011111010110011010001011110 $ -b1111011111010110011010001011110 - -b1111011111010110011010001011110 5 -b1111011111010110011010001011110 ? -b1111011111010110011010001011110 D -b1111110010110101001001000101101 % -b1111110010110101001001000101101 . -b1111110010110101001001000101101 6 -b1111110010110101001001000101101 @ -b1111110010110101001001000101101 F -b111101111101011001 ) -#532992000 -0& -#533008000 -b10000010001000 , -#533024000 -1& -#533040000 -b11111111111111111011010111111011 " -b11111111111111111011010111111011 4 -b11111111111111111011010111111011 0 -b11111111111111111011010111111011 H -19 -b1 2 -b1 = -18 -b10000000110101001101100000110001 < -b1101110010100111010110111100011 : -b11101101011111101101010110110001 $ -b11101101011111101101010110110001 - -b11101101011111101101010110110001 5 -b11101101011111101101010110110001 ? -b11101101011111101101010110110001 D -b1111111001010110010011111001110 % -b1111111001010110010011111001110 . -b1111111001010110010011111001110 6 -b1111111001010110010011111001110 @ -b1111111001010110010011111001110 F -b11111111111111111011010111111011 ) -#533056000 -0& -#533072000 -b10000010001001 , -#533088000 -1& -#533104000 -b11111111111111001110011100011100 " -b11111111111111001110011100011100 4 -b11111111111111001110011100011100 0 -b11111111111111001110011100011100 H -b10101001000000100110000100010011 < -b1110111011101000010100001110011 : -b11001110011100011100011101011111 $ -b11001110011100011100011101011111 - -b11001110011100011100011101011111 5 -b11001110011100011100011101011111 ? -b11001110011100011100011101011111 D -b1010110111111011001111011101100 % -b1010110111111011001111011101100 . -b1010110111111011001111011101100 6 -b1010110111111011001111011101100 @ -b1010110111111011001111011101100 F -b11111111111111001110011100011100 ) -#533120000 -0& -#533136000 -b10000010001010 , -#533152000 -1& -#533168000 -b11110110011101100110101010110100 " -b11110110011101100110101010110100 4 -b11110110011101100110101010110100 0 -b11110110011101100110101010110100 H -b0 2 -b0 = -08 -09 -b1010100000000011100000110011100 < -b111101101010001011101000001 : -b10110011101100110101010110100100 $ -b10110011101100110101010110100100 - -b10110011101100110101010110100100 5 -b10110011101100110101010110100100 ? -b10110011101100110101010110100100 D -b10101011111111100011111001100011 % -b10101011111111100011111001100011 . -b10101011111111100011111001100011 6 -b10101011111111100011111001100011 @ -b10101011111111100011111001100011 F -b11110110011101100110101010110100 ) -#533184000 -0& -#533200000 -b10000010001011 , -#533216000 -1& -#533232000 -b11111111111111111111111111011101 " -b11111111111111111111111111011101 4 -b11111111111111111111111111011101 0 -b11111111111111111111111111011101 H -b1 2 -b1 = -18 -19 -b10000000000110000011010100101000 < -b1101111000101110100111101101100 : -b11101110111111110001101001000011 $ -b11101110111111110001101001000011 - -b11101110111111110001101001000011 5 -b11101110111111110001101001000011 ? -b11101110111111110001101001000011 D -b1111111111001111100101011010111 % -b1111111111001111100101011010111 . -b1111111111001111100101011010111 6 -b1111111111001111100101011010111 @ -b1111111111001111100101011010111 F -b11111111111111111111111111011101 ) -#533248000 -0& -#533264000 -b10000010001100 , -#533280000 -1& -#533296000 -1! -b0 " -b0 4 -b0 0 -b0 H -09 -b0 2 -b0 = -08 -b100000000111000001 < -1# -b101101111111011111011011000101 : -b101101111110111111010100000011 $ -b101101111110111111010100000011 - -b101101111110111111010100000011 5 -b101101111110111111010100000011 ? -b101101111110111111010100000011 D -b11111111111111011111111000111110 % -b11111111111111011111111000111110 . -b11111111111111011111111000111110 6 -b11111111111111011111111000111110 @ -b11111111111111011111111000111110 F -b0 ) -b1 ( -#533312000 -0& -#533328000 -b10000010001101 , -#533344000 -1& -#533360000 -0! -b11111111111111111001011100011010 " -b11111111111111111001011100011010 4 -b11111111111111111001011100011010 0 -b11111111111111111001011100011010 H -b1 2 -b1 = -18 -b10000100110000000010101101011000 < -0# -b10000100100010111011100010000000 : -b11111111110010111000110100100111 $ -b11111111110010111000110100100111 - -b11111111110010111000110100100111 5 -b11111111110010111000110100100111 ? -b11111111110010111000110100100111 D -b1111011001111111101010010100111 % -b1111011001111111101010010100111 . -b1111011001111111101010010100111 6 -b1111011001111111101010010100111 @ -b1111011001111111101010010100111 F -b11111111111111111001011100011010 ) -b0 ( -#533376000 -0& -#533392000 -b10000010001110 , -#533408000 -1& -#533424000 -b110111111011110110000 " -b110111111011110110000 4 -b110111111011110110000 0 -b110111111011110110000 H -b0 2 -b0 = -08 -19 -b100000000010000010110010010101 < -b10001111111001101110110010011000 : -b1101111110111101100000000000010 $ -b1101111110111101100000000000010 - -b1101111110111101100000000000010 5 -b1101111110111101100000000000010 ? -b1101111110111101100000000000010 D -b11011111111101111101001101101010 % -b11011111111101111101001101101010 . -b11011111111101111101001101101010 6 -b11011111111101111101001101101010 @ -b11011111111101111101001101101010 F -b110111111011110110000 ) -#533440000 -0& -#533456000 -b10000010001111 , -#533472000 -1& -#533488000 -b11111111111111011111111101101000 " -b11111111111111011111111101101000 4 -b11111111111111011111111101101000 0 -b11111111111111011111111101101000 H -09 -b1 2 -b1 = -18 -b10101000011000000001101110010111 < -b10100110010111111000010001000100 : -b11111101111111110110100010101100 $ -b11111101111111110110100010101100 - -b11111101111111110110100010101100 5 -b11111101111111110110100010101100 ? -b11111101111111110110100010101100 D -b1010111100111111110010001101000 % -b1010111100111111110010001101000 . -b1010111100111111110010001101000 6 -b1010111100111111110010001101000 @ -b1010111100111111110010001101000 F -b11111111111111011111111101101000 ) -#533504000 -0& -#533520000 -b10000010010000 , -#533536000 -1& -#533552000 -b11111111111111101100101101000101 " -b11111111111111101100101101000101 4 -b11111111111111101100101101000101 0 -b11111111111111101100101101000101 H -b1 2 -b1 = -19 -18 -b10010010100010000101100111110011 < -b1111111001111001010101100001001 : -b11101100101101000101000100010101 $ -b11101100101101000101000100010101 - -b11101100101101000101000100010101 5 -b11101100101101000101000100010101 ? -b11101100101101000101000100010101 D -b1101101011101111010011000001100 % -b1101101011101111010011000001100 . -b1101101011101111010011000001100 6 -b1101101011101111010011000001100 @ -b1101101011101111010011000001100 F -b11111111111111101100101101000101 ) -#533568000 -0& -#533584000 -b10000010010001 , -#533600000 -1& -#533616000 -b11100011111110100110011001000101 " -b11100011111110100110011001000101 4 -b11100011111110100110011001000101 0 -b11100011111110100110011001000101 H -b1 2 -b1 = -09 -18 -b1000001010001100111101111101 < -b10011000000100100110100010010010 : -b10001111111010011001100100010100 $ -b10001111111010011001100100010100 - -b10001111111010011001100100010100 5 -b10001111111010011001100100010100 ? -b10001111111010011001100100010100 D -b11110111110101110011000010000010 % -b11110111110101110011000010000010 . -b11110111110101110011000010000010 6 -b11110111110101110011000010000010 @ -b11110111110101110011000010000010 F -b11100011111110100110011001000101 ) -#533632000 -0& -#533648000 -b10000010010010 , -#533664000 -1& -#533680000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b100010000000100101100000000010 < -b10101111100000010100110100000 : -b11110011111011011101000110011101 $ -b11110011111011011101000110011101 - -b11110011111011011101000110011101 5 -b11110011111011011101000110011101 ? -b11110011111011011101000110011101 D -b11011101111111011010011111111101 % -b11011101111111011010011111111101 . -b11011101111111011010011111111101 6 -b11011101111111011010011111111101 @ -b11011101111111011010011111111101 F -b11111111111111111111111111111111 ) -#533696000 -0& -#533712000 -b10000010010011 , -#533728000 -1& -#533744000 -b11111111111111111001111101110101 " -b11111111111111111001111101110101 4 -b11111111111111111001111101110101 0 -b11111111111111111001111101110101 H -b1 2 -b1 = -18 -b10110000101001000101000000110001 < -b10011000100000011100110000111110 : -b11100111110111010111110000001100 $ -b11100111110111010111110000001100 - -b11100111110111010111110000001100 5 -b11100111110111010111110000001100 ? -b11100111110111010111110000001100 D -b1001111010110111010111111001110 % -b1001111010110111010111111001110 . -b1001111010110111010111111001110 6 -b1001111010110111010111111001110 @ -b1001111010110111010111111001110 F -b11111111111111111001111101110101 ) -#533760000 -0& -#533776000 -b10000010010100 , -#533792000 -1& -#533808000 -b111000011111 " -b111000011111 4 -b111000011111 0 -b111000011111 H -b0 2 -b0 = -08 -b10010000001101101001001100 < -b1110011001111101001001001101110 : -b1110000111111011011100000100001 $ -b1110000111111011011100000100001 - -b1110000111111011011100000100001 5 -b1110000111111011011100000100001 ? -b1110000111111011011100000100001 D -b11111101101111110010010110110011 % -b11111101101111110010010110110011 . -b11111101101111110010010110110011 6 -b11111101101111110010010110110011 @ -b11111101101111110010010110110011 F -b111000011111 ) -#533824000 -0& -#533840000 -b10000010010101 , -#533856000 -1& -#533872000 -b1111111110 " -b1111111110 4 -b1111111110 0 -b1111111110 H -b0 2 -b0 = -19 -08 -b110011101001001111000001010 < -b10000110010011110010100001001000 : -b1111111110110101000101000111101 $ -b1111111110110101000101000111101 - -b1111111110110101000101000111101 5 -b1111111110110101000101000111101 ? -b1111111110110101000101000111101 D -b11111001100010110110000111110101 % -b11111001100010110110000111110101 . -b11111001100010110110000111110101 6 -b11111001100010110110000111110101 @ -b11111001100010110110000111110101 F -b1111111110 ) -#533888000 -0& -#533904000 -b10000010010110 , -#533920000 -1& -#533936000 -b1111110101111110 " -b1111110101111110 4 -b1111110101111110 0 -b1111110101111110 H -b0 2 -b0 = -09 -08 -b10010001100000011100000001110000 < -b10000010000001111011010100110 : -b1111110101111110011011000110101 $ -b1111110101111110011011000110101 - -b1111110101111110011011000110101 5 -b1111110101111110011011000110101 ? -b1111110101111110011011000110101 D -b1101110011111100011111110001111 % -b1101110011111100011111110001111 . -b1101110011111100011111110001111 6 -b1101110011111100011111110001111 @ -b1101110011111100011111110001111 F -b1111110101111110 ) -#533952000 -0& -#533968000 -b10000010010111 , -#533984000 -1& -#534000000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b0 2 -b0 = -08 -b111010000100001100101100001100 < -b111001111111100001001011101001 : -b11111111111011010100011111011100 $ -b11111111111011010100011111011100 - -b11111111111011010100011111011100 5 -b11111111111011010100011111011100 ? -b11111111111011010100011111011100 D -b11000101111011110011010011110011 % -b11000101111011110011010011110011 . -b11000101111011110011010011110011 6 -b11000101111011110011010011110011 @ -b11000101111011110011010011110011 F -b11111111111111111111111111111101 ) -#534016000 -0& -#534032000 -b10000010011000 , -#534048000 -1& -#534064000 -b111001101011111101010100 " -b111001101011111101010100 4 -b111001101011111101010100 0 -b111001101011111101010100 H -b1 2 -b1 = -18 -b10000100110000001011110111111000 < -b11111000001000000110100001101010 : -b1110011010111111010101001110001 $ -b1110011010111111010101001110001 - -b1110011010111111010101001110001 5 -b1110011010111111010101001110001 ? -b1110011010111111010101001110001 D -b1111011001111110100001000000111 % -b1111011001111110100001000000111 . -b1111011001111110100001000000111 6 -b1111011001111110100001000000111 @ -b1111011001111110100001000000111 F -b111001101011111101010100 ) -#534080000 -0& -#534096000 -b10000010011001 , -#534112000 -1& -#534128000 -1! -b0 " -b0 4 -b0 0 -b0 H -b10010100011010000010110100000010 < -1# -b10100100011001011001001010110100 : -b1111111111010110010110110001 $ -b1111111111010110010110110001 - -b1111111111010110010110110001 5 -b1111111111010110010110110001 ? -b1111111111010110010110110001 D -b1101011100101111101001011111101 % -b1101011100101111101001011111101 . -b1101011100101111101001011111101 6 -b1101011100101111101001011111101 @ -b1101011100101111101001011111101 F -b0 ) -b1 ( -#534144000 -0& -#534160000 -b10000010011010 , -#534176000 -1& -#534192000 -0! -b11111111111111111111110001000101 " -b11111111111111111111110001000101 4 -b11111111111111111111110001000101 0 -b11111111111111111111110001000101 H -b11000000000001001110110000001101 < -0# -b10110001000111000011101110101100 : -b11110001000101110100111110011110 $ -b11110001000101110100111110011110 - -b11110001000101110100111110011110 5 -b11110001000101110100111110011110 ? -b11110001000101110100111110011110 D -b111111111110110001001111110010 % -b111111111110110001001111110010 . -b111111111110110001001111110010 6 -b111111111110110001001111110010 @ -b111111111110110001001111110010 F -b11111111111111111111110001000101 ) -b0 ( -#534208000 -0& -#534224000 -b10000010011011 , -#534240000 -1& -#534256000 -b11111111111111111111111111111100 " -b11111111111111111111111111111100 4 -b11111111111111111111111111111100 0 -b11111111111111111111111111111100 H -b10000000011000101100000011 < -b11001101011101101100111010000001 : -b11001011011101010100001101111101 $ -b11001011011101010100001101111101 - -b11001011011101010100001101111101 5 -b11001011011101010100001101111101 ? -b11001011011101010100001101111101 D -b11111101111111100111010011111100 % -b11111101111111100111010011111100 . -b11111101111111100111010011111100 6 -b11111101111111100111010011111100 @ -b11111101111111100111010011111100 F -b11111111111111111111111111111100 ) -#534272000 -0& -#534288000 -b10000010011100 , -#534304000 -1& -#534320000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b1 2 -b1 = -19 -18 -b10001011011001110011111010000110 < -b1001010111000110011011001101010 : -b10111111011110111111011111100011 $ -b10111111011110111111011111100011 - -b10111111011110111111011111100011 5 -b10111111011110111111011111100011 ? -b10111111011110111111011111100011 D -b1110100100110001100000101111001 % -b1110100100110001100000101111001 . -b1110100100110001100000101111001 6 -b1110100100110001100000101111001 @ -b1110100100110001100000101111001 F -b11111111111111111111111111011111 ) -#534336000 -0& -#534352000 -b10000010011101 , -#534368000 -1& -#534384000 -b11111111111111111101110111011110 " -b11111111111111111101110111011110 4 -b11111111111111111101110111011110 0 -b11111111111111111101110111011110 H -b1 2 -b1 = -09 -18 -b10001000101001100111110001 < -b11111001100110100010111111010111 : -b11110111011101111001010111100101 $ -b11110111011101111001010111100101 - -b11110111011101111001010111100101 5 -b11110111011101111001010111100101 ? -b11110111011101111001010111100101 D -b11111101110111010110011000001110 % -b11111101110111010110011000001110 . -b11111101110111010110011000001110 6 -b11111101110111010110011000001110 @ -b11111101110111010110011000001110 F -b11111111111111111101110111011110 ) -#534400000 -0& -#534416000 -b10000010011110 , -#534432000 -1& -#534448000 -b11101011 " -b11101011 4 -b11101011 0 -b11101011 H -b0 2 -b0 = -08 -b11000110011010000101100101101000 < -b111100011001001101000011101111 : -b1110101111111000111011110000110 $ -b1110101111111000111011110000110 - -b1110101111111000111011110000110 5 -b1110101111111000111011110000110 ? -b1110101111111000111011110000110 D -b111001100101111010011010010111 % -b111001100101111010011010010111 . -b111001100101111010011010010111 6 -b111001100101111010011010010111 @ -b111001100101111010011010010111 F -b11101011 ) -#534464000 -0& -#534480000 -b10000010011111 , -#534496000 -1& -#534512000 -b111101010011111101110011000010 " -b111101010011111101110011000010 4 -b111101010011111101110011000010 0 -b111101010011111101110011000010 H -b11100000001001010000001101111110 < -b1011010110001001011110100000100 : -b1111010100111111011100110000101 $ -b1111010100111111011100110000101 - -b1111010100111111011100110000101 5 -b1111010100111111011100110000101 ? -b1111010100111111011100110000101 D -b11111110110101111110010000001 % -b11111110110101111110010000001 . -b11111110110101111110010000001 6 -b11111110110101111110010000001 @ -b11111110110101111110010000001 F -b111101010011111101110011000010 ) -#534528000 -0& -#534544000 -b10000010100000 , -#534560000 -1& -#534576000 -b11111111111111110011011110111110 " -b11111111111111110011011110111110 4 -b11111111111111110011011110111110 0 -b11111111111111110011011110111110 H -b1 2 -b1 = -18 -b10110001001001001010010010010 < -b11111101000111000101101011111010 : -b11100110111101111100011001100111 $ -b11100110111101111100011001100111 - -b11100110111101111100011001100111 5 -b11100110111101111100011001100111 ? -b11100110111101111100011001100111 D -b11101001110110110110101101101101 % -b11101001110110110110101101101101 . -b11101001110110110110101101101101 6 -b11101001110110110110101101101101 @ -b11101001110110110110101101101101 F -b11111111111111110011011110111110 ) -#534592000 -0& -#534608000 -b10000010100001 , -#534624000 -1& -#534640000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10001110100000101001001010000101 < -b10001101111010001101110101111010 : -b11111111011001100100101011110100 $ -b11111111011001100100101011110100 - -b11111111011001100100101011110100 5 -b11111111011001100100101011110100 ? -b11111111011001100100101011110100 D -b1110001011111010110110101111010 % -b1110001011111010110110101111010 . -b1110001011111010110110101111010 6 -b1110001011111010110110101111010 @ -b1110001011111010110110101111010 F -b11111111111111111111111111111111 ) -#534656000 -0& -#534672000 -b10000010100010 , -#534688000 -1& -#534704000 -b11111111111111111111111111110111 " -b11111111111111111111111111110111 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -09 -18 -b1010110101110100100 < -b10111111111000001001110101110111 : -b10111111110110110011000111010010 $ -b10111111110110110011000111010010 - -b10111111110110110011000111010010 5 -b10111111110110110011000111010010 ? -b10111111110110110011000111010010 D -b11111111111110101001010001011011 % -b11111111111110101001010001011011 . -b11111111111110101001010001011011 6 -b11111111111110101001010001011011 @ -b11111111111110101001010001011011 F -b11111111111111111111111111110111 ) -#534720000 -0& -#534736000 -b10000010100011 , -#534752000 -1& -#534768000 -b11111111111111111111111100011101 " -b11111111111111111111111100011101 4 -b11111111111111111111111100011101 0 -b11111111111111111111111100011101 H -b10000001000110101111101101 < -b11111110011110110010001011111111 : -b11111100011101101011011100010001 $ -b11111100011101101011011100010001 - -b11111100011101101011011100010001 5 -b11111100011101101011011100010001 ? -b11111100011101101011011100010001 D -b11111101111110111001010000010010 % -b11111101111110111001010000010010 . -b11111101111110111001010000010010 6 -b11111101111110111001010000010010 @ -b11111101111110111001010000010010 F -b11111111111111111111111100011101 ) -#534784000 -0& -#534800000 -b10000010100100 , -#534816000 -1& -#534832000 -b10 " -b10 4 -b10 0 -b10 H -b0 2 -b0 = -08 -b11100000111110001100000000000010 < -b110111110110001010111010111001 : -b1010110110111111110111010110110 $ -b1010110110111111110111010110110 - -b1010110110111111110111010110110 5 -b1010110110111111110111010110110 ? -b1010110110111111110111010110110 D -b11111000001110011111111111101 % -b11111000001110011111111111101 . -b11111000001110011111111111101 6 -b11111000001110011111111111101 @ -b11111000001110011111111111101 F -b10 ) -#534848000 -0& -#534864000 -b10000010100101 , -#534880000 -1& -#534896000 -b1111101111111001 " -b1111101111111001 4 -b1111101111111001 0 -b1111101111111001 H -b110010001010010101100110010001 < -b1110001001001111100010010100001 : -b111110111111100110101100001111 $ -b111110111111100110101100001111 - -b111110111111100110101100001111 5 -b111110111111100110101100001111 ? -b111110111111100110101100001111 D -b11001101110101101010011001101110 % -b11001101110101101010011001101110 . -b11001101110101101010011001101110 6 -b11001101110101101010011001101110 @ -b11001101110101101010011001101110 F -b1111101111111001 ) -#534912000 -0& -#534928000 -b10000010100110 , -#534944000 -1& -#534960000 -b11111111111111100110110011111110 " -b11111111111111100110110011111110 4 -b11111111111111100110110011111110 0 -b11111111111111100110110011111110 H -b1 2 -b1 = -18 -09 -b10100000100000000101110000010011 < -b10000111010100000100000111010101 : -b11100110110011111110010111000001 $ -b11100110110011111110010111000001 - -b11100110110011111110010111000001 5 -b11100110110011111110010111000001 ? -b11100110110011111110010111000001 D -b1011111011111111010001111101100 % -b1011111011111111010001111101100 . -b1011111011111111010001111101100 6 -b1011111011111111010001111101100 @ -b1011111011111111010001111101100 F -b11111111111111100110110011111110 ) -#534976000 -0& -#534992000 -b10000010100111 , -#535008000 -1& -#535024000 -b1101010101011110010110100111000 " -b1101010101011110010110100111000 4 -b1101010101011110010110100111000 0 -b1101010101011110010110100111000 H -b0 2 -b0 = -08 -b10010000000010010111000111111 < -b1111100101100000101101101111000 : -b1101010101011110010110100111000 $ -b1101010101011110010110100111000 - -b1101010101011110010110100111000 5 -b1101010101011110010110100111000 ? -b1101010101011110010110100111000 D -b11101101111111101101000111000000 % -b11101101111111101101000111000000 . -b11101101111111101101000111000000 6 -b11101101111111101101000111000000 @ -b11101101111111101101000111000000 F -b1101010101011110010110100111000 ) -#535040000 -0& -#535056000 -b10000010101000 , -#535072000 -1& -#535088000 -b11111111111101110011111111111110 " -b11111111111101110011111111111110 4 -b11111111111101110011111111111110 0 -b11111111111101110011111111111110 H -b1 2 -b1 = -18 -b11100110010100001011101100010100 < -b10100000010100001010110000111111 : -b10111001111111111111000100101010 $ -b10111001111111111111000100101010 - -b10111001111111111111000100101010 5 -b10111001111111111111000100101010 ? -b10111001111111111111000100101010 D -b11001101011110100010011101011 % -b11001101011110100010011101011 . -b11001101011110100010011101011 6 -b11001101011110100010011101011 @ -b11001101011110100010011101011 F -b11111111111101110011111111111110 ) -#535104000 -0& -#535120000 -b10000010101001 , -#535136000 -1& -#535152000 -b11111101111111101111100011010010 " -b11111101111111101111100011010010 4 -b11111101111111101111100011010010 0 -b11111101111111101111100011010010 H -b1 2 -b1 = -19 -18 -b10000010011000000001010001011010 < -b1000010001111110010111010101101 : -b10111111110111110001101001010010 $ -b10111111110111110001101001010010 - -b10111111110111110001101001010010 5 -b10111111110111110001101001010010 ? -b10111111110111110001101001010010 D -b1111101100111111110101110100101 % -b1111101100111111110101110100101 . -b1111101100111111110101110100101 6 -b1111101100111111110101110100101 @ -b1111101100111111110101110100101 F -b11111101111111101111100011010010 ) -#535168000 -0& -#535184000 -b10000010101010 , -#535200000 -1& -#535216000 -b11111111111101111001011110111001 " -b11111111111101111001011110111001 4 -b11111111111101111001011110111001 0 -b11111111111101111001011110111001 H -b1 2 -b1 = -09 -18 -b10000100000001001010101110111101 < -b10000011111000110000101010100011 : -b11111111110111100101111011100101 $ -b11111111110111100101111011100101 - -b11111111110111100101111011100101 5 -b11111111110111100101111011100101 ? -b11111111110111100101111011100101 D -b1111011111110110101010001000010 % -b1111011111110110101010001000010 . -b1111011111110110101010001000010 6 -b1111011111110110101010001000010 @ -b1111011111110110101010001000010 F -b11111111111101111001011110111001 ) -#535232000 -0& -#535248000 -b10000010101011 , -#535264000 -1& -#535280000 -b11111111111111111110011111111110 " -b11111111111111111110011111111110 4 -b11111111111111111110011111111110 0 -b11111111111111111110011111111110 H -b1 2 -b1 = -09 -18 -b11011100100100011001010000110000 < -b11010000100100001101100011111010 : -b11110011111111110100010011001001 $ -b11110011111111110100010011001001 - -b11110011111111110100010011001001 5 -b11110011111111110100010011001001 ? -b11110011111111110100010011001001 D -b100011011011100110101111001111 % -b100011011011100110101111001111 . -b100011011011100110101111001111 6 -b100011011011100110101111001111 @ -b100011011011100110101111001111 F -b11111111111111111110011111111110 ) -#535296000 -0& -#535312000 -b10000010101100 , -#535328000 -1& -#535344000 -b11111111111111111110011111110010 " -b11111111111111111110011111110010 4 -b11111111111111111110011111110010 0 -b11111111111111111110011111110010 H -b1001000000110101111010010 < -b11111110000111101010110101001100 : -b11111100111111100100000101111001 $ -b11111100111111100100000101111001 - -b11111100111111100100000101111001 5 -b11111100111111100100000101111001 ? -b11111100111111100100000101111001 D -b11111110110111111001010000101101 % -b11111110110111111001010000101101 . -b11111110110111111001010000101101 6 -b11111110110111111001010000101101 @ -b11111110110111111001010000101101 F -b11111111111111111110011111110010 ) -#535360000 -0& -#535376000 -b10000010101101 , -#535392000 -1& -#535408000 -b100111001111111000100 " -b100111001111111000100 4 -b100111001111111000100 0 -b100111001111111000100 H -b0 2 -b0 = -08 -b11001000100010000000011101110101 < -b10111000001110001100000111100 : -b1001110011111110001000011000110 $ -b1001110011111110001000011000110 - -b1001110011111110001000011000110 5 -b1001110011111110001000011000110 ? -b1001110011111110001000011000110 D -b110111011101111111100010001010 % -b110111011101111111100010001010 . -b110111011101111111100010001010 6 -b110111011101111111100010001010 @ -b110111011101111111100010001010 F -b100111001111111000100 ) -#535424000 -0& -#535440000 -b10000010101110 , -#535456000 -1& -#535472000 -b10011111 " -b10011111 4 -b10011111 0 -b10011111 H -b101000000010111000100001000 < -b1010100111100001111001011011010 : -b1001111111011111000000111010001 $ -b1001111111011111000000111010001 - -b1001111111011111000000111010001 5 -b1001111111011111000000111010001 ? -b1001111111011111000000111010001 D -b11111010111111101000111011110111 % -b11111010111111101000111011110111 . -b11111010111111101000111011110111 6 -b11111010111111101000111011110111 @ -b11111010111111101000111011110111 F -b10011111 ) -#535488000 -0& -#535504000 -b10000010101111 , -#535520000 -1& -#535536000 -b11001111101010100 " -b11001111101010100 4 -b11001111101010100 0 -b11001111101010100 H -b11000000001000010110101010010001 < -b100111111101100111001001001011 : -b1100111110101010000011110111001 $ -b1100111110101010000011110111001 - -b1100111110101010000011110111001 5 -b1100111110101010000011110111001 ? -b1100111110101010000011110111001 D -b111111110111101001010101101110 % -b111111110111101001010101101110 . -b111111110111101001010101101110 6 -b111111110111101001010101101110 @ -b111111110111101001010101101110 F -b11001111101010100 ) -#535552000 -0& -#535568000 -b10000010110000 , -#535584000 -1& -#535600000 -b11111111011110111111101011011110 " -b11111111011110111111101011011110 4 -b11111111011110111111101011011110 0 -b11111111011110111111101011011110 H -b0 2 -b0 = -08 -b1100000001000001101010101111011 < -b1010111111000001000001101101000 : -b11110111101111111010110111101100 $ -b11110111101111111010110111101100 - -b11110111101111111010110111101100 5 -b11110111101111111010110111101100 ? -b11110111101111111010110111101100 D -b10011111110111110010101010000100 % -b10011111110111110010101010000100 . -b10011111110111110010101010000100 6 -b10011111110111110010101010000100 @ -b10011111110111110010101010000100 F -b11111111011110111111101011011110 ) -#535616000 -0& -#535632000 -b10000010110001 , -#535648000 -1& -#535664000 -b10111100111111011000111101011 " -b10111100111111011000111101011 4 -b10111100111111011000111101011 0 -b10111100111111011000111101011 H -b1 2 -b1 = -18 -b10000100000011011111001100111101 < -b11100010100011001011101011101011 : -b1011110011111101100011110101101 $ -b1011110011111101100011110101101 - -b1011110011111101100011110101101 5 -b1011110011111101100011110101101 ? -b1011110011111101100011110101101 D -b1111011111100100000110011000010 % -b1111011111100100000110011000010 . -b1111011111100100000110011000010 6 -b1111011111100100000110011000010 @ -b1111011111100100000110011000010 F -b10111100111111011000111101011 ) -#535680000 -0& -#535696000 -b10000010110010 , -#535712000 -1& -#535728000 -b11111111110011111011111101101111 " -b11111111110011111011111101101111 4 -b11111111110011111011111101101111 0 -b11111111110011111011111101101111 H -b1 2 -b1 = -18 -b1010001001000010101011000 < -b11101001001001000011110100001001 : -b11100111110111111011011110110000 $ -b11100111110111111011011110110000 - -b11100111110111111011011110110000 5 -b11100111110111111011011110110000 ? -b11100111110111111011011110110000 D -b11111110101110110111101010100111 % -b11111110101110110111101010100111 . -b11111110101110110111101010100111 6 -b11111110101110110111101010100111 @ -b11111110101110110111101010100111 F -b11111111110011111011111101101111 ) -#535744000 -0& -#535760000 -b10000010110011 , -#535776000 -1& -#535792000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b1 2 -b1 = -18 -b10011000100010001011010011001110 < -b10011000010010001001111011000101 : -b11111111101111111110100111110110 $ -b11111111101111111110100111110110 - -b11111111101111111110100111110110 5 -b11111111101111111110100111110110 ? -b11111111101111111110100111110110 D -b1100111011101110100101100110001 % -b1100111011101110100101100110001 . -b1100111011101110100101100110001 6 -b1100111011101110100101100110001 @ -b1100111011101110100101100110001 F -b11111111111111111111111111011111 ) -#535808000 -0& -#535824000 -b10000010110100 , -#535840000 -1& -#535856000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b0 2 -b0 = -08 -b1010000100011100001101101001 < -b1001000100010111111010010011 : -b11111110111111111011101100101001 $ -b11111110111111111011101100101001 - -b11111110111111111011101100101001 5 -b11111110111111111011101100101001 ? -b11111110111111111011101100101001 D -b11110101111011100011110010010110 % -b11110101111011100011110010010110 . -b11110101111011100011110010010110 6 -b11110101111011100011110010010110 @ -b11110101111011100011110010010110 F -b11111111111111111111111111111011 ) -#535872000 -0& -#535888000 -b10000010110101 , -#535904000 -1& -#535920000 -b11111111111111111111111110111111 " -b11111111111111111111111110111111 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -b1 2 -b1 = -18 -b10000100101001110110011000111 < -b11010000010100110100111100001010 : -b10111111101111100110001001000010 $ -b10111111101111100110001001000010 - -b10111111101111100110001001000010 5 -b10111111101111100110001001000010 ? -b10111111101111100110001001000010 D -b11101111011010110001001100111000 % -b11101111011010110001001100111000 . -b11101111011010110001001100111000 6 -b11101111011010110001001100111000 @ -b11101111011010110001001100111000 F -b11111111111111111111111110111111 ) -#535936000 -0& -#535952000 -b10000010110110 , -#535968000 -1& -#535984000 -b11011101111110101111101000101111 " -b11011101111110101111101000101111 4 -b11011101111110101111101000101111 0 -b11011101111110101111101000101111 H -b11001001010000000111001000011111 < -b10100111001110110110110001001111 : -b11011101111110101111101000101111 $ -b11011101111110101111101000101111 - -b11011101111110101111101000101111 5 -b11011101111110101111101000101111 ? -b11011101111110101111101000101111 D -b110110101111111000110111100000 % -b110110101111111000110111100000 . -b110110101111111000110111100000 6 -b110110101111111000110111100000 @ -b110110101111111000110111100000 F -b11011101111110101111101000101111 ) -#536000000 -0& -#536016000 -b10000010110111 , -#536032000 -1& -#536048000 -b11111101111111101 " -b11111101111111101 4 -b11111101111111101 0 -b11111101111111101 H -b0 2 -b0 = -08 -b10010000000100100100110010010001 < -b1111000100011011101100011100 : -b1111110111111110110111010001010 $ -b1111110111111110110111010001010 - -b1111110111111110110111010001010 5 -b1111110111111110110111010001010 ? -b1111110111111110110111010001010 D -b1101111111011011011001101101110 % -b1101111111011011011001101101110 . -b1101111111011011011001101101110 6 -b1101111111011011011001101101110 @ -b1101111111011011011001101101110 F -b11111101111111101 ) -#536064000 -0& -#536080000 -b10000010111000 , -#536096000 -1& -#536112000 -b1 " -b1 4 -b1 0 -b1 H -b10100010111001110000010110000001 < -b100010111001010011101010110110 : -b1111111111111100011010100110100 $ -b1111111111111100011010100110100 - -b1111111111111100011010100110100 5 -b1111111111111100011010100110100 ? -b1111111111111100011010100110100 D -b1011101000110001111101001111110 % -b1011101000110001111101001111110 . -b1011101000110001111101001111110 6 -b1011101000110001111101001111110 @ -b1011101000110001111101001111110 F -b1 ) -#536128000 -0& -#536144000 -b10000010111001 , -#536160000 -1& -#536176000 -b11111111111111111111111110011111 " -b11111111111111111111111110011111 4 -b11111111111111111111111110011111 0 -b11111111111111111111111110011111 H -b1 2 -b1 = -18 -19 -b10101100010001011111111110100111 < -b1001100001111010100110000110111 : -b10011111111101110100110010001111 $ -b10011111111101110100110010001111 - -b10011111111101110100110010001111 5 -b10011111111101110100110010001111 ? -b10011111111101110100110010001111 D -b1010011101110100000000001011000 % -b1010011101110100000000001011000 . -b1010011101110100000000001011000 6 -b1010011101110100000000001011000 @ -b1010011101110100000000001011000 F -b11111111111111111111111110011111 ) -#536192000 -0& -#536208000 -b10000010111010 , -#536224000 -1& -#536240000 -b11111101111000011111101110001100 " -b11111101111000011111101110001100 4 -b11111101111000011111101110001100 0 -b11111101111000011111101110001100 H -b10001010000100111010101010111010 < -b1000110010100110001110001000010 : -b10111100001111110111000110000111 $ -b10111100001111110111000110000111 - -b10111100001111110111000110000111 5 -b10111100001111110111000110000111 ? -b10111100001111110111000110000111 D -b1110101111011000101010101000101 % -b1110101111011000101010101000101 . -b1110101111011000101010101000101 6 -b1110101111011000101010101000101 @ -b1110101111011000101010101000101 F -b11111101111000011111101110001100 ) -#536256000 -0& -#536272000 -b10000010111011 , -#536288000 -1& -#536304000 -b101111100111 " -b101111100111 4 -b101111100111 0 -b101111100111 H -b0 2 -b0 = -08 -b110010000001000000111011101100 < -b10010001001111111110001011010110 : -b1011111001110111101001111101001 $ -b1011111001110111101001111101001 - -b1011111001110111101001111101001 5 -b1011111001110111101001111101001 ? -b1011111001110111101001111101001 D -b11001101111110111111000100010011 % -b11001101111110111111000100010011 . -b11001101111110111111000100010011 6 -b11001101111110111111000100010011 @ -b11001101111110111111000100010011 F -b101111100111 ) -#536320000 -0& -#536336000 -b10000010111100 , -#536352000 -1& -#536368000 -b1111111111111011100010000 " -b1111111111111011100010000 4 -b1111111111111011100010000 0 -b1111111111111011100010000 H -b0 2 -b0 = -09 -08 -b1010000010110001111110111010 < -b1001010000010100000000111001011 : -b111111111111101110001000010000 $ -b111111111111101110001000010000 - -b111111111111101110001000010000 5 -b111111111111101110001000010000 ? -b111111111111101110001000010000 D -b11110101111101001110000001000101 % -b11110101111101001110000001000101 . -b11110101111101001110000001000101 6 -b11110101111101001110000001000101 @ -b11110101111101001110000001000101 F -b1111111111111011100010000 ) -#536384000 -0& -#536400000 -b10000010111101 , -#536416000 -1& -#536432000 -b111110111011000011 " -b111110111011000011 4 -b111110111011000011 0 -b111110111011000011 H -b1001000010000101101001111110100 < -b1100111101110001110110011010011 : -b11111011101100001100011011110 $ -b11111011101100001100011011110 - -b11111011101100001100011011110 5 -b11111011101100001100011011110 ? -b11111011101100001100011011110 D -b10110111101111010010110000001011 % -b10110111101111010010110000001011 . -b10110111101111010010110000001011 6 -b10110111101111010010110000001011 @ -b10110111101111010010110000001011 F -b111110111011000011 ) -#536448000 -0& -#536464000 -b10000010111110 , -#536480000 -1& -#536496000 -b11111110100110111111010001100111 " -b11111110100110111111010001100111 4 -b11111110100110111111010001100111 0 -b11111110100110111111010001100111 H -b0 2 -b0 = -08 -09 -b10010000000001001111011011100 < -b110111000000100001000010101 : -b11110100110111111010001100111000 $ -b11110100110111111010001100111000 - -b11110100110111111010001100111000 5 -b11110100110111111010001100111000 ? -b11110100110111111010001100111000 D -b11101101111111110110000100100011 % -b11101101111111110110000100100011 . -b11101101111111110110000100100011 6 -b11101101111111110110000100100011 @ -b11101101111111110110000100100011 F -b11111110100110111111010001100111 ) -#536512000 -0& -#536528000 -b10000010111111 , -#536544000 -1& -#536560000 -b110 " -b110 4 -b110 0 -b110 H -b10000001000111111010000011 < -b1101001111101111011010101011001 : -b1100111111100110011011011010101 $ -b1100111111100110011011011010101 - -b1100111111100110011011011010101 5 -b1100111111100110011011011010101 ? -b1100111111100110011011011010101 D -b11111101111110111000000101111100 % -b11111101111110111000000101111100 . -b11111101111110111000000101111100 6 -b11111101111110111000000101111100 @ -b11111101111110111000000101111100 F -b110 ) -#536576000 -0& -#536592000 -b10000011000000 , -#536608000 -1& -#536624000 -b110111111110111100 " -b110111111110111100 4 -b110111111110111100 0 -b110111111110111100 H -b0 2 -b0 = -19 -08 -b1100010000000000001100100010010 < -b11010001111101111010111001011110 : -b1101111111101111001010101001011 $ -b1101111111101111001010101001011 - -b1101111111101111001010101001011 5 -b1101111111101111001010101001011 ? -b1101111111101111001010101001011 D -b10011101111111111110011011101101 % -b10011101111111111110011011101101 . -b10011101111111111110011011101101 6 -b10011101111111111110011011101101 @ -b10011101111111111110011011101101 F -b110111111110111100 ) -#536640000 -0& -#536656000 -b10000011000001 , -#536672000 -1& -#536688000 -b11111111111111111111111111101111 " -b11111111111111111111111111101111 4 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -09 -b1 2 -b1 = -18 -b10110001100000001100000101100110 < -b10010001010011001011111100000011 : -b11011111110010111111110110011100 $ -b11011111110010111111110110011100 - -b11011111110010111111110110011100 5 -b11011111110010111111110110011100 ? -b11011111110010111111110110011100 D -b1001110011111110011111010011001 % -b1001110011111110011111010011001 . -b1001110011111110011111010011001 6 -b1001110011111110011111010011001 @ -b1001110011111110011111010011001 F -b11111111111111111111111111101111 ) -#536704000 -0& -#536720000 -b10000011000010 , -#536736000 -1& -#536752000 -b11111111111101101111101110011110 " -b11111111111101101111101110011110 4 -b11111111111101101111101110011110 0 -b11111111111101101111101110011110 H -b10100001010010101110111 < -b11110111010011000100001110101010 : -b11110110111110111001111000110010 $ -b11110110111110111001111000110010 - -b11110110111110111001111000110010 5 -b11110110111110111001111000110010 ? -b11110110111110111001111000110010 D -b11111111101011110101101010001000 % -b11111111101011110101101010001000 . -b11111111101011110101101010001000 6 -b11111111101011110101101010001000 @ -b11111111101011110101101010001000 F -b11111111111101101111101110011110 ) -#536768000 -0& -#536784000 -b10000011000011 , -#536800000 -1& -#536816000 -b11111111111111111111110101111111 " -b11111111111111111111110101111111 4 -b11111111111111111111110101111111 0 -b11111111111111111111110101111111 H -b10010100110010001000001011101110 < -b10001111110001111010101000011101 : -b11111010111111110010011100101110 $ -b11111010111111110010011100101110 - -b11111010111111110010011100101110 5 -b11111010111111110010011100101110 ? -b11111010111111110010011100101110 D -b1101011001101110111110100010001 % -b1101011001101110111110100010001 . -b1101011001101110111110100010001 6 -b1101011001101110111110100010001 @ -b1101011001101110111110100010001 F -b11111111111111111111110101111111 ) -#536832000 -0& -#536848000 -b10000011000100 , -#536864000 -1& -#536880000 -b1011111111101100011000111110 " -b1011111111101100011000111110 4 -b1011111111101100011000111110 0 -b1011111111101100011000111110 H -b0 2 -b0 = -08 -09 -b10000000000010001001001011100 < -b1101111111101110100010001001101 : -b1011111111101100011000111110000 $ -b1011111111101100011000111110000 - -b1011111111101100011000111110000 5 -b1011111111101100011000111110000 ? -b1011111111101100011000111110000 D -b11101111111111101110110110100011 % -b11101111111111101110110110100011 . -b11101111111111101110110110100011 6 -b11101111111111101110110110100011 @ -b11101111111111101110110110100011 F -b1011111111101100011000111110 ) -#536896000 -0& -#536912000 -b10000011000101 , -#536928000 -1& -#536944000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b0 2 -b0 = -08 -09 -b1000110011001001010000100101001 < -b111110010000111101000100001111 : -b11110111110111110010111111100101 $ -b11110111110111110010111111100101 - -b11110111110111110010111111100101 5 -b11110111110111110010111111100101 ? -b11110111110111110010111111100101 D -b10111001100110110101111011010110 % -b10111001100110110101111011010110 . -b10111001100110110101111011010110 6 -b10111001100110110101111011010110 @ -b10111001100110110101111011010110 F -b11111111111111111111111111011111 ) -#536960000 -0& -#536976000 -b10000011000110 , -#536992000 -1& -#537008000 -b101000101110010000110 " -b101000101110010000110 4 -b101000101110010000110 0 -b101000101110010000110 H -19 -b0 2 -b0 = -08 -b1000100011001001101010101110101 < -b10010101110101101110111101010001 : -b1010001011100100001100111011011 $ -b1010001011100100001100111011011 - -b1010001011100100001100111011011 5 -b1010001011100100001100111011011 ? -b1010001011100100001100111011011 D -b10111011100110110010101010001010 % -b10111011100110110010101010001010 . -b10111011100110110010101010001010 6 -b10111011100110110010101010001010 @ -b10111011100110110010101010001010 F -b101000101110010000110 ) -#537024000 -0& -#537040000 -b10000011000111 , -#537056000 -1& -#537072000 -b11111111111111111111111111100111 " -b11111111111111111111111111100111 4 -b11111111111111111111111111100111 0 -b11111111111111111111111111100111 H -09 -b1 2 -b1 = -18 -b10100000100100011110110110100111 < -b10001000000000010001010011101101 : -b11100111011011110010011101000101 $ -b11100111011011110010011101000101 - -b11100111011011110010011101000101 5 -b11100111011011110010011101000101 ? -b11100111011011110010011101000101 D -b1011111011011100001001001011000 % -b1011111011011100001001001011000 . -b1011111011011100001001001011000 6 -b1011111011011100001001001011000 @ -b1011111011011100001001001011000 F -b11111111111111111111111111100111 ) -#537088000 -0& -#537104000 -b10000011001000 , -#537120000 -1& -#537136000 -b1011100111011111000011111 " -b1011100111011111000011111 4 -b1011100111011111000011111 0 -b1011100111011111000011111 H -b0 2 -b0 = -08 -09 -b11011000010100000010111100111001 < -b110101001111111011011100101100 : -b1011100111011111000011111110010 $ -b1011100111011111000011111110010 - -b1011100111011111000011111110010 5 -b1011100111011111000011111110010 ? -b1011100111011111000011111110010 D -b100111101011111101000011000110 % -b100111101011111101000011000110 . -b100111101011111101000011000110 6 -b100111101011111101000011000110 @ -b100111101011111101000011000110 F -b1011100111011111000011111 ) -#537152000 -0& -#537168000 -b10000011001001 , -#537184000 -1& -#537200000 -b11111011100101110101011100101000 " -b11111011100101110101011100101000 4 -b11111011100101110101011100101000 0 -b11111011100101110101011100101000 H -19 -b1 2 -b1 = -18 -b10010001000101001001110111111011 < -b1001010100010100001000001111100 : -b10111001011101010111001010000000 $ -b10111001011101010111001010000000 - -b10111001011101010111001010000000 5 -b10111001011101010111001010000000 ? -b10111001011101010111001010000000 D -b1101110111010110110001000000100 % -b1101110111010110110001000000100 . -b1101110111010110110001000000100 6 -b1101110111010110110001000000100 @ -b1101110111010110110001000000100 F -b11111011100101110101011100101000 ) -#537216000 -0& -#537232000 -b10000011001010 , -#537248000 -1& -#537264000 -b1100 " -b1100 4 -b1100 0 -b1100 H -b0 2 -b0 = -08 -b110100100010000100111011100100 < -b10011100100010000100110000111000 : -b1100111111111111111110101010011 $ -b1100111111111111111110101010011 - -b1100111111111111111110101010011 5 -b1100111111111111111110101010011 ? -b1100111111111111111110101010011 D -b11001011011101111011000100011011 % -b11001011011101111011000100011011 . -b11001011011101111011000100011011 6 -b11001011011101111011000100011011 @ -b11001011011101111011000100011011 F -b1100 ) -#537280000 -0& -#537296000 -b10000011001011 , -#537312000 -1& -#537328000 -b11111111111111110011111101101001 " -b11111111111111110011111101101001 4 -b11111111111111110011111101101001 0 -b11111111111111110011111101101001 H -09 -b1 2 -b1 = -18 -b11000000101000111001010001010001 < -b10010000011111011111101000000110 : -b11001111110110100110010110110100 $ -b11001111110110100110010110110100 - -b11001111110110100110010110110100 5 -b11001111110110100110010110110100 ? -b11001111110110100110010110110100 D -b111111010111000110101110101110 % -b111111010111000110101110101110 . -b111111010111000110101110101110 6 -b111111010111000110101110101110 @ -b111111010111000110101110101110 F -b11111111111111110011111101101001 ) -#537344000 -0& -#537360000 -b10000011001100 , -#537376000 -1& -#537392000 -b11111100111101101011 " -b11111100111101101011 4 -b11111100111101101011 0 -b11111100111101101011 H -b0 2 -b0 = -08 -b11000101000100001111011011010100 < -b1000011100011000101001110001100 : -b1111110011110110101110010110111 $ -b1111110011110110101110010110111 - -b1111110011110110101110010110111 5 -b1111110011110110101110010110111 ? -b1111110011110110101110010110111 D -b111010111011110000100100101011 % -b111010111011110000100100101011 . -b111010111011110000100100101011 6 -b111010111011110000100100101011 @ -b111010111011110000100100101011 F -b11111100111101101011 ) -#537408000 -0& -#537424000 -b10000011001101 , -#537440000 -1& -#537456000 -b11111111111111111111111011111110 " -b11111111111111111111111011111110 4 -b11111111111111111111111011111110 0 -b11111111111111111111111011111110 H -b0 2 -b0 = -08 -b10010001000001011011000001101 < -b1110000110010010001110100000 : -b11111011111110000110110110010010 $ -b11111011111110000110110110010010 - -b11111011111110000110110110010010 5 -b11111011111110000110110110010010 ? -b11111011111110000110110110010010 D -b11101101110111110100100111110010 % -b11101101110111110100100111110010 . -b11101101110111110100100111110010 6 -b11101101110111110100100111110010 @ -b11101101110111110100100111110010 F -b11111111111111111111111011111110 ) -#537472000 -0& -#537488000 -b10000011001110 , -#537504000 -1& -#537520000 -b11111111111111111111111111111001 " -b11111111111111111111111111111001 4 -b11111111111111111111111111111001 0 -b11111111111111111111111111111001 H -b0 2 -b0 = -08 -b1010000100001101100010101000101 < -b110101111111101010111111101101 : -b11100101011101111110101010100111 $ -b11100101011101111110101010100111 - -b11100101011101111110101010100111 5 -b11100101011101111110101010100111 ? -b11100101011101111110101010100111 D -b10101111011110010011101010111010 % -b10101111011110010011101010111010 . -b10101111011110010011101010111010 6 -b10101111011110010011101010111010 @ -b10101111011110010011101010111010 F -b11111111111111111111111111111001 ) -#537536000 -0& -#537552000 -b10000011001111 , -#537568000 -1& -#537584000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10001110011110001000000 < -b10110000000001110011011111011001 : -b10101111101111111111101110011000 $ -b10101111101111111111101110011000 - -b10101111101111111111101110011000 5 -b10101111101111111111101110011000 ? -b10101111101111111111101110011000 D -b11111111101110001100001110111111 % -b11111111101110001100001110111111 . -b11111111101110001100001110111111 6 -b11111111101110001100001110111111 @ -b11111111101110001100001110111111 F -b11111111111111111111111111111111 ) -#537600000 -0& -#537616000 -b10000011010000 , -#537632000 -1& -#537648000 -b11111110011011110010100 " -b11111110011011110010100 4 -b11111110011011110010100 0 -b11111110011011110010100 H -b0 2 -b0 = -08 -b11001000000000000011100110110111 < -b1000111001101111100111001000110 : -b1111111001101111001010010001110 $ -b1111111001101111001010010001110 - -b1111111001101111001010010001110 5 -b1111111001101111001010010001110 ? -b1111111001101111001010010001110 D -b110111111111111100011001001000 % -b110111111111111100011001001000 . -b110111111111111100011001001000 6 -b110111111111111100011001001000 @ -b110111111111111100011001001000 F -b11111110011011110010100 ) -#537664000 -0& -#537680000 -b10000011010001 , -#537696000 -1& -#537712000 -b11111111111111111111111111110111 " -b11111111111111111111111111110111 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -b10110000000001110111100111101000 < -b10101100000001010001101100011111 : -b11111011111111011010000100110110 $ -b11111011111111011010000100110110 - -b11111011111111011010000100110110 5 -b11111011111111011010000100110110 ? -b11111011111111011010000100110110 D -b1001111111110001000011000010111 % -b1001111111110001000011000010111 . -b1001111111110001000011000010111 6 -b1001111111110001000011000010111 @ -b1001111111110001000011000010111 F -b11111111111111111111111111110111 ) -#537728000 -0& -#537744000 -b10000011010010 , -#537760000 -1& -#537776000 -b11111110111111011011001 " -b11111110111111011011001 4 -b11111110111111011011001 0 -b11111110111111011011001 H -b0 2 -b0 = -08 -b10010000001000100110101111010111 < -b1111101000010100010011101010 : -b1111111011111101101100100010010 $ -b1111111011111101101100100010010 - -b1111111011111101101100100010010 5 -b1111111011111101101100100010010 ? -b1111111011111101101100100010010 D -b1101111110111011001010000101000 % -b1101111110111011001010000101000 . -b1101111110111011001010000101000 6 -b1101111110111011001010000101000 @ -b1101111110111011001010000101000 F -b11111110111111011011001 ) -#537792000 -0& -#537808000 -b10000011010011 , -#537824000 -1& -#537840000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -19 -b1 2 -b1 = -18 -b10000110110000011110000010000001 < -b1111100101111010111111111100101 : -b11110101111110111001111101100011 $ -b11110101111110111001111101100011 - -b11110101111110111001111101100011 5 -b11110101111110111001111101100011 ? -b11110101111110111001111101100011 D -b1111001001111100001111101111110 % -b1111001001111100001111101111110 . -b1111001001111100001111101111110 6 -b1111001001111100001111101111110 @ -b1111001001111100001111101111110 F -b11111111111111111111111111111111 ) -#537856000 -0& -#537872000 -b10000011010100 , -#537888000 -1& -#537904000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b0 2 -b0 = -08 -09 -b1100100000000000111111100000010 < -b11110101011111010011001111000 : -b10111010101011110010011101110101 $ -b10111010101011110010011101110101 - -b10111010101011110010011101110101 5 -b10111010101011110010011101110101 ? -b10111010101011110010011101110101 D -b10011011111111111000000011111101 % -b10011011111111111000000011111101 . -b10011011111111111000000011111101 6 -b10011011111111111000000011111101 @ -b10011011111111111000000011111101 F -b11111111111111111111111111111101 ) -#537920000 -0& -#537936000 -b10000011010101 , -#537952000 -1& -#537968000 -b1111010100111101111101 " -b1111010100111101111101 4 -b1111010100111101111101 0 -b1111010100111101111101 H -b0 2 -b0 = -08 -b11001000010000001101011110010110 < -b1000010110111111101001101010111 : -b1111010100111101111101111000000 $ -b1111010100111101111101111000000 - -b1111010100111101111101111000000 5 -b1111010100111101111101111000000 ? -b1111010100111101111101111000000 D -b110111101111110010100001101001 % -b110111101111110010100001101001 . -b110111101111110010100001101001 6 -b110111101111110010100001101001 @ -b110111101111110010100001101001 F -b1111010100111101111101 ) -#537984000 -0& -#538000000 -b10000011010110 , -#538016000 -1& -#538032000 -b100111110100111001011111101 " -b100111110100111001011111101 4 -b100111110100111001011111101 0 -b100111110100111001011111101 H -b0 2 -b0 = -08 -b100000000000001010011111111101 < -b110011111010100111001111110101 : -b10011111010011100101111110111 $ -b10011111010011100101111110111 - -b10011111010011100101111110111 5 -b10011111010011100101111110111 ? -b10011111010011100101111110111 D -b11011111111111110101100000000010 % -b11011111111111110101100000000010 . -b11011111111111110101100000000010 6 -b11011111111111110101100000000010 @ -b11011111111111110101100000000010 F -b100111110100111001011111101 ) -#538048000 -0& -#538064000 -b10000011010111 , -#538080000 -1& -#538096000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -09 -b10110010100010000001001111100110 < -b10101010001110110001100010011101 : -b11110111101100110000010010110110 $ -b11110111101100110000010010110110 - -b11110111101100110000010010110110 5 -b11110111101100110000010010110110 ? -b11110111101100110000010010110110 D -b1001101011101111110110000011001 % -b1001101011101111110110000011001 . -b1001101011101111110110000011001 6 -b1001101011101111110110000011001 @ -b1001101011101111110110000011001 F -b11111111111111111111111111111011 ) -#538112000 -0& -#538128000 -b10000011011000 , -#538144000 -1& -#538160000 -b11111111111111111111111111110011 " -b11111111111111111111111111110011 4 -b11111111111111111111111111110011 0 -b11111111111111111111111111110011 H -b0 2 -b0 = -09 -08 -b1110000001000100101010001100100 < -b1100000100011111110100111011 : -b10011011111011111010100011010110 $ -b10011011111011111010100011010110 - -b10011011111011111010100011010110 5 -b10011011111011111010100011010110 ? -b10011011111011111010100011010110 D -b10001111110111011010101110011011 % -b10001111110111011010101110011011 . -b10001111110111011010101110011011 6 -b10001111110111011010101110011011 @ -b10001111110111011010101110011011 F -b11111111111111111111111111110011 ) -#538176000 -0& -#538192000 -b10000011011001 , -#538208000 -1& -#538224000 -1! -b0 " -b0 4 -b0 0 -b0 H -19 -b0 2 -b0 = -08 -b111000000010011011010011000000 < -1# -b10010111100001001000011000010011 : -b1011111011110101101000101010010 $ -b1011111011110101101000101010010 - -b1011111011110101101000101010010 5 -b1011111011110101101000101010010 ? -b1011111011110101101000101010010 D -b11000111111101100100101100111111 % -b11000111111101100100101100111111 . -b11000111111101100100101100111111 6 -b11000111111101100100101100111111 @ -b11000111111101100100101100111111 F -b0 ) -b1 ( -#538240000 -0& -#538256000 -b10000011011010 , -#538272000 -1& -#538288000 -0! -b111011111111 " -b111011111111 4 -b111011111111 0 -b111011111111 H -b1010010000011011001000011101100 < -0# -b11001010000011001011110011001101 : -b1110111111111110010101111100000 $ -b1110111111111110010101111100000 - -b1110111111111110010101111100000 5 -b1110111111111110010101111100000 ? -b1110111111111110010101111100000 D -b10101101111100100110111100010011 % -b10101101111100100110111100010011 . -b10101101111100100110111100010011 6 -b10101101111100100110111100010011 @ -b10101101111100100110111100010011 F -b111011111111 ) -b0 ( -#538304000 -0& -#538320000 -b10000011011011 , -#538336000 -1& -#538352000 -b111111101111010100000 " -b111111101111010100000 4 -b111111101111010100000 0 -b111111101111010100000 H -b0 2 -b0 = -09 -08 -b10000001010100000001111011010101 < -b110010101010000001100110 : -b1111111011110101000000110010000 $ -b1111111011110101000000110010000 - -b1111111011110101000000110010000 5 -b1111111011110101000000110010000 ? -b1111111011110101000000110010000 D -b1111110101011111110000100101010 % -b1111110101011111110000100101010 . -b1111110101011111110000100101010 6 -b1111110101011111110000100101010 @ -b1111110101011111110000100101010 F -b111111101111010100000 ) -#538368000 -0& -#538384000 -b10000011011100 , -#538400000 -1& -#538416000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -b10100100001000001111001110100110 < -b10011011111111101101100111010110 : -b11110111110111011110011000101111 $ -b11110111110111011110011000101111 - -b11110111110111011110011000101111 5 -b11110111110111011110011000101111 ? -b11110111110111011110011000101111 D -b1011011110111110000110001011001 % -b1011011110111110000110001011001 . -b1011011110111110000110001011001 6 -b1011011110111110000110001011001 @ -b1011011110111110000110001011001 F -b11111111111111111111111111111011 ) -#538432000 -0& -#538448000 -b10000011011101 , -#538464000 -1& -#538480000 -b11111111011111011110111101001001 " -b11111111011111011110111101001001 4 -b11111111011111011110111101001001 0 -b11111111011111011110111101001001 H -b1 2 -b1 = -19 -18 -b10100000000100111011100111011000 < -b1011111000010110101111001110101 : -b10111110111101111010010010011100 $ -b10111110111101111010010010011100 - -b10111110111101111010010010011100 5 -b10111110111101111010010010011100 ? -b10111110111101111010010010011100 D -b1011111111011000100011000100111 % -b1011111111011000100011000100111 . -b1011111111011000100011000100111 6 -b1011111111011000100011000100111 @ -b1011111111011000100011000100111 F -b11111111011111011110111101001001 ) -#538496000 -0& -#538512000 -b10000011011110 , -#538528000 -1& -#538544000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10010011001000001010110101000000 < -b10001101111000000101011111011110 : -b11111010101111111010101010011101 $ -b11111010101111111010101010011101 - -b11111010101111111010101010011101 5 -b11111010101111111010101010011101 ? -b11111010101111111010101010011101 D -b1101100110111110101001010111111 % -b1101100110111110101001010111111 . -b1101100110111110101001010111111 6 -b1101100110111110101001010111111 @ -b1101100110111110101001010111111 F -b11111111111111111111111111111111 ) -#538560000 -0& -#538576000 -b10000011011111 , -#538592000 -1& -#538608000 -b1111011111111011110011 " -b1111011111111011110011 4 -b1111011111111011110011 0 -b1111011111111011110011 H -b0 2 -b0 = -08 -b10010000000010101111001101010110 < -b1100000010001101101001000101 : -b1111011111111011110011011101110 $ -b1111011111111011110011011101110 - -b1111011111111011110011011101110 5 -b1111011111111011110011011101110 ? -b1111011111111011110011011101110 D -b1101111111101010000110010101001 % -b1101111111101010000110010101001 . -b1101111111101010000110010101001 6 -b1101111111101010000110010101001 @ -b1101111111101010000110010101001 F -b1111011111111011110011 ) -#538624000 -0& -#538640000 -b10000011100000 , -#538656000 -1& -#538672000 -b11000 " -b11000 4 -b11000 0 -b11000 H -b0 2 -b0 = -08 -b11100001111000111001111100000101 < -b1000100110111110111101101010110 : -b1100010111110111101110001010000 $ -b1100010111110111101110001010000 - -b1100010111110111101110001010000 5 -b1100010111110111101110001010000 ? -b1100010111110111101110001010000 D -b11110000111000110000011111010 % -b11110000111000110000011111010 . -b11110000111000110000011111010 6 -b11110000111000110000011111010 @ -b11110000111000110000011111010 F -b11000 ) -#538688000 -0& -#538704000 -b10000011100001 , -#538720000 -1& -#538736000 -b11111111111111111101101111110111 " -b11111111111111111101101111110111 4 -b11111111111111111101101111110111 0 -b11111111111111111101101111110111 H -b0 2 -b0 = -08 -b1010001000000001100001000101111 < -b101100111110000010000111100010 : -b11011011111101110101111110110010 $ -b11011011111101110101111110110010 - -b11011011111101110101111110110010 5 -b11011011111101110101111110110010 ? -b11011011111101110101111110110010 D -b10101110111111110011110111010000 % -b10101110111111110011110111010000 . -b10101110111111110011110111010000 6 -b10101110111111110011110111010000 @ -b10101110111111110011110111010000 F -b11111111111111111101101111110111 ) -#538752000 -0& -#538768000 -b10000011100010 , -#538784000 -1& -#538800000 -b110101 " -b110101 4 -b110101 0 -b110101 H -19 -b0 2 -b0 = -08 -b10101000101001110101011000110 < -b10000001000101000000011101100101 : -b1101011111111110001110010011110 $ -b1101011111111110001110010011110 - -b1101011111111110001110010011110 5 -b1101011111111110001110010011110 ? -b1101011111111110001110010011110 D -b11101010111010110001010100111001 % -b11101010111010110001010100111001 . -b11101010111010110001010100111001 6 -b11101010111010110001010100111001 @ -b11101010111010110001010100111001 F -b110101 ) -#538816000 -0& -#538832000 -b10000011100011 , -#538848000 -1& -#538864000 -b1111111001 " -b1111111001 4 -b1111111001 0 -b1111111001 H -b10000000010011100000110001010 < -b10001111010010001100110101110010 : -b1111111001111110000101111100111 $ -b1111111001111110000101111100111 - -b1111111001111110000101111100111 5 -b1111111001111110000101111100111 ? -b1111111001111110000101111100111 D -b11101111111101100011111001110101 % -b11101111111101100011111001110101 . -b11101111111101100011111001110101 6 -b11101111111101100011111001110101 @ -b11101111111101100011111001110101 F -b1111111001 ) -#538880000 -0& -#538896000 -b10000011100100 , -#538912000 -1& -#538928000 -b11111111111111111111111011101010 " -b11111111111111111111111011101010 4 -b11111111111111111111111011101010 0 -b11111111111111111111111011101010 H -b1 2 -b1 = -18 -09 -b10100100101010000010111011001010 < -b10000001111011111010000001011001 : -b11011101010001110111000110001110 $ -b11011101010001110111000110001110 - -b11011101010001110111000110001110 5 -b11011101010001110111000110001110 ? -b11011101010001110111000110001110 D -b1011011010101111101000100110101 % -b1011011010101111101000100110101 . -b1011011010101111101000100110101 6 -b1011011010101111101000100110101 @ -b1011011010101111101000100110101 F -b11111111111111111111111011101010 ) -#538944000 -0& -#538960000 -b10000011100101 , -#538976000 -1& -#538992000 -b1111111011110110010000101110110 " -b1111111011110110010000101110110 4 -b1111111011110110010000101110110 0 -b1111111011110110010000101110110 H -b0 2 -b0 = -08 -b11110000010110010111100011111111 < -b1101111110101001001101001110110 : -b1111111011110110010000101110110 $ -b1111111011110110010000101110110 - -b1111111011110110010000101110110 5 -b1111111011110110010000101110110 ? -b1111111011110110010000101110110 D -b1111101001101000011100000000 % -b1111101001101000011100000000 . -b1111101001101000011100000000 6 -b1111101001101000011100000000 @ -b1111101001101000011100000000 F -b1111111011110110010000101110110 ) -#539008000 -0& -#539024000 -b10000011100110 , -#539040000 -1& -#539056000 -b1011111111110001110 " -b1011111111110001110 4 -b1011111111110001110 0 -b1011111111110001110 H -b1010110000001110101110110101 < -b100010101111110010010110010111 : -b10111111111100011100111100001 $ -b10111111111100011100111100001 - -b10111111111100011100111100001 5 -b10111111111100011100111100001 ? -b10111111111100011100111100001 D -b11110101001111110001010001001010 % -b11110101001111110001010001001010 . -b11110101001111110001010001001010 6 -b11110101001111110001010001001010 @ -b11110101001111110001010001001010 F -b1011111111110001110 ) -#539072000 -0& -#539088000 -b10000011100111 , -#539104000 -1& -#539120000 -b11101111111011110000 " -b11101111111011110000 4 -b11101111111011110000 0 -b11101111111011110000 H -b11100000000110010111010101110101 < -b11100000101010011010111101010 : -b111011111110111100000001110100 $ -b111011111110111100000001110100 - -b111011111110111100000001110100 5 -b111011111110111100000001110100 ? -b111011111110111100000001110100 D -b11111111001101000101010001010 % -b11111111001101000101010001010 . -b11111111001101000101010001010 6 -b11111111001101000101010001010 @ -b11111111001101000101010001010 F -b11101111111011110000 ) -#539136000 -0& -#539152000 -b10000011101000 , -#539168000 -1& -#539184000 -b110 " -b110 4 -b110 0 -b110 H -b0 2 -b0 = -19 -08 -b110000000000000010001110000011 < -b10011111111111010101000010111000 : -b1101111111111010010110100110100 $ -b1101111111111010010110100110100 - -b1101111111111010010110100110100 5 -b1101111111111010010110100110100 ? -b1101111111111010010110100110100 D -b11001111111111111101110001111100 % -b11001111111111111101110001111100 . -b11001111111111111101110001111100 6 -b11001111111111111101110001111100 @ -b11001111111111111101110001111100 F -b110 ) -#539200000 -0& -#539216000 -b10000011101001 , -#539232000 -1& -#539248000 -b101011 " -b101011 4 -b101011 0 -b101011 H -b0 2 -b0 = -09 -08 -b10101010000000001010000000100110 < -b1111111000111101011101101 : -b1010111111110111101101011000110 $ -b1010111111110111101101011000110 - -b1010111111110111101101011000110 5 -b1010111111110111101101011000110 ? -b1010111111110111101101011000110 D -b1010101111111110101111111011001 % -b1010101111111110101111111011001 . -b1010101111111110101111111011001 6 -b1010101111111110101111111011001 @ -b1010101111111110101111111011001 F -b101011 ) -#539264000 -0& -#539280000 -b10000011101010 , -#539296000 -1& -#539312000 -b11111111111111101101101101111110 " -b11111111111111101101101101111110 4 -b11111111111111101101101101111110 0 -b11111111111111101101101101111110 H -19 -b1 2 -b1 = -18 -b10000001010000000110001101110010 < -b1011100101100000011100101011011 : -b11011011011011111101010111101000 $ -b11011011011011111101010111101000 - -b11011011011011111101010111101000 5 -b11011011011011111101010111101000 ? -b11011011011011111101010111101000 D -b1111110101111111001110010001101 % -b1111110101111111001110010001101 . -b1111110101111111001110010001101 6 -b1111110101111111001110010001101 @ -b1111110101111111001110010001101 F -b11111111111111101101101101111110 ) -#539328000 -0& -#539344000 -b10000011101011 , -#539360000 -1& -#539376000 -b1110111010111001010 " -b1110111010111001010 4 -b1110111010111001010 0 -b1110111010111001010 H -09 -b0 2 -b0 = -08 -b1000110000100101010011000110101 < -b1100011111010011101000000100011 : -b11101110101110010100111101101 $ -b11101110101110010100111101101 - -b11101110101110010100111101101 5 -b11101110101110010100111101101 ? -b11101110101110010100111101101 D -b10111001111011010101100111001010 % -b10111001111011010101100111001010 . -b10111001111011010101100111001010 6 -b10111001111011010101100111001010 @ -b10111001111011010101100111001010 F -b1110111010111001010 ) -#539392000 -0& -#539408000 -b10000011101100 , -#539424000 -1& -#539440000 -b11111111011100101000111010101100 " -b11111111011100101000111010101100 4 -b11111111011100101000111010101100 0 -b11111111011100101000111010101100 H -b1 2 -b1 = -18 -09 -b10010001011010011010000001011100 < -b10001100111111100001010110111110 : -b11111011100101000111010101100001 $ -b11111011100101000111010101100001 - -b11111011100101000111010101100001 5 -b11111011100101000111010101100001 ? -b11111011100101000111010101100001 D -b1101110100101100101111110100011 % -b1101110100101100101111110100011 . -b1101110100101100101111110100011 6 -b1101110100101100101111110100011 @ -b1101110100101100101111110100011 F -b11111111011100101000111010101100 ) -#539456000 -0& -#539472000 -b10000011101101 , -#539488000 -1& -#539504000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -09 -18 -b11010000000100001000100011100010 < -b10100111111111001100000001000101 : -b11010111111011000011011101100010 $ -b11010111111011000011011101100010 - -b11010111111011000011011101100010 5 -b11010111111011000011011101100010 ? -b11010111111011000011011101100010 D -b101111111011110111011100011101 % -b101111111011110111011100011101 . -b101111111011110111011100011101 6 -b101111111011110111011100011101 @ -b101111111011110111011100011101 F -b11111111111111111111111111111110 ) -#539520000 -0& -#539536000 -b10000011101110 , -#539552000 -1& -#539568000 -b111001111111111001001000 " -b111001111111111001001000 4 -b111001111111111001001000 0 -b111001111111111001001000 H -b0 2 -b0 = -08 -b1000000000001100011001 < -b111010000111111001010100110100 : -b111001111111111001001000011010 $ -b111001111111111001001000011010 - -b111001111111111001001000011010 5 -b111001111111111001001000011010 ? -b111001111111111001001000011010 D -b11111111110111111111110011100110 % -b11111111110111111111110011100110 . -b11111111110111111111110011100110 6 -b11111111110111111111110011100110 @ -b11111111110111111111110011100110 F -b111001111111111001001000 ) -#539584000 -0& -#539600000 -b10000011101111 , -#539616000 -1& -#539632000 -b1111111011110100010000001111111 " -b1111111011110100010000001111111 4 -b1111111011110100010000001111111 0 -b1111111011110100010000001111111 H -b0 2 -b0 = -19 -08 -b100100001000000001101111111 < -b10000011111111100010001111111111 : -b1111111011110100010000001111111 $ -b1111111011110100010000001111111 - -b1111111011110100010000001111111 5 -b1111111011110100010000001111111 ? -b1111111011110100010000001111111 D -b11111011011110111111110010000000 % -b11111011011110111111110010000000 . -b11111011011110111111110010000000 6 -b11111011011110111111110010000000 @ -b11111011011110111111110010000000 F -b1111111011110100010000001111111 ) -#539648000 -0& -#539664000 -b10000011110000 , -#539680000 -1& -#539696000 -b111110111010101 " -b111110111010101 4 -b111110111010101 0 -b111110111010101 H -b0 2 -b0 = -09 -08 -b101110110000001111 < -b1111101110110001101101001101111 : -b1111101110101011110111001011111 $ -b1111101110101011110111001011111 - -b1111101110101011110111001011111 5 -b1111101110101011110111001011111 ? -b1111101110101011110111001011111 D -b11111111111111010001001111110000 % -b11111111111111010001001111110000 . -b11111111111111010001001111110000 6 -b11111111111111010001001111110000 @ -b11111111111111010001001111110000 F -b111110111010101 ) -#539712000 -0& -#539728000 -b10000011110001 , -#539744000 -1& -#539760000 -b1011111111101011101 " -b1011111111101011101 4 -b1011111111101011101 0 -b1011111111101011101 H -b11101110000000010101101011010011 < -b1001101111101110011100000011101 : -b1011111111101011101110101001001 $ -b1011111111101011101110101001001 - -b1011111111101011101110101001001 5 -b1011111111101011101110101001001 ? -b1011111111101011101110101001001 D -b10001111111101010010100101100 % -b10001111111101010010100101100 . -b10001111111101010010100101100 6 -b10001111111101010010100101100 @ -b10001111111101010010100101100 F -b1011111111101011101 ) -#539776000 -0& -#539792000 -b10000011110010 , -#539808000 -1& -#539824000 -b11111111111110100111011110100000 " -b11111111111110100111011110100000 4 -b11111111111110100111011110100000 0 -b11111111111110100111011110100000 H -b0 2 -b0 = -08 -b1000000011001000110111111010110 < -b110101010100111011000100101001 : -b11110100111011110100000101010010 $ -b11110100111011110100000101010010 - -b11110100111011110100000101010010 5 -b11110100111011110100000101010010 ? -b11110100111011110100000101010010 D -b10111111100110111001000000101001 % -b10111111100110111001000000101001 . -b10111111100110111001000000101001 6 -b10111111100110111001000000101001 @ -b10111111100110111001000000101001 F -b11111111111110100111011110100000 ) -#539840000 -0& -#539856000 -b10000011110011 , -#539872000 -1& -#539888000 -b11111111111100111110101101110011 " -b11111111111100111110101101110011 4 -b11111111111100111110101101110011 0 -b11111111111100111110101101110011 H -b1 2 -b1 = -18 -b1000100000000101111101010100 < -b10100111110110111111100100110110 : -b10011111010110111001100111100001 $ -b10011111010110111001100111100001 - -b10011111010110111001100111100001 5 -b10011111010110111001100111100001 ? -b10011111010110111001100111100001 D -b11110111011111111010000010101011 % -b11110111011111111010000010101011 . -b11110111011111111010000010101011 6 -b11110111011111111010000010101011 @ -b11110111011111111010000010101011 F -b11111111111100111110101101110011 ) -#539904000 -0& -#539920000 -b10000011110100 , -#539936000 -1& -#539952000 -b111111111001111001101110011 " -b111111111001111001101110011 4 -b111111111001111001101110011 0 -b111111111001111001101110011 H -b0 2 -b0 = -08 -b10000000010010100100100000111011 < -b110010111111101110011 : -b1111111110011110011011100110111 $ -b1111111110011110011011100110111 - -b1111111110011110011011100110111 5 -b1111111110011110011011100110111 ? -b1111111110011110011011100110111 D -b1111111101101011011011111000100 % -b1111111101101011011011111000100 . -b1111111101101011011011111000100 6 -b1111111101101011011011111000100 @ -b1111111101101011011011111000100 F -b111111111001111001101110011 ) -#539968000 -0& -#539984000 -b10000011110101 , -#540000000 -1& -#540016000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b1 2 -b1 = -18 -b11010011000000111000000100011 < -b11111000010011000000001100101111 : -b11011101111010111001001100001011 $ -b11011101111010111001001100001011 - -b11011101111010111001001100001011 5 -b11011101111010111001001100001011 ? -b11011101111010111001001100001011 D -b11100101100111111000111111011100 % -b11100101100111111000111111011100 . -b11100101100111111000111111011100 6 -b11100101100111111000111111011100 @ -b11100101100111111000111111011100 F -b11111111111111111111111111111101 ) -#540032000 -0& -#540048000 -b10000011110110 , -#540064000 -1& -#540080000 -b11111111011111011111100100000001 " -b11111111011111011111100100000001 4 -b11111111011111011111100100000001 0 -b11111111011111011111100100000001 H -b1 2 -b1 = -18 -b10101100000010000111101101011010 < -b10011011110001111001101110010010 : -b11101111101111110010000000110111 $ -b11101111101111110010000000110111 - -b11101111101111110010000000110111 5 -b11101111101111110010000000110111 ? -b11101111101111110010000000110111 D -b1010011111101111000010010100101 % -b1010011111101111000010010100101 . -b1010011111101111000010010100101 6 -b1010011111101111000010010100101 @ -b1010011111101111000010010100101 F -b11111111011111011111100100000001 ) -#540096000 -0& -#540112000 -b10000011110111 , -#540128000 -1& -#540144000 -b11111111111111111101111111111101 " -b11111111111111111101111111111101 4 -b11111111111111111101111111111101 0 -b11111111111111111101111111111101 H -b1 2 -b1 = -09 -18 -b1100101010000110000100101110 < -b11001100101000101110000010000011 : -b10111111111110100111111101010100 $ -b10111111111110100111111101010100 - -b10111111111110100111111101010100 5 -b10111111111110100111111101010100 ? -b10111111111110100111111101010100 D -b11110011010101111001111011010001 % -b11110011010101111001111011010001 . -b11110011010101111001111011010001 6 -b11110011010101111001111011010001 @ -b11110011010101111001111011010001 F -b11111111111111111101111111111101 ) -#540160000 -0& -#540176000 -b10000011111000 , -#540192000 -1& -#540208000 -b11111111111111111111001111110010 " -b11111111111111111111001111110010 4 -b11111111111111111111001111110010 0 -b11111111111111111111001111110010 H -b1 2 -b1 = -18 -b10010110010010000001110011010010 < -b10010100110001100110110000111110 : -b11111110011111100100111101101011 $ -b11111110011111100100111101101011 - -b11111110011111100100111101101011 5 -b11111110011111100100111101101011 ? -b11111110011111100100111101101011 D -b1101001101101111110001100101101 % -b1101001101101111110001100101101 . -b1101001101101111110001100101101 6 -b1101001101101111110001100101101 @ -b1101001101101111110001100101101 F -b11111111111111111111001111110010 ) -#540224000 -0& -#540240000 -b10000011111001 , -#540256000 -1& -#540272000 -b11111111101111100101011001010011 " -b11111111101111100101011001010011 4 -b11111111101111100101011001010011 0 -b11111111101111100101011001010011 H -b1 2 -b1 = -09 -18 -b10111000001111000101111000 < -b11100010000011000001101101000100 : -b11011111001010110010100111001011 $ -b11011111001010110010100111001011 - -b11011111001010110010100111001011 5 -b11011111001010110010100111001011 ? -b11011111001010110010100111001011 D -b11111101000111110000111010000111 % -b11111101000111110000111010000111 . -b11111101000111110000111010000111 6 -b11111101000111110000111010000111 @ -b11111101000111110000111010000111 F -b11111111101111100101011001010011 ) -#540288000 -0& -#540304000 -b10000011111010 , -#540320000 -1& -#540336000 -b11111111111111111111001001111111 " -b11111111111111111111001001111111 4 -b11111111111111111111001001111111 0 -b11111111111111111111001001111111 H -b1 2 -b1 = -19 -18 -b10100000000000001110101010001101 < -b1101010000000000111001111101011 : -b11001001111111111000100101011101 $ -b11001001111111111000100101011101 - -b11001001111111111000100101011101 5 -b11001001111111111000100101011101 ? -b11001001111111111000100101011101 D -b1011111111111110001010101110010 % -b1011111111111110001010101110010 . -b1011111111111110001010101110010 6 -b1011111111111110001010101110010 @ -b1011111111111110001010101110010 F -b11111111111111111111001001111111 ) -#540352000 -0& -#540368000 -b10000011111011 , -#540384000 -1& -#540400000 -b11111111111111111111111001010101 " -b11111111111111111111111001010101 4 -b11111111111111111111111001010101 0 -b11111111111111111111111001010101 H -b1 2 -b1 = -09 -18 -b10000001000001011011000111111001 < -b10000001000001010100011100111100 : -b11111111111111111001010101000010 $ -b11111111111111111001010101000010 - -b11111111111111111001010101000010 5 -b11111111111111111001010101000010 ? -b11111111111111111001010101000010 D -b1111110111110100100111000000110 % -b1111110111110100100111000000110 . -b1111110111110100100111000000110 6 -b1111110111110100100111000000110 @ -b1111110111110100100111000000110 F -b11111111111111111111111001010101 ) -#540416000 -0& -#540432000 -b10000011111100 , -#540448000 -1& -#540464000 -b11111111011111111110011011010111 " -b11111111011111111110011011010111 4 -b11111111011111111110011011010111 0 -b11111111011111111110011011010111 H -b1 2 -b1 = -09 -18 -b101000101111000101111000 < -b11000000100101100101110101100011 : -b10111111111100110110101111101010 $ -b10111111111100110110101111101010 - -b10111111111100110110101111101010 5 -b10111111111100110110101111101010 ? -b10111111111100110110101111101010 D -b11111111010111010000111010000111 % -b11111111010111010000111010000111 . -b11111111010111010000111010000111 6 -b11111111010111010000111010000111 @ -b11111111010111010000111010000111 F -b11111111011111111110011011010111 ) -#540480000 -0& -#540496000 -b10000011111101 , -#540512000 -1& -#540528000 -b11111111111111111111111111110101 " -b11111111111111111111111111110101 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -b1 2 -b1 = -19 -18 -b10000010101001100101001000000111 < -b1111000101001100001100010010100 : -b11110101111111111100011010001100 $ -b11110101111111111100011010001100 - -b11110101111111111100011010001100 5 -b11110101111111111100011010001100 ? -b11110101111111111100011010001100 D -b1111101010110011010110111111000 % -b1111101010110011010110111111000 . -b1111101010110011010110111111000 6 -b1111101010110011010110111111000 @ -b1111101010110011010110111111000 F -b11111111111111111111111111110101 ) -#540544000 -0& -#540560000 -b10000011111110 , -#540576000 -1& -#540592000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b11000000010000011110010111000001 < -b10110101111101011101110110100000 : -b11110101101100111111011111011110 $ -b11110101101100111111011111011110 - -b11110101101100111111011111011110 5 -b11110101101100111111011111011110 ? -b11110101101100111111011111011110 D -b111111101111100001101000111110 % -b111111101111100001101000111110 . -b111111101111100001101000111110 6 -b111111101111100001101000111110 @ -b111111101111100001101000111110 F -b11111111111111111111111111111111 ) -#540608000 -0& -#540624000 -b10000011111111 , -#540640000 -1& -#540656000 -b11111111111111111111101110111011 " -b11111111111111111111101110111011 4 -b11111111111111111111101110111011 0 -b11111111111111111111101110111011 H -b0 2 -b0 = -08 -b1001101000001111011010010 < -b101010111000110100010110 : -b11111111011101110110111001000011 $ -b11111111011101110110111001000011 - -b11111111011101110110111001000011 5 -b11111111011101110110111001000011 ? -b11111111011101110110111001000011 D -b11111110110010111110000100101101 % -b11111110110010111110000100101101 . -b11111110110010111110000100101101 6 -b11111110110010111110000100101101 @ -b11111110110010111110000100101101 F -b11111111111111111111101110111011 ) -#540672000 -0& -#540688000 -b10000100000000 , -#540704000 -1& -#540720000 -b11111111111111100110010011100 " -b11111111111111100110010011100 4 -b11111111111111100110010011100 0 -b11111111111111100110010011100 H -b0 2 -b0 = -08 -b11100111000001010011010011111101 < -b1100111000001000110011101110000 : -b1111111111111110011001001110010 $ -b1111111111111110011001001110010 - -b1111111111111110011001001110010 5 -b1111111111111110011001001110010 ? -b1111111111111110011001001110010 D -b11000111110101100101100000010 % -b11000111110101100101100000010 . -b11000111110101100101100000010 6 -b11000111110101100101100000010 @ -b11000111110101100101100000010 F -b11111111111111100110010011100 ) -#540736000 -0& -#540752000 -b10000100000001 , -#540768000 -1& -#540784000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1101000100000101000111101100100 < -b1100110011101101011010011000100 : -b11111101111101000010010101011111 $ -b11111101111101000010010101011111 - -b11111101111101000010010101011111 5 -b11111101111101000010010101011111 ? -b11111101111101000010010101011111 D -b10010111011111010111000010011011 % -b10010111011111010111000010011011 . -b10010111011111010111000010011011 6 -b10010111011111010111000010011011 @ -b10010111011111010111000010011011 F -b11111111111111111111111111111111 ) -#540800000 -0& -#540816000 -b10000100000010 , -#540832000 -1& -#540848000 -b11111111111110110010111011110001 " -b11111111111110110010111011110001 4 -b11111111111110110010111011110001 0 -b11111111111110110010111011110001 H -b1 2 -b1 = -18 -b100000000100100000001110100 < -b11011101011110011100111000110011 : -b11011001011101111000110110111110 $ -b11011001011101111000110110111110 - -b11011001011101111000110110111110 5 -b11011001011101111000110110111110 ? -b11011001011101111000110110111110 D -b11111011111111011011111110001011 % -b11111011111111011011111110001011 . -b11111011111111011011111110001011 6 -b11111011111111011011111110001011 @ -b11111011111111011011111110001011 F -b11111111111110110010111011110001 ) -#540864000 -0& -#540880000 -b10000100000011 , -#540896000 -1& -#540912000 -b111111001000011100001 " -b111111001000011100001 4 -b111111001000011100001 0 -b111111001000011100001 H -b0 2 -b0 = -08 -19 -b1001000010001000100101110101 < -b10000111010011000000111111100101 : -b1111110010000111000011001101111 $ -b1111110010000111000011001101111 - -b1111110010000111000011001101111 5 -b1111110010000111000011001101111 ? -b1111110010000111000011001101111 D -b11110110111101110111011010001010 % -b11110110111101110111011010001010 . -b11110110111101110111011010001010 6 -b11110110111101110111011010001010 @ -b11110110111101110111011010001010 F -b111111001000011100001 ) -#540928000 -0& -#540944000 -b10000100000100 , -#540960000 -1& -#540976000 -b11101 " -b11101 4 -b11101 0 -b11101 H -b0 2 -b0 = -19 -08 -b10000101000010110110111100101 < -b10000111010100101011011000000000 : -b1110110101100010100100000011010 $ -b1110110101100010100100000011010 - -b1110110101100010100100000011010 5 -b1110110101100010100100000011010 ? -b1110110101100010100100000011010 D -b11101111010111101001001000011010 % -b11101111010111101001001000011010 . -b11101111010111101001001000011010 6 -b11101111010111101001001000011010 @ -b11101111010111101001001000011010 F -b11101 ) -#540992000 -0& -#541008000 -b10000100000101 , -#541024000 -1& -#541040000 -b11111111111111111111111110111101 " -b11111111111111111111111110111101 4 -b11111111111111111111111110111101 0 -b11111111111111111111111110111101 H -09 -b0 2 -b0 = -08 -b101111011000011011011110110011 < -b101111010111011000110010111110 : -b11111111111110111101010100001010 $ -b11111111111110111101010100001010 - -b11111111111110111101010100001010 5 -b11111111111110111101010100001010 ? -b11111111111110111101010100001010 D -b11010000100111100100100001001100 % -b11010000100111100100100001001100 . -b11010000100111100100100001001100 6 -b11010000100111100100100001001100 @ -b11010000100111100100100001001100 F -b11111111111111111111111110111101 ) -#541056000 -0& -#541072000 -b10000100000110 , -#541088000 -1& -#541104000 -b11111100 " -b11111100 4 -b11111100 0 -b11111100 H -19 -b0 2 -b0 = -08 -b110000000100001000010110101000 < -b10101110010111111100010100001101 : -b1111110010011110011111101100100 $ -b1111110010011110011111101100100 - -b1111110010011110011111101100100 5 -b1111110010011110011111101100100 ? -b1111110010011110011111101100100 D -b11001111111011110111101001010111 % -b11001111111011110111101001010111 . -b11001111111011110111101001010111 6 -b11001111111011110111101001010111 @ -b11001111111011110111101001010111 F -b11111100 ) -#541120000 -0& -#541136000 -b10000100000111 , -#541152000 -1& -#541168000 -b11111111111111111111010011001010 " -b11111111111111111111010011001010 4 -b11111111111111111111010011001010 0 -b11111111111111111111010011001010 H -09 -b1 2 -b1 = -18 -b10100000000000000001011101010100 < -b10011111101001100110110101000110 : -b11111111101001100101010111110001 $ -b11111111101001100101010111110001 - -b11111111101001100101010111110001 5 -b11111111101001100101010111110001 ? -b11111111101001100101010111110001 D -b1011111111111111110100010101011 % -b1011111111111111110100010101011 . -b1011111111111111110100010101011 6 -b1011111111111111110100010101011 @ -b1011111111111111110100010101011 F -b11111111111111111111010011001010 ) -#541184000 -0& -#541200000 -b10000100001000 , -#541216000 -1& -#541232000 -b11101111101111110101111110 " -b11101111101111110101111110 4 -b11101111101111110101111110 0 -b11101111101111110101111110 H -19 -b0 2 -b0 = -08 -b10011101101001101001110111010 < -b10001011100101001000001110001000 : -b1110111110111111010111111001101 $ -b1110111110111111010111111001101 - -b1110111110111111010111111001101 5 -b1110111110111111010111111001101 ? -b1110111110111111010111111001101 D -b11101100010010110010110001000101 % -b11101100010010110010110001000101 . -b11101100010010110010110001000101 6 -b11101100010010110010110001000101 @ -b11101100010010110010110001000101 F -b11101111101111110101111110 ) -#541248000 -0& -#541264000 -b10000100001001 , -#541280000 -1& -#541296000 -b11111101100111100111010 " -b11111101100111100111010 4 -b11111101100111100111010 0 -b11111101100111100111010 H -b100010001101101011100001110111 < -b10100001000001011111001011101001 : -b1111110110011110011101001110001 $ -b1111110110011110011101001110001 - -b1111110110011110011101001110001 5 -b1111110110011110011101001110001 ? -b1111110110011110011101001110001 D -b11011101110010010100011110001000 % -b11011101110010010100011110001000 . -b11011101110010010100011110001000 6 -b11011101110010010100011110001000 @ -b11011101110010010100011110001000 F -b11111101100111100111010 ) -#541312000 -0& -#541328000 -b10000100001010 , -#541344000 -1& -#541360000 -b110000011 " -b110000011 4 -b110000011 0 -b110000011 H -b0 2 -b0 = -09 -08 -b10100000001001011001001110101001 < -b1001000000100010101011100 : -b1100000111110101011000110110010 $ -b1100000111110101011000110110010 - -b1100000111110101011000110110010 5 -b1100000111110101011000110110010 ? -b1100000111110101011000110110010 D -b1011111110110100110110001010110 % -b1011111110110100110110001010110 . -b1011111110110100110110001010110 6 -b1011111110110100110110001010110 @ -b1011111110110100110110001010110 F -b110000011 ) -#541376000 -0& -#541392000 -b10000100001011 , -#541408000 -1& -#541424000 -b11111111111111111111111101111111 " -b11111111111111111111111101111111 4 -b11111111111111111111111101111111 0 -b11111111111111111111111101111111 H -b1 2 -b1 = -18 -b11001101100000111001011101101000 < -b10001101011000110010010111001100 : -b10111111110111111000111001100011 $ -b10111111110111111000111001100011 - -b10111111110111111000111001100011 5 -b10111111110111111000111001100011 ? -b10111111110111111000111001100011 D -b110010011111000110100010010111 % -b110010011111000110100010010111 . -b110010011111000110100010010111 6 -b110010011111000110100010010111 @ -b110010011111000110100010010111 F -b11111111111111111111111101111111 ) -#541440000 -0& -#541456000 -b10000100001100 , -#541472000 -1& -#541488000 -b11111111111111110011011111111101 " -b11111111111111110011011111111101 4 -b11111111111111110011011111111101 0 -b11111111111111110011011111111101 H -b1 2 -b1 = -09 -18 -b110000001001111010000 < -b10011100000101101011011011011011 : -b10011011111111101010001100001010 $ -b10011011111111101010001100001010 - -b10011011111111101010001100001010 5 -b10011011111111101010001100001010 ? -b10011011111111101010001100001010 D -b11111111111001111110110000101111 % -b11111111111001111110110000101111 . -b11111111111001111110110000101111 6 -b11111111111001111110110000101111 @ -b11111111111001111110110000101111 F -b11111111111111110011011111111101 ) -#541504000 -0& -#541520000 -b10000100001101 , -#541536000 -1& -#541552000 -b111001111110 " -b111001111110 4 -b111001111110 0 -b111001111110 H -b1 2 -b1 = -18 -b10001000001000001111100000001100 < -b11111100000110001001100000011100 : -b1110011111101111010000000001111 $ -b1110011111101111010000000001111 - -b1110011111101111010000000001111 5 -b1110011111101111010000000001111 ? -b1110011111101111010000000001111 D -b1110111110111110000011111110011 % -b1110111110111110000011111110011 . -b1110111110111110000011111110011 6 -b1110111110111110000011111110011 @ -b1110111110111110000011111110011 F -b111001111110 ) -#541568000 -0& -#541584000 -b10000100001110 , -#541600000 -1& -#541616000 -b11011011111011011011 " -b11011011111011011011 4 -b11011011111011011011 0 -b11011011111011011011 H -b10000000100001011101111010010101 < -b10110111100000010100110000100010 : -b110110111110110110110110001100 $ -b110110111110110110110110001100 - -b110110111110110110110110001100 5 -b110110111110110110110110001100 ? -b110110111110110110110110001100 D -b1111111011110100010000101101010 % -b1111111011110100010000101101010 . -b1111111011110100010000101101010 6 -b1111111011110100010000101101010 @ -b1111111011110100010000101101010 F -b11011011111011011011 ) -#541632000 -0& -#541648000 -b10000100001111 , -#541664000 -1& -#541680000 -b11111111111111111111111010101111 " -b11111111111111111111111010101111 4 -b11111111111111111111111010101111 0 -b11111111111111111111111010101111 H -b1 2 -b1 = -18 -b101110100110001110 < -b11111101011000011110010001110100 : -b11111101010111101111101011100101 $ -b11111101010111101111101011100101 - -b11111101010111101111101011100101 5 -b11111101010111101111101011100101 ? -b11111101010111101111101011100101 D -b11111111111111010001011001110001 % -b11111111111111010001011001110001 . -b11111111111111010001011001110001 6 -b11111111111111010001011001110001 @ -b11111111111111010001011001110001 F -b11111111111111111111111010101111 ) -#541696000 -0& -#541712000 -b10000100010000 , -#541728000 -1& -#541744000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -08 -b11001101001001001001000011000001 < -b1001010101001000100000000111010 : -b1111101011111111010111101111000 $ -b1111101011111111010111101111000 - -b1111101011111111010111101111000 5 -b1111101011111111010111101111000 ? -b1111101011111111010111101111000 D -b110010110110110110111100111110 % -b110010110110110110111100111110 . -b110010110110110110111100111110 6 -b110010110110110110111100111110 @ -b110010110110110110111100111110 F -b1 ) -#541760000 -0& -#541776000 -b10000100010001 , -#541792000 -1& -#541808000 -b1111011000111 " -b1111011000111 4 -b1111011000111 0 -b1111011000111 H -b0 2 -b0 = -19 -08 -b110010000001000111110000101101 < -b10101101001001000010001011000000 : -b1111011000111111010011010010010 $ -b1111011000111111010011010010010 - -b1111011000111111010011010010010 5 -b1111011000111111010011010010010 ? -b1111011000111111010011010010010 D -b11001101111110111000001111010010 % -b11001101111110111000001111010010 . -b11001101111110111000001111010010 6 -b11001101111110111000001111010010 @ -b11001101111110111000001111010010 F -b1111011000111 ) -#541824000 -0& -#541840000 -b10000100010010 , -#541856000 -1& -#541872000 -b11111111111 " -b11111111111 4 -b11111111111 0 -b11111111111 H -b10000100010001111011111001011 < -b10010000100001001100001100100110 : -b1111111111110111100101101011010 $ -b1111111111110111100101101011010 - -b1111111111110111100101101011010 5 -b1111111111110111100101101011010 ? -b1111111111110111100101101011010 D -b11101111011101110000100000110100 % -b11101111011101110000100000110100 . -b11101111011101110000100000110100 6 -b11101111011101110000100000110100 @ -b11101111011101110000100000110100 F -b11111111111 ) -#541888000 -0& -#541904000 -b10000100010011 , -#541920000 -1& -#541936000 -b11111111111111111111101011110101 " -b11111111111111111111101011110101 4 -b11111111111111111111101011110101 0 -b11111111111111111111101011110101 H -b1 2 -b1 = -18 -09 -b10101010011001000001100 < -b11011000000000010010110100101100 : -b11010111101010111111101100011111 $ -b11010111101010111111101100011111 - -b11010111101010111111101100011111 5 -b11010111101010111111101100011111 ? -b11010111101010111111101100011111 D -b11111111101010101100110111110011 % -b11111111101010101100110111110011 . -b11111111101010101100110111110011 6 -b11111111101010101100110111110011 @ -b11111111101010101100110111110011 F -b11111111111111111111101011110101 ) -#541952000 -0& -#541968000 -b10000100010100 , -#541984000 -1& -#542000000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b101000000000001111100100000 < -1# -b1000100101111110010101010110100 : -b111111101111110000101110010011 $ -b111111101111110000101110010011 - -b111111101111110000101110010011 5 -b111111101111110000101110010011 ? -b111111101111110000101110010011 D -b11111010111111111110000011011111 % -b11111010111111111110000011011111 . -b11111010111111111110000011011111 6 -b11111010111111111110000011011111 @ -b11111010111111111110000011011111 F -b0 ) -b1 ( -#542016000 -0& -#542032000 -b10000100010101 , -#542048000 -1& -#542064000 -0! -b11110101111111011000110110 " -b11110101111111011000110110 4 -b11110101111111011000110110 0 -b11110101111111011000110110 H -b0 2 -b0 = -19 -08 -b1001011000000110111001001111010 < -0# -b11000110000000100011100101001110 : -b1111010111111101100011011010011 $ -b1111010111111101100011011010011 - -b1111010111111101100011011010011 5 -b1111010111111101100011011010011 ? -b1111010111111101100011011010011 D -b10110100111111001000110110000101 % -b10110100111111001000110110000101 . -b10110100111111001000110110000101 6 -b10110100111111001000110110000101 @ -b10110100111111001000110110000101 F -b11110101111111011000110110 ) -b0 ( -#542080000 -0& -#542096000 -b10000100010110 , -#542112000 -1& -#542128000 -b11101011111110111 " -b11101011111110111 4 -b11101011111110111 0 -b11101011111110111 H -b1 2 -b1 = -18 -09 -b10000110000110000000001101110001 < -b11111100000101011100100011110010 : -b1110101111111011100010110000000 $ -b1110101111111011100010110000000 - -b1110101111111011100010110000000 5 -b1110101111111011100010110000000 ? -b1110101111111011100010110000000 D -b1111001111001111111110010001110 % -b1111001111001111111110010001110 . -b1111001111001111111110010001110 6 -b1111001111001111111110010001110 @ -b1111001111001111111110010001110 F -b11101011111110111 ) -#542144000 -0& -#542160000 -b10000100010111 , -#542176000 -1& -#542192000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b0 2 -b0 = -08 -b100000001010001011011100001000 < -b11110001010000011101110000100 : -b11111101111111111000010001111011 $ -b11111101111111111000010001111011 - -b11111101111111111000010001111011 5 -b11111101111111111000010001111011 ? -b11111101111111111000010001111011 D -b11011111110101110100100011110111 % -b11011111110101110100100011110111 . -b11011111110101110100100011110111 6 -b11011111110101110100100011110111 @ -b11011111110101110100100011110111 F -b11111111111111111111111111111011 ) -#542208000 -0& -#542224000 -b10000100011000 , -#542240000 -1& -#542256000 -b11111000001111010111 " -b11111000001111010111 4 -b11111000001111010111 0 -b11111000001111010111 H -b1 2 -b1 = -18 -b10000000011001010011001010110100 < -b11111100100000111110111000000011 : -b1111100000111101011101101001110 $ -b1111100000111101011101101001110 - -b1111100000111101011101101001110 5 -b1111100000111101011101101001110 ? -b1111100000111101011101101001110 D -b1111111100110101100110101001011 % -b1111111100110101100110101001011 . -b1111111100110101100110101001011 6 -b1111111100110101100110101001011 @ -b1111111100110101100110101001011 F -b11111000001111010111 ) -#542272000 -0& -#542288000 -b10000100011001 , -#542304000 -1& -#542320000 -b11101010100 " -b11101010100 4 -b11101010100 0 -b11101010100 H -b10000000100000101001001100001011 < -b11110101110100100111000111000101 : -b1110101010011111101111010111001 $ -b1110101010011111101111010111001 - -b1110101010011111101111010111001 5 -b1110101010011111101111010111001 ? -b1110101010011111101111010111001 D -b1111111011111010110110011110100 % -b1111111011111010110110011110100 . -b1111111011111010110110011110100 6 -b1111111011111010110110011110100 @ -b1111111011111010110110011110100 F -b11101010100 ) -#542336000 -0& -#542352000 -b10000100011010 , -#542368000 -1& -#542384000 -b111110011 " -b111110011 4 -b111110011 0 -b111110011 H -b0 2 -b0 = -08 -b10010000000010010110110111001001 < -b1101000001011001111001001010 : -b1111100111111000011000010000000 $ -b1111100111111000011000010000000 - -b1111100111111000011000010000000 5 -b1111100111111000011000010000000 ? -b1111100111111000011000010000000 D -b1101111111101101001001000110110 % -b1101111111101101001001000110110 . -b1101111111101101001001000110110 6 -b1101111111101101001001000110110 @ -b1101111111101101001001000110110 F -b111110011 ) -#542400000 -0& -#542416000 -b10000100011011 , -#542432000 -1& -#542448000 -b110111 " -b110111 4 -b110111 0 -b110111 H -b1 2 -b1 = -18 -b10001000101000010111001011100110 < -b11110111100000010011110100101111 : -b1101110110111111100101001001000 $ -b1101110110111111100101001001000 - -b1101110110111111100101001001000 5 -b1101110110111111100101001001000 ? -b1101110110111111100101001001000 D -b1110111010111101000110100011001 % -b1110111010111101000110100011001 . -b1110111010111101000110100011001 6 -b1110111010111101000110100011001 @ -b1110111010111101000110100011001 F -b110111 ) -#542464000 -0& -#542480000 -b10000100011100 , -#542496000 -1& -#542512000 -b1 " -b1 4 -b1 0 -b1 H -19 -b0 2 -b0 = -08 -b100100000001000000010010000001 < -b10100100000000101001100111110001 : -b1111111111111101001010101101111 $ -b1111111111111101001010101101111 - -b1111111111111101001010101101111 5 -b1111111111111101001010101101111 ? -b1111111111111101001010101101111 D -b11011011111110111111101101111110 % -b11011011111110111111101101111110 . -b11011011111110111111101101111110 6 -b11011011111110111111101101111110 @ -b11011011111110111111101101111110 F -b1 ) -#542528000 -0& -#542544000 -b10000100011101 , -#542560000 -1& -#542576000 -b11 " -b11 4 -b11 0 -b11 H -b0 2 -b0 = -09 -08 -b10000101000000111000100001100010 < -b11010011001101100000000001 : -b1111110010010010100111110011110 $ -b1111110010010010100111110011110 - -b1111110010010010100111110011110 5 -b1111110010010010100111110011110 ? -b1111110010010010100111110011110 D -b1111010111111000111011110011101 % -b1111010111111000111011110011101 . -b1111010111111000111011110011101 6 -b1111010111111000111011110011101 @ -b1111010111111000111011110011101 F -b11 ) -#542592000 -0& -#542608000 -b10000100011110 , -#542624000 -1& -#542640000 -b1101101011011101001 " -b1101101011011101001 4 -b1101101011011101001 0 -b1101101011011101001 H -b1 2 -b1 = -18 -b10010000001000001110010011110101 < -b10101011011111001000100101011000 : -b11011010110111010010001100010 $ -b11011010110111010010001100010 - -b11011010110111010010001100010 5 -b11011010110111010010001100010 ? -b11011010110111010010001100010 D -b1101111110111110001101100001010 % -b1101111110111110001101100001010 . -b1101111110111110001101100001010 6 -b1101111110111110001101100001010 @ -b1101111110111110001101100001010 F -b1101101011011101001 ) -#542656000 -0& -#542672000 -b10000100011111 , -#542688000 -1& -#542704000 -b11011011010001 " -b11011011010001 4 -b11011011010001 0 -b11011011010001 H -b0 2 -b0 = -08 -b10100000011000001010110110001110 < -b1110000000111011000110110001 : -b1101101101000110000010000100010 $ -b1101101101000110000010000100010 - -b1101101101000110000010000100010 5 -b1101101101000110000010000100010 ? -b1101101101000110000010000100010 D -b1011111100111110101001001110001 % -b1011111100111110101001001110001 . -b1011111100111110101001001110001 6 -b1011111100111110101001001110001 @ -b1011111100111110101001001110001 F -b11011011010001 ) -#542720000 -0& -#542736000 -b10000100100000 , -#542752000 -1& -#542768000 -b1111101 " -b1111101 4 -b1111101 0 -b1111101 H -b11000001110010101101111101100111 < -b111111011010101011010010010011 : -b1111101100111111101010100101011 $ -b1111101100111111101010100101011 - -b1111101100111111101010100101011 5 -b1111101100111111101010100101011 ? -b1111101100111111101010100101011 D -b111110001101010010000010011000 % -b111110001101010010000010011000 . -b111110001101010010000010011000 6 -b111110001101010010000010011000 @ -b111110001101010010000010011000 F -b1111101 ) -#542784000 -0& -#542800000 -b10000100100001 , -#542816000 -1& -#542832000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b0 2 -b0 = -08 -b1000010001010001111101000101100 < -b1000001001001100001111010001111 : -b11111110111111010010010001100010 $ -b11111110111111010010010001100010 - -b11111110111111010010010001100010 5 -b11111110111111010010010001100010 ? -b11111110111111010010010001100010 D -b10111101110101110000010111010011 % -b10111101110101110000010111010011 . -b10111101110101110000010111010011 6 -b10111101110101110000010111010011 @ -b10111101110101110000010111010011 F -b11111111111111111111111111011111 ) -#542848000 -0& -#542864000 -b10000100100010 , -#542880000 -1& -#542896000 -b110111001001 " -b110111001001 4 -b110111001001 0 -b110111001001 H -b0 2 -b0 = -08 -b10100000010000011011111111001100 < -b1110100011011011100010010010 : -b1101110010010111111100011000101 $ -b1101110010010111111100011000101 - -b1101110010010111111100011000101 5 -b1101110010010111111100011000101 ? -b1101110010010111111100011000101 D -b1011111101111100100000000110011 % -b1011111101111100100000000110011 . -b1011111101111100100000000110011 6 -b1011111101111100100000000110011 @ -b1011111101111100100000000110011 F -b110111001001 ) -#542912000 -0& -#542928000 -b10000100100011 , -#542944000 -1& -#542960000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11000010010001010111101011100000 < -b11000001011000110001110011101110 : -b11111111000111011010001000001101 $ -b11111111000111011010001000001101 - -b11111111000111011010001000001101 5 -b11111111000111011010001000001101 ? -b11111111000111011010001000001101 D -b111101101110101000010100011111 % -b111101101110101000010100011111 . -b111101101110101000010100011111 6 -b111101101110101000010100011111 @ -b111101101110101000010100011111 F -b11111111111111111111111111111111 ) -#542976000 -0& -#542992000 -b10000100100100 , -#543008000 -1& -#543024000 -b11111111111111111111101011111001 " -b11111111111111111111101011111001 4 -b11111111111111111111101011111001 0 -b11111111111111111111101011111001 H -b1 2 -b1 = -19 -18 -b10000000000000001101010010001100 < -b1010111110011101111010011010100 : -b11010111110011100010000001000111 $ -b11010111110011100010000001000111 - -b11010111110011100010000001000111 5 -b11010111110011100010000001000111 ? -b11010111110011100010000001000111 D -b1111111111111110010101101110011 % -b1111111111111110010101101110011 . -b1111111111111110010101101110011 6 -b1111111111111110010101101110011 @ -b1111111111111110010101101110011 F -b11111111111111111111101011111001 ) -#543040000 -0& -#543056000 -b10000100100101 , -#543072000 -1& -#543088000 -b11111111111111111111111111001110 " -b11111111111111111111111111001110 4 -b11111111111111111111111111001110 0 -b11111111111111111111111111001110 H -b10100001100000101110010110000111 < -b1110000000010011111100011100101 : -b11001110100001110001001101011101 $ -b11001110100001110001001101011101 - -b11001110100001110001001101011101 5 -b11001110100001110001001101011101 ? -b11001110100001110001001101011101 D -b1011110011111010001101001111000 % -b1011110011111010001101001111000 . -b1011110011111010001101001111000 6 -b1011110011111010001101001111000 @ -b1011110011111010001101001111000 F -b11111111111111111111111111001110 ) -#543104000 -0& -#543120000 -b10000100100110 , -#543136000 -1& -#543152000 -b11111101101111111100111010100010 " -b11111101101111111100111010100010 4 -b11111101101111111100111010100010 0 -b11111101101111111100111010100010 H -b10000000000110000000001000011011 < -b1011100000101001110110001001000 : -b11011011111111001110101000101100 $ -b11011011111111001110101000101100 - -b11011011111111001110101000101100 5 -b11011011111111001110101000101100 ? -b11011011111111001110101000101100 D -b1111111111001111111110111100100 % -b1111111111001111111110111100100 . -b1111111111001111111110111100100 6 -b1111111111001111111110111100100 @ -b1111111111001111111110111100100 F -b11111101101111111100111010100010 ) -#543168000 -0& -#543184000 -b10000100100111 , -#543200000 -1& -#543216000 -b11111111111111110111111111111010 " -b11111111111111110111111111111010 4 -b11111111111111110111111111111010 0 -b11111111111111110111111111111010 H -b10000000100000010101010101010000 < -b1000000011111101000011100000110 : -b10111111111111010011000110110101 $ -b10111111111111010011000110110101 - -b10111111111111010011000110110101 5 -b10111111111111010011000110110101 ? -b10111111111111010011000110110101 D -b1111111011111101010101010101111 % -b1111111011111101010101010101111 . -b1111111011111101010101010101111 6 -b1111111011111101010101010101111 @ -b1111111011111101010101010101111 F -b11111111111111110111111111111010 ) -#543232000 -0& -#543248000 -b10000100101000 , -#543264000 -1& -#543280000 -b11111111111111111111111111101111 " -b11111111111111111111111111101111 4 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -b1 2 -b1 = -09 -18 -b10010001000010001101111111101011 < -b10010000000001000100101010010011 : -b11111110111110110110101010100111 $ -b11111110111110110110101010100111 - -b11111110111110110110101010100111 5 -b11111110111110110110101010100111 ? -b11111110111110110110101010100111 D -b1101110111101110010000000010100 % -b1101110111101110010000000010100 . -b1101110111101110010000000010100 6 -b1101110111101110010000000010100 @ -b1101110111101110010000000010100 F -b11111111111111111111111111101111 ) -#543296000 -0& -#543312000 -b10000100101001 , -#543328000 -1& -#543344000 -b11111101111 " -b11111101111 4 -b11111101111 0 -b11111101111 H -b1 2 -b1 = -18 -b10000000101001000111111001101011 < -b11111111100111000100000000100101 : -b1111110111101111100000110111001 $ -b1111110111101111100000110111001 - -b1111110111101111100000110111001 5 -b1111110111101111100000110111001 ? -b1111110111101111100000110111001 D -b1111111010110111000000110010100 % -b1111111010110111000000110010100 . -b1111111010110111000000110010100 6 -b1111111010110111000000110010100 @ -b1111111010110111000000110010100 F -b11111101111 ) -#543360000 -0& -#543376000 -b10000100101010 , -#543392000 -1& -#543408000 -b110 " -b110 4 -b110 0 -b110 H -b0 2 -b0 = -08 -b110001111111001100100 < -b110011100000110100000111110101 : -b110011011010100100001110010000 $ -b110011011010100100001110010000 - -b110011011010100100001110010000 5 -b110011011010100100001110010000 ? -b110011011010100100001110010000 D -b11111111111001110000000110011011 % -b11111111111001110000000110011011 . -b11111111111001110000000110011011 6 -b11111111111001110000000110011011 @ -b11111111111001110000000110011011 F -b110 ) -#543424000 -0& -#543440000 -b10000100101011 , -#543456000 -1& -#543472000 -b11111111111111111110011011111100 " -b11111111111111111110011011111100 4 -b11111111111111111110011011111100 0 -b11111111111111111110011011111100 H -19 -b1 2 -b1 = -18 -b11000000010100100100111010001101 < -b1011100010000111100000011100111 : -b10011011111100010111001001011001 $ -b10011011111100010111001001011001 - -b10011011111100010111001001011001 5 -b10011011111100010111001001011001 ? -b10011011111100010111001001011001 D -b111111101011011011000101110010 % -b111111101011011011000101110010 . -b111111101011011011000101110010 6 -b111111101011011011000101110010 @ -b111111101011011011000101110010 F -b11111111111111111110011011111100 ) -#543488000 -0& -#543504000 -b10000100101100 , -#543520000 -1& -#543536000 -b11111111111111111110011111101001 " -b11111111111111111110011111101001 4 -b11111111111111111110011111101001 0 -b11111111111111111110011111101001 H -b1 2 -b1 = -09 -18 -b10010001000000011100001000010010 < -b10001101111111101111110111111000 : -b11111100111111010011101111100101 $ -b11111100111111010011101111100101 - -b11111100111111010011101111100101 5 -b11111100111111010011101111100101 ? -b11111100111111010011101111100101 D -b1101110111111100011110111101101 % -b1101110111111100011110111101101 . -b1101110111111100011110111101101 6 -b1101110111111100011110111101101 @ -b1101110111111100011110111101101 F -b11111111111111111110011111101001 ) -#543552000 -0& -#543568000 -b10000100101101 , -#543584000 -1& -#543600000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -19 -18 -b10000010010000000101010111000000 < -b1000000001110000010011101111111 : -b10111101111101111101000110111110 $ -b10111101111101111101000110111110 - -b10111101111101111101000110111110 5 -b10111101111101111101000110111110 ? -b10111101111101111101000110111110 D -b1111101101111111010101000111111 % -b1111101101111111010101000111111 . -b1111101101111111010101000111111 6 -b1111101101111111010101000111111 @ -b1111101101111111010101000111111 F -b11111111111111111111111111111111 ) -#543616000 -0& -#543632000 -b10000100101110 , -#543648000 -1& -#543664000 -b111111100111110110110011100 " -b111111100111110110110011100 4 -b111111100111110110110011100 0 -b111111100111110110110011100 H -09 -b0 2 -b0 = -08 -b10000111000111011000001011100 < -b1010000100000110001110100111101 : -b111111100111110110110011100000 $ -b111111100111110110110011100000 - -b111111100111110110110011100000 5 -b111111100111110110110011100000 ? -b111111100111110110110011100000 D -b11101111000111000100111110100011 % -b11101111000111000100111110100011 . -b11101111000111000100111110100011 6 -b11101111000111000100111110100011 @ -b11101111000111000100111110100011 F -b111111100111110110110011100 ) -#543680000 -0& -#543696000 -b10000100101111 , -#543712000 -1& -#543728000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -09 -08 -b10100100100000001110001001100001 < -b100100010011110101011011011101 : -b1111111110011100111010001111011 $ -b1111111110011100111010001111011 - -b1111111110011100111010001111011 5 -b1111111110011100111010001111011 ? -b1111111110011100111010001111011 D -b1011011011111110001110110011110 % -b1011011011111110001110110011110 . -b1011011011111110001110110011110 6 -b1011011011111110001110110011110 @ -b1011011011111110001110110011110 F -b1 ) -#543744000 -0& -#543760000 -b10000100110000 , -#543776000 -1& -#543792000 -b11111111111111111111111110111110 " -b11111111111111111111111110111110 4 -b11111111111111111111111110111110 0 -b11111111111111111111111110111110 H -b1 2 -b1 = -18 -b11000000000001001111110110010000 < -b10111111111001000000001100110011 : -b11111111110111110000010110100010 $ -b11111111110111110000010110100010 - -b11111111110111110000010110100010 5 -b11111111110111110000010110100010 ? -b11111111110111110000010110100010 D -b111111111110110000001001101111 % -b111111111110110000001001101111 . -b111111111110110000001001101111 6 -b111111111110110000001001101111 @ -b111111111110110000001001101111 F -b11111111111111111111111110111110 ) -#543808000 -0& -#543824000 -b10000100110001 , -#543840000 -1& -#543856000 -b11100100101011111111000010111010 " -b11100100101011111111000010111010 4 -b11100100101011111111000010111010 0 -b11100100101011111111000010111010 H -b1 2 -b1 = -19 -18 -b10000011000000111011110011011111 < -b1100111101100111010110110011010 : -b11100100101011111111000010111010 $ -b11100100101011111111000010111010 - -b11100100101011111111000010111010 5 -b11100100101011111111000010111010 ? -b11100100101011111111000010111010 D -b1111100111111000100001100100000 % -b1111100111111000100001100100000 . -b1111100111111000100001100100000 6 -b1111100111111000100001100100000 @ -b1111100111111000100001100100000 F -b11100100101011111111000010111010 ) -#543872000 -0& -#543888000 -b10000100110010 , -#543904000 -1& -#543920000 -b1011111111111 " -b1011111111111 4 -b1011111111111 0 -b1011111111111 H -09 -b0 2 -b0 = -08 -b11001100100000001000100001110 < -b1001001100011110010110001111101 : -b101111111111110001101101101110 $ -b101111111111110001101101101110 - -b101111111111110001101101101110 5 -b101111111111110001101101101110 ? -b101111111111110001101101101110 D -b11100110011011111110111011110001 % -b11100110011011111110111011110001 . -b11100110011011111110111011110001 6 -b11100110011011111110111011110001 @ -b11100110011011111110111011110001 F -b1011111111111 ) -#543936000 -0& -#543952000 -b10000100110011 , -#543968000 -1& -#543984000 -b1001 " -b1001 4 -b1001 0 -b1001 H -b1 2 -b1 = -18 -b10001100110101011001001001100100 < -b11011011011101010110001100011010 : -b1001110100111111101000010110101 $ -b1001110100111111101000010110101 - -b1001110100111111101000010110101 5 -b1001110100111111101000010110101 ? -b1001110100111111101000010110101 D -b1110011001010100110110110011011 % -b1110011001010100110110110011011 . -b1110011001010100110110110011011 6 -b1110011001010100110110110011011 @ -b1110011001010100110110110011011 F -b1001 ) -#544000000 -0& -#544016000 -b10000100110100 , -#544032000 -1& -#544048000 -b11111111111110111111110010000110 " -b11111111111110111111110010000110 4 -b11111111111110111111110010000110 0 -b11111111111110111111110010000110 H -b10010100010000101111110000111100 < -b10010100001000101110000001101110 : -b11111111110111111110010000110001 $ -b11111111110111111110010000110001 - -b11111111110111111110010000110001 5 -b11111111110111111110010000110001 ? -b11111111110111111110010000110001 D -b1101011101111010000001111000011 % -b1101011101111010000001111000011 . -b1101011101111010000001111000011 6 -b1101011101111010000001111000011 @ -b1101011101111010000001111000011 F -b11111111111110111111110010000110 ) -#544064000 -0& -#544080000 -b10000100110101 , -#544096000 -1& -#544112000 -b1101011101011100 " -b1101011101011100 4 -b1101011101011100 0 -b1101011101011100 H -b0 2 -b0 = -08 -19 -b1101011000001000101000111010010 < -b10000101111011111101101011101011 : -b11010111010111000100100011000 $ -b11010111010111000100100011000 - -b11010111010111000100100011000 5 -b11010111010111000100100011000 ? -b11010111010111000100100011000 D -b10010100111110111010111000101101 % -b10010100111110111010111000101101 . -b10010100111110111010111000101101 6 -b10010100111110111010111000101101 @ -b10010100111110111010111000101101 F -b1101011101011100 ) -#544128000 -0& -#544144000 -b10000100110110 , -#544160000 -1& -#544176000 -b110111111111001110101011000100 " -b110111111111001110101011000100 4 -b110111111111001110101011000100 0 -b110111111111001110101011000100 H -b0 2 -b0 = -09 -08 -b10010000000110101010111010111110 < -b101001000010001000111 : -b1101111111110011101010110001000 $ -b1101111111110011101010110001000 - -b1101111111110011101010110001000 5 -b1101111111110011101010110001000 ? -b1101111111110011101010110001000 D -b1101111111001010101000101000001 % -b1101111111001010101000101000001 . -b1101111111001010101000101000001 6 -b1101111111001010101000101000001 @ -b1101111111001010101000101000001 F -b110111111111001110101011000100 ) -#544192000 -0& -#544208000 -b10000100110111 , -#544224000 -1& -#544240000 -b11111111111111111110111111101111 " -b11111111111111111110111111101111 4 -b11111111111111111110111111101111 0 -b11111111111111111110111111101111 H -b0 2 -b0 = -08 -b1100100001000100010001110100 < -b1100000000111100001111111001 : -b11111111011111110111111110000100 $ -b11111111011111110111111110000100 - -b11111111011111110111111110000100 5 -b11111111011111110111111110000100 ? -b11111111011111110111111110000100 D -b11110011011110111011101110001011 % -b11110011011110111011101110001011 . -b11110011011110111011101110001011 6 -b11110011011110111011101110001011 @ -b11110011011110111011101110001011 F -b11111111111111111110111111101111 ) -#544256000 -0& -#544272000 -b10000100111000 , -#544288000 -1& -#544304000 -b11111111111111111111111111001101 " -b11111111111111111111111111001101 4 -b11111111111111111111111111001101 0 -b11111111111111111111111111001101 H -b101100001011000100000001110 < -b101001000000000111011011111 : -b11111111100110101000011011010000 $ -b11111111100110101000011011010000 - -b11111111100110101000011011010000 5 -b11111111100110101000011011010000 ? -b11111111100110101000011011010000 D -b11111010011110100111011111110001 % -b11111010011110100111011111110001 . -b11111010011110100111011111110001 6 -b11111010011110100111011111110001 @ -b11111010011110100111011111110001 F -b11111111111111111111111111001101 ) -#544320000 -0& -#544336000 -b10000100111001 , -#544352000 -1& -#544368000 -b11111111101001111111011001010100 " -b11111111101001111111011001010100 4 -b11111111101001111111011001010100 0 -b11111111101001111111011001010100 H -19 -b1 2 -b1 = -18 -b11001101000000001101001110110111 < -b1110100111101110010011111110100 : -b10100111111101100101010000111100 $ -b10100111111101100101010000111100 - -b10100111111101100101010000111100 5 -b10100111111101100101010000111100 ? -b10100111111101100101010000111100 D -b110010111111110010110001001000 % -b110010111111110010110001001000 . -b110010111111110010110001001000 6 -b110010111111110010110001001000 @ -b110010111111110010110001001000 F -b11111111101001111111011001010100 ) -#544384000 -0& -#544400000 -b10000100111010 , -#544416000 -1& -#544432000 -b11011 " -b11011 4 -b11011 0 -b11011 H -b0 2 -b0 = -08 -09 -b10010000110010001101000001100101 < -b10001101001111001110001 : -b1101111011111011100111000001011 $ -b1101111011111011100111000001011 - -b1101111011111011100111000001011 5 -b1101111011111011100111000001011 ? -b1101111011111011100111000001011 D -b1101111001101110010111110011010 % -b1101111001101110010111110011010 . -b1101111001101110010111110011010 6 -b1101111001101110010111110011010 @ -b1101111001101110010111110011010 F -b11011 ) -#544448000 -0& -#544464000 -b10000100111011 , -#544480000 -1& -#544496000 -b1111111 " -b1111111 4 -b1111111 0 -b1111111 H -b0 2 -b0 = -08 -b10000011100010011111001000 < -b1000001101011011011001000110001 : -b111111100111111000101001101000 $ -b111111100111111000101001101000 - -b111111100111111000101001101000 5 -b111111100111111000101001101000 ? -b111111100111111000101001101000 D -b11111101111100011101100000110111 % -b11111101111100011101100000110111 . -b11111101111100011101100000110111 6 -b11111101111100011101100000110111 @ -b11111101111100011101100000110111 F -b1111111 ) -#544512000 -0& -#544528000 -b10000100111100 , -#544544000 -1& -#544560000 -b11111111111101001001001100000 " -b11111111111101001001001100000 4 -b11111111111101001001001100000 0 -b11111111111101001001001100000 H -b0 2 -b0 = -19 -08 -b100011000000100001110111101 < -b10000100010110101000110100111110 : -b1111111111110100100100110000000 $ -b1111111111110100100100110000000 - -b1111111111110100100100110000000 5 -b1111111111110100100100110000000 ? -b1111111111110100100100110000000 D -b11111011100111111011110001000010 % -b11111011100111111011110001000010 . -b11111011100111111011110001000010 6 -b11111011100111111011110001000010 @ -b11111011100111111011110001000010 F -b11111111111101001001001100000 ) -#544576000 -0& -#544592000 -b10000100111101 , -#544608000 -1& -#544624000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -19 -b10000000100000100111011101000011 < -b1000000011100001001111010111011 : -b10111111111011100010011101110111 $ -b10111111111011100010011101110111 - -b10111111111011100010011101110111 5 -b10111111111011100010011101110111 ? -b10111111111011100010011101110111 D -b1111111011111011000100010111100 % -b1111111011111011000100010111100 . -b1111111011111011000100010111100 6 -b1111111011111011000100010111100 @ -b1111111011111011000100010111100 F -b11111111111111111111111111111011 ) -#544640000 -0& -#544656000 -b10000100111110 , -#544672000 -1& -#544688000 -b1101010 " -b1101010 4 -b1101010 0 -b1101010 H -b0 2 -b0 = -08 -b100001011000000101110111000111 < -b10001100010101101100111001000111 : -b1101010111101100111000001111111 $ -b1101010111101100111000001111111 - -b1101010111101100111000001111111 5 -b1101010111101100111000001111111 ? -b1101010111101100111000001111111 D -b11011110100111111010001000111000 % -b11011110100111111010001000111000 . -b11011110100111111010001000111000 6 -b11011110100111111010001000111000 @ -b11011110100111111010001000111000 F -b1101010 ) -#544704000 -0& -#544720000 -b10000100111111 , -#544736000 -1& -#544752000 -b11111110111101101010011 " -b11111110111101101010011 4 -b11111110111101101010011 0 -b11111110111101101010011 H -b0 2 -b0 = -09 -08 -b11011010000000001101111100011000 < -b11001101111101000100011011111 : -b111111101111011010100111000110 $ -b111111101111011010100111000110 - -b111111101111011010100111000110 5 -b111111101111011010100111000110 ? -b111111101111011010100111000110 D -b100101111111110010000011100111 % -b100101111111110010000011100111 . -b100101111111110010000011100111 6 -b100101111111110010000011100111 @ -b100101111111110010000011100111 F -b11111110111101101010011 ) -#544768000 -0& -#544784000 -b10000101000000 , -#544800000 -1& -#544816000 -b111 " -b111 4 -b111 0 -b111 H -b1 2 -b1 = -18 -b10101010000010010110010100100100 < -b11101010000000111100111010011001 : -b111111111110100110100101110100 $ -b111111111110100110100101110100 - -b111111111110100110100101110100 5 -b111111111110100110100101110100 ? -b111111111110100110100101110100 D -b1010101111101101001101011011011 % -b1010101111101101001101011011011 . -b1010101111101101001101011011011 6 -b1010101111101101001101011011011 @ -b1010101111101101001101011011011 F -b111 ) -#544832000 -0& -#544848000 -b10000101000001 , -#544864000 -1& -#544880000 -b11111111111111111111100101111101 " -b11111111111111111111100101111101 4 -b11111111111111111111100101111101 0 -b11111111111111111111100101111101 H -b0 2 -b0 = -08 -b1101000000000001000111111001100 < -b110011111010111101011010010011 : -b11001011111010110100011011000110 $ -b11001011111010110100011011000110 - -b11001011111010110100011011000110 5 -b11001011111010110100011011000110 ? -b11001011111010110100011011000110 D -b10010111111111110111000000110011 % -b10010111111111110111000000110011 . -b10010111111111110111000000110011 6 -b10010111111111110111000000110011 @ -b10010111111111110111000000110011 F -b11111111111111111111100101111101 ) -#544896000 -0& -#544912000 -b10000101000010 , -#544928000 -1& -#544944000 -b101 " -b101 4 -b101 0 -b101 H -b1 2 -b1 = -18 -b10000000000000010011011011000100 < -b10101111111110000101001100001111 : -b101111111101110001110001001010 $ -b101111111101110001110001001010 - -b101111111101110001110001001010 5 -b101111111101110001110001001010 ? -b101111111101110001110001001010 D -b1111111111111101100100100111011 % -b1111111111111101100100100111011 . -b1111111111111101100100100111011 6 -b1111111111111101100100100111011 @ -b1111111111111101100100100111011 F -b101 ) -#544960000 -0& -#544976000 -b10000101000011 , -#544992000 -1& -#545008000 -b111100101111010000 " -b111100101111010000 4 -b111100101111010000 0 -b111100101111010000 H -b10000000000010101100101000110010 < -b11111001100001001110011010100100 : -b1111001011110100001110001110001 $ -b1111001011110100001110001110001 - -b1111001011110100001110001110001 5 -b1111001011110100001110001110001 ? -b1111001011110100001110001110001 D -b1111111111101010011010111001101 % -b1111111111101010011010111001101 . -b1111111111101010011010111001101 6 -b1111111111101010011010111001101 @ -b1111111111101010011010111001101 F -b111100101111010000 ) -#545024000 -0& -#545040000 -b10000101000100 , -#545056000 -1& -#545072000 -b1101101 " -b1101101 4 -b1101101 0 -b1101101 H -b0 2 -b0 = -08 -b10101010000000000000111010100111 < -b10111111011111010110111011011 : -b1101101111011111001111100110011 $ -b1101101111011111001111100110011 - -b1101101111011111001111100110011 5 -b1101101111011111001111100110011 ? -b1101101111011111001111100110011 D -b1010101111111111111000101011000 % -b1010101111111111111000101011000 . -b1010101111111111111000101011000 6 -b1010101111111111111000101011000 @ -b1010101111111111111000101011000 F -b1101101 ) -#545088000 -0& -#545104000 -b10000101000101 , -#545120000 -1& -#545136000 -b11111111111110110110101101011100 " -b11111111111110110110101101011100 4 -b11111111111110110110101101011100 0 -b11111111111110110110101101011100 H -b1 2 -b1 = -18 -b11000100000010110010111100011000 < -b11000001110000001101110110011000 : -b11111101101101011010111001111111 $ -b11111101101101011010111001111111 - -b11111101101101011010111001111111 5 -b11111101101101011010111001111111 ? -b11111101101101011010111001111111 D -b111011111101001101000011100111 % -b111011111101001101000011100111 . -b111011111101001101000011100111 6 -b111011111101001101000011100111 @ -b111011111101001101000011100111 F -b11111111111110110110101101011100 ) -#545152000 -0& -#545168000 -b10000101000110 , -#545184000 -1& -#545200000 -b11 " -b11 4 -b11 0 -b11 H -b1 2 -b1 = -18 -09 -b10000110010110001001111101000101 < -b10010101110110000111000101111001 : -b1111011111111101001000110011 $ -b1111011111111101001000110011 - -b1111011111111101001000110011 5 -b1111011111111101001000110011 ? -b1111011111111101001000110011 D -b1111001101001110110000010111010 % -b1111001101001110110000010111010 . -b1111001101001110110000010111010 6 -b1111001101001110110000010111010 @ -b1111001101001110110000010111010 F -b11 ) -#545216000 -0& -#545232000 -b10000101000111 , -#545248000 -1& -#545264000 -b11111111111111111111100111110011 " -b11111111111111111111100111110011 4 -b11111111111111111111100111110011 0 -b11111111111111111111100111110011 H -b0 2 -b0 = -08 -b1110101000100000110000101110 < -b10100010011100001110001001 : -b11110011111001111011011101011010 $ -b11110011111001111011011101011010 - -b11110011111001111011011101011010 5 -b11110011111001111011011101011010 ? -b11110011111001111011011101011010 D -b11110001010111011111001111010001 % -b11110001010111011111001111010001 . -b11110001010111011111001111010001 6 -b11110001010111011111001111010001 @ -b11110001010111011111001111010001 F -b11111111111111111111100111110011 ) -#545280000 -0& -#545296000 -b10000101001000 , -#545312000 -1& -#545328000 -b1001 " -b1001 4 -b1001 0 -b1001 H -b1 2 -b1 = -18 -b10001100000000001000101010100100 < -b11011010000111000101100101101011 : -b1001110000110111100111011000110 $ -b1001110000110111100111011000110 - -b1001110000110111100111011000110 5 -b1001110000110111100111011000110 ? -b1001110000110111100111011000110 D -b1110011111111110111010101011011 % -b1110011111111110111010101011011 . -b1110011111111110111010101011011 6 -b1110011111111110111010101011011 @ -b1110011111111110111010101011011 F -b1001 ) -#545344000 -0& -#545360000 -b10000101001001 , -#545376000 -1& -#545392000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1100000000000000000010101100000 < -b11111111111110110001101111001 : -b10111111111111110101111000011000 $ -b10111111111111110101111000011000 - -b10111111111111110101111000011000 5 -b10111111111111110101111000011000 ? -b10111111111111110101111000011000 D -b10011111111111111111101010011111 % -b10011111111111111111101010011111 . -b10011111111111111111101010011111 6 -b10011111111111111111101010011111 @ -b10011111111111111111101010011111 F -b11111111111111111111111111111111 ) -#545408000 -0& -#545424000 -b10000101001010 , -#545440000 -1& -#545456000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -18 -b11000001001010011010010010000100 < -b10111000000101001101101000101011 : -b11110110111010110011010110100110 $ -b11110110111010110011010110100110 - -b11110110111010110011010110100110 5 -b11110110111010110011010110100110 ? -b11110110111010110011010110100110 D -b111110110101100101101101111011 % -b111110110101100101101101111011 . -b111110110101100101101101111011 6 -b111110110101100101101101111011 @ -b111110110101100101101101111011 F -b11111111111111111111111111111110 ) -#545472000 -0& -#545488000 -b10000101001011 , -#545504000 -1& -#545520000 -b1010111110000 " -b1010111110000 4 -b1010111110000 0 -b1010111110000 H -b1 2 -b1 = -18 -b10000010000010001101100011001101 < -b11011001110011000000010011111010 : -b1010111110000110010110000101100 $ -b1010111110000110010110000101100 - -b1010111110000110010110000101100 5 -b1010111110000110010110000101100 ? -b1010111110000110010110000101100 D -b1111101111101110010011100110010 % -b1111101111101110010011100110010 . -b1111101111101110010011100110010 6 -b1111101111101110010011100110010 @ -b1111101111101110010011100110010 F -b1010111110000 ) -#545536000 -0& -#545552000 -b10000101001100 , -#545568000 -1& -#545584000 -b11101111100010110011100 " -b11101111100010110011100 4 -b11101111100010110011100 0 -b11101111100010110011100 H -b10000001010000010001010001010111 < -b11111001000001101011000010001101 : -b1110111110001011001110000110101 $ -b1110111110001011001110000110101 - -b1110111110001011001110000110101 5 -b1110111110001011001110000110101 ? -b1110111110001011001110000110101 D -b1111110101111101110101110101000 % -b1111110101111101110101110101000 . -b1111110101111101110101110101000 6 -b1111110101111101110101110101000 @ -b1111110101111101110101110101000 F -b11101111100010110011100 ) -#545600000 -0& -#545616000 -b10000101001101 , -#545632000 -1& -#545648000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10011010000001100111101000001 < -b11111011001111111000110010000101 : -b11100111111111101011110101000011 $ -b11100111111111101011110101000011 - -b11100111111111101011110101000011 5 -b11100111111111101011110101000011 ? -b11100111111111101011110101000011 D -b11101100101111110011000010111110 % -b11101100101111110011000010111110 . -b11101100101111110011000010111110 6 -b11101100101111110011000010111110 @ -b11101100101111110011000010111110 F -b11111111111111111111111111111111 ) -#545664000 -0& -#545680000 -b10000101001110 , -#545696000 -1& -#545712000 -b1111111000111110000011 " -b1111111000111110000011 4 -b1111111000111110000011 0 -b1111111000111110000011 H -b0 2 -b0 = -08 -b100000100100101110100001110111 < -b1100000001000100110110000111100 : -b111111100011111000001111000100 $ -b111111100011111000001111000100 - -b111111100011111000001111000100 5 -b111111100011111000001111000100 ? -b111111100011111000001111000100 D -b11011111011011010001011110001000 % -b11011111011011010001011110001000 . -b11011111011011010001011110001000 6 -b11011111011011010001011110001000 @ -b11011111011011010001011110001000 F -b1111111000111110000011 ) -#545728000 -0& -#545744000 -b10000101001111 , -#545760000 -1& -#545776000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -09 -b10100000000010000111001001001001 < -b10011111111111110000111001001000 : -b11111111111101101001101111111110 $ -b11111111111101101001101111111110 - -b11111111111101101001101111111110 5 -b11111111111101101001101111111110 ? -b11111111111101101001101111111110 D -b1011111111101111000110110110110 % -b1011111111101111000110110110110 . -b1011111111101111000110110110110 6 -b1011111111101111000110110110110 @ -b1011111111101111000110110110110 F -b11111111111111111111111111111111 ) -#545792000 -0& -#545808000 -b10000101010000 , -#545824000 -1& -#545840000 -b11111110011111111000110001010100 " -b11111110011111111000110001010100 4 -b11111110011111111000110001010100 0 -b11111110011111111000110001010100 H -b11000110000010000010100101111100 < -b10111010000001001000110000011101 : -b11110011111111000110001010100000 $ -b11110011111111000110001010100000 - -b11110011111111000110001010100000 5 -b11110011111111000110001010100000 ? -b11110011111111000110001010100000 D -b111001111101111101011010000011 % -b111001111101111101011010000011 . -b111001111101111101011010000011 6 -b111001111101111101011010000011 @ -b111001111101111101011010000011 F -b11111110011111111000110001010100 ) -#545856000 -0& -#545872000 -b10000101010001 , -#545888000 -1& -#545904000 -b1010010001111001001111 " -b1010010001111001001111 4 -b1010010001111001001111 0 -b1010010001111001001111 H -b0 2 -b0 = -08 -b100000100100101111101010110 < -b1010110010011101111111010000110 : -b1010010001111001001111100101111 $ -b1010010001111001001111100101111 - -b1010010001111001001111100101111 5 -b1010010001111001001111100101111 ? -b1010010001111001001111100101111 D -b11111011111011011010000010101001 % -b11111011111011011010000010101001 . -b11111011111011011010000010101001 6 -b11111011111011011010000010101001 @ -b11111011111011011010000010101001 F -b1010010001111001001111 ) -#545920000 -0& -#545936000 -b10000101010010 , -#545952000 -1& -#545968000 -b11111111111111011111110111010111 " -b11111111111111011111110111010111 4 -b11111111111111011111110111010111 0 -b11111111111111011111110111010111 H -b0 2 -b0 = -08 -09 -b110001000000000101000011111001 < -b110000011111111100011011110001 : -b11111111011111110111010111110111 $ -b11111111011111110111010111110111 - -b11111111011111110111010111110111 5 -b11111111011111110111010111110111 ? -b11111111011111110111010111110111 D -b11001110111111111010111100000110 % -b11001110111111111010111100000110 . -b11001110111111111010111100000110 6 -b11001110111111111010111100000110 @ -b11001110111111111010111100000110 F -b11111111111111011111110111010111 ) -#545984000 -0& -#546000000 -b10000101010011 , -#546016000 -1& -#546032000 -b11111110101110101000101000010010 " -b11111110101110101000101000010010 4 -b11111110101110101000101000010010 0 -b11111110101110101000101000010010 H -b1 2 -b1 = -18 -19 -b10011110010001010000010001111010 < -b1110101100101100100011011001101 : -b11010111010100010100001001010010 $ -b11010111010100010100001001010010 - -b11010111010100010100001001010010 5 -b11010111010100010100001001010010 ? -b11010111010100010100001001010010 D -b1100001101110101111101110000101 % -b1100001101110101111101110000101 . -b1100001101110101111101110000101 6 -b1100001101110101111101110000101 @ -b1100001101110101111101110000101 F -b11111110101110101000101000010010 ) -#546048000 -0& -#546064000 -b10000101010100 , -#546080000 -1& -#546096000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b0 2 -b0 = -09 -08 -b101000100100001011001001101011 < -b101000011000110010111001110111 : -b11111111110100100111110000001011 $ -b11111111110100100111110000001011 - -b11111111110100100111110000001011 5 -b11111111110100100111110000001011 ? -b11111111110100100111110000001011 D -b11010111011011110100110110010100 % -b11010111011011110100110110010100 . -b11010111011011110100110110010100 6 -b11010111011011110100110110010100 @ -b11010111011011110100110110010100 F -b11111111111111111111111111111101 ) -#546112000 -0& -#546128000 -b10000101010101 , -#546144000 -1& -#546160000 -b11100000101011110111 " -b11100000101011110111 4 -b11100000101011110111 0 -b11100000101011110111 H -b0 2 -b0 = -08 -b101101110000101010001110100 < -b1110110000100000001001110001101 : -b1110000010101111011111100011000 $ -b1110000010101111011111100011000 - -b1110000010101111011111100011000 5 -b1110000010101111011111100011000 ? -b1110000010101111011111100011000 D -b11111010010001111010101110001011 % -b11111010010001111010101110001011 . -b11111010010001111010101110001011 6 -b11111010010001111010101110001011 @ -b11111010010001111010101110001011 F -b11100000101011110111 ) -#546176000 -0& -#546192000 -b10000101010110 , -#546208000 -1& -#546224000 -b101111010100101110110 " -b101111010100101110110 4 -b101111010100101110110 0 -b101111010100101110110 H -b10101000100000010011110010101 < -b1110011101101011111111111001100 : -b1011110101001011101100000110110 $ -b1011110101001011101100000110110 - -b1011110101001011101100000110110 5 -b1011110101001011101100000110110 ? -b1011110101001011101100000110110 D -b11101010111011111101100001101010 % -b11101010111011111101100001101010 . -b11101010111011111101100001101010 6 -b11101010111011111101100001101010 @ -b11101010111011111101100001101010 F -b101111010100101110110 ) -#546240000 -0& -#546256000 -b10000101010111 , -#546272000 -1& -#546288000 -b1101111110010110000 " -b1101111110010110000 4 -b1101111110010110000 0 -b1101111110010110000 H -b1 2 -b1 = -09 -18 -b10000101110000110100101001010011 < -b11110101100011100101000101010111 : -b1101111110010110000011100000011 $ -b1101111110010110000011100000011 - -b1101111110010110000011100000011 5 -b1101111110010110000011100000011 ? -b1101111110010110000011100000011 D -b1111010001111001011010110101100 % -b1111010001111001011010110101100 . -b1111010001111001011010110101100 6 -b1111010001111001011010110101100 @ -b1111010001111001011010110101100 F -b1101111110010110000 ) -#546304000 -0& -#546320000 -b10000101011000 , -#546336000 -1& -#546352000 -b11111110111010110 " -b11111110111010110 4 -b11111110111010110 0 -b11111110111010110 H -b10001000001100101010100000010010 < -b11000111111011010110101010101110 : -b111111101110101100001010011011 $ -b111111101110101100001010011011 - -b111111101110101100001010011011 5 -b111111101110101100001010011011 ? -b111111101110101100001010011011 D -b1110111110011010101011111101101 % -b1110111110011010101011111101101 . -b1110111110011010101011111101101 6 -b1110111110011010101011111101101 @ -b1110111110011010101011111101101 F -b11111110111010110 ) -#546368000 -0& -#546384000 -b10000101011001 , -#546400000 -1& -#546416000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b11000001010111101101101101100110 < -b10111001010101110110100000011101 : -b11110111111110001000110010110110 $ -b11110111111110001000110010110110 - -b11110111111110001000110010110110 5 -b11110111111110001000110010110110 ? -b11110111111110001000110010110110 D -b111110101000010010010010011001 % -b111110101000010010010010011001 . -b111110101000010010010010011001 6 -b111110101000010010010010011001 @ -b111110101000010010010010011001 F -b11111111111111111111111111111011 ) -#546432000 -0& -#546448000 -b10000101011010 , -#546464000 -1& -#546480000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -19 -18 -b10000000100000000100110111000000 < -b1111011111101111110000110110111 : -b11111011011101111001001111110110 $ -b11111011011101111001001111110110 - -b11111011011101111001001111110110 5 -b11111011011101111001001111110110 ? -b11111011011101111001001111110110 D -b1111111011111111011001000111111 % -b1111111011111111011001000111111 . -b1111111011111111011001000111111 6 -b1111111011111111011001000111111 @ -b1111111011111111011001000111111 F -b11111111111111111111111111111111 ) -#546496000 -0& -#546512000 -b10000101011011 , -#546528000 -1& -#546544000 -b11111111111001111011111010001110 " -b11111111111001111011111010001110 4 -b11111111111001111011111010001110 0 -b11111111111001111011111010001110 H -b1 2 -b1 = -09 -18 -b1001100010000111101100111110101 < -b11101011001111100001010010011001 : -b10011110111110100011101010100011 $ -b10011110111110100011101010100011 - -b10011110111110100011101010100011 5 -b10011110111110100011101010100011 ? -b10011110111110100011101010100011 D -b10110011101111000010011000001010 % -b10110011101111000010011000001010 . -b10110011101111000010011000001010 6 -b10110011101111000010011000001010 @ -b10110011101111000010011000001010 F -b11111111111001111011111010001110 ) -#546560000 -0& -#546576000 -b10000101011100 , -#546592000 -1& -#546608000 -b11101111101111 " -b11101111101111 4 -b11101111101111 0 -b11101111101111 H -b0 2 -b0 = -08 -19 -b10100100000000111111110001110 < -b10001100011000000011101111000001 : -b1110111110111111011110000110010 $ -b1110111110111111011110000110010 - -b1110111110111111011110000110010 5 -b1110111110111111011110000110010 ? -b1110111110111111011110000110010 D -b11101011011111111000000001110001 % -b11101011011111111000000001110001 . -b11101011011111111000000001110001 6 -b11101011011111111000000001110001 @ -b11101011011111111000000001110001 F -b11101111101111 ) -#546624000 -0& -#546640000 -b10000101011101 , -#546656000 -1& -#546672000 -b11111111101001110110101010101101 " -b11111111101001110110101010101101 4 -b11111111101001110110101010101101 0 -b11111111101001110110101010101101 H -b1 2 -b1 = -18 -09 -b100010000001000000110111001 < -b11101110000110110010110100100000 : -b11101001110110101010101101100110 $ -b11101001110110101010101101100110 - -b11101001110110101010101101100110 5 -b11101001110110101010101101100110 ? -b11101001110110101010101101100110 D -b11111011101111110111111001000110 % -b11111011101111110111111001000110 . -b11111011101111110111111001000110 6 -b11111011101111110111111001000110 @ -b11111011101111110111111001000110 F -b11111111101001110110101010101101 ) -#546688000 -0& -#546704000 -b10000101011110 , -#546720000 -1& -#546736000 -b111110111100110101011111101010 " -b111110111100110101011111101010 4 -b111110111100110101011111101010 0 -b111110111100110101011111101010 H -b0 2 -b0 = -08 -19 -b11011101000000111111101111110 < -b10011001100001110010111101010100 : -b1111101111001101010111111010101 $ -b1111101111001101010111111010101 - -b1111101111001101010111111010101 5 -b1111101111001101010111111010101 ? -b1111101111001101010111111010101 D -b11100100010111111000000010000001 % -b11100100010111111000000010000001 . -b11100100010111111000000010000001 6 -b11100100010111111000000010000001 @ -b11100100010111111000000010000001 F -b111110111100110101011111101010 ) -#546752000 -0& -#546768000 -b10000101011111 , -#546784000 -1& -#546800000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b1001010001010000011011110000000 < -b110010000111100101000010000010 : -b11100111111101100001100100000001 $ -b11100111111101100001100100000001 - -b11100111111101100001100100000001 5 -b11100111111101100001100100000001 ? -b11100111111101100001100100000001 D -b10110101110101111100100001111111 % -b10110101110101111100100001111111 . -b10110101110101111100100001111111 6 -b10110101110101111100100001111111 @ -b10110101110101111100100001111111 F -b11111111111111111111111111111111 ) -#546816000 -0& -#546832000 -b10000101100000 , -#546848000 -1& -#546864000 -b1111111001111101110100100010 " -b1111111001111101110100100010 4 -b1111111001111101110100100010 0 -b1111111001111101110100100010 H -b0 2 -b0 = -08 -b11001000001000100000111101111100 < -b1000111011000001111100010010100 : -b1111111001111101110100100010111 $ -b1111111001111101110100100010111 - -b1111111001111101110100100010111 5 -b1111111001111101110100100010111 ? -b1111111001111101110100100010111 D -b110111110111011111000010000011 % -b110111110111011111000010000011 . -b110111110111011111000010000011 6 -b110111110111011111000010000011 @ -b110111110111011111000010000011 F -b1111111001111101110100100010 ) -#546880000 -0& -#546896000 -b10000101100001 , -#546912000 -1& -#546928000 -b11101010011111 " -b11101010011111 4 -b11101010011111 0 -b11101010011111 H -b1 2 -b1 = -18 -b10000100000001001010100111001110 < -b11111001010001000010010101001110 : -b1110101001111110111101101111111 $ -b1110101001111110111101101111111 - -b1110101001111110111101101111111 5 -b1110101001111110111101101111111 ? -b1110101001111110111101101111111 D -b1111011111110110101011000110001 % -b1111011111110110101011000110001 . -b1111011111110110101011000110001 6 -b1111011111110110101011000110001 @ -b1111011111110110101011000110001 F -b11101010011111 ) -#546944000 -0& -#546960000 -b10000101100010 , -#546976000 -1& -#546992000 -b11110100111100111110110010101111 " -b11110100111100111110110010101111 4 -b11110100111100111110110010101111 0 -b11110100111100111110110010101111 H -19 -b1 2 -b1 = -18 -b10010010100001110100111001011110 < -b1111100011011110010011110111110 : -b11101001111001111101100101011111 $ -b11101001111001111101100101011111 - -b11101001111001111101100101011111 5 -b11101001111001111101100101011111 ? -b11101001111001111101100101011111 D -b1101101011110001011000110100001 % -b1101101011110001011000110100001 . -b1101101011110001011000110100001 6 -b1101101011110001011000110100001 @ -b1101101011110001011000110100001 F -b11110100111100111110110010101111 ) -#547008000 -0& -#547024000 -b10000101100011 , -#547040000 -1& -#547056000 -b11111100010111001010101001000000 " -b11111100010111001010101001000000 4 -b11111100010111001010101001000000 0 -b11111100010111001010101001000000 H -b1 2 -b1 = -09 -18 -b10000000011001000011110 < -b11111000111110011000011010100000 : -b11111000101110010101010010000001 $ -b11111000101110010101010010000001 - -b11111000101110010101010010000001 5 -b11111000101110010101010010000001 ? -b11111000101110010101010010000001 D -b11111111101111111100110111100001 % -b11111111101111111100110111100001 . -b11111111101111111100110111100001 6 -b11111111101111111100110111100001 @ -b11111111101111111100110111100001 F -b11111100010111001010101001000000 ) -#547072000 -0& -#547088000 -b10000101100100 , -#547104000 -1& -#547120000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b0 2 -b0 = -08 -b1010100000100001110100110000011 < -b111111111001101111111100110110 : -b11101011110101100001010110110010 $ -b11101011110101100001010110110010 - -b11101011110101100001010110110010 5 -b11101011110101100001010110110010 ? -b11101011110101100001010110110010 D -b10101011111011110001011001111100 % -b10101011111011110001011001111100 . -b10101011111011110001011001111100 6 -b10101011111011110001011001111100 @ -b10101011111011110001011001111100 F -b11111111111111111111111111111110 ) -#547136000 -0& -#547152000 -b10000101100101 , -#547168000 -1& -#547184000 -b11101 " -b11101 4 -b11101 0 -b11101 H -b1 2 -b1 = -18 -b10000100010100011100010111100110 < -b10111111000011001110101011000001 : -b111010101110110010010011011010 $ -b111010101110110010010011011010 - -b111010101110110010010011011010 5 -b111010101110110010010011011010 ? -b111010101110110010010011011010 D -b1111011101011100011101000011001 % -b1111011101011100011101000011001 . -b1111011101011100011101000011001 6 -b1111011101011100011101000011001 @ -b1111011101011100011101000011001 F -b11101 ) -#547200000 -0& -#547216000 -b10000101100110 , -#547232000 -1& -#547248000 -b1111010101011111001000011 " -b1111010101011111001000011 4 -b1111010101011111001000011 0 -b1111010101011111001000011 H -b0 2 -b0 = -08 -b11100001000110110001000000111001 < -b1011011110010101010000100010100 : -b1111010101011111001000011011010 $ -b1111010101011111001000011011010 - -b1111010101011111001000011011010 5 -b1111010101011111001000011011010 ? -b1111010101011111001000011011010 D -b11110111001001110111111000110 % -b11110111001001110111111000110 . -b11110111001001110111111000110 6 -b11110111001001110111111000110 @ -b11110111001001110111111000110 F -b1111010101011111001000011 ) -#547264000 -0& -#547280000 -b10000101100111 , -#547296000 -1& -#547312000 -b11111111111111111111111101111111 " -b11111111111111111111111101111111 4 -b11111111111111111111111101111111 0 -b11111111111111111111111101111111 H -b1 2 -b1 = -18 -b11100001001100001010111100001000 < -b10100001001000000010000001111011 : -b10111111111011110111000101110010 $ -b10111111111011110111000101110010 - -b10111111111011110111000101110010 5 -b10111111111011110111000101110010 ? -b10111111111011110111000101110010 D -b11110110011110101000011110111 % -b11110110011110101000011110111 . -b11110110011110101000011110111 6 -b11110110011110101000011110111 @ -b11110110011110101000011110111 F -b11111111111111111111111101111111 ) -#547328000 -0& -#547344000 -b10000101101000 , -#547360000 -1& -#547376000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b0 2 -b0 = -08 -b1010100000000010001101101000101 < -b1001001110110111011100111110011 : -b11110101110110101001111010101101 $ -b11110101110110101001111010101101 - -b11110101110110101001111010101101 5 -b11110101110110101001111010101101 ? -b11110101110110101001111010101101 D -b10101011111111101110010010111010 % -b10101011111111101110010010111010 . -b10101011111111101110010010111010 6 -b10101011111111101110010010111010 @ -b10101011111111101110010010111010 F -b11111111111111111111111111111101 ) -#547392000 -0& -#547408000 -b10000101101001 , -#547424000 -1& -#547440000 -b11111000111111101 " -b11111000111111101 4 -b11111000111111101 0 -b11111000111111101 H -b0 2 -b0 = -08 -b10100000000000100100110010110001 < -b11100100000011001000101111111 : -b1111100011111110100010011001101 $ -b1111100011111110100010011001101 - -b1111100011111110100010011001101 5 -b1111100011111110100010011001101 ? -b1111100011111110100010011001101 D -b1011111111111011011001101001110 % -b1011111111111011011001101001110 . -b1011111111111011011001101001110 6 -b1011111111111011011001101001110 @ -b1011111111111011011001101001110 F -b11111000111111101 ) -#547456000 -0& -#547472000 -b10000101101010 , -#547488000 -1& -#547504000 -b11111111111111111011001111101111 " -b11111111111111111011001111101111 4 -b11111111111111111011001111101111 0 -b11111111111111111011001111101111 H -19 -b1 2 -b1 = -18 -b10000001001000010011111110110101 < -b1111111111100001111110110111011 : -b11111110110011111011111000000101 $ -b11111110110011111011111000000101 - -b11111110110011111011111000000101 5 -b11111110110011111011111000000101 ? -b11111110110011111011111000000101 D -b1111110110111101100000001001010 % -b1111110110111101100000001001010 . -b1111110110111101100000001001010 6 -b1111110110111101100000001001010 @ -b1111110110111101100000001001010 F -b11111111111111111011001111101111 ) -#547520000 -0& -#547536000 -b10000101101011 , -#547552000 -1& -#547568000 -b1011110010110010101101100100000 " -b1011110010110010101101100100000 4 -b1011110010110010101101100100000 0 -b1011110010110010101101100100000 H -09 -b0 2 -b0 = -08 -b1000000010000010111101011111 < -b1100110011000011000101010000000 : -b1011110010110010101101100100000 $ -b1011110010110010101101100100000 - -b1011110010110010101101100100000 5 -b1011110010110010101101100100000 ? -b1011110010110010101101100100000 D -b11110111111101111101000010100000 % -b11110111111101111101000010100000 . -b11110111111101111101000010100000 6 -b11110111111101111101000010100000 @ -b11110111111101111101000010100000 F -b1011110010110010101101100100000 ) -#547584000 -0& -#547600000 -b10000101101100 , -#547616000 -1& -#547632000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b1 2 -b1 = -18 -09 -b10010010001010000111011010110000 < -b10010010000110000111001011011011 : -b11111111111011111111110000101010 $ -b11111111111011111111110000101010 - -b11111111111011111111110000101010 5 -b11111111111011111111110000101010 ? -b11111111111011111111110000101010 D -b1101101110101111000100101001111 % -b1101101110101111000100101001111 . -b1101101110101111000100101001111 6 -b1101101110101111000100101001111 @ -b1101101110101111000100101001111 F -b11111111111111111111111111011111 ) -#547648000 -0& -#547664000 -b10000101101101 , -#547680000 -1& -#547696000 -b11111111111101111100111111110001 " -b11111111111101111100111111110001 4 -b11111111111101111100111111110001 0 -b11111111111101111100111111110001 H -b0 2 -b0 = -09 -08 -b1000011101011111100111100010101 < -b100010111011111001010110100000 : -b11011111001111111100011010001010 $ -b11011111001111111100011010001010 - -b11011111001111111100011010001010 5 -b11011111001111111100011010001010 ? -b11011111001111111100011010001010 D -b10111100010100000011000011101010 % -b10111100010100000011000011101010 . -b10111100010100000011000011101010 6 -b10111100010100000011000011101010 @ -b10111100010100000011000011101010 F -b11111111111101111100111111110001 ) -#547712000 -0& -#547728000 -b10000101101110 , -#547744000 -1& -#547760000 -b10 " -b10 4 -b10 0 -b10 H -b1 2 -b1 = -18 -b10011000000001001001011110000010 < -b11110001000001000010111011100000 : -b1011000111111111001011101011101 $ -b1011000111111111001011101011101 - -b1011000111111111001011101011101 5 -b1011000111111111001011101011101 ? -b1011000111111111001011101011101 D -b1100111111110110110100001111101 % -b1100111111110110110100001111101 . -b1100111111110110110100001111101 6 -b1100111111110110110100001111101 @ -b1100111111110110110100001111101 F -b10 ) -#547776000 -0& -#547792000 -b10000101101111 , -#547808000 -1& -#547824000 -b11111111111111111111111111011111 " -b11111111111111111111111111011111 4 -b11111111111111111111111111011111 0 -b11111111111111111111111111011111 H -b0 2 -b0 = -08 -b100000000101000011000100101010 < -b11100000011110101111010100000 : -b11111011111110110010110101110101 $ -b11111011111110110010110101110101 - -b11111011111110110010110101110101 5 -b11111011111110110010110101110101 ? -b11111011111110110010110101110101 D -b11011111111010111100111011010101 % -b11011111111010111100111011010101 . -b11011111111010111100111011010101 6 -b11011111111010111100111011010101 @ -b11011111111010111100111011010101 F -b11111111111111111111111111011111 ) -#547840000 -0& -#547856000 -b10000101110000 , -#547872000 -1& -#547888000 -b1111111011111111011111010000011 " -b1111111011111111011111010000011 4 -b1111111011111111011111010000011 0 -b1111111011111111011111010000011 H -19 -b0 2 -b0 = -08 -b1000000100000111110110100011111 < -b11000000000000111010101110100011 : -b1111111011111111011111010000011 $ -b1111111011111111011111010000011 - -b1111111011111111011111010000011 5 -b1111111011111111011111010000011 ? -b1111111011111111011111010000011 D -b10111111011111000001001011100000 % -b10111111011111000001001011100000 . -b10111111011111000001001011100000 6 -b10111111011111000001001011100000 @ -b10111111011111000001001011100000 F -b1111111011111111011111010000011 ) -#547904000 -0& -#547920000 -b10000101110001 , -#547936000 -1& -#547952000 -b100010 " -b100010 4 -b100010 0 -b100010 H -b1011001110000001110101101100110 < -b10011111101010001011010010110111 : -b1000101111001111100100101010000 $ -b1000101111001111100100101010000 - -b1000101111001111100100101010000 5 -b1000101111001111100100101010000 ? -b1000101111001111100100101010000 D -b10100110001111110001010010011001 % -b10100110001111110001010010011001 . -b10100110001111110001010010011001 6 -b10100110001111110001010010011001 @ -b10100110001111110001010010011001 F -b100010 ) -#547968000 -0& -#547984000 -b10000101110010 , -#548000000 -1& -#548016000 -b10110110101111 " -b10110110101111 4 -b10110110101111 0 -b10110110101111 H -b0 2 -b0 = -09 -08 -b101101001011111101110 < -b1011011011101010110011000010011 : -b1011011010111101100111000100100 $ -b1011011010111101100111000100100 - -b1011011010111101100111000100100 5 -b1011011010111101100111000100100 ? -b1011011010111101100111000100100 D -b11111111111010010110100000010001 % -b11111111111010010110100000010001 . -b11111111111010010110100000010001 6 -b11111111111010010110100000010001 @ -b11111111111010010110100000010001 F -b10110110101111 ) -#548032000 -0& -#548048000 -b10000101110011 , -#548064000 -1& -#548080000 -b11111111111110101100111010000 " -b11111111111110101100111010000 4 -b11111111111110101100111010000 0 -b11111111111110101100111010000 H -b0 2 -b0 = -19 -08 -b10011111011010011101 < -b10000000000001110101110111011110 : -b1111111111111010110011101000000 $ -b1111111111111010110011101000000 - -b1111111111111010110011101000000 5 -b1111111111111010110011101000000 ? -b1111111111111010110011101000000 D -b11111111111101100000100101100010 % -b11111111111101100000100101100010 . -b11111111111101100000100101100010 6 -b11111111111101100000100101100010 @ -b11111111111101100000100101100010 F -b11111111111110101100111010000 ) -#548096000 -0& -#548112000 -b10000101110100 , -#548128000 -1& -#548144000 -b101101110110 " -b101101110110 4 -b101101110110 0 -b101101110110 H -b0 2 -b0 = -09 -08 -b1000100001101100100111001100 < -b1100100001111000110001001011111 : -b1011011101101011001100010010010 $ -b1011011101101011001100010010010 - -b1011011101101011001100010010010 5 -b1011011101101011001100010010010 ? -b1011011101101011001100010010010 D -b11110111011110010011011000110011 % -b11110111011110010011011000110011 . -b11110111011110010011011000110011 6 -b11110111011110010011011000110011 @ -b11110111011110010011011000110011 F -b101101110110 ) -#548160000 -0& -#548176000 -b10000101110101 , -#548192000 -1& -#548208000 -b110110111011111111010011011 " -b110110111011111111010011011 4 -b110110111011111111010011011 0 -b110110111011111111010011011 H -b0 2 -b0 = -19 -08 -b1001010011100100010110001111011 < -b10111000010100100001011000110101 : -b1101101110111111110100110111001 $ -b1101101110111111110100110111001 - -b1101101110111111110100110111001 5 -b1101101110111111110100110111001 ? -b1101101110111111110100110111001 D -b10110101100011011101001110000100 % -b10110101100011011101001110000100 . -b10110101100011011101001110000100 6 -b10110101100011011101001110000100 @ -b10110101100011011101001110000100 F -b110110111011111111010011011 ) -#548224000 -0& -#548240000 -b10000101110110 , -#548256000 -1& -#548272000 -b11111111110111100011111010100100 " -b11111111110111100011111010100100 4 -b11111111110111100011111010100100 0 -b11111111110111100011111010100100 H -09 -b1 2 -b1 = -18 -b1100000010001110010011010111 < -b11101010010001111000100100001101 : -b11011110001111101010010000110101 $ -b11011110001111101010010000110101 - -b11011110001111101010010000110101 5 -b11011110001111101010010000110101 ? -b11011110001111101010010000110101 D -b11110011111101110001101100101000 % -b11110011111101110001101100101000 . -b11110011111101110001101100101000 6 -b11110011111101110001101100101000 @ -b11110011111101110001101100101000 F -b11111111110111100011111010100100 ) -#548288000 -0& -#548304000 -b10000101110111 , -#548320000 -1& -#548336000 -b11111111111111110110111110101110 " -b11111111111111110110111110101110 4 -b11111111111111110110111110101110 0 -b11111111111111110110111110101110 H -b1 2 -b1 = -18 -b10100110001001010100010100 < -b11111110000101100000011001100111 : -b11111011011111010111000101010010 $ -b11111011011111010111000101010010 - -b11111011011111010111000101010010 5 -b11111011011111010111000101010010 ? -b11111011011111010111000101010010 D -b11111101011001110110101011101011 % -b11111101011001110110101011101011 . -b11111101011001110110101011101011 6 -b11111101011001110110101011101011 @ -b11111101011001110110101011101011 F -b11111111111111110110111110101110 ) -#548352000 -0& -#548368000 -b10000101111000 , -#548384000 -1& -#548400000 -b11111111101111111111011000101010 " -b11111111101111111111011000101010 4 -b11111111101111111111011000101010 0 -b11111111101111111111011000101010 H -b1 2 -b1 = -19 -18 -b10000000010001000100001000111000 < -b1100000001111110101011100111111 : -b11011111111110110001010100000110 $ -b11011111111110110001010100000110 - -b11011111111110110001010100000110 5 -b11011111111110110001010100000110 ? -b11011111111110110001010100000110 D -b1111111101110111011110111000111 % -b1111111101110111011110111000111 . -b1111111101110111011110111000111 6 -b1111111101110111011110111000111 @ -b1111111101110111011110111000111 F -b11111111101111111111011000101010 ) -#548416000 -0& -#548432000 -b10000101111001 , -#548448000 -1& -#548464000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10110111101010011101110100100001 < -b10110110111001110110110010111101 : -b11111111001111011000111110011011 $ -b11111111001111011000111110011011 - -b11111111001111011000111110011011 5 -b11111111001111011000111110011011 ? -b11111111001111011000111110011011 D -b1001000010101100010001011011110 % -b1001000010101100010001011011110 . -b1001000010101100010001011011110 6 -b1001000010101100010001011011110 @ -b1001000010101100010001011011110 F -b11111111111111111111111111111111 ) -#548480000 -0& -#548496000 -b10000101111010 , -#548512000 -1& -#548528000 -b110 " -b110 4 -b110 0 -b110 H -19 -b0 2 -b0 = -08 -b111000000000101111100000000011 < -b10100101110110101000101111011101 : -b1101101110101111001001111011001 $ -b1101101110101111001001111011001 - -b1101101110101111001001111011001 5 -b1101101110101111001001111011001 ? -b1101101110101111001001111011001 D -b11000111111111010000011111111100 % -b11000111111111010000011111111100 . -b11000111111111010000011111111100 6 -b11000111111111010000011111111100 @ -b11000111111111010000011111111100 F -b110 ) -#548544000 -0& -#548560000 -b10000101111011 , -#548576000 -1& -#548592000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -09 -08 -b100000000010000010101100100001 < -b1110111100000110100111001100000 : -b1010111011110110010001100111110 $ -b1010111011110110010001100111110 - -b1010111011110110010001100111110 5 -b1010111011110110010001100111110 ? -b1010111011110110010001100111110 D -b11011111111101111101010011011110 % -b11011111111101111101010011011110 . -b11011111111101111101010011011110 6 -b11011111111101111101010011011110 @ -b11011111111101111101010011011110 F -b1 ) -#548608000 -0& -#548624000 -b10000101111100 , -#548640000 -1& -#548656000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -09 -08 -b11000000000000001110110011100000 < -1# -b111101110111111100011000100101 : -b1111101110111101101100101000100 $ -b1111101110111101101100101000100 - -b1111101110111101101100101000100 5 -b1111101110111101101100101000100 ? -b1111101110111101101100101000100 D -b111111111111110001001100011111 % -b111111111111110001001100011111 . -b111111111111110001001100011111 6 -b111111111111110001001100011111 @ -b111111111111110001001100011111 F -b0 ) -b1 ( -#548672000 -0& -#548688000 -b10000101111101 , -#548704000 -1& -#548720000 -0! -b110101010011110011010 " -b110101010011110011010 4 -b110101010011110011010 0 -b110101010011110011010 H -b1 2 -b1 = -18 -b10000000111110000011110111010101 < -0# -b11101011100101101010011000110101 : -b1101010100111100110100001011111 $ -b1101010100111100110100001011111 - -b1101010100111100110100001011111 5 -b1101010100111100110100001011111 ? -b1101010100111100110100001011111 D -b1111111000001111100001000101010 % -b1111111000001111100001000101010 . -b1111111000001111100001000101010 6 -b1111111000001111100001000101010 @ -b1111111000001111100001000101010 F -b110101010011110011010 ) -b0 ( -#548736000 -0& -#548752000 -b10000101111110 , -#548768000 -1& -#548784000 -b11101111110100111100011000100111 " -b11101111110100111100011000100111 4 -b11101111110100111100011000100111 0 -b11101111110100111100011000100111 H -19 -b1 2 -b1 = -18 -b10110010100000001011110011011101 < -b1110001110011111101010101111100 : -b10111111010011110001100010011110 $ -b10111111010011110001100010011110 - -b10111111010011110001100010011110 5 -b10111111010011110001100010011110 ? -b10111111010011110001100010011110 D -b1001101011111110100001100100010 % -b1001101011111110100001100100010 . -b1001101011111110100001100100010 6 -b1001101011111110100001100100010 @ -b1001101011111110100001100100010 F -b11101111110100111100011000100111 ) -#548800000 -0& -#548816000 -b10000101111111 , -#548832000 -1& -#548848000 -b1101101110110 " -b1101101110110 4 -b1101101110110 0 -b1101101110110 H -b0 2 -b0 = -08 -09 -b100100001111011001101101 < -b1101110011010101101110000101011 : -b1101101110110011110010110111101 $ -b1101101110110011110010110111101 - -b1101101110110011110010110111101 5 -b1101101110110011110010110111101 ? -b1101101110110011110010110111101 D -b11111111011011110000100110010010 % -b11111111011011110000100110010010 . -b11111111011011110000100110010010 6 -b11111111011011110000100110010010 @ -b11111111011011110000100110010010 F -b1101101110110 ) -#548864000 -0& -#548880000 -b10000110000000 , -#548896000 -1& -#548912000 -b11 " -b11 4 -b11 0 -b11 H -b0 2 -b0 = -19 -08 -b1010000011000111010111100010 < -b10001001111110111100010000111011 : -b1111111111011110100111001011000 $ -b1111111111011110100111001011000 - -b1111111111011110100111001011000 5 -b1111111111011110100111001011000 ? -b1111111111011110100111001011000 D -b11110101111100111000101000011101 % -b11110101111100111000101000011101 . -b11110101111100111000101000011101 6 -b11110101111100111000101000011101 @ -b11110101111100111000101000011101 F -b11 ) -#548928000 -0& -#548944000 -b10000110000001 , -#548960000 -1& -#548976000 -b11111111010111110010110001000101 " -b11111111010111110010110001000101 4 -b11111111010111110010110001000101 0 -b11111111010111110010110001000101 H -b1 2 -b1 = -18 -19 -b10000001000000001110100100111000 < -b110000100101110000101111110101 : -b10101111100101100010001010111100 $ -b10101111100101100010001010111100 - -b10101111100101100010001010111100 5 -b10101111100101100010001010111100 ? -b10101111100101100010001010111100 D -b1111110111111110001011011000111 % -b1111110111111110001011011000111 . -b1111110111111110001011011000111 6 -b1111110111111110001011011000111 @ -b1111110111111110001011011000111 F -b11111111010111110010110001000101 ) -#548992000 -0& -#549008000 -b10000110000010 , -#549024000 -1& -#549040000 -b111011011111111011 " -b111011011111111011 4 -b111011011111111011 0 -b111011011111111011 H -09 -b0 2 -b0 = -08 -b11000101001001001111011010010010 < -b111100001001000101101000110101 : -b1110110111111110110001110100010 $ -b1110110111111110110001110100010 - -b1110110111111110110001110100010 5 -b1110110111111110110001110100010 ? -b1110110111111110110001110100010 D -b111010110110110000100101101101 % -b111010110110110000100101101101 . -b111010110110110000100101101101 6 -b111010110110110000100101101101 @ -b111010110110110000100101101101 F -b111011011111111011 ) -#549056000 -0& -#549072000 -b10000110000011 , -#549088000 -1& -#549104000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11000000000000011110111111000000 < -b10111111111111010111110000110001 : -b11111111111110111000110001110000 $ -b11111111111110111000110001110000 - -b11111111111110111000110001110000 5 -b11111111111110111000110001110000 ? -b11111111111110111000110001110000 D -b111111111111100001000000111111 % -b111111111111100001000000111111 . -b111111111111100001000000111111 6 -b111111111111100001000000111111 @ -b111111111111100001000000111111 F -b11111111111111111111111111111111 ) -#549120000 -0& -#549136000 -b10000110000100 , -#549152000 -1& -#549168000 -b11111111111011101111111101011011 " -b11111111111011101111111101011011 4 -b11111111111011101111111101011011 0 -b11111111111011101111111101011011 H -b1 2 -b1 = -09 -18 -b101010000110010110010110101 < -b11000001010000001001101010101110 : -b10111011111111010110110111111000 $ -b10111011111111010110110111111000 - -b10111011111111010110110111111000 5 -b10111011111111010110110111111000 ? -b10111011111111010110110111111000 D -b11111010101111001101001101001010 % -b11111010101111001101001101001010 . -b11111010101111001101001101001010 6 -b11111010101111001101001101001010 @ -b11111010101111001101001101001010 F -b11111111111011101111111101011011 ) -#549184000 -0& -#549200000 -b10000110000101 , -#549216000 -1& -#549232000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b0 2 -b0 = -08 -b110000010000000100001000001010 < -b110000000111110100100000010001 : -b11111111110111110000011000000110 $ -b11111111110111110000011000000110 - -b11111111110111110000011000000110 5 -b11111111110111110000011000000110 ? -b11111111110111110000011000000110 D -b11001111101111111011110111110101 % -b11001111101111111011110111110101 . -b11001111101111111011110111110101 6 -b11001111101111111011110111110101 @ -b11001111101111111011110111110101 F -b11111111111111111111111111111110 ) -#549248000 -0& -#549264000 -b10000110000110 , -#549280000 -1& -#549296000 -b100100101101 " -b100100101101 4 -b100100101101 0 -b100100101101 H -b11100011000000000111011101001100 < -b101100011100000000010001010101 : -b1001001011011111000110100001000 $ -b1001001011011111000110100001000 - -b1001001011011111000110100001000 5 -b1001001011011111000110100001000 ? -b1001001011011111000110100001000 D -b11100111111111000100010110011 % -b11100111111111000100010110011 . -b11100111111111000100010110011 6 -b11100111111111000100010110011 @ -b11100111111111000100010110011 F -b100100101101 ) -#549312000 -0& -#549328000 -b10000110000111 , -#549344000 -1& -#549360000 -b11111111111111111111111010101101 " -b11111111111111111111111010101101 4 -b11111111111111111111111010101101 0 -b11111111111111111111111010101101 H -19 -b1 2 -b1 = -18 -b10110000000000011000000101101001 < -b1011011011011101100101010000100 : -b10101011011011010100100100011010 $ -b10101011011011010100100100011010 - -b10101011011011010100100100011010 5 -b10101011011011010100100100011010 ? -b10101011011011010100100100011010 D -b1001111111111100111111010010110 % -b1001111111111100111111010010110 . -b1001111111111100111111010010110 6 -b1001111111111100111111010010110 @ -b1001111111111100111111010010110 F -b11111111111111111111111010101101 ) -#549376000 -0& -#549392000 -b10000110001000 , -#549408000 -1& -#549424000 -b11111111111111111111111011111111 " -b11111111111111111111111011111111 4 -b11111111111111111111111011111111 0 -b11111111111111111111111011111111 H -b1 2 -b1 = -09 -18 -b11000001000000100011101100001010 < -b10100000111111010010000000001110 : -b11011111111110101110010100000011 $ -b11011111111110101110010100000011 - -b11011111111110101110010100000011 5 -b11011111111110101110010100000011 ? -b11011111111110101110010100000011 D -b111110111111011100010011110101 % -b111110111111011100010011110101 . -b111110111111011100010011110101 6 -b111110111111011100010011110101 @ -b111110111111011100010011110101 F -b11111111111111111111111011111111 ) -#549440000 -0& -#549456000 -b10000110001001 , -#549472000 -1& -#549488000 -b11111111111111111111111001100110 " -b11111111111111111111111001100110 4 -b11111111111111111111111001100110 0 -b11111111111111111111111001100110 H -b11100101001000100101110011001111 < -b11100011100010001010111000100010 : -b11111110011001100101000101010010 $ -b11111110011001100101000101010010 - -b11111110011001100101000101010010 5 -b11111110011001100101000101010010 ? -b11111110011001100101000101010010 D -b11010110111011010001100110000 % -b11010110111011010001100110000 . -b11010110111011010001100110000 6 -b11010110111011010001100110000 @ -b11010110111011010001100110000 F -b11111111111111111111111001100110 ) -#549504000 -0& -#549520000 -b10000110001010 , -#549536000 -1& -#549552000 -b11111111111111111111011101111110 " -b11111111111111111111011101111110 4 -b11111111111111111111011101111110 0 -b11111111111111111111011101111110 H -b100000000011110001011011101100 < -b11011100000000101110010110000010 : -b10111011111100111100111010010101 $ -b10111011111100111100111010010101 - -b10111011111100111100111010010101 5 -b10111011111100111100111010010101 ? -b10111011111100111100111010010101 D -b11011111111100001110100100010011 % -b11011111111100001110100100010011 . -b11011111111100001110100100010011 6 -b11011111111100001110100100010011 @ -b11011111111100001110100100010011 F -b11111111111111111111011101111110 ) -#549568000 -0& -#549584000 -b10000110001011 , -#549600000 -1& -#549616000 -b101111101011 " -b101111101011 4 -b101111101011 0 -b101111101011 H -b0 2 -b0 = -08 -b100000000000011001001111 < -b1100011010110110100011000000 : -b1011111010110110001001110000 $ -b1011111010110110001001110000 - -b1011111010110110001001110000 5 -b1011111010110110001001110000 ? -b1011111010110110001001110000 D -b11111111011111111111100110110000 % -b11111111011111111111100110110000 . -b11111111011111111111100110110000 6 -b11111111011111111111100110110000 @ -b11111111011111111111100110110000 F -b101111101011 ) -#549632000 -0& -#549648000 -b10000110001100 , -#549664000 -1& -#549680000 -b11111111111111111111111100111111 " -b11111111111111111111111100111111 4 -b11111111111111111111111100111111 0 -b11111111111111111111111100111111 H -19 -b1 2 -b1 = -18 -b10000100000001011100010110101001 < -b1010100000000011011010010001100 : -b11001111111110111110111011100010 $ -b11001111111110111110111011100010 - -b11001111111110111110111011100010 5 -b11001111111110111110111011100010 ? -b11001111111110111110111011100010 D -b1111011111110100011101001010110 % -b1111011111110100011101001010110 . -b1111011111110100011101001010110 6 -b1111011111110100011101001010110 @ -b1111011111110100011101001010110 F -b11111111111111111111111100111111 ) -#549696000 -0& -#549712000 -b10000110001101 , -#549728000 -1& -#549744000 -b10101111010101010 " -b10101111010101010 4 -b10101111010101010 0 -b10101111010101010 H -09 -b1 2 -b1 = -18 -b10000011001000000100001101010100 < -b10001110000101011001100110110100 : -b1010111101010101011001011111 $ -b1010111101010101011001011111 - -b1010111101010101011001011111 5 -b1010111101010101011001011111 ? -b1010111101010101011001011111 D -b1111100110111111011110010101011 % -b1111100110111111011110010101011 . -b1111100110111111011110010101011 6 -b1111100110111111011110010101011 @ -b1111100110111111011110010101011 F -b10101111010101010 ) -#549760000 -0& -#549776000 -b10000110001110 , -#549792000 -1& -#549808000 -b11111111111111111111111111111010 " -b11111111111111111111111111111010 4 -b11111111111111111111111111111010 0 -b11111111111111111111111111111010 H -b1 2 -b1 = -18 -b1000001101111110000011 < -b10101110000101111101001110010010 : -b10101101111101101111010000001110 $ -b10101101111101101111010000001110 - -b10101101111101101111010000001110 5 -b10101101111101101111010000001110 ? -b10101101111101101111010000001110 D -b11111111110111110010000001111100 % -b11111111110111110010000001111100 . -b11111111110111110010000001111100 6 -b11111111110111110010000001111100 @ -b11111111110111110010000001111100 F -b11111111111111111111111111111010 ) -#549824000 -0& -#549840000 -b10000110001111 , -#549856000 -1& -#549872000 -b11111111111111111111101101111110 " -b11111111111111111111101101111110 4 -b11111111111111111111101101111110 0 -b11111111111111111111101101111110 H -b1010101000000101110011101100 < -b11100110100101111111101101011101 : -b11011011111101111001111001110000 $ -b11011011111101111001111001110000 - -b11011011111101111001111001110000 5 -b11011011111101111001111001110000 ? -b11011011111101111001111001110000 D -b11110101010111111010001100010011 % -b11110101010111111010001100010011 . -b11110101010111111010001100010011 6 -b11110101010111111010001100010011 @ -b11110101010111111010001100010011 F -b11111111111111111111101101111110 ) -#549888000 -0& -#549904000 -b10000110010000 , -#549920000 -1& -#549936000 -b1111111111100001100010 " -b1111111111100001100010 4 -b1111111111100001100010 0 -b1111111111100001100010 H -b0 2 -b0 = -08 -19 -b100000100000000110000110110 < -b10000100000000001101000110011001 : -b1111111111100001100010101100010 $ -b1111111111100001100010101100010 - -b1111111111100001100010101100010 5 -b1111111111100001100010101100010 ? -b1111111111100001100010101100010 D -b11111011111011111111001111001001 % -b11111011111011111111001111001001 . -b11111011111011111111001111001001 6 -b11111011111011111111001111001001 @ -b11111011111011111111001111001001 F -b1111111111100001100010 ) -#549952000 -0& -#549968000 -b10000110010001 , -#549984000 -1& -#550000000 -b11111 " -b11111 4 -b11111 0 -b11111 H -b110000100011010101110100101 < -b10000101110111011000110000110101 : -b1111111110010111110000010001111 $ -b1111111110010111110000010001111 - -b1111111110010111110000010001111 5 -b1111111110010111110000010001111 ? -b1111111110010111110000010001111 D -b11111001111011100101010001011010 % -b11111001111011100101010001011010 . -b11111001111011100101010001011010 6 -b11111001111011100101010001011010 @ -b11111001111011100101010001011010 F -b11111 ) -#550016000 -0& -#550032000 -b10000110010010 , -#550048000 -1& -#550064000 -b10111 " -b10111 4 -b10111 0 -b10111 H -b1 2 -b1 = -09 -18 -b10000000001000011010101010100101 < -b11011111100011111000101011100001 : -b1011111011011011110000000111011 $ -b1011111011011011110000000111011 - -b1011111011011011110000000111011 5 -b1011111011011011110000000111011 ? -b1011111011011011110000000111011 D -b1111111110111100101010101011010 % -b1111111110111100101010101011010 . -b1111111110111100101010101011010 6 -b1111111110111100101010101011010 @ -b1111111110111100101010101011010 F -b10111 ) -#550080000 -0& -#550096000 -b10000110010011 , -#550112000 -1& -#550128000 -b11111101110110111101110010001111 " -b11111101110110111101110010001111 4 -b11111101110110111101110010001111 0 -b11111101110110111101110010001111 H -19 -b1 2 -b1 = -18 -b10000000000000101011010011011010 < -b111011011111100100011011011000 : -b10111011011110111001000111111101 $ -b10111011011110111001000111111101 - -b10111011011110111001000111111101 5 -b10111011011110111001000111111101 ? -b10111011011110111001000111111101 D -b1111111111111010100101100100101 % -b1111111111111010100101100100101 . -b1111111111111010100101100100101 6 -b1111111111111010100101100100101 @ -b1111111111111010100101100100101 F -b11111101110110111101110010001111 ) -#550144000 -0& -#550160000 -b10000110010100 , -#550176000 -1& -#550192000 -b1111110001111110111011010 " -b1111110001111110111011010 4 -b1111110001111110111011010 0 -b1111110001111110111011010 H -09 -b0 2 -b0 = -08 -b10010111100101101000010011111001 < -b10101110101011111101110001011 : -b1111110001111110111011010010001 $ -b1111110001111110111011010010001 - -b1111110001111110111011010010001 5 -b1111110001111110111011010010001 ? -b1111110001111110111011010010001 D -b1101000011010010111101100000110 % -b1101000011010010111101100000110 . -b1101000011010010111101100000110 6 -b1101000011010010111101100000110 @ -b1101000011010010111101100000110 F -b1111110001111110111011010 ) -#550208000 -0& -#550224000 -b10000110010101 , -#550240000 -1& -#550256000 -b11111111111111111111111101101000 " -b11111111111111111111111101101000 4 -b11111111111111111111111101101000 0 -b11111111111111111111111101101000 H -b0 2 -b0 = -08 -b10010100101011100010010 < -b1101110110110001001010 : -b11111111111011010001010100110111 $ -b11111111111011010001010100110111 - -b11111111111011010001010100110111 5 -b11111111111011010001010100110111 ? -b11111111111011010001010100110111 D -b11111111101101011010100011101101 % -b11111111101101011010100011101101 . -b11111111101101011010100011101101 6 -b11111111101101011010100011101101 @ -b11111111101101011010100011101101 F -b11111111111111111111111101101000 ) -#550272000 -0& -#550288000 -b10000110010110 , -#550304000 -1& -#550320000 -b11111110110111110110110100001110 " -b11111110110111110110110100001110 4 -b11111110110111110110110100001110 0 -b11111110110111110110110100001110 H -b1 2 -b1 = -18 -b111100010100111011100 < -b11110111000110011001001001010001 : -b11110110111110110110100001110100 $ -b11110110111110110110100001110100 - -b11110110111110110110100001110100 5 -b11110110111110110110100001110100 ? -b11110110111110110110100001110100 D -b11111111111000011101011000100011 % -b11111111111000011101011000100011 . -b11111111111000011101011000100011 6 -b11111111111000011101011000100011 @ -b11111111111000011101011000100011 F -b11111110110111110110110100001110 ) -#550336000 -0& -#550352000 -b10000110010111 , -#550368000 -1& -#550384000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b11000011100010000111100100100011 < -b10011000111101100001111110100100 : -b11010101011011011010011010000000 $ -b11010101011011011010011010000000 - -b11010101011011011010011010000000 5 -b11010101011011011010011010000000 ? -b11010101011011011010011010000000 D -b111100011101111000011011011100 % -b111100011101111000011011011100 . -b111100011101111000011011011100 6 -b111100011101111000011011011100 @ -b111100011101111000011011011100 F -b11111111111111111111111111111101 ) -#550400000 -0& -#550416000 -b10000110011000 , -#550432000 -1& -#550448000 -b11111111111111111111110111111111 " -b11111111111111111111110111111111 4 -b11111111111111111111110111111111 0 -b11111111111111111111110111111111 H -b1 2 -b1 = -19 -18 -b10010000010000000110110000101011 < -b1110000001100111000110101111000 : -b11011111111100110010000101001100 $ -b11011111111100110010000101001100 - -b11011111111100110010000101001100 5 -b11011111111100110010000101001100 ? -b11011111111100110010000101001100 D -b1101111101111111001001111010100 % -b1101111101111111001001111010100 . -b1101111101111111001001111010100 6 -b1101111101111111001001111010100 @ -b1101111101111111001001111010100 F -b11111111111111111111110111111111 ) -#550464000 -0& -#550480000 -b10000110011001 , -#550496000 -1& -#550512000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -19 -b1000110000000001010010111100000 < -1# -b11000011000000000111110111011011 : -b1111100111111111101011111111010 $ -b1111100111111111101011111111010 - -b1111100111111111101011111111010 5 -b1111100111111111101011111111010 ? -b1111100111111111101011111111010 D -b10111001111111110101101000011111 % -b10111001111111110101101000011111 . -b10111001111111110101101000011111 6 -b10111001111111110101101000011111 @ -b10111001111111110101101000011111 F -b0 ) -b1 ( -#550528000 -0& -#550544000 -b10000110011010 , -#550560000 -1& -#550576000 -0! -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -09 -b1 2 -b1 = -18 -b10011010101100110000111100011 < -0# -b11010010001100110010010111011100 : -b10111110110111001100001111111000 $ -b10111110110111001100001111111000 - -b10111110110111001100001111111000 5 -b10111110110111001100001111111000 ? -b10111110110111001100001111111000 D -b11101100101010011001111000011100 % -b11101100101010011001111000011100 . -b11101100101010011001111000011100 6 -b11101100101010011001111000011100 @ -b11101100101010011001111000011100 F -b11111111111111111111111111111011 ) -b0 ( -#550592000 -0& -#550608000 -b10000110011011 , -#550624000 -1& -#550640000 -b101111111111111000 " -b101111111111111000 4 -b101111111111111000 0 -b101111111111111000 H -b0 2 -b0 = -08 -b1001100101000110101110010 < -b1100001001100011010110001101100 : -b1011111111111110001111011111001 $ -b1011111111111110001111011111001 - -b1011111111111110001111011111001 5 -b1011111111111110001111011111001 ? -b1011111111111110001111011111001 D -b11111110110011010111001010001101 % -b11111110110011010111001010001101 . -b11111110110011010111001010001101 6 -b11111110110011010111001010001101 @ -b11111110110011010111001010001101 F -b101111111111111000 ) -#550656000 -0& -#550672000 -b10000110011100 , -#550688000 -1& -#550704000 -b11111111111111111001111111101111 " -b11111111111111111001111111101111 4 -b11111111111111111001111111101111 0 -b11111111111111111001111111101111 H -19 -b1 2 -b1 = -18 -b10011100000100010100010000110000 < -b1101100000010010010000110011011 : -b11001111111101111101110101101010 $ -b11001111111101111101110101101010 - -b11001111111101111101110101101010 5 -b11001111111101111101110101101010 ? -b11001111111101111101110101101010 D -b1100011111011101011101111001111 % -b1100011111011101011101111001111 . -b1100011111011101011101111001111 6 -b1100011111011101011101111001111 @ -b1100011111011101011101111001111 F -b11111111111111111001111111101111 ) -#550720000 -0& -#550736000 -b10000110011101 , -#550752000 -1& -#550768000 -b1111111 " -b1111111 4 -b1111111 0 -b1111111 H -b0 2 -b0 = -08 -19 -b1000101000000100101011000111 < -b10001000100101110010011101111000 : -b1111111111101101101110010110000 $ -b1111111111101101101110010110000 - -b1111111111101101101110010110000 5 -b1111111111101101101110010110000 ? -b1111111111101101101110010110000 D -b11110111010111111011010100111000 % -b11110111010111111011010100111000 . -b11110111010111111011010100111000 6 -b11110111010111111011010100111000 @ -b11110111010111111011010100111000 F -b1111111 ) -#550784000 -0& -#550800000 -b10000110011110 , -#550816000 -1& -#550832000 -b11111111111111111110001001011101 " -b11111111111111111110001001011101 4 -b11111111111111111110001001011101 0 -b11111111111111111110001001011101 H -b1 2 -b1 = -18 -09 -b10000001000000101000000101101 < -b10011001100101110110010100101010 : -b10001001011101110001010011111100 $ -b10001001011101110001010011111100 - -b10001001011101110001010011111100 5 -b10001001011101110001010011111100 ? -b10001001011101110001010011111100 D -b11101111110111111010111111010010 % -b11101111110111111010111111010010 . -b11101111110111111010111111010010 6 -b11101111110111111010111111010010 @ -b11101111110111111010111111010010 F -b11111111111111111110001001011101 ) -#550848000 -0& -#550864000 -b10000110011111 , -#550880000 -1& -#550896000 -b11110100111111110010010 " -b11110100111111110010010 4 -b11110100111111110010010 0 -b11110100111111110010010 H -b0 2 -b0 = -08 -19 -b100000100100110000101101110111 < -b10011011000100101001110111111001 : -b1111010011111111001001010000001 $ -b1111010011111111001001010000001 - -b1111010011111111001001010000001 5 -b1111010011111111001001010000001 ? -b1111010011111111001001010000001 D -b11011111011011001111010010001000 % -b11011111011011001111010010001000 . -b11011111011011001111010010001000 6 -b11011111011011001111010010001000 @ -b11011111011011001111010010001000 F -b11110100111111110010010 ) -#550912000 -0& -#550928000 -b10000110100000 , -#550944000 -1& -#550960000 -b1111101011 " -b1111101011 4 -b1111101011 0 -b1111101011 H -b1001001010001001110110001101010 < -b11000110101111000101010110110101 : -b1111101011101110110100101001010 $ -b1111101011101110110100101001010 - -b1111101011101110110100101001010 5 -b1111101011101110110100101001010 ? -b1111101011101110110100101001010 D -b10110110101110110001001110010101 % -b10110110101110110001001110010101 . -b10110110101110110001001110010101 6 -b10110110101110110001001110010101 @ -b10110110101110110001001110010101 F -b1111101011 ) -#550976000 -0& -#550992000 -b10000110100001 , -#551008000 -1& -#551024000 -b11111111111111111111110101101111 " -b11111111111111111111110101101111 4 -b11111111111111111111110101101111 0 -b11111111111111111111110101101111 H -b1 2 -b1 = -18 -19 -b11001001010001001001011000001010 < -b1110111001100111001001000100101 : -b10101101111011101111110000011010 $ -b10101101111011101111110000011010 - -b10101101111011101111110000011010 5 -b10101101111011101111110000011010 ? -b10101101111011101111110000011010 D -b110110101110110110100111110101 % -b110110101110110110100111110101 . -b110110101110110110100111110101 6 -b110110101110110110100111110101 @ -b110110101110110110100111110101 F -b11111111111111111111110101101111 ) -#551040000 -0& -#551056000 -b10000110100010 , -#551072000 -1& -#551088000 -b11001 " -b11001 4 -b11001 0 -b11001 H -b0 2 -b0 = -08 -09 -b100011000111110000110000101 < -b1101010010000100111010101001001 : -b1100101110111101001001111000011 $ -b1100101110111101001001111000011 - -b1100101110111101001001111000011 5 -b1100101110111101001001111000011 ? -b1100101110111101001001111000011 D -b11111011100111000001111001111010 % -b11111011100111000001111001111010 . -b11111011100111000001111001111010 6 -b11111011100111000001111001111010 @ -b11111011100111000001111001111010 F -b11001 ) -#551104000 -0& -#551120000 -b10000110100011 , -#551136000 -1& -#551152000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b100100011110001011101100100010 < -b10111001011000100011011110110 : -b11110010101100111000101111010011 $ -b11110010101100111000101111010011 - -b11110010101100111000101111010011 5 -b11110010101100111000101111010011 ? -b11110010101100111000101111010011 D -b11011011100001110100010011011101 % -b11011011100001110100010011011101 . -b11011011100001110100010011011101 6 -b11011011100001110100010011011101 @ -b11011011100001110100010011011101 F -b11111111111111111111111111111111 ) -#551168000 -0& -#551184000 -b10000110100100 , -#551200000 -1& -#551216000 -b1111011111001011000110 " -b1111011111001011000110 4 -b1111011111001011000110 0 -b1111011111001011000110 H -19 -b0 2 -b0 = -08 -b1000001010000101110000110010110 < -b10111101001010000110111001001011 : -b1111011111001011000110010110100 $ -b1111011111001011000110010110100 - -b1111011111001011000110010110100 5 -b1111011111001011000110010110100 ? -b1111011111001011000110010110100 D -b10111110101111010001111001101001 % -b10111110101111010001111001101001 . -b10111110101111010001111001101001 6 -b10111110101111010001111001101001 @ -b10111110101111010001111001101001 F -b1111011111001011000110 ) -#551232000 -0& -#551248000 -b10000110100101 , -#551264000 -1& -#551280000 -b11111111111111111101011101101011 " -b11111111111111111101011101101011 4 -b11111111111111111101011101101011 0 -b11111111111111111101011101101011 H -b1 2 -b1 = -18 -b10010000011000000011001010110000 < -b1111100000101100010001010100000 : -b11101011101101011110111111101111 $ -b11101011101101011110111111101111 - -b11101011101101011110111111101111 5 -b11101011101101011110111111101111 ? -b11101011101101011110111111101111 D -b1101111100111111100110101001111 % -b1101111100111111100110101001111 . -b1101111100111111100110101001111 6 -b1101111100111111100110101001111 @ -b1101111100111111100110101001111 F -b11111111111111111101011101101011 ) -#551296000 -0& -#551312000 -b10000110100110 , -#551328000 -1& -#551344000 -b1111111101111101000 " -b1111111101111101000 4 -b1111111101111101000 0 -b1111111101111101000 H -b0 2 -b0 = -08 -09 -b10000000101000110010111100010011 < -b11000011011111001111000 : -b1111111101111101000111101100100 $ -b1111111101111101000111101100100 - -b1111111101111101000111101100100 5 -b1111111101111101000111101100100 ? -b1111111101111101000111101100100 D -b1111111010111001101000011101100 % -b1111111010111001101000011101100 . -b1111111010111001101000011101100 6 -b1111111010111001101000011101100 @ -b1111111010111001101000011101100 F -b1111111101111101000 ) -#551360000 -0& -#551376000 -b10000110100111 , -#551392000 -1& -#551408000 -b11011111111101111100100110 " -b11011111111101111100100110 4 -b11011111111101111100100110 0 -b11011111111101111100100110 H -b0 2 -b0 = -08 -b11011000010010101101001100011010 < -b1001000010001101011011111101110 : -b1101111111110111110010011010011 $ -b1101111111110111110010011010011 - -b1101111111110111110010011010011 5 -b1101111111110111110010011010011 ? -b1101111111110111110010011010011 D -b100111101101010010110011100101 % -b100111101101010010110011100101 . -b100111101101010010110011100101 6 -b100111101101010010110011100101 @ -b100111101101010010110011100101 F -b11011111111101111100100110 ) -#551424000 -0& -#551440000 -b10000110101000 , -#551456000 -1& -#551472000 -b11111111111111111111110011111011 " -b11111111111111111111110011111011 4 -b11111111111111111111110011111011 0 -b11111111111111111111110011111011 H -b1 2 -b1 = -18 -b110000000100000011001101101 < -b11111001111100010011101100000101 : -b11110011111011110011010010010111 $ -b11110011111011110011010010010111 - -b11110011111011110011010010010111 5 -b11110011111011110011010010010111 ? -b11110011111011110011010010010111 D -b11111001111111011111100110010010 % -b11111001111111011111100110010010 . -b11111001111111011111100110010010 6 -b11111001111111011111100110010010 @ -b11111001111111011111100110010010 F -b11111111111111111111110011111011 ) -#551488000 -0& -#551504000 -b10000110101001 , -#551520000 -1& -#551536000 -b11111111111111111111111111101101 " -b11111111111111111111111111101101 4 -b11111111111111111111111111101101 0 -b11111111111111111111111111101101 H -b0 2 -b0 = -08 -b1001000000010000011111010110000 < -b1000111111111110000110100110110 : -b11111111111101101100111010000101 $ -b11111111111101101100111010000101 - -b11111111111101101100111010000101 5 -b11111111111101101100111010000101 ? -b11111111111101101100111010000101 D -b10110111111101111100000101001111 % -b10110111111101111100000101001111 . -b10110111111101111100000101001111 6 -b10110111111101111100000101001111 @ -b10110111111101111100000101001111 F -b11111111111111111111111111101101 ) -#551552000 -0& -#551568000 -b10000110101010 , -#551584000 -1& -#551600000 -b1 " -b1 4 -b1 0 -b1 H -19 -b0 2 -b0 = -08 -b10010000110001001000111100001 < -b10001000000110000110101011110001 : -b1110101111111111101100100001111 $ -b1110101111111111101100100001111 - -b1110101111111111101100100001111 5 -b1110101111111111101100100001111 ? -b1110101111111111101100100001111 D -b11101101111001110110111000011110 % -b11101101111001110110111000011110 . -b11101101111001110110111000011110 6 -b11101101111001110110111000011110 @ -b11101101111001110110111000011110 F -b1 ) -#551616000 -0& -#551632000 -b10000110101011 , -#551648000 -1& -#551664000 -b11111111111111011111101111111011 " -b11111111111111011111101111111011 4 -b11111111111111011111101111111011 0 -b11111111111111011111101111111011 H -b1 2 -b1 = -18 -09 -b1010000101001101100110010 < -b11000000110000100000101011000101 : -b10111111011111110110111110010010 $ -b10111111011111110110111110010010 - -b10111111011111110110111110010010 5 -b10111111011111110110111110010010 ? -b10111111011111110110111110010010 D -b11111110101111010110010011001101 % -b11111110101111010110010011001101 . -b11111110101111010110010011001101 6 -b11111110101111010110010011001101 @ -b11111110101111010110010011001101 F -b11111111111111011111101111111011 ) -#551680000 -0& -#551696000 -b10000110101100 , -#551712000 -1& -#551728000 -b11111111111111111111111111110110 " -b11111111111111111111111111110110 4 -b11111111111111111111111111110110 0 -b11111111111111111111111111110110 H -b1 2 -b1 = -18 -b10000000100110000011001100101100 < -b10000000010011110101100011110000 : -b11111111101101110010010111000011 $ -b11111111101101110010010111000011 - -b11111111101101110010010111000011 5 -b11111111101101110010010111000011 ? -b11111111101101110010010111000011 D -b1111111011001111100110011010011 % -b1111111011001111100110011010011 . -b1111111011001111100110011010011 6 -b1111111011001111100110011010011 @ -b1111111011001111100110011010011 F -b11111111111111111111111111110110 ) -#551744000 -0& -#551760000 -b10000110101101 , -#551776000 -1& -#551792000 -b110110101010011101110100100000 " -b110110101010011101110100100000 4 -b110110101010011101110100100000 0 -b110110101010011101110100100000 H -b0 2 -b0 = -08 -09 -b10000001000001001101001011110 < -b1111101011101000101010010011111 : -b1101101010100111011101001000000 $ -b1101101010100111011101001000000 - -b1101101010100111011101001000000 5 -b1101101010100111011101001000000 ? -b1101101010100111011101001000000 D -b11101111110111110110010110100001 % -b11101111110111110110010110100001 . -b11101111110111110110010110100001 6 -b11101111110111110110010110100001 @ -b11101111110111110110010110100001 F -b110110101010011101110100100000 ) -#551808000 -0& -#551824000 -b10000110101110 , -#551840000 -1& -#551856000 -b1111101 " -b1111101 4 -b1111101 0 -b1111101 H -b0 2 -b0 = -09 -08 -b10010011000100100111010010100111 < -b10000110011011010110110011100 : -b1111101101110110011100011110100 $ -b1111101101110110011100011110100 - -b1111101101110110011100011110100 5 -b1111101101110110011100011110100 ? -b1111101101110110011100011110100 D -b1101100111011011000101101011000 % -b1101100111011011000101101011000 . -b1101100111011011000101101011000 6 -b1101100111011011000101101011000 @ -b1101100111011011000101101011000 F -b1111101 ) -#551872000 -0& -#551888000 -b10000110101111 , -#551904000 -1& -#551920000 -b111001001101110001101 " -b111001001101110001101 4 -b111001001101110001101 0 -b111001001101110001101 H -b11001011101000100111010010010101 < -b111110000100001010100011000101 : -b1110010011011100011010000101111 $ -b1110010011011100011010000101111 - -b1110010011011100011010000101111 5 -b1110010011011100011010000101111 ? -b1110010011011100011010000101111 D -b110100010111011000101101101010 % -b110100010111011000101101101010 . -b110100010111011000101101101010 6 -b110100010111011000101101101010 @ -b110100010111011000101101101010 F -b111001001101110001101 ) -#551936000 -0& -#551952000 -b10000110110000 , -#551968000 -1& -#551984000 -b11111111111110011100100101010101 " -b11111111111110011100100101010101 4 -b11111111111110011100100101010101 0 -b11111111111110011100100101010101 H -b1 2 -b1 = -18 -b1010000010101101011100 < -b11111111111101100111011000000110 : -b11111111110011100100101010101001 $ -b11111111110011100100101010101001 - -b11111111110011100100101010101001 5 -b11111111110011100100101010101001 ? -b11111111110011100100101010101001 D -b11111111110101111101010010100011 % -b11111111110101111101010010100011 . -b11111111110101111101010010100011 6 -b11111111110101111101010010100011 @ -b11111111110101111101010010100011 F -b11111111111110011100100101010101 ) -#552000000 -0& -#552016000 -b10000110110001 , -#552032000 -1& -#552048000 -b100101101011110101101111010001 " -b100101101011110101101111010001 4 -b100101101011110101101111010001 0 -b100101101011110101101111010001 H -b0 2 -b0 = -08 -b11001010110000001011111000011110 < -b10110000111110111010111000010 : -b1001011010111101011011110100011 $ -b1001011010111101011011110100011 - -b1001011010111101011011110100011 5 -b1001011010111101011011110100011 ? -b1001011010111101011011110100011 D -b110101001111110100000111100001 % -b110101001111110100000111100001 . -b110101001111110100000111100001 6 -b110101001111110100000111100001 @ -b110101001111110100000111100001 F -b100101101011110101101111010001 ) -#552064000 -0& -#552080000 -b10000110110010 , -#552096000 -1& -#552112000 -b101111111 " -b101111111 4 -b101111111 0 -b101111111 H -b1 2 -b1 = -18 -b10000010010101100110101000001010 < -b10110010010101011101010001111101 : -b101111111111110110101001110010 $ -b101111111111110110101001110010 - -b101111111111110110101001110010 5 -b101111111111110110101001110010 ? -b101111111111110110101001110010 D -b1111101101010011001010111110101 % -b1111101101010011001010111110101 . -b1111101101010011001010111110101 6 -b1111101101010011001010111110101 @ -b1111101101010011001010111110101 F -b101111111 ) -#552128000 -0& -#552144000 -b10000110110011 , -#552160000 -1& -#552176000 -b1101 " -b1101 4 -b1101 0 -b1101 H -b0 2 -b0 = -08 -19 -b111001000000010110100110000100 < -b10101001000000001110000101110011 : -b1101111111111110111011111101110 $ -b1101111111111110111011111101110 - -b1101111111111110111011111101110 5 -b1101111111111110111011111101110 ? -b1101111111111110111011111101110 D -b11000110111111101001011001111011 % -b11000110111111101001011001111011 . -b11000110111111101001011001111011 6 -b11000110111111101001011001111011 @ -b11000110111111101001011001111011 F -b1101 ) -#552192000 -0& -#552208000 -b10000110110100 , -#552224000 -1& -#552240000 -b11111111111010111111111111001110 " -b11111111111010111111111111001110 4 -b11111111111010111111111111001110 0 -b11111111111010111111111111001110 H -b1 2 -b1 = -18 -b10000010100000110000011001010111 < -b1101110100000101101010100100110 : -b11101011111111111100111011001110 $ -b11101011111111111100111011001110 - -b11101011111111111100111011001110 5 -b11101011111111111100111011001110 ? -b11101011111111111100111011001110 D -b1111101011111001111100110101000 % -b1111101011111001111100110101000 . -b1111101011111001111100110101000 6 -b1111101011111001111100110101000 @ -b1111101011111001111100110101000 F -b11111111111010111111111111001110 ) -#552256000 -0& -#552272000 -b10000110110101 , -#552288000 -1& -#552304000 -b11111111111111111111111101111100 " -b11111111111111111111111101111100 4 -b11111111111111111111111101111100 0 -b11111111111111111111111101111100 H -b1 2 -b1 = -09 -18 -b10100000110000101000000100001100 < -b10011100101010100101110001100000 : -b11111011111001111101101101010011 $ -b11111011111001111101101101010011 - -b11111011111001111101101101010011 5 -b11111011111001111101101101010011 ? -b11111011111001111101101101010011 D -b1011111001111010111111011110011 % -b1011111001111010111111011110011 . -b1011111001111010111111011110011 6 -b1011111001111010111111011110011 @ -b1011111001111010111111011110011 F -b11111111111111111111111101111100 ) -#552320000 -0& -#552336000 -b10000110110110 , -#552352000 -1& -#552368000 -b11111111111111111111111011101100 " -b11111111111111111111111011101100 4 -b11111111111111111111111011101100 0 -b11111111111111111111111011101100 H -b1 2 -b1 = -19 -18 -b10000000000000000110000011110001 < -b1111111101110110110010101011010 : -b11111111101110110000010001101000 $ -b11111111101110110000010001101000 - -b11111111101110110000010001101000 5 -b11111111101110110000010001101000 ? -b11111111101110110000010001101000 D -b1111111111111111001111100001110 % -b1111111111111111001111100001110 . -b1111111111111111001111100001110 6 -b1111111111111111001111100001110 @ -b1111111111111111001111100001110 F -b11111111111111111111111011101100 ) -#552384000 -0& -#552400000 -b10000110110111 , -#552416000 -1& -#552432000 -b11110111110111111000 " -b11110111110111111000 4 -b11110111110111111000 0 -b11110111110111111000 H -b0 2 -b0 = -08 -b101000101100010100101010010100 < -b10100100101000010001001001010011 : -b1111011111011111100011110111110 $ -b1111011111011111100011110111110 - -b1111011111011111100011110111110 5 -b1111011111011111100011110111110 ? -b1111011111011111100011110111110 D -b11010111010011101011010101101011 % -b11010111010011101011010101101011 . -b11010111010011101011010101101011 6 -b11010111010011101011010101101011 @ -b11010111010011101011010101101011 F -b11110111110111111000 ) -#552448000 -0& -#552464000 -b10000110111000 , -#552480000 -1& -#552496000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b1100000010111011110110000100011 < -b1011010010011011110101100100100 : -b11111001111011111111111100000000 $ -b11111001111011111111111100000000 - -b11111001111011111111111100000000 5 -b11111001111011111111111100000000 ? -b11111001111011111111111100000000 D -b10011111101000100001001111011100 % -b10011111101000100001001111011100 . -b10011111101000100001001111011100 6 -b10011111101000100001001111011100 @ -b10011111101000100001001111011100 F -b11111111111111111111111111111111 ) -#552512000 -0& -#552528000 -b10000110111001 , -#552544000 -1& -#552560000 -b11111111111111111110110010111111 " -b11111111111111111110110010111111 4 -b11111111111111111110110010111111 0 -b11111111111111111110110010111111 H -b1 2 -b1 = -18 -19 -b10001100000000101011100101001110 < -b1100101100000001101001101101111 : -b11011001011111100001101000100000 $ -b11011001011111100001101000100000 - -b11011001011111100001101000100000 5 -b11011001011111100001101000100000 ? -b11011001011111100001101000100000 D -b1110011111111010100011010110001 % -b1110011111111010100011010110001 . -b1110011111111010100011010110001 6 -b1110011111111010100011010110001 @ -b1110011111111010100011010110001 F -b11111111111111111110110010111111 ) -#552576000 -0& -#552592000 -b10000110111010 , -#552608000 -1& -#552624000 -b1111101101 " -b1111101101 4 -b1111101101 0 -b1111101101 H -b0 2 -b0 = -08 -19 -b1010010100000101101011001001010 < -b11010000001100010001011010001000 : -b1111101101011100100000000111101 $ -b1111101101011100100000000111101 - -b1111101101011100100000000111101 5 -b1111101101011100100000000111101 ? -b1111101101011100100000000111101 D -b10101101011111010010100110110101 % -b10101101011111010010100110110101 . -b10101101011111010010100110110101 6 -b10101101011111010010100110110101 @ -b10101101011111010010100110110101 F -b1111101101 ) -#552640000 -0& -#552656000 -b10000110111011 , -#552672000 -1& -#552688000 -b111111111111100110 " -b111111111111100110 4 -b111111111111100110 0 -b111111111111100110 H -b0 2 -b0 = -09 -08 -b10010010000010111100011101110010 < -b10010000010001000111001010101 : -b1111111111111001100011011100010 $ -b1111111111111001100011011100010 - -b1111111111111001100011011100010 5 -b1111111111111001100011011100010 ? -b1111111111111001100011011100010 D -b1101101111101000011100010001101 % -b1101101111101000011100010001101 . -b1101101111101000011100010001101 6 -b1101101111101000011100010001101 @ -b1101101111101000011100010001101 F -b111111111111100110 ) -#552704000 -0& -#552720000 -b10000110111100 , -#552736000 -1& -#552752000 -b11111111111111001111111111111011 " -b11111111111111001111111111111011 4 -b11111111111111001111111111111011 0 -b11111111111111001111111111111011 H -b1 2 -b1 = -18 -b1110001000000001000110110011 < -b11011110000111111100001001011111 : -b11001111111111111011000010101011 $ -b11001111111111111011000010101011 - -b11001111111111111011000010101011 5 -b11001111111111111011000010101011 ? -b11001111111111111011000010101011 D -b11110001110111111110111001001100 % -b11110001110111111110111001001100 . -b11110001110111111110111001001100 6 -b11110001110111111110111001001100 @ -b11110001110111111110111001001100 F -b11111111111111001111111111111011 ) -#552768000 -0& -#552784000 -b10000110111101 , -#552800000 -1& -#552816000 -b11111111101111001111010111010011 " -b11111111101111001111010111010011 4 -b11111111101111001111010111010011 0 -b11111111101111001111010111010011 H -b1 2 -b1 = -18 -b1101000010100000111100 < -b11111110000110111101011011010110 : -b11111101111001111010111010011001 $ -b11111101111001111010111010011001 - -b11111101111001111010111010011001 5 -b11111101111001111010111010011001 ? -b11111101111001111010111010011001 D -b11111111110010111101011111000011 % -b11111111110010111101011111000011 . -b11111111110010111101011111000011 6 -b11111111110010111101011111000011 @ -b11111111110010111101011111000011 F -b11111111101111001111010111010011 ) -#552832000 -0& -#552848000 -b10000110111110 , -#552864000 -1& -#552880000 -b111001111111111011100 " -b111001111111111011100 4 -b111001111111111011100 0 -b111001111111111011100 H -b0 2 -b0 = -08 -b11000010100000101101111011010101 < -b110110100000100100111110011001 : -b1110011111111110111000011000011 $ -b1110011111111110111000011000011 - -b1110011111111110111000011000011 5 -b1110011111111110111000011000011 ? -b1110011111111110111000011000011 D -b111101011111010010000100101010 % -b111101011111010010000100101010 . -b111101011111010010000100101010 6 -b111101011111010010000100101010 @ -b111101011111010010000100101010 F -b111001111111111011100 ) -#552896000 -0& -#552912000 -b10000110111111 , -#552928000 -1& -#552944000 -b110101110011100011001011 " -b110101110011100011001011 4 -b110101110011100011001011 0 -b110101110011100011001011 H -b1 2 -b1 = -18 -b10000100010000001000111110111000 < -b11101111110111001111010110011001 : -b1101011100111000110010111100000 $ -b1101011100111000110010111100000 - -b1101011100111000110010111100000 5 -b1101011100111000110010111100000 ? -b1101011100111000110010111100000 D -b1111011101111110111000001000111 % -b1111011101111110111000001000111 . -b1111011101111110111000001000111 6 -b1111011101111110111000001000111 @ -b1111011101111110111000001000111 F -b110101110011100011001011 ) -#552960000 -0& -#552976000 -b10000111000000 , -#552992000 -1& -#553008000 -b1111111011110110100000 " -b1111111011110110100000 4 -b1111111011110110100000 0 -b1111111011110110100000 H -19 -b0 2 -b0 = -08 -b100110011100000101110110 < -b10000000000101010000001011100010 : -b1111111011110110100000101101011 $ -b1111111011110110100000101101011 - -b1111111011110110100000101101011 5 -b1111111011110110100000101101011 ? -b1111111011110110100000101101011 D -b11111111011001100011111010001001 % -b11111111011001100011111010001001 . -b11111111011001100011111010001001 6 -b11111111011001100011111010001001 @ -b11111111011001100011111010001001 F -b1111111011110110100000 ) -#553024000 -0& -#553040000 -b10000111000001 , -#553056000 -1& -#553072000 -b11111111111111111111100111111011 " -b11111111111111111111100111111011 4 -b11111111111111111111100111111011 0 -b11111111111111111111100111111011 H -b1 2 -b1 = -18 -19 -b10000000001000101001100101001100 < -b1010000000000011110011101111001 : -b11001111110111110100111000101100 $ -b11001111110111110100111000101100 - -b11001111110111110100111000101100 5 -b11001111110111110100111000101100 ? -b11001111110111110100111000101100 D -b1111111110111010110011010110011 % -b1111111110111010110011010110011 . -b1111111110111010110011010110011 6 -b1111111110111010110011010110011 @ -b1111111110111010110011010110011 F -b11111111111111111111100111111011 ) -#553088000 -0& -#553104000 -b10000111000010 , -#553120000 -1& -#553136000 -b11111111111111111111111111111010 " -b11111111111111111111111111111010 4 -b11111111111111111111111111111010 0 -b11111111111111111111111111111010 H -b10000000010100011010101001000111 < -b1111011010011001101101011010000 : -b11111010111110110011000010001000 $ -b11111010111110110011000010001000 - -b11111010111110110011000010001000 5 -b11111010111110110011000010001000 ? -b11111010111110110011000010001000 D -b1111111101011100101010110111000 % -b1111111101011100101010110111000 . -b1111111101011100101010110111000 6 -b1111111101011100101010110111000 @ -b1111111101011100101010110111000 F -b11111111111111111111111111111010 ) -#553152000 -0& -#553168000 -b10000111000011 , -#553184000 -1& -#553200000 -b11111111111111111011111110000100 " -b11111111111111111011111110000100 4 -b11111111111111111011111110000100 0 -b11111111111111111011111110000100 H -b10000000010001100111101010110100 < -b1111110010000101001110111001110 : -b11111101111111000010001100011001 $ -b11111101111111000010001100011001 - -b11111101111111000010001100011001 5 -b11111101111111000010001100011001 ? -b11111101111111000010001100011001 D -b1111111101110011000010101001011 % -b1111111101110011000010101001011 . -b1111111101110011000010101001011 6 -b1111111101110011000010101001011 @ -b1111111101110011000010101001011 F -b11111111111111111011111110000100 ) -#553216000 -0& -#553232000 -b10000111000100 , -#553248000 -1& -#553264000 -b111011011001111100110110 " -b111011011001111100110110 4 -b111011011001111100110110 0 -b111011011001111100110110 H -09 -b0 2 -b0 = -08 -b10101000000001011100111100011000 < -b11110110101010110101000011001 : -b1110110110011111001101100000000 $ -b1110110110011111001101100000000 - -b1110110110011111001101100000000 5 -b1110110110011111001101100000000 ? -b1110110110011111001101100000000 D -b1010111111110100011000011100111 % -b1010111111110100011000011100111 . -b1010111111110100011000011100111 6 -b1010111111110100011000011100111 @ -b1010111111110100011000011100111 F -b111011011001111100110110 ) -#553280000 -0& -#553296000 -b10000111000101 , -#553312000 -1& -#553328000 -b11111111111111111111011101101111 " -b11111111111111111111011101101111 4 -b11111111111111111111011101101111 0 -b11111111111111111111011101101111 H -b1 2 -b1 = -18 -b100000000001100001100 < -b10111011100011100101011100111000 : -b10111011011111100101010000101011 $ -b10111011011111100101010000101011 - -b10111011011111100101010000101011 5 -b10111011011111100101010000101011 ? -b10111011011111100101010000101011 D -b11111111111011111111110011110011 % -b11111111111011111111110011110011 . -b11111111111011111111110011110011 6 -b11111111111011111111110011110011 @ -b11111111111011111111110011110011 F -b11111111111111111111011101101111 ) -#553344000 -0& -#553360000 -b10000111000110 , -#553376000 -1& -#553392000 -b11111111111111111101101110110100 " -b11111111111111111101101110110100 4 -b11111111111111111101101110110100 0 -b11111111111111111101101110110100 H -b0 2 -b0 = -08 -b1100101111010001110111001110001 < -b1011100110101100010011111110000 : -b11110110111011010011100101111110 $ -b11110110111011010011100101111110 - -b11110110111011010011100101111110 5 -b11110110111011010011100101111110 ? -b11110110111011010011100101111110 D -b10011010000101110001000110001110 % -b10011010000101110001000110001110 . -b10011010000101110001000110001110 6 -b10011010000101110001000110001110 @ -b10011010000101110001000110001110 F -b11111111111111111101101110110100 ) -#553408000 -0& -#553424000 -b10000111000111 , -#553440000 -1& -#553456000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -18 -b1011000010001110001011001000001 < -b11100100010001100001001001101010 : -b10001011111111101111110000101000 $ -b10001011111111101111110000101000 - -b10001011111111101111110000101000 5 -b10001011111111101111110000101000 ? -b10001011111111101111110000101000 D -b10100111101110001110100110111110 % -b10100111101110001110100110111110 . -b10100111101110001110100110111110 6 -b10100111101110001110100110111110 @ -b10100111101110001110100110111110 F -b11111111111111111111111111111110 ) -#553472000 -0& -#553488000 -b10000111001000 , -#553504000 -1& -#553520000 -b11111111111111110010111111011110 " -b11111111111111110010111111011110 4 -b11111111111111110010111111011110 0 -b11111111111111110010111111011110 H -b1 2 -b1 = -18 -b11000100100001100000001111110001 < -b10010000011111011010111100010111 : -b11001011111101111010101100100101 $ -b11001011111101111010101100100101 - -b11001011111101111010101100100101 5 -b11001011111101111010101100100101 ? -b11001011111101111010101100100101 D -b111011011110011111110000001110 % -b111011011110011111110000001110 . -b111011011110011111110000001110 6 -b111011011110011111110000001110 @ -b111011011110011111110000001110 F -b11111111111111110010111111011110 ) -#553536000 -0& -#553552000 -b10000111001001 , -#553568000 -1& -#553584000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b1000111010011000000 < -1# -b1110110000111010100011111000001 : -b1110110000110001101001100000000 $ -b1110110000110001101001100000000 - -b1110110000110001101001100000000 5 -b1110110000110001101001100000000 ? -b1110110000110001101001100000000 D -b11111111111110111000101100111111 % -b11111111111110111000101100111111 . -b11111111111110111000101100111111 6 -b11111111111110111000101100111111 @ -b11111111111110111000101100111111 F -b0 ) -b1 ( -#553600000 -0& -#553616000 -b10000111001010 , -#553632000 -1& -#553648000 -0! -b11000101110000001 " -b11000101110000001 4 -b11000101110000001 0 -b11000101110000001 H -b100000001001001101111011110010 < -0# -b1010001100101010001110010001111 : -b110001011100000011110110011100 $ -b110001011100000011110110011100 - -b110001011100000011110110011100 5 -b110001011100000011110110011100 ? -b110001011100000011110110011100 D -b11011111110110110010000100001101 % -b11011111110110110010000100001101 . -b11011111110110110010000100001101 6 -b11011111110110110010000100001101 @ -b11011111110110110010000100001101 F -b11000101110000001 ) -b0 ( -#553664000 -0& -#553680000 -b10000111001011 , -#553696000 -1& -#553712000 -b11010110100101111 " -b11010110100101111 4 -b11010110100101111 0 -b11010110100101111 H -b1 2 -b1 = -18 -b10000001100000001010111111110010 < -b10110111001001101001011000010111 : -b110101101001011110011000100100 $ -b110101101001011110011000100100 - -b110101101001011110011000100100 5 -b110101101001011110011000100100 ? -b110101101001011110011000100100 D -b1111110011111110101000000001101 % -b1111110011111110101000000001101 . -b1111110011111110101000000001101 6 -b1111110011111110101000000001101 @ -b1111110011111110101000000001101 F -b11010110100101111 ) -#553728000 -0& -#553744000 -b10000111001100 , -#553760000 -1& -#553776000 -b11111010111100110010001001010100 " -b11111010111100110010001001010100 4 -b11111010111100110010001001010100 0 -b11111010111100110010001001010100 H -b0 2 -b0 = -08 -b1000000000011011111011011111 < -b10111101001110000100110100 : -b11111010111100110010001001010100 $ -b11111010111100110010001001010100 - -b11111010111100110010001001010100 5 -b11111010111100110010001001010100 ? -b11111010111100110010001001010100 D -b11110111111111100100000100100000 % -b11110111111111100100000100100000 . -b11110111111111100100000100100000 6 -b11110111111111100100000100100000 @ -b11110111111111100100000100100000 F -b11111010111100110010001001010100 ) -#553792000 -0& -#553808000 -b10000111001101 , -#553824000 -1& -#553840000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b1 2 -b1 = -18 -b10000010000010100101000101101101 < -b10000010000000100000101101101101 : -b11111111111101111011100111111111 $ -b11111111111101111011100111111111 - -b11111111111101111011100111111111 5 -b11111111111101111011100111111111 ? -b11111111111101111011100111111111 D -b1111101111101011010111010010010 % -b1111101111101011010111010010010 . -b1111101111101011010111010010010 6 -b1111101111101011010111010010010 @ -b1111101111101011010111010010010 F -b11111111111111111111111111111101 ) -#553856000 -0& -#553872000 -b10000111001110 , -#553888000 -1& -#553904000 -b11011100011111000000110110 " -b11011100011111000000110110 4 -b11011100011111000000110110 0 -b11011100011111000000110110 H -b0 2 -b0 = -08 -09 -b1001010010110111011 < -b110111001000111010100100100101 : -b110111000111110000001101101001 $ -b110111000111110000001101101001 - -b110111000111110000001101101001 5 -b110111000111110000001101101001 ? -b110111000111110000001101101001 D -b11111111111110110101101001000100 % -b11111111111110110101101001000100 . -b11111111111110110101101001000100 6 -b11111111111110110101101001000100 @ -b11111111111110110101101001000100 F -b11011100011111000000110110 ) -#553920000 -0& -#553936000 -b10000111001111 , -#553952000 -1& -#553968000 -b101111110100111101111110010001 " -b101111110100111101111110010001 4 -b101111110100111101111110010001 0 -b101111110100111101111110010001 H -b11100000100001011111000011110 < -b1111011101110000111110101000010 : -b1011111101001111011111100100011 $ -b1011111101001111011111100100011 - -b1011111101001111011111100100011 5 -b1011111101001111011111100100011 ? -b1011111101001111011111100100011 D -b11100011111011110100000111100001 % -b11100011111011110100000111100001 . -b11100011111011110100000111100001 6 -b11100011111011110100000111100001 @ -b11100011111011110100000111100001 F -b101111110100111101111110010001 ) -#553984000 -0& -#554000000 -b10000111010000 , -#554016000 -1& -#554032000 -b1010111010101111 " -b1010111010101111 4 -b1010111010101111 0 -b1010111010101111 H -b100000110001101100110001 < -b101100001011101111111110111111 : -b101011101010111110010010001101 $ -b101011101010111110010010001101 - -b101011101010111110010010001101 5 -b101011101010111110010010001101 ? -b101011101010111110010010001101 D -b11111111011111001110010011001110 % -b11111111011111001110010011001110 . -b11111111011111001110010011001110 6 -b11111111011111001110010011001110 @ -b11111111011111001110010011001110 F -b1010111010101111 ) -#554048000 -0& -#554064000 -b10000111010001 , -#554080000 -1& -#554096000 -b11111111111111111111111101100101 " -b11111111111111111111111101100101 4 -b11111111111111111111111101100101 0 -b11111111111111111111111101100101 H -b1 2 -b1 = -18 -b1011100010101010 < -b11101100101111011010110001010000 : -b11101100101111001111001110100101 $ -b11101100101111001111001110100101 - -b11101100101111001111001110100101 5 -b11101100101111001111001110100101 ? -b11101100101111001111001110100101 D -b11111111111111110100011101010101 % -b11111111111111110100011101010101 . -b11111111111111110100011101010101 6 -b11111111111111110100011101010101 @ -b11111111111111110100011101010101 F -b11111111111111111111111101100101 ) -#554112000 -0& -#554128000 -b10000111010010 , -#554144000 -1& -#554160000 -b1110111011110100001000010011 " -b1110111011110100001000010011 4 -b1110111011110100001000010011 0 -b1110111011110100001000010011 H -b0 2 -b0 = -08 -b100010110001001001111111101 < -b1000000000101011001110001001010 : -b111011101111010000100001001100 $ -b111011101111010000100001001100 - -b111011101111010000100001001100 5 -b111011101111010000100001001100 ? -b111011101111010000100001001100 D -b11111011101001110110110000000010 % -b11111011101001110110110000000010 . -b11111011101001110110110000000010 6 -b11111011101001110110110000000010 @ -b11111011101001110110110000000010 F -b1110111011110100001000010011 ) -#554176000 -0& -#554192000 -b10000111010011 , -#554208000 -1& -#554224000 -b1111011111101 " -b1111011111101 4 -b1111011111101 0 -b1111011111101 H -b11101000001000011110000101001110 < -b100110000111001111100010011001 : -b111101111110110001011101001010 $ -b111101111110110001011101001010 - -b111101111110110001011101001010 5 -b111101111110110001011101001010 ? -b111101111110110001011101001010 D -b10111110111100001111010110001 % -b10111110111100001111010110001 . -b10111110111100001111010110001 6 -b10111110111100001111010110001 @ -b10111110111100001111010110001 F -b1111011111101 ) -#554240000 -0& -#554256000 -b10000111010100 , -#554272000 -1& -#554288000 -b11111010011111110110011011000000 " -b11111010011111110110011011000000 4 -b11111010011111110110011011000000 0 -b11111010011111110110011011000000 H -b0 2 -b0 = -08 -b100100000001111011100111011111 < -b11110100001110010000010100000 : -b11111010011111110110011011000000 $ -b11111010011111110110011011000000 - -b11111010011111110110011011000000 5 -b11111010011111110110011011000000 ? -b11111010011111110110011011000000 D -b11011011111110000100011000100000 % -b11011011111110000100011000100000 . -b11011011111110000100011000100000 6 -b11011011111110000100011000100000 @ -b11011011111110000100011000100000 F -b11111010011111110110011011000000 ) -#554304000 -0& -#554320000 -b10000111010101 , -#554336000 -1& -#554352000 -b11111111111 " -b11111111111 4 -b11111111111 0 -b11111111111 H -19 -b0 2 -b0 = -08 -b11010110001111011100111101011 < -b10011010110000011001000010001111 : -b1111111111110011101011010100011 $ -b1111111111110011101011010100011 - -b1111111111110011101011010100011 5 -b1111111111110011101011010100011 ? -b1111111111110011101011010100011 D -b11100101001110000100011000010100 % -b11100101001110000100011000010100 . -b11100101001110000100011000010100 6 -b11100101001110000100011000010100 @ -b11100101001110000100011000010100 F -b11111111111 ) -#554368000 -0& -#554384000 -b10000111010110 , -#554400000 -1& -#554416000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b1 2 -b1 = -18 -b11000000000000100011110001100110 < -b10111111000000010001001111100111 : -b11111110111111101101011110000000 $ -b11111110111111101101011110000000 - -b11111110111111101101011110000000 5 -b11111110111111101101011110000000 ? -b11111110111111101101011110000000 D -b111111111111011100001110011001 % -b111111111111011100001110011001 . -b111111111111011100001110011001 6 -b111111111111011100001110011001 @ -b111111111111011100001110011001 F -b11111111111111111111111111111111 ) -#554432000 -0& -#554448000 -b10000111010111 , -#554464000 -1& -#554480000 -b10111101110101100000000110111110 " -b10111101110101100000000110111110 4 -b10111101110101100000000110111110 0 -b10111101110101100000000110111110 H -b1 2 -b1 = -09 -18 -b1011000000001011001100011111 < -b11001000110101101011010011011110 : -b10111101110101100000000110111110 $ -b10111101110101100000000110111110 - -b10111101110101100000000110111110 5 -b10111101110101100000000110111110 ? -b10111101110101100000000110111110 D -b11110100111111110100110011100000 % -b11110100111111110100110011100000 . -b11110100111111110100110011100000 6 -b11110100111111110100110011100000 @ -b11110100111111110100110011100000 F -b10111101110101100000000110111110 ) -#554496000 -0& -#554512000 -b10000111011000 , -#554528000 -1& -#554544000 -b1011111 " -b1011111 4 -b1011111 0 -b1011111 H -19 -b0 2 -b0 = -08 -b101000110010000001100010000111 < -b10001000011110101000110010110011 : -b1011111101100100111010000101011 $ -b1011111101100100111010000101011 - -b1011111101100100111010000101011 5 -b1011111101100100111010000101011 ? -b1011111101100100111010000101011 D -b11010111001101111110011101111000 % -b11010111001101111110011101111000 . -b11010111001101111110011101111000 6 -b11010111001101111110011101111000 @ -b11010111001101111110011101111000 F -b1011111 ) -#554560000 -0& -#554576000 -b10000111011001 , -#554592000 -1& -#554608000 -b11111111111010011111110100010000 " -b11111111111010011111110100010000 4 -b11111111111010011111110100010000 0 -b11111111111010011111110100010000 H -b1 2 -b1 = -18 -19 -b10111010001011010000000110010101 < -b1100010001000010100010100101111 : -b10100111111101000100001110011001 $ -b10100111111101000100001110011001 - -b10100111111101000100001110011001 5 -b10100111111101000100001110011001 ? -b10100111111101000100001110011001 D -b1000101110100101111111001101010 % -b1000101110100101111111001101010 . -b1000101110100101111111001101010 6 -b1000101110100101111111001101010 @ -b1000101110100101111111001101010 F -b11111111111010011111110100010000 ) -#554624000 -0& -#554640000 -b10000111011010 , -#554656000 -1& -#554672000 -b1101101111111 " -b1101101111111 4 -b1101101111111 0 -b1101101111111 H -b0 2 -b0 = -08 -09 -b1100101100100101011001101101 < -b1111010101100010110110001110111 : -b1101101111111110001011000001001 $ -b1101101111111110001011000001001 - -b1101101111111110001011000001001 5 -b1101101111111110001011000001001 ? -b1101101111111110001011000001001 D -b11110011010011011010100110010010 % -b11110011010011011010100110010010 . -b11110011010011011010100110010010 6 -b11110011010011011010100110010010 @ -b11110011010011011010100110010010 F -b1101101111111 ) -#554688000 -0& -#554704000 -b10000111011011 , -#554720000 -1& -#554736000 -b11111111111111111111111100111101 " -b11111111111111111111111100111101 4 -b11111111111111111111111100111101 0 -b11111111111111111111111100111101 H -19 -b1 2 -b1 = -18 -b10101000000000101110111100001001 < -b1110111011100101100110100001000 : -b11001111011011111101110111111110 $ -b11001111011011111101110111111110 - -b11001111011011111101110111111110 5 -b11001111011011111101110111111110 ? -b11001111011011111101110111111110 D -b1010111111111010001000011110110 % -b1010111111111010001000011110110 . -b1010111111111010001000011110110 6 -b1010111111111010001000011110110 @ -b1010111111111010001000011110110 F -b11111111111111111111111100111101 ) -#554752000 -0& -#554768000 -b10000111011100 , -#554784000 -1& -#554800000 -b11111111111111111111111110010001 " -b11111111111111111111111110010001 4 -b11111111111111111111111110010001 0 -b11111111111111111111111110010001 H -b1 2 -b1 = -09 -18 -b10101000110010001011011000111 < -b10100110110001111001110111010111 : -b10010001101011101000011100001111 $ -b10010001101011101000011100001111 - -b10010001101011101000011100001111 5 -b10010001101011101000011100001111 ? -b10010001101011101000011100001111 D -b11101010111001101110100100111000 % -b11101010111001101110100100111000 . -b11101010111001101110100100111000 6 -b11101010111001101110100100111000 @ -b11101010111001101110100100111000 F -b11111111111111111111111110010001 ) -#554816000 -0& -#554832000 -b10000111011101 , -#554848000 -1& -#554864000 -b101101111 " -b101101111 4 -b101101111 0 -b101101111 H -19 -b0 2 -b0 = -08 -b1100011001010111110000111001001 < -b10111111000110100100100110010010 : -b1011011111011100110011111001000 $ -b1011011111011100110011111001000 - -b1011011111011100110011111001000 5 -b1011011111011100110011111001000 ? -b1011011111011100110011111001000 D -b10011100110101000001111000110110 % -b10011100110101000001111000110110 . -b10011100110101000001111000110110 6 -b10011100110101000001111000110110 @ -b10011100110101000001111000110110 F -b101101111 ) -#554880000 -0& -#554896000 -b10000111011110 , -#554912000 -1& -#554928000 -b11111111111101101 " -b11111111111101101 4 -b11111111111101101 0 -b11111111111101101 H -b0 2 -b0 = -09 -08 -b11001100000000101001100111010001 < -b1001011111111100000101100111101 : -b1111111111110110111000101101011 $ -b1111111111110110111000101101011 - -b1111111111110110111000101101011 5 -b1111111111110110111000101101011 ? -b1111111111110110111000101101011 D -b110011111111010110011000101110 % -b110011111111010110011000101110 . -b110011111111010110011000101110 6 -b110011111111010110011000101110 @ -b110011111111010110011000101110 F -b11111111111101101 ) -#554944000 -0& -#554960000 -b10000111011111 , -#554976000 -1& -#554992000 -b11110000101001111110001 " -b11110000101001111110001 4 -b11110000101001111110001 0 -b11110000101001111110001 H -b10110110010000000001111100010111 < -b101110100101000001000010100011 : -b1111000010100111111000110001011 $ -b1111000010100111111000110001011 - -b1111000010100111111000110001011 5 -b1111000010100111111000110001011 ? -b1111000010100111111000110001011 D -b1001001101111111110000011101000 % -b1001001101111111110000011101000 . -b1001001101111111110000011101000 6 -b1001001101111111110000011101000 @ -b1001001101111111110000011101000 F -b11110000101001111110001 ) -#555008000 -0& -#555024000 -b10000111100000 , -#555040000 -1& -#555056000 -b11110111001110011110101011111001 " -b11110111001110011110101011111001 4 -b11110111001110011110101011111001 0 -b11110111001110011110101011111001 H -19 -b1 2 -b1 = -18 -b10100010000000001110110001111101 < -b1111110111010001001100001100010 : -b11011100111001111010101111100100 $ -b11011100111001111010101111100100 - -b11011100111001111010101111100100 5 -b11011100111001111010101111100100 ? -b11011100111001111010101111100100 D -b1011101111111110001001110000010 % -b1011101111111110001001110000010 . -b1011101111111110001001110000010 6 -b1011101111111110001001110000010 @ -b1011101111111110001001110000010 F -b11110111001110011110101011111001 ) -#555072000 -0& -#555088000 -b10000111100001 , -#555104000 -1& -#555120000 -b11111111111111111110101111001110 " -b11111111111111111110101111001110 4 -b11111111111111111110101111001110 0 -b11111111111111111110101111001110 H -b1 2 -b1 = -19 -18 -b10001000000000010011010111101111 < -b1110011110011110111001010101000 : -b11101011110011100011110010111000 $ -b11101011110011100011110010111000 - -b11101011110011100011110010111000 5 -b11101011110011100011110010111000 ? -b11101011110011100011110010111000 D -b1110111111111101100101000010000 % -b1110111111111101100101000010000 . -b1110111111111101100101000010000 6 -b1110111111111101100101000010000 @ -b1110111111111101100101000010000 F -b11111111111111111110101111001110 ) -#555136000 -0& -#555152000 -b10000111100010 , -#555168000 -1& -#555184000 -b11111111111111111110110101111100 " -b11111111111111111110110101111100 4 -b11111111111111111110110101111100 0 -b11111111111111111110110101111100 H -b1 2 -b1 = -09 -18 -b1100000101111011011110010 < -b11111111001100100111011011111000 : -b11111101101011111000000000000101 $ -b11111101101011111000000000000101 - -b11111101101011111000000000000101 5 -b11111101101011111000000000000101 ? -b11111101101011111000000000000101 D -b11111110011111010000100100001101 % -b11111110011111010000100100001101 . -b11111110011111010000100100001101 6 -b11111110011111010000100100001101 @ -b11111110011111010000100100001101 F -b11111111111111111110110101111100 ) -#555200000 -0& -#555216000 -b10000111100011 , -#555232000 -1& -#555248000 -b1101011101110110101001 " -b1101011101110110101001 4 -b1101011101110110101001 0 -b1101011101110110101001 H -b0 2 -b0 = -08 -b10000000011010000011010110 < -b1101101101111001111001101001010 : -b1101011101110110101001001110011 $ -b1101011101110110101001001110011 - -b1101011101110110101001001110011 5 -b1101011101110110101001001110011 ? -b1101011101110110101001001110011 D -b11111101111111100101111100101001 % -b11111101111111100101111100101001 . -b11111101111111100101111100101001 6 -b11111101111111100101111100101001 @ -b11111101111111100101111100101001 F -b1101011101110110101001 ) -#555264000 -0& -#555280000 -b10000111100100 , -#555296000 -1& -#555312000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10100010101000000000001000000010 < -b10010000100100110011010000000101 : -b11101101111100110011001000000010 $ -b11101101111100110011001000000010 - -b11101101111100110011001000000010 5 -b11101101111100110011001000000010 ? -b11101101111100110011001000000010 D -b1011101010111111111110111111101 % -b1011101010111111111110111111101 . -b1011101010111111111110111111101 6 -b1011101010111111111110111111101 @ -b1011101010111111111110111111101 F -b11111111111111111111111111111111 ) -#555328000 -0& -#555344000 -b10000111100101 , -#555360000 -1& -#555376000 -b1101101011110110 " -b1101101011110110 4 -b1101101011110110 0 -b1101101011110110 H -b0 2 -b0 = -08 -09 -b100010010000000001111010110001 < -b1011000111111011011110111001101 : -b110110101111011001111100011011 $ -b110110101111011001111100011011 - -b110110101111011001111100011011 5 -b110110101111011001111100011011 ? -b110110101111011001111100011011 D -b11011101101111111110000101001110 % -b11011101101111111110000101001110 . -b11011101101111111110000101001110 6 -b11011101101111111110000101001110 @ -b11011101101111111110000101001110 F -b1101101011110110 ) -#555392000 -0& -#555408000 -b10000111100110 , -#555424000 -1& -#555440000 -b11111111111111111111111010110111 " -b11111111111111111111111010110111 4 -b11111111111111111111111010110111 0 -b11111111111111111111111010110111 H -b0 2 -b0 = -08 -b111000100000111000010101101010 < -b1111011011100001101010011011 : -b11010110111010101001010100110000 $ -b11010110111010101001010100110000 - -b11010110111010101001010100110000 5 -b11010110111010101001010100110000 ? -b11010110111010101001010100110000 D -b11000111011111000111101010010101 % -b11000111011111000111101010010101 . -b11000111011111000111101010010101 6 -b11000111011111000111101010010101 @ -b11000111011111000111101010010101 F -b11111111111111111111111010110111 ) -#555456000 -0& -#555472000 -b10000111100111 , -#555488000 -1& -#555504000 -b11111111111111111111011111111100 " -b11111111111111111111011111111100 4 -b11111111111111111111011111111100 0 -b11111111111111111111011111111100 H -b1100010000000000010001001110011 < -b1100001011111111110010110011100 : -b11111111011111111100001100101000 $ -b11111111011111111100001100101000 - -b11111111011111111100001100101000 5 -b11111111011111111100001100101000 ? -b11111111011111111100001100101000 D -b10011101111111111101110110001100 % -b10011101111111111101110110001100 . -b10011101111111111101110110001100 6 -b10011101111111111101110110001100 @ -b10011101111111111101110110001100 F -b11111111111111111111011111111100 ) -#555520000 -0& -#555536000 -b10000111101000 , -#555552000 -1& -#555568000 -b11110101111111011101011011 " -b11110101111111011101011011 4 -b11110101111111011101011011 0 -b11110101111111011101011011 H -b1 2 -b1 = -18 -b10000000010000000001101000111010 < -b11111011001111110000010110110011 : -b1111010111111101110101101111000 $ -b1111010111111101110101101111000 - -b1111010111111101110101101111000 5 -b1111010111111101110101101111000 ? -b1111010111111101110101101111000 D -b1111111101111111110010111000101 % -b1111111101111111110010111000101 . -b1111111101111111110010111000101 6 -b1111111101111111110010111000101 @ -b1111111101111111110010111000101 F -b11110101111111011101011011 ) -#555584000 -0& -#555600000 -b10000111101001 , -#555616000 -1& -#555632000 -b110101100101011011101110 " -b110101100101011011101110 4 -b110101100101011011101110 0 -b110101100101011011101110 H -b0 2 -b0 = -08 -b10011000001000101111010000011000 < -b11010011100110101101001010 : -b1101011001010110111011100110001 $ -b1101011001010110111011100110001 - -b1101011001010110111011100110001 5 -b1101011001010110111011100110001 ? -b1101011001010110111011100110001 D -b1100111110111010000101111100111 % -b1100111110111010000101111100111 . -b1100111110111010000101111100111 6 -b1100111110111010000101111100111 @ -b1100111110111010000101111100111 F -b110101100101011011101110 ) -#555648000 -0& -#555664000 -b10000111101010 , -#555680000 -1& -#555696000 -b1111101111000110 " -b1111101111000110 4 -b1111101111000110 0 -b1111101111000110 H -b0 2 -b0 = -19 -08 -b1001011000100110001111010000 < -b10000111010001011100101010101000 : -b1111101111000110110011011010111 $ -b1111101111000110110011011010111 - -b1111101111000110110011011010111 5 -b1111101111000110110011011010111 ? -b1111101111000110110011011010111 D -b11110110100111011001110000101111 % -b11110110100111011001110000101111 . -b11110110100111011001110000101111 6 -b11110110100111011001110000101111 @ -b11110110100111011001110000101111 F -b1111101111000110 ) -#555712000 -0& -#555728000 -b10000111101011 , -#555744000 -1& -#555760000 -b100110111101110110110 " -b100110111101110110110 4 -b100110111101110110110 0 -b100110111101110110110 H -b0 2 -b0 = -09 -08 -b1000010000100010111001110101 < -b1010110001100010000011110010110 : -b1001101111011101101100100100000 $ -b1001101111011101101100100100000 - -b1001101111011101101100100100000 5 -b1001101111011101101100100100000 ? -b1001101111011101101100100100000 D -b11110111101111011101000110001010 % -b11110111101111011101000110001010 . -b11110111101111011101000110001010 6 -b11110111101111011101000110001010 @ -b11110111101111011101000110001010 F -b100110111101110110110 ) -#555776000 -0& -#555792000 -b10000111101100 , -#555808000 -1& -#555824000 -b11111111111110111010011111111000 " -b11111111111110111010011111111000 4 -b11111111111110111010011111111000 0 -b11111111111110111010011111111000 H -19 -b1 2 -b1 = -18 -b10010110100100000000001010110100 < -b1110011110011111100001111010000 : -b11011101001111111100000100011011 $ -b11011101001111111100000100011011 - -b11011101001111111100000100011011 5 -b11011101001111111100000100011011 ? -b11011101001111111100000100011011 D -b1101001011011111111110101001011 % -b1101001011011111111110101001011 . -b1101001011011111111110101001011 6 -b1101001011011111111110101001011 @ -b1101001011011111111110101001011 F -b11111111111110111010011111111000 ) -#555840000 -0& -#555856000 -b10000111101101 , -#555872000 -1& -#555888000 -b11111 " -b11111 4 -b11111 0 -b11111 H -b0 2 -b0 = -08 -19 -b1000110000000010110101000101 < -b10000110101111101110011101000011 : -b1111101111111101011100111111101 $ -b1111101111111101011100111111101 - -b1111101111111101011100111111101 5 -b1111101111111101011100111111101 ? -b1111101111111101011100111111101 D -b11110111001111111101001010111010 % -b11110111001111111101001010111010 . -b11110111001111111101001010111010 6 -b11110111001111111101001010111010 @ -b11110111001111111101001010111010 F -b11111 ) -#555904000 -0& -#555920000 -b10000111101110 , -#555936000 -1& -#555952000 -b11111111111111111111111001101111 " -b11111111111111111111111001101111 4 -b11111111111111111111111001101111 0 -b11111111111111111111111001101111 H -b1 2 -b1 = -18 -09 -b111000000000011111111101011 < -b11101110000000000010110011101000 : -b11100110111111111110110011111100 $ -b11100110111111111110110011111100 - -b11100110111111111110110011111100 5 -b11100110111111111110110011111100 ? -b11100110111111111110110011111100 D -b11111000111111111100000000010100 % -b11111000111111111100000000010100 . -b11111000111111111100000000010100 6 -b11111000111111111100000000010100 @ -b11111000111111111100000000010100 F -b11111111111111111111111001101111 ) -#555968000 -0& -#555984000 -b10000111101111 , -#556000000 -1& -#556016000 -b11111111111111111111111010011101 " -b11111111111111111111111010011101 4 -b11111111111111111111111010011101 0 -b11111111111111111111111010011101 H -b1001110101000001010 < -b11010011110001000001010010010101 : -b11010011101111110010101010001010 $ -b11010011101111110010101010001010 - -b11010011101111110010101010001010 5 -b11010011101111110010101010001010 ? -b11010011101111110010101010001010 D -b11111111111110110001010111110101 % -b11111111111110110001010111110101 . -b11111111111110110001010111110101 6 -b11111111111110110001010111110101 @ -b11111111111110110001010111110101 F -b11111111111111111111111010011101 ) -#556032000 -0& -#556048000 -b10000111110000 , -#556064000 -1& -#556080000 -b11111111111111111111111111011101 " -b11111111111111111111111111011101 4 -b11111111111111111111111111011101 0 -b11111111111111111111111111011101 H -b110010000000001011111000100110 < -b11101100111001000101111100111101 : -b10111010111000111010000100010110 $ -b10111010111000111010000100010110 - -b10111010111000111010000100010110 5 -b10111010111000111010000100010110 ? -b10111010111000111010000100010110 D -b11001101111111110100000111011001 % -b11001101111111110100000111011001 . -b11001101111111110100000111011001 6 -b11001101111111110100000111011001 @ -b11001101111111110100000111011001 F -b11111111111111111111111111011101 ) -#556096000 -0& -#556112000 -b10000111110001 , -#556128000 -1& -#556144000 -b111111011011 " -b111111011011 4 -b111111011011 0 -b111111011011 H -b0 2 -b0 = -08 -b10000101000001001110010111101100 < -b11111001000111101100111110 : -b1111110110111111001010101010001 $ -b1111110110111111001010101010001 - -b1111110110111111001010101010001 5 -b1111110110111111001010101010001 ? -b1111110110111111001010101010001 D -b1111010111110110001101000010011 % -b1111010111110110001101000010011 . -b1111010111110110001101000010011 6 -b1111010111110110001101000010011 @ -b1111010111110110001101000010011 F -b111111011011 ) -#556160000 -0& -#556176000 -b10000111110010 , -#556192000 -1& -#556208000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b110000100000101111101000011 < -b110000011111111100010001010 : -b11111111111111111001100101000110 $ -b11111111111111111001100101000110 - -b11111111111111111001100101000110 5 -b11111111111111111001100101000110 ? -b11111111111111111001100101000110 D -b11111001111011111010000010111100 % -b11111001111011111010000010111100 . -b11111001111011111010000010111100 6 -b11111001111011111010000010111100 @ -b11111001111011111010000010111100 F -b11111111111111111111111111111111 ) -#556224000 -0& -#556240000 -b10000111110011 , -#556256000 -1& -#556272000 -b11111111111111111011100111011010 " -b11111111111111111011100111011010 4 -b11111111111111111011100111011010 0 -b11111111111111111011100111011010 H -19 -b1 2 -b1 = -18 -b10001001000000101001010000010000 < -b1100101111011111111101111101111 : -b11011100111011010110011111011110 $ -b11011100111011010110011111011110 - -b11011100111011010110011111011110 5 -b11011100111011010110011111011110 ? -b11011100111011010110011111011110 D -b1110110111111010110101111101111 % -b1110110111111010110101111101111 . -b1110110111111010110101111101111 6 -b1110110111111010110101111101111 @ -b1110110111111010110101111101111 F -b11111111111111111011100111011010 ) -#556288000 -0& -#556304000 -b10000111110100 , -#556320000 -1& -#556336000 -b111010 " -b111010 4 -b111010 0 -b111010 H -09 -b0 2 -b0 = -08 -b110001100110110110000110 < -b1110101100111011001000101111100 : -b1110100110101110010001111110101 $ -b1110100110101110010001111110101 - -b1110100110101110010001111110101 5 -b1110100110101110010001111110101 ? -b1110100110101110010001111110101 D -b11111111001110011001001001111001 % -b11111111001110011001001001111001 . -b11111111001110011001001001111001 6 -b11111111001110011001001001111001 @ -b11111111001110011001001001111001 F -b111010 ) -#556352000 -0& -#556368000 -b10000111110101 , -#556384000 -1& -#556400000 -b1101111011111111110110011 " -b1101111011111111110110011 4 -b1101111011111111110110011 0 -b1101111011111111110110011 H -b1 2 -b1 = -18 -b10001000101100001001011001011001 < -b11111000001100001000001101010001 : -b1101111011111111110110011110111 $ -b1101111011111111110110011110111 - -b1101111011111111110110011110111 5 -b1101111011111111110110011110111 ? -b1101111011111111110110011110111 D -b1110111010011110110100110100110 % -b1110111010011110110100110100110 . -b1110111010011110110100110100110 6 -b1110111010011110110100110100110 @ -b1110111010011110110100110100110 F -b1101111011111111110110011 ) -#556416000 -0& -#556432000 -b10000111110110 , -#556448000 -1& -#556464000 -b111111010101100111100 " -b111111010101100111100 4 -b111111010101100111100 0 -b111111010101100111100 H -b10000000000000110110111111110110 < -b10111111010110011110100100011011 : -b111111010101100111100100100100 $ -b111111010101100111100100100100 - -b111111010101100111100100100100 5 -b111111010101100111100100100100 ? -b111111010101100111100100100100 D -b1111111111111001001000000001001 % -b1111111111111001001000000001001 . -b1111111111111001001000000001001 6 -b1111111111111001001000000001001 @ -b1111111111111001001000000001001 F -b111111010101100111100 ) -#556480000 -0& -#556496000 -b10000111110111 , -#556512000 -1& -#556528000 -b1111 " -b1111 4 -b1111 0 -b1111 H -b0 2 -b0 = -08 -b10100110000011010100000010000100 < -b100110000011000110101001110001 : -b1111111111111110010100111101100 $ -b1111111111111110010100111101100 - -b1111111111111110010100111101100 5 -b1111111111111110010100111101100 ? -b1111111111111110010100111101100 D -b1011001111100101011111101111011 % -b1011001111100101011111101111011 . -b1011001111100101011111101111011 6 -b1011001111100101011111101111011 @ -b1011001111100101011111101111011 F -b1111 ) -#556544000 -0& -#556560000 -b10000111111000 , -#556576000 -1& -#556592000 -b11101110101110111110001011011 " -b11101110101110111110001011011 4 -b11101110101110111110001011011 0 -b11101110101110111110001011011 H -b0 2 -b0 = -08 -b1000011101000001001100011111110 < -b1111111010011111001000110110110 : -b111011101011101111100010110111 $ -b111011101011101111100010110111 - -b111011101011101111100010110111 5 -b111011101011101111100010110111 ? -b111011101011101111100010110111 D -b10111100010111110110011100000001 % -b10111100010111110110011100000001 . -b10111100010111110110011100000001 6 -b10111100010111110110011100000001 @ -b10111100010111110110011100000001 F -b11101110101110111110001011011 ) -#556608000 -0& -#556624000 -b10000111111001 , -#556640000 -1& -#556656000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -19 -b1 2 -b1 = -18 -b10001000010000000100101110100001 < -b11110001100000000011101011010 : -b10010101111011111011101110111000 $ -b10010101111011111011101110111000 - -b10010101111011111011101110111000 5 -b10010101111011111011101110111000 ? -b10010101111011111011101110111000 D -b1110111101111111011010001011110 % -b1110111101111111011010001011110 . -b1110111101111111011010001011110 6 -b1110111101111111011010001011110 @ -b1110111101111111011010001011110 F -b11111111111111111111111111111110 ) -#556672000 -0& -#556688000 -b10000111111010 , -#556704000 -1& -#556720000 -b11111000100101111111011001111011 " -b11111000100101111111011001111011 4 -b11111000100101111111011001111011 0 -b11111000100101111111011001111011 H -b10010000000110001111000011011100 < -b1010100110110001010010010110110 : -b11000100101111111011001111011001 $ -b11000100101111111011001111011001 - -b11000100101111111011001111011001 5 -b11000100101111111011001111011001 ? -b11000100101111111011001111011001 D -b1101111111001110000111100100011 % -b1101111111001110000111100100011 . -b1101111111001110000111100100011 6 -b1101111111001110000111100100011 @ -b1101111111001110000111100100011 F -b11111000100101111111011001111011 ) -#556736000 -0& -#556752000 -b10000111111011 , -#556768000 -1& -#556784000 -b11111111111111111111111110111011 " -b11111111111111111111111110111011 4 -b11111111111111111111111110111011 0 -b11111111111111111111111110111011 H -b0 2 -b0 = -09 -08 -b10000100010001101111101110 < -b1100010001000100111100011 : -b11111111011101110110110111110100 $ -b11111111011101110110110111110100 - -b11111111011101110110110111110100 5 -b11111111011101110110110111110100 ? -b11111111011101110110110111110100 D -b11111101111011101110010000010001 % -b11111101111011101110010000010001 . -b11111101111011101110010000010001 6 -b11111101111011101110010000010001 @ -b11111101111011101110010000010001 F -b11111111111111111111111110111011 ) -#556800000 -0& -#556816000 -b10000111111100 , -#556832000 -1& -#556848000 -b111 " -b111 4 -b111 0 -b111 H -b0 2 -b0 = -19 -08 -b100001000000000011010000011 < -b10000000000110101010100011100100 : -b1111011111110101010001001100000 $ -b1111011111110101010001001100000 - -b1111011111110101010001001100000 5 -b1111011111110101010001001100000 ? -b1111011111110101010001001100000 D -b11111011110111111111100101111100 % -b11111011110111111111100101111100 . -b11111011110111111111100101111100 6 -b11111011110111111111100101111100 @ -b11111011110111111111100101111100 F -b111 ) -#556864000 -0& -#556880000 -b10000111111101 , -#556896000 -1& -#556912000 -b11111101 " -b11111101 4 -b11111101 0 -b11111101 H -b0 2 -b0 = -09 -08 -b10000001100010101010011111001000 < -b1010010110101000111001 : -b1111110100111101100001001110000 $ -b1111110100111101100001001110000 - -b1111110100111101100001001110000 5 -b1111110100111101100001001110000 ? -b1111110100111101100001001110000 D -b1111110011101010101100000110111 % -b1111110011101010101100000110111 . -b1111110011101010101100000110111 6 -b1111110011101010101100000110111 @ -b1111110011101010101100000110111 F -b11111101 ) -#556928000 -0& -#556944000 -b10000111111110 , -#556960000 -1& -#556976000 -b11111111111110001111101110011100 " -b11111111111110001111101110011100 4 -b11111111111110001111101110011100 0 -b11111111111110001111101110011100 H -b1000000000100001010001100110101 < -b100011111111110001011011010000 : -b11100011111011100111001110011010 $ -b11100011111011100111001110011010 - -b11100011111011100111001110011010 5 -b11100011111011100111001110011010 ? -b11100011111011100111001110011010 D -b10111111111011110101110011001010 % -b10111111111011110101110011001010 . -b10111111111011110101110011001010 6 -b10111111111011110101110011001010 @ -b10111111111011110101110011001010 F -b11111111111110001111101110011100 ) -#556992000 -0& -#557008000 -b10000111111111 , -#557024000 -1& -#557040000 -b11111111111111111111111111100100 " -b11111111111111111111111111100100 4 -b11111111111111111111111111100100 0 -b11111111111111111111111111100100 H -b1000000000001011010111111101001 < -b111001010000110000111000111001 : -b11111001001111010101111001001111 $ -b11111001001111010101111001001111 - -b11111001001111010101111001001111 5 -b11111001001111010101111001001111 ? -b11111001001111010101111001001111 D -b10111111111110100101000000010110 % -b10111111111110100101000000010110 . -b10111111111110100101000000010110 6 -b10111111111110100101000000010110 @ -b10111111111110100101000000010110 F -b11111111111111111111111111100100 ) -#557056000 -0& -#557072000 -b10001000000000 , -#557088000 -1& -#557104000 -b11111111111101111111010001100001 " -b11111111111101111111010001100001 4 -b11111111111101111111010001100001 0 -b11111111111101111111010001100001 H -b1 2 -b1 = -18 -19 -b10000000000001001111000110111001 < -b1111110000000100000101000010110 : -b11111101111111010001100001011100 $ -b11111101111111010001100001011100 - -b11111101111111010001100001011100 5 -b11111101111111010001100001011100 ? -b11111101111111010001100001011100 D -b1111111111110110000111001000110 % -b1111111111110110000111001000110 . -b1111111111110110000111001000110 6 -b1111111111110110000111001000110 @ -b1111111111110110000111001000110 F -b11111111111101111111010001100001 ) -#557120000 -0& -#557136000 -b10001000000001 , -#557152000 -1& -#557168000 -b111 " -b111 4 -b111 0 -b111 H -b0 2 -b0 = -08 -19 -b1000000010011001101010100011 < -b10001000000010010100111010011001 : -b1111111111111111011001111110101 $ -b1111111111111111011001111110101 - -b1111111111111111011001111110101 5 -b1111111111111111011001111110101 ? -b1111111111111111011001111110101 D -b11110111111101100110010101011100 % -b11110111111101100110010101011100 . -b11110111111101100110010101011100 6 -b11110111111101100110010101011100 @ -b11110111111101100110010101011100 F -b111 ) -#557184000 -0& -#557200000 -b10001000000010 , -#557216000 -1& -#557232000 -b11111111111111111111001110100111 " -b11111111111111111111001110100111 4 -b11111111111111111111001110100111 0 -b11111111111111111111001110100111 H -b1 2 -b1 = -18 -19 -b10000010100000000101110001101101 < -b1010001000111110110000100111111 : -b11001110100111110000010011010001 $ -b11001110100111110000010011010001 - -b11001110100111110000010011010001 5 -b11001110100111110000010011010001 ? -b11001110100111110000010011010001 D -b1111101011111111010001110010010 % -b1111101011111111010001110010010 . -b1111101011111111010001110010010 6 -b1111101011111111010001110010010 @ -b1111101011111111010001110010010 F -b11111111111111111111001110100111 ) -#557248000 -0& -#557264000 -b10001000000011 , -#557280000 -1& -#557296000 -b11111111111111111111111011111010 " -b11111111111111111111111011111010 4 -b11111111111111111111111011111010 0 -b11111111111111111111111011111010 H -b0 2 -b0 = -09 -08 -b111100000110100100011001010000 < -b111011100101111100000000111010 : -b11111111011111010111100111101001 $ -b11111111011111010111100111101001 - -b11111111011111010111100111101001 5 -b11111111011111010111100111101001 ? -b11111111011111010111100111101001 D -b11000011111001011011100110101111 % -b11000011111001011011100110101111 . -b11000011111001011011100110101111 6 -b11000011111001011011100110101111 @ -b11000011111001011011100110101111 F -b11111111111111111111111011111010 ) -#557312000 -0& -#557328000 -b10001000000100 , -#557344000 -1& -#557360000 -b11111011111010011111011101011110 " -b11111011111010011111011101011110 4 -b11111011111010011111011101011110 0 -b11111011111010011111011101011110 H -19 -b1 2 -b1 = -18 -b10001011100000011010010101111011 < -b1001010001000010001101101011110 : -b10111110100111110111010111100010 $ -b10111110100111110111010111100010 - -b10111110100111110111010111100010 5 -b10111110100111110111010111100010 ? -b10111110100111110111010111100010 D -b1110100011111100101101010000100 % -b1110100011111100101101010000100 . -b1110100011111100101101010000100 6 -b1110100011111100101101010000100 @ -b1110100011111100101101010000100 F -b11111011111010011111011101011110 ) -#557376000 -0& -#557392000 -b10001000000101 , -#557408000 -1& -#557424000 -b11111111111111111111110111101111 " -b11111111111111111111110111101111 4 -b11111111111111111111110111101111 0 -b11111111111111111111110111101111 H -b1 2 -b1 = -09 -18 -b11100100000010010110001011001110 < -b11011111111010001100010010111011 : -b11111011110111110110000111101100 $ -b11111011110111110110000111101100 - -b11111011110111110110000111101100 5 -b11111011110111110110000111101100 ? -b11111011110111110110000111101100 D -b11011111101101001110100110001 % -b11011111101101001110100110001 . -b11011111101101001110100110001 6 -b11011111101101001110100110001 @ -b11011111101101001110100110001 F -b11111111111111111111110111101111 ) -#557440000 -0& -#557456000 -b10001000000110 , -#557472000 -1& -#557488000 -b1010100011011001100101101000 " -b1010100011011001100101101000 4 -b1010100011011001100101101000 0 -b1010100011011001100101101000 H -b0 2 -b0 = -08 -b100000010011010000100111101 < -b101110010000000000011011011110 : -b101010001101100110010110100000 $ -b101010001101100110010110100000 - -b101010001101100110010110100000 5 -b101010001101100110010110100000 ? -b101010001101100110010110100000 D -b11111011111101100101111011000010 % -b11111011111101100101111011000010 . -b11111011111101100101111011000010 6 -b11111011111101100101111011000010 @ -b11111011111101100101111011000010 F -b1010100011011001100101101000 ) -#557504000 -0& -#557520000 -b10001000000111 , -#557536000 -1& -#557552000 -b1100 " -b1100 4 -b1100 0 -b1100 H -b1 2 -b1 = -18 -b10001100100010000010101101000100 < -b11110100000001111010001010111000 : -b1100111011111110111011101110011 $ -b1100111011111110111011101110011 - -b1100111011111110111011101110011 5 -b1100111011111110111011101110011 ? -b1100111011111110111011101110011 D -b1110011011101111101010010111011 % -b1110011011101111101010010111011 . -b1110011011101111101010010111011 6 -b1110011011101111101010010111011 @ -b1110011011101111101010010111011 F -b1100 ) -#557568000 -0& -#557584000 -b10001000001000 , -#557600000 -1& -#557616000 -b1011001101111 " -b1011001101111 4 -b1011001101111 0 -b1011001101111 H -b10100010000010001111010000101101 < -b11111011110010000011110101110111 : -b1011001101111110100100101001001 $ -b1011001101111110100100101001001 - -b1011001101111110100100101001001 5 -b1011001101111110100100101001001 ? -b1011001101111110100100101001001 D -b1011101111101110000101111010010 % -b1011101111101110000101111010010 . -b1011101111101110000101111010010 6 -b1011101111101110000101111010010 @ -b1011101111101110000101111010010 F -b1011001101111 ) -#557632000 -0& -#557648000 -b10001000001001 , -#557664000 -1& -#557680000 -b10111011 " -b10111011 4 -b10111011 0 -b10111011 H -b0 2 -b0 = -08 -b1011100000100000110001000001010 < -b1110011100011011110011010000010 : -b10111011111011000010001110111 $ -b10111011111011000010001110111 - -b10111011111011000010001110111 5 -b10111011111011000010001110111 ? -b10111011111011000010001110111 D -b10100011111011111001110111110101 % -b10100011111011111001110111110101 . -b10100011111011111001110111110101 6 -b10100011111011111001110111110101 @ -b10100011111011111001110111110101 F -b10111011 ) -#557696000 -0& -#557712000 -b10001000001010 , -#557728000 -1& -#557744000 -b1010011101011101001111001 " -b1010011101011101001111001 4 -b1010011101011101001111001 0 -b1010011101011101001111001 H -b0 2 -b0 = -09 -08 -b100110010000010100011011001 < -b1011000011101101100011100111111 : -b1010011101011101001111001100101 $ -b1010011101011101001111001100101 - -b1010011101011101001111001100101 5 -b1010011101011101001111001100101 ? -b1010011101011101001111001100101 D -b11111011001101111101011100100110 % -b11111011001101111101011100100110 . -b11111011001101111101011100100110 6 -b11111011001101111101011100100110 @ -b11111011001101111101011100100110 F -b1010011101011101001111001 ) -#557760000 -0& -#557776000 -b10001000001011 , -#557792000 -1& -#557808000 -b1111111111101110000101101101101 " -b1111111111101110000101101101101 4 -b1111111111101110000101101101101 0 -b1111111111101110000101101101101 H -b0 2 -b0 = -09 -08 -b10000000000101000101100101111111 < -b10110110010011101101 : -b1111111111101110000101101101101 $ -b1111111111101110000101101101101 - -b1111111111101110000101101101101 5 -b1111111111101110000101101101101 ? -b1111111111101110000101101101101 D -b1111111111010111010011010000000 % -b1111111111010111010011010000000 . -b1111111111010111010011010000000 6 -b1111111111010111010011010000000 @ -b1111111111010111010011010000000 F -b1111111111101110000101101101101 ) -#557824000 -0& -#557840000 -b10001000001100 , -#557856000 -1& -#557872000 -b1011111111110111011111101101 " -b1011111111110111011111101101 4 -b1011111111110111011111101101 0 -b1011111111110111011111101101 H -b1 2 -b1 = -18 -b10001010001001001100100000011100 < -b11101010001000001000011110000101 : -b1011111111110111011111101101000 $ -b1011111111110111011111101101000 - -b1011111111110111011111101101000 5 -b1011111111110111011111101101000 ? -b1011111111110111011111101101000 D -b1110101110110110011011111100011 % -b1110101110110110011011111100011 . -b1110101110110110011011111100011 6 -b1110101110110110011011111100011 @ -b1110101110110110011011111100011 F -b1011111111110111011111101101 ) -#557888000 -0& -#557904000 -b10001000001101 , -#557920000 -1& -#557936000 -b1011011110011110011111010100 " -b1011011110011110011111010100 4 -b1011011110011110011111010100 0 -b1011011110011110011111010100 H -b10001001000010000011001111011100 < -b11100100110101110111001001111111 : -b1011011110011110011111010100010 $ -b1011011110011110011111010100010 - -b1011011110011110011111010100010 5 -b1011011110011110011111010100010 ? -b1011011110011110011111010100010 D -b1110110111101111100110000100011 % -b1110110111101111100110000100011 . -b1110110111101111100110000100011 6 -b1110110111101111100110000100011 @ -b1110110111101111100110000100011 F -b1011011110011110011111010100 ) -#557952000 -0& -#557968000 -b10001000001110 , -#557984000 -1& -#558000000 -b1111010 " -b1111010 4 -b1111010 0 -b1111010 H -b0 2 -b0 = -08 -b100000000001101000000100111 < -b1111110011110110010001001100011 : -b1111010011110100101001000111011 $ -b1111010011110100101001000111011 - -b1111010011110100101001000111011 5 -b1111010011110100101001000111011 ? -b1111010011110100101001000111011 D -b11111011111111110010111111011000 % -b11111011111111110010111111011000 . -b11111011111111110010111111011000 6 -b11111011111111110010111111011000 @ -b11111011111111110010111111011000 F -b1111010 ) -#558016000 -0& -#558032000 -b10001000001111 , -#558048000 -1& -#558064000 -b1110111 " -b1110111 4 -b1110111 0 -b1110111 H -b0 2 -b0 = -19 -08 -b1000101110000000101000011100111 < -b10111101100111111011011001100111 : -b1110111110111110110010101111111 $ -b1110111110111110110010101111111 - -b1110111110111110110010101111111 5 -b1110111110111110110010101111111 ? -b1110111110111110110010101111111 D -b10111010001111111010111100011000 % -b10111010001111111010111100011000 . -b10111010001111111010111100011000 6 -b10111010001111111010111100011000 @ -b10111010001111111010111100011000 F -b1110111 ) -#558080000 -0& -#558096000 -b10001000010000 , -#558112000 -1& -#558128000 -b1110 " -b1110 4 -b1110 0 -b1110 H -b0 2 -b0 = -09 -08 -b10001000110110000100100100100100 < -b101011110111000010000010 : -b1110111110101110010011101011101 $ -b1110111110101110010011101011101 - -b1110111110101110010011101011101 5 -b1110111110101110010011101011101 ? -b1110111110101110010011101011101 D -b1110111001001111011011011011011 % -b1110111001001111011011011011011 . -b1110111001001111011011011011011 6 -b1110111001001111011011011011011 @ -b1110111001001111011011011011011 F -b1110 ) -#558144000 -0& -#558160000 -b10001000010001 , -#558176000 -1& -#558192000 -b101111111111 " -b101111111111 4 -b101111111111 0 -b101111111111 H -19 -b0 2 -b0 = -08 -b1000010001010100001111000001100 < -b10100010001001010011100111111010 : -b1011111111110110001101111101101 $ -b1011111111110110001101111101101 - -b1011111111110110001101111101101 5 -b1011111111110110001101111101101 ? -b1011111111110110001101111101101 D -b10111101110101011110000111110011 % -b10111101110101011110000111110011 . -b10111101110101011110000111110011 6 -b10111101110101011110000111110011 @ -b10111101110101011110000111110011 F -b101111111111 ) -#558208000 -0& -#558224000 -b10001000010010 , -#558240000 -1& -#558256000 -b110110111011111100011 " -b110110111011111100011 4 -b110110111011111100011 0 -b110110111011111100011 H -b0 2 -b0 = -09 -08 -b1000000010000001000001011110110 < -b1110111001100000100100101001011 : -b110110111011111100011001010100 $ -b110110111011111100011001010100 - -b110110111011111100011001010100 5 -b110110111011111100011001010100 ? -b110110111011111100011001010100 D -b10111111101111110111110100001001 % -b10111111101111110111110100001001 . -b10111111101111110111110100001001 6 -b10111111101111110111110100001001 @ -b10111111101111110111110100001001 F -b110110111011111100011 ) -#558272000 -0& -#558288000 -b10001000010011 , -#558304000 -1& -#558320000 -b11111111111111111111101101111110 " -b11111111111111111111101101111110 4 -b11111111111111111111101101111110 0 -b11111111111111111111101101111110 H -b1 2 -b1 = -18 -09 -b11000000110001000110010000010010 < -b11000000001101000011010111110000 : -b11111111011011111101000111011101 $ -b11111111011011111101000111011101 - -b11111111011011111101000111011101 5 -b11111111011011111101000111011101 ? -b11111111011011111101000111011101 D -b111111001110111001101111101101 % -b111111001110111001101111101101 . -b111111001110111001101111101101 6 -b111111001110111001101111101101 @ -b111111001110111001101111101101 F -b11111111111111111111101101111110 ) -#558336000 -0& -#558352000 -b10001000010100 , -#558368000 -1& -#558384000 -b11111111111111001110111110011111 " -b11111111111111001110111110011111 4 -b11111111111111001110111110011111 0 -b11111111111111001110111110011111 H -b100010100011111111 < -b11111111111111110001100010011111 : -b11111111111111001110111110011111 $ -b11111111111111001110111110011111 - -b11111111111111001110111110011111 5 -b11111111111111001110111110011111 ? -b11111111111111001110111110011111 D -b11111111111111011101011100000000 % -b11111111111111011101011100000000 . -b11111111111111011101011100000000 6 -b11111111111111011101011100000000 @ -b11111111111111011101011100000000 F -b11111111111111001110111110011111 ) -#558400000 -0& -#558416000 -b10001000010101 , -#558432000 -1& -#558448000 -b111100111111101011 " -b111100111111101011 4 -b111100111111101011 0 -b111100111111101011 H -b1 2 -b1 = -18 -b10000000000000111101001100010010 < -b11111010000000010100011101101111 : -b1111001111111010111010001011100 $ -b1111001111111010111010001011100 - -b1111001111111010111010001011100 5 -b1111001111111010111010001011100 ? -b1111001111111010111010001011100 D -b1111111111111000010110011101101 % -b1111111111111000010110011101101 . -b1111111111111000010110011101101 6 -b1111111111111000010110011101101 @ -b1111111111111000010110011101101 F -b111100111111101011 ) -#558464000 -0& -#558480000 -b10001000010110 , -#558496000 -1& -#558512000 -b11111111111111111111110111111111 " -b11111111111111111111110111111111 4 -b11111111111111111111110111111111 0 -b11111111111111111111110111111111 H -19 -b1 2 -b1 = -18 -b10000000000000000100000110101010 < -b111111111110100101001010100000 : -b10111111111110100001000011110101 $ -b10111111111110100001000011110101 - -b10111111111110100001000011110101 5 -b10111111111110100001000011110101 ? -b10111111111110100001000011110101 D -b1111111111111111011111001010101 % -b1111111111111111011111001010101 . -b1111111111111111011111001010101 6 -b1111111111111111011111001010101 @ -b1111111111111111011111001010101 F -b11111111111111111111110111111111 ) -#558528000 -0& -#558544000 -b10001000010111 , -#558560000 -1& -#558576000 -b11111111111100100110010011110111 " -b11111111111100100110010011110111 4 -b11111111111100100110010011110111 0 -b11111111111100100110010011110111 H -b1 2 -b1 = -09 -18 -b10010000010000100010010110011100 < -b10001111110101010100110101010111 : -b11111111100100110010011110111010 $ -b11111111100100110010011110111010 - -b11111111100100110010011110111010 5 -b11111111100100110010011110111010 ? -b11111111100100110010011110111010 D -b1101111101111011101101001100011 % -b1101111101111011101101001100011 . -b1101111101111011101101001100011 6 -b1101111101111011101101001100011 @ -b1101111101111011101101001100011 F -b11111111111100100110010011110111 ) -#558592000 -0& -#558608000 -b10001000011000 , -#558624000 -1& -#558640000 -b11111111111111101111111110111110 " -b11111111111111101111111110111110 4 -b11111111111111101111111110111110 0 -b11111111111111101111111110111110 H -b1 2 -b1 = -09 -18 -b11000000110011001000111100110001 < -b10000000101111000001110010001000 : -b10111111111011111000110101010110 $ -b10111111111011111000110101010110 - -b10111111111011111000110101010110 5 -b10111111111011111000110101010110 ? -b10111111111011111000110101010110 D -b111111001100110111000011001110 % -b111111001100110111000011001110 . -b111111001100110111000011001110 6 -b111111001100110111000011001110 @ -b111111001100110111000011001110 F -b11111111111111101111111110111110 ) -#558656000 -0& -#558672000 -b10001000011001 , -#558688000 -1& -#558704000 -b11111111111101111101001111011011 " -b11111111111101111101001111011011 4 -b11111111111101111101001111011011 0 -b11111111111101111101001111011011 H -b1 2 -b1 = -19 -18 -b10000000001000000011110101110111 < -b1110111111101000001100010011100 : -b11110111110100111101101100100100 $ -b11110111110100111101101100100100 - -b11110111110100111101101100100100 5 -b11110111110100111101101100100100 ? -b11110111110100111101101100100100 D -b1111111110111111100001010001000 % -b1111111110111111100001010001000 . -b1111111110111111100001010001000 6 -b1111111110111111100001010001000 @ -b1111111110111111100001010001000 F -b11111111111101111101001111011011 ) -#558720000 -0& -#558736000 -b10001000011010 , -#558752000 -1& -#558768000 -b11111111111111111111111111111010 " -b11111111111111111111111111111010 4 -b11111111111111111111111111111010 0 -b11111111111111111111111111111010 H -b0 2 -b0 = -08 -09 -b100010001000101001101101100101 < -b1110001000100111011011000011 : -b11101011111111111101101101011101 $ -b11101011111111111101101101011101 - -b11101011111111111101101101011101 5 -b11101011111111111101101101011101 ? -b11101011111111111101101101011101 D -b11011101110111010110010010011010 % -b11011101110111010110010010011010 . -b11011101110111010110010010011010 6 -b11011101110111010110010010011010 @ -b11011101110111010110010010011010 F -b11111111111111111111111111111010 ) -#558784000 -0& -#558800000 -b10001000011011 , -#558816000 -1& -#558832000 -b11111111111111111111101110111100 " -b11111111111111111111101110111100 4 -b11111111111111111111101110111100 0 -b11111111111111111111101110111100 H -b1000000000100010101010001101101 < -b101111000001001101101010100101 : -b11101110111100111000011000110111 $ -b11101110111100111000011000110111 - -b11101110111100111000011000110111 5 -b11101110111100111000011000110111 ? -b11101110111100111000011000110111 D -b10111111111011101010101110010010 % -b10111111111011101010101110010010 . -b10111111111011101010101110010010 6 -b10111111111011101010101110010010 @ -b10111111111011101010101110010010 F -b11111111111111111111101110111100 ) -#558848000 -0& -#558864000 -b10001000011100 , -#558880000 -1& -#558896000 -b1011110 " -b1011110 4 -b1011110 0 -b1011110 H -19 -b0 2 -b0 = -08 -b1100000001010100010001111000111 < -b10111111001000001011101111101000 : -b1011110111101101001100000100000 $ -b1011110111101101001100000100000 - -b1011110111101101001100000100000 5 -b1011110111101101001100000100000 ? -b1011110111101101001100000100000 D -b10011111110101011101110000111000 % -b10011111110101011101110000111000 . -b10011111110101011101110000111000 6 -b10011111110101011101110000111000 @ -b10011111110101011101110000111000 F -b1011110 ) -#558912000 -0& -#558928000 -b10001000011101 , -#558944000 -1& -#558960000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -09 -b0 2 -b0 = -08 -b1001011001010001100011011101010 < -b1001011000010001100000000011110 : -b11111111110111111111100100110011 $ -b11111111110111111111100100110011 - -b11111111110111111111100100110011 5 -b11111111110111111111100100110011 ? -b11111111110111111111100100110011 D -b10110100110101110011100100010101 % -b10110100110101110011100100010101 . -b10110100110101110011100100010101 6 -b10110100110101110011100100010101 @ -b10110100110101110011100100010101 F -b11111111111111111111111111111110 ) -#558976000 -0& -#558992000 -b10001000011110 , -#559008000 -1& -#559024000 -b11111111111111101010111001011000 " -b11111111111111101010111001011000 4 -b11111111111111101010111001011000 0 -b11111111111111101010111001011000 H -b1 2 -b1 = -18 -19 -b10100000011000000010111011110010 < -b1110110001010110011110101001100 : -b11010101110010110000111001011001 $ -b11010101110010110000111001011001 - -b11010101110010110000111001011001 5 -b11010101110010110000111001011001 ? -b11010101110010110000111001011001 D -b1011111100111111101000100001101 % -b1011111100111111101000100001101 . -b1011111100111111101000100001101 6 -b1011111100111111101000100001101 @ -b1011111100111111101000100001101 F -b11111111111111101010111001011000 ) -#559040000 -0& -#559056000 -b10001000011111 , -#559072000 -1& -#559088000 -b11111111111111111101110111000110 " -b11111111111111111101110111000110 4 -b11111111111111111101110111000110 0 -b11111111111111111101110111000110 H -b1 2 -b1 = -09 -18 -b11100001100000100110110000001111 < -b10111111010010010011000010101000 : -b11011101110001101100010010011000 $ -b11011101110001101100010010011000 - -b11011101110001101100010010011000 5 -b11011101110001101100010010011000 ? -b11011101110001101100010010011000 D -b11110011111011001001111110000 % -b11110011111011001001111110000 . -b11110011111011001001111110000 6 -b11110011111011001001111110000 @ -b11110011111011001001111110000 F -b11111111111111111101110111000110 ) -#559104000 -0& -#559120000 -b10001000100000 , -#559136000 -1& -#559152000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -08 -b11000000000000001010010001000001 < -b111110111111110001110100010100 : -b1111110111111100111100011010010 $ -b1111110111111100111100011010010 - -b1111110111111100111100011010010 5 -b1111110111111100111100011010010 ? -b1111110111111100111100011010010 D -b111111111111110101101110111110 % -b111111111111110101101110111110 . -b111111111111110101101110111110 6 -b111111111111110101101110111110 @ -b111111111111110101101110111110 F -b1 ) -#559168000 -0& -#559184000 -b10001000100001 , -#559200000 -1& -#559216000 -b11011110011011001100010011111 " -b11011110011011001100010011111 4 -b11011110011011001100010011111 0 -b11011110011011001100010011111 H -b0 2 -b0 = -08 -b11000110010010101001011111110 < -b1010000011001001000010000111101 : -b110111100110110011000100111110 $ -b110111100110110011000100111110 - -b110111100110110011000100111110 5 -b110111100110110011000100111110 ? -b110111100110110011000100111110 D -b11100111001101101010110100000001 % -b11100111001101101010110100000001 . -b11100111001101101010110100000001 6 -b11100111001101101010110100000001 @ -b11100111001101101010110100000001 F -b11011110011011001100010011111 ) -#559232000 -0& -#559248000 -b10001000100010 , -#559264000 -1& -#559280000 -b111111011011011111110 " -b111111011011011111110 4 -b111111011011011111110 0 -b111111011011011111110 H -b100000000100001110110111 < -b100000001101110100000111010111 : -b11111101101101111111000011111 $ -b11111101101101111111000011111 - -b11111101101101111111000011111 5 -b11111101101101111111000011111 ? -b11111101101101111111000011111 D -b11111111011111111011110001001000 % -b11111111011111111011110001001000 . -b11111111011111111011110001001000 6 -b11111111011111111011110001001000 @ -b11111111011111111011110001001000 F -b111111011011011111110 ) -#559296000 -0& -#559312000 -b10001000100011 , -#559328000 -1& -#559344000 -b11111110111111110100010 " -b11111110111111110100010 4 -b11111110111111110100010 0 -b11111110111111110100010 H -b0 2 -b0 = -19 -08 -b1010010000110100110010111 < -b10000000110010000000101110110100 : -b1111111011111111010001000011100 $ -b1111111011111111010001000011100 - -b1111111011111111010001000011100 5 -b1111111011111111010001000011100 ? -b1111111011111111010001000011100 D -b11111110101101111001011001101000 % -b11111110101101111001011001101000 . -b11111110101101111001011001101000 6 -b11111110101101111001011001101000 @ -b11111110101101111001011001101000 F -b11111110111111110100010 ) -#559360000 -0& -#559376000 -b10001000100100 , -#559392000 -1& -#559408000 -b11111111111111111100100110111000 " -b11111111111111111100100110111000 4 -b11111111111111111100100110111000 0 -b11111111111111111100100110111000 H -b1 2 -b1 = -18 -09 -b10011000001011001111000110010001 < -b10001010100110110001010001011101 : -b11110010011011100010001011001011 $ -b11110010011011100010001011001011 - -b11110010011011100010001011001011 5 -b11110010011011100010001011001011 ? -b11110010011011100010001011001011 D -b1100111110100110000111001101110 % -b1100111110100110000111001101110 . -b1100111110100110000111001101110 6 -b1100111110100110000111001101110 @ -b1100111110100110000111001101110 F -b11111111111111111100100110111000 ) -#559424000 -0& -#559440000 -b10001000100101 , -#559456000 -1& -#559472000 -b1101011011 " -b1101011011 4 -b1101011011 0 -b1101011011 H -b0 2 -b0 = -08 -b11001001100100110111010100101010 < -b110101000011101101001101001001 : -b1101011011110110101111000011110 $ -b1101011011110110101111000011110 - -b1101011011110110101111000011110 5 -b1101011011110110101111000011110 ? -b1101011011110110101111000011110 D -b110110011011001000101011010101 % -b110110011011001000101011010101 . -b110110011011001000101011010101 6 -b110110011011001000101011010101 @ -b110110011011001000101011010101 F -b1101011011 ) -#559488000 -0& -#559504000 -b10001000100110 , -#559520000 -1& -#559536000 -b11111111011001001101100101101001 " -b11111111011001001101100101101001 4 -b11111111011001001101100101101001 0 -b11111111011001001101100101101001 H -b1 2 -b1 = -18 -b11010100100000010101010111000 < -b11001100111111001101111101111000 : -b10110010011011001011010010111111 $ -b10110010011011001011010010111111 - -b10110010011011001011010010111111 5 -b10110010011011001011010010111111 ? -b10110010011011001011010010111111 D -b11100101011011111101010101000111 % -b11100101011011111101010101000111 . -b11100101011011111101010101000111 6 -b11100101011011111101010101000111 @ -b11100101011011111101010101000111 F -b11111111011001001101100101101001 ) -#559552000 -0& -#559568000 -b10001000100111 , -#559584000 -1& -#559600000 -b11111111111110010101110110 " -b11111111111110010101110110 4 -b11111111111110010101110110 0 -b11111111111110010101110110 H -b0 2 -b0 = -08 -b10011100000001000100101010011010 < -b11100000000001111100101100000 : -b1111111111111001010111011000101 $ -b1111111111111001010111011000101 - -b1111111111111001010111011000101 5 -b1111111111111001010111011000101 ? -b1111111111111001010111011000101 D -b1100011111110111011010101100101 % -b1100011111110111011010101100101 . -b1100011111110111011010101100101 6 -b1100011111110111011010101100101 @ -b1100011111110111011010101100101 F -b11111111111110010101110110 ) -#559616000 -0& -#559632000 -b10001000101000 , -#559648000 -1& -#559664000 -b1111111111 " -b1111111111 4 -b1111111111 0 -b1111111111 H -b10010001001000000011000011101010 < -b10001000111000101101111001111 : -b1111111111111000010101011100100 $ -b1111111111111000010101011100100 - -b1111111111111000010101011100100 5 -b1111111111111000010101011100100 ? -b1111111111111000010101011100100 D -b1101110110111111100111100010101 % -b1101110110111111100111100010101 . -b1101110110111111100111100010101 6 -b1101110110111111100111100010101 @ -b1101110110111111100111100010101 F -b1111111111 ) -#559680000 -0& -#559696000 -b10001000101001 , -#559712000 -1& -#559728000 -b11111111101011011001111000101000 " -b11111111101011011001111000101000 4 -b11111111101011011001111000101000 0 -b11111111101011011001111000101000 H -b1 2 -b1 = -18 -b11010010110010011001 < -b11101011011101001011011010100101 : -b11101011011001111000101000001011 $ -b11101011011001111000101000001011 - -b11101011011001111000101000001011 5 -b11101011011001111000101000001011 ? -b11101011011001111000101000001011 D -b11111111111100101101001101100110 % -b11111111111100101101001101100110 . -b11111111111100101101001101100110 6 -b11111111111100101101001101100110 @ -b11111111111100101101001101100110 F -b11111111101011011001111000101000 ) -#559744000 -0& -#559760000 -b10001000101010 , -#559776000 -1& -#559792000 -b111000110110110 " -b111000110110110 4 -b111000110110110 0 -b111000110110110 H -b1 2 -b1 = -18 -b10001100000000000011100001010001 < -b10101000011011011100010110001010 : -b11100011011011000110100111000 $ -b11100011011011000110100111000 - -b11100011011011000110100111000 5 -b11100011011011000110100111000 ? -b11100011011011000110100111000 D -b1110011111111111100011110101110 % -b1110011111111111100011110101110 . -b1110011111111111100011110101110 6 -b1110011111111111100011110101110 @ -b1110011111111111100011110101110 F -b111000110110110 ) -#559808000 -0& -#559824000 -b10001000101011 , -#559840000 -1& -#559856000 -b11111011010111111111101000100011 " -b11111011010111111111101000100011 4 -b11111011010111111111101000100011 0 -b11111011010111111111101000100011 H -b1 2 -b1 = -18 -b1001100111100111100 < -b11011011000001001010000001011001 : -b11011010111111111101000100011100 $ -b11011010111111111101000100011100 - -b11011010111111111101000100011100 5 -b11011010111111111101000100011100 ? -b11011010111111111101000100011100 D -b11111111111110110011000011000011 % -b11111111111110110011000011000011 . -b11111111111110110011000011000011 6 -b11111111111110110011000011000011 @ -b11111111111110110011000011000011 F -b11111011010111111111101000100011 ) -#559872000 -0& -#559888000 -b10001000101100 , -#559904000 -1& -#559920000 -b11111111111111110111111101111110 " -b11111111111111110111111101111110 4 -b11111111111111110111111101111110 0 -b11111111111111110111111101111110 H -b1 2 -b1 = -19 -18 -b10001100010101000100010111110010 < -b1111100010001000001001110010000 : -b11101111111011111100110110011101 $ -b11101111111011111100110110011101 - -b11101111111011111100110110011101 5 -b11101111111011111100110110011101 ? -b11101111111011111100110110011101 D -b1110011101010111011101000001101 % -b1110011101010111011101000001101 . -b1110011101010111011101000001101 6 -b1110011101010111011101000001101 @ -b1110011101010111011101000001101 F -b11111111111111110111111101111110 ) -#559936000 -0& -#559952000 -b10001000101101 , -#559968000 -1& -#559984000 -b11110111110010111110100001001110 " -b11110111110010111110100001001110 4 -b11110111110010111110100001001110 0 -b11110111110010111110100001001110 H -b0 2 -b0 = -08 -09 -b100100000011001101111100111101 < -b11001111001000000001110111 : -b11011111001011111010000100111001 $ -b11011111001011111010000100111001 - -b11011111001011111010000100111001 5 -b11011111001011111010000100111001 ? -b11011111001011111010000100111001 D -b11011011111100110010000011000010 % -b11011011111100110010000011000010 . -b11011011111100110010000011000010 6 -b11011011111100110010000011000010 @ -b11011011111100110010000011000010 F -b11110111110010111110100001001110 ) -#560000000 -0& -#560016000 -b10001000101110 , -#560032000 -1& -#560048000 -b11111111111111111111111111011001 " -b11111111111111111111111111011001 4 -b11111111111111111111111111011001 0 -b11111111111111111111111111011001 H -b110000110100000110110000101001 < -b100111001011001010010100101100 : -b11110110010111000011100100000010 $ -b11110110010111000011100100000010 - -b11110110010111000011100100000010 5 -b11110110010111000011100100000010 ? -b11110110010111000011100100000010 D -b11001111001011111001001111010110 % -b11001111001011111001001111010110 . -b11001111001011111001001111010110 6 -b11001111001011111001001111010110 @ -b11001111001011111001001111010110 F -b11111111111111111111111111011001 ) -#560064000 -0& -#560080000 -b10001000101111 , -#560096000 -1& -#560112000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10000010100100000110110100101000 < -b10000010100010101110000110010100 : -b11111111111110100111010001101011 $ -b11111111111110100111010001101011 - -b11111111111110100111010001101011 5 -b11111111111110100111010001101011 ? -b11111111111110100111010001101011 D -b1111101011011111001001011010111 % -b1111101011011111001001011010111 . -b1111101011011111001001011010111 6 -b1111101011011111001001011010111 @ -b1111101011011111001001011010111 F -b11111111111111111111111111111111 ) -#560128000 -0& -#560144000 -b10001000110000 , -#560160000 -1& -#560176000 -b11111111111111111111111010110101 " -b11111111111111111111111010110101 4 -b11111111111111111111111010110101 0 -b11111111111111111111111010110101 H -b1 2 -b1 = -19 -18 -b10100000001100001110011110101001 < -b1001101101100000101011011011111 : -b10101101011111110110111100110101 $ -b10101101011111110110111100110101 - -b10101101011111110110111100110101 5 -b10101101011111110110111100110101 ? -b10101101011111110110111100110101 D -b1011111110011110001100001010110 % -b1011111110011110001100001010110 . -b1011111110011110001100001010110 6 -b1011111110011110001100001010110 @ -b1011111110011110001100001010110 F -b11111111111111111111111010110101 ) -#560192000 -0& -#560208000 -b10001000110001 , -#560224000 -1& -#560240000 -b11111111111111111101111011000011 " -b11111111111111111101111011000011 4 -b11111111111111111101111011000011 0 -b11111111111111111101111011000011 H -b0 2 -b0 = -08 -09 -b1100110001000000010000000001111 < -b1000100111000111010111001010010 : -b11011110110000111000111001000010 $ -b11011110110000111000111001000010 - -b11011110110000111000111001000010 5 -b11011110110000111000111001000010 ? -b11011110110000111000111001000010 D -b10011001110111111101111111110000 % -b10011001110111111101111111110000 . -b10011001110111111101111111110000 6 -b10011001110111111101111111110000 @ -b10011001110111111101111111110000 F -b11111111111111111101111011000011 ) -#560256000 -0& -#560272000 -b10001000110010 , -#560288000 -1& -#560304000 -b111001011111101 " -b111001011111101 4 -b111001011111101 0 -b111001011111101 H -b0 2 -b0 = -08 -b11100000000000111010001101101111 < -b1010011000000010001000100101110 : -b1110010111111010110110110111110 $ -b1110010111111010110110110111110 - -b1110010111111010110110110111110 5 -b1110010111111010110110110111110 ? -b1110010111111010110110110111110 D -b11111111111000101110010010000 % -b11111111111000101110010010000 . -b11111111111000101110010010000 6 -b11111111111000101110010010000 @ -b11111111111000101110010010000 F -b111001011111101 ) -#560320000 -0& -#560336000 -b10001000110011 , -#560352000 -1& -#560368000 -b101010101001 " -b101010101001 4 -b101010101001 0 -b101010101001 H -b1 2 -b1 = -18 -b10000001101100000001011100101111 < -b10001100010110100000010111110111 : -b1010101010011110111011000111 $ -b1010101010011110111011000111 - -b1010101010011110111011000111 5 -b1010101010011110111011000111 ? -b1010101010011110111011000111 D -b1111110010011111110100011010000 % -b1111110010011111110100011010000 . -b1111110010011111110100011010000 6 -b1111110010011111110100011010000 @ -b1111110010011111110100011010000 F -b101010101001 ) -#560384000 -0& -#560400000 -b10001000110100 , -#560416000 -1& -#560432000 -b11111111111111111111111110011111 " -b11111111111111111111111110011111 4 -b11111111111111111111111110011111 0 -b11111111111111111111111110011111 H -b0 2 -b0 = -08 -b1000101000010000000000010001000 < -b10100111111111110101101011111 : -b11001111111101111110101011010110 $ -b11001111111101111110101011010110 - -b11001111111101111110101011010110 5 -b11001111111101111110101011010110 ? -b11001111111101111110101011010110 D -b10111010111101111111111101110111 % -b10111010111101111111111101110111 . -b10111010111101111111111101110111 6 -b10111010111101111111111101110111 @ -b10111010111101111111111101110111 F -b11111111111111111111111110011111 ) -#560448000 -0& -#560464000 -b10001000110101 , -#560480000 -1& -#560496000 -b11111111111111111011101001010101 " -b11111111111111111011101001010101 4 -b11111111111111111011101001010101 0 -b11111111111111111011101001010101 H -b1 2 -b1 = -18 -b11000001001000001110011001010010 < -b10111000011010111010000110111100 : -b11110111010010101011101101101001 $ -b11110111010010101011101101101001 - -b11110111010010101011101101101001 5 -b11110111010010101011101101101001 ? -b11110111010010101011101101101001 D -b111110110111110001100110101101 % -b111110110111110001100110101101 . -b111110110111110001100110101101 6 -b111110110111110001100110101101 @ -b111110110111110001100110101101 F -b11111111111111111011101001010101 ) -#560512000 -0& -#560528000 -b10001000110110 , -#560544000 -1& -#560560000 -b11111111111111111101101101011110 " -b11111111111111111101101101011110 4 -b11111111111111111101101101011110 0 -b11111111111111111101101101011110 H -b10011000001101001101110011110110 < -b10010111111010111001101000001010 : -b11111111101101101011110100010011 $ -b11111111101101101011110100010011 - -b11111111101101101011110100010011 5 -b11111111101101101011110100010011 ? -b11111111101101101011110100010011 D -b1100111110010110010001100001001 % -b1100111110010110010001100001001 . -b1100111110010110010001100001001 6 -b1100111110010110010001100001001 @ -b1100111110010110010001100001001 F -b11111111111111111101101101011110 ) -#560576000 -0& -#560592000 -b10001000110111 , -#560608000 -1& -#560624000 -b1001111001100101100 " -b1001111001100101100 4 -b1001111001100101100 0 -b1001111001100101100 H -b0 2 -b0 = -08 -09 -b100000000001011010100110010011 < -b1101111001110000111001010110001 : -b1001111001100101100100100011101 $ -b1001111001100101100100100011101 - -b1001111001100101100100100011101 5 -b1001111001100101100100100011101 ? -b1001111001100101100100100011101 D -b11011111111110100101011001101100 % -b11011111111110100101011001101100 . -b11011111111110100101011001101100 6 -b11011111111110100101011001101100 @ -b11011111111110100101011001101100 F -b1001111001100101100 ) -#560640000 -0& -#560656000 -b10001000111000 , -#560672000 -1& -#560688000 -b11111111111111111111111111110111 " -b11111111111111111111111111110111 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b0 2 -b0 = -08 -09 -b1001000100000101111001101001 < -b110111010010110011101011110 : -b11111101110110010000100011110100 $ -b11111101110110010000100011110100 - -b11111101110110010000100011110100 5 -b11111101110110010000100011110100 ? -b11111101110110010000100011110100 D -b11110110111011111010000110010110 % -b11110110111011111010000110010110 . -b11110110111011111010000110010110 6 -b11110110111011111010000110010110 @ -b11110110111011111010000110010110 F -b11111111111111111111111111110111 ) -#560704000 -0& -#560720000 -b10001000111001 , -#560736000 -1& -#560752000 -b11111111111111111111110111111110 " -b11111111111111111111110111111110 4 -b11111111111111111111110111111110 0 -b11111111111111111111110111111110 H -b1 2 -b1 = -18 -b11011000000000001110110111001010 < -b10010111111000000011101010010100 : -b10111111110111110100110011001001 $ -b10111111110111110100110011001001 - -b10111111110111110100110011001001 5 -b10111111110111110100110011001001 ? -b10111111110111110100110011001001 D -b100111111111110001001000110101 % -b100111111111110001001000110101 . -b100111111111110001001000110101 6 -b100111111111110001001000110101 @ -b100111111111110001001000110101 F -b11111111111111111111110111111110 ) -#560768000 -0& -#560784000 -b10001000111010 , -#560800000 -1& -#560816000 -b11101111110111110010001 " -b11101111110111110010001 4 -b11101111110111110010001 0 -b11101111110111110010001 H -19 -b0 2 -b0 = -08 -b10010010010001101010110010111 < -b10001010001110000110011101010111 : -b1110111111011111001000110111111 $ -b1110111111011111001000110111111 - -b1110111111011111001000110111111 5 -b1110111111011111001000110111111 ? -b1110111111011111001000110111111 D -b11101101101101110010101001101000 % -b11101101101101110010101001101000 . -b11101101101101110010101001101000 6 -b11101101101101110010101001101000 @ -b11101101101101110010101001101000 F -b11101111110111110010001 ) -#560832000 -0& -#560848000 -b10001000111011 , -#560864000 -1& -#560880000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -09 -08 -b11000001111010000100010101100001 < -b111111110110100000010110111011 : -b1111101111100011100000001011001 $ -b1111101111100011100000001011001 - -b1111101111100011100000001011001 5 -b1111101111100011100000001011001 ? -b1111101111100011100000001011001 D -b111110000101111011101010011110 % -b111110000101111011101010011110 . -b111110000101111011101010011110 6 -b111110000101111011101010011110 @ -b111110000101111011101010011110 F -b1 ) -#560896000 -0& -#560912000 -b10001000111100 , -#560928000 -1& -#560944000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1000001000000000101000011100011 < -b111111111110110110101111100000 : -b11111110111110110001101011111100 $ -b11111110111110110001101011111100 - -b11111110111110110001101011111100 5 -b11111110111110110001101011111100 ? -b11111110111110110001101011111100 D -b10111110111111111010111100011100 % -b10111110111111111010111100011100 . -b10111110111111111010111100011100 6 -b10111110111111111010111100011100 @ -b10111110111111111010111100011100 F -b11111111111111111111111111111111 ) -#560960000 -0& -#560976000 -b10001000111101 , -#560992000 -1& -#561008000 -1! -b0 " -b0 4 -b0 0 -b0 H -19 -b0 2 -b0 = -08 -b100101010001001001100000100000 < -1# -b10100100111110000000001110000110 : -b1111111101100110110101101100101 $ -b1111111101100110110101101100101 - -b1111111101100110110101101100101 5 -b1111111101100110110101101100101 ? -b1111111101100110110101101100101 D -b11011010101110110110011111011111 % -b11011010101110110110011111011111 . -b11011010101110110110011111011111 6 -b11011010101110110110011111011111 @ -b11011010101110110110011111011111 F -b0 ) -b1 ( -#561024000 -0& -#561040000 -b10001000111110 , -#561056000 -1& -#561072000 -b1010010001001000101100000000 < -b10001001001111111110010111110011 : -b1111110111110110101101011110010 $ -b1111110111110110101101011110010 - -b1111110111110110101101011110010 5 -b1111110111110110101101011110010 ? -b1111110111110110101101011110010 D -b11110101101110110111010011111111 % -b11110101101110110111010011111111 . -b11110101101110110111010011111111 6 -b11110101101110110111010011111111 @ -b11110101101110110111010011111111 F -#561088000 -0& -#561104000 -b10001000111111 , -#561120000 -1& -#561136000 -0! -b10 " -b10 4 -b10 0 -b10 H -b0 2 -b0 = -09 -08 -b10110001000110000110001011100010 < -0# -b10000110100111100011100110000 : -b1011111101110110110010001001101 $ -b1011111101110110110010001001101 - -b1011111101110110110010001001101 5 -b1011111101110110110010001001101 ? -b1011111101110110110010001001101 D -b1001110111001111001110100011101 % -b1001110111001111001110100011101 . -b1001110111001111001110100011101 6 -b1001110111001111001110100011101 @ -b1001110111001111001110100011101 F -b10 ) -b0 ( -#561152000 -0& -#561168000 -b10001001000000 , -#561184000 -1& -#561200000 -b10101111111011111 " -b10101111111011111 4 -b10101111111011111 0 -b10101111111011111 H -b11110000100001000101011011010001 < -b1001000011111000011001110100110 : -b1010111111101111101110011010100 $ -b1010111111101111101110011010100 - -b1010111111101111101110011010100 5 -b1010111111101111101110011010100 ? -b1010111111101111101110011010100 D -b1111011110111010100100101110 % -b1111011110111010100100101110 . -b1111011110111010100100101110 6 -b1111011110111010100100101110 @ -b1111011110111010100100101110 F -b10101111111011111 ) -#561216000 -0& -#561232000 -b10001001000001 , -#561248000 -1& -#561264000 -b100111110 " -b100111110 4 -b100111110 0 -b100111110 H -b1 2 -b1 = -18 -b10010000001010110111010111101001 < -b11011111111010001100010110000101 : -b1001111101111010100111110011011 $ -b1001111101111010100111110011011 - -b1001111101111010100111110011011 5 -b1001111101111010100111110011011 ? -b1001111101111010100111110011011 D -b1101111110101001000101000010110 % -b1101111110101001000101000010110 . -b1101111110101001000101000010110 6 -b1101111110101001000101000010110 @ -b1101111110101001000101000010110 F -b100111110 ) -#561280000 -0& -#561296000 -b10001001000010 , -#561312000 -1& -#561328000 -b11111111111010011011101101101010 " -b11111111111010011011101101101010 4 -b11111111111010011011101101101010 0 -b11111111111010011011101101101010 H -b1 2 -b1 = -18 -b10100111000001011110001111110111 < -b10010000110000010100111000100111 : -b11101001101110110110101000101111 $ -b11101001101110110110101000101111 - -b11101001101110110110101000101111 5 -b11101001101110110110101000101111 ? -b11101001101110110110101000101111 D -b1011000111110100001110000001000 % -b1011000111110100001110000001000 . -b1011000111110100001110000001000 6 -b1011000111110100001110000001000 @ -b1011000111110100001110000001000 F -b11111111111010011011101101101010 ) -#561344000 -0& -#561360000 -b10001001000011 , -#561376000 -1& -#561392000 -b1011111111100111000100011010 " -b1011111111100111000100011010 4 -b1011111111100111000100011010 0 -b1011111111100111000100011010 H -b0 2 -b0 = -08 -b100000100111010011100 < -b1100000000000111101011101110011 : -b1011111111100111000100011010110 $ -b1011111111100111000100011010110 - -b1011111111100111000100011010110 5 -b1011111111100111000100011010110 ? -b1011111111100111000100011010110 D -b11111111111011111011000101100011 % -b11111111111011111011000101100011 . -b11111111111011111011000101100011 6 -b11111111111011111011000101100011 @ -b11111111111011111011000101100011 F -b1011111111100111000100011010 ) -#561408000 -0& -#561424000 -b10001001000100 , -#561440000 -1& -#561456000 -b10111111100111110001110110 " -b10111111100111110001110110 4 -b10111111100111110001110110 0 -b10111111100111110001110110 H -b1 2 -b1 = -18 -b10010100000000010110100000111010 < -b11110011110100001111011100010000 : -b1011111110011111000111011010101 $ -b1011111110011111000111011010101 - -b1011111110011111000111011010101 5 -b1011111110011111000111011010101 ? -b1011111110011111000111011010101 D -b1101011111111101001011111000101 % -b1101011111111101001011111000101 . -b1101011111111101001011111000101 6 -b1101011111111101001011111000101 @ -b1101011111111101001011111000101 F -b10111111100111110001110110 ) -#561472000 -0& -#561488000 -b10001001000101 , -#561504000 -1& -#561520000 -b11110 " -b11110 4 -b11110 0 -b11110 H -b0 2 -b0 = -08 -b11010100010000000000110010100101 < -b1001110001110111111011110010101 : -b1111001111110111110101011101111 $ -b1111001111110111110101011101111 - -b1111001111110111110101011101111 5 -b1111001111110111110101011101111 ? -b1111001111110111110101011101111 D -b101011101111111111001101011010 % -b101011101111111111001101011010 . -b101011101111111111001101011010 6 -b101011101111111111001101011010 @ -b101011101111111111001101011010 F -b11110 ) -#561536000 -0& -#561552000 -b10001001000110 , -#561568000 -1& -#561584000 -b11111111111111111111111111111011 " -b11111111111111111111111111111011 4 -b11111111111111111111111111111011 0 -b11111111111111111111111111111011 H -b1 2 -b1 = -18 -b10100000000000101011111001100101 < -b10001111110000001101010101010110 : -b11101111101111100001011011110000 $ -b11101111101111100001011011110000 - -b11101111101111100001011011110000 5 -b11101111101111100001011011110000 ? -b11101111101111100001011011110000 D -b1011111111111010100000110011010 % -b1011111111111010100000110011010 . -b1011111111111010100000110011010 6 -b1011111111111010100000110011010 @ -b1011111111111010100000110011010 F -b11111111111111111111111111111011 ) -#561600000 -0& -#561616000 -b10001001000111 , -#561632000 -1& -#561648000 -b111101111101011100010111100 " -b111101111101011100010111100 4 -b111101111101011100010111100 0 -b111101111101011100010111100 H -b0 2 -b0 = -08 -b1000100001001000011011 < -b1111100000011011001110111100111 : -b1111011111010111000101111001011 $ -b1111011111010111000101111001011 - -b1111011111010111000101111001011 5 -b1111011111010111000101111001011 ? -b1111011111010111000101111001011 D -b11111111110111011110110111100100 % -b11111111110111011110110111100100 . -b11111111110111011110110111100100 6 -b11111111110111011110110111100100 @ -b11111111110111011110110111100100 F -b111101111101011100010111100 ) -#561664000 -0& -#561680000 -b10001001001000 , -#561696000 -1& -#561712000 -b110110010011111101 " -b110110010011111101 4 -b110110010011111101 0 -b110110010011111101 H -b11110100100111101010010111110010 < -b1100001001111100101010100001001 : -b1101100100111111010111100010110 $ -b1101100100111111010111100010110 - -b1101100100111111010111100010110 5 -b1101100100111111010111100010110 ? -b1101100100111111010111100010110 D -b1011011000010101101000001101 % -b1011011000010101101000001101 . -b1011011000010101101000001101 6 -b1011011000010101101000001101 @ -b1011011000010101101000001101 F -b110110010011111101 ) -#561728000 -0& -#561744000 -b10001001001001 , -#561760000 -1& -#561776000 -b1111100110 " -b1111100110 4 -b1111100110 0 -b1111100110 H -b110101101001001101010 < -b1111100111010000001010101110011 : -b1111100110011010100001100001000 $ -b1111100110011010100001100001000 - -b1111100110011010100001100001000 5 -b1111100110011010100001100001000 ? -b1111100110011010100001100001000 D -b11111111111001010010110110010101 % -b11111111111001010010110110010101 . -b11111111111001010010110110010101 6 -b11111111111001010010110110010101 @ -b11111111111001010010110110010101 F -b1111100110 ) -#561792000 -0& -#561808000 -b10001001001010 , -#561824000 -1& -#561840000 -b11100110111010111010001100010100 " -b11100110111010111010001100010100 4 -b11100110111010111010001100010100 0 -b11100110111010111010001100010100 H -b1 2 -b1 = -18 -b11000000000000000011011010011110 < -b10001101110101110111110011001000 : -b11001101110101110100011000101001 $ -b11001101110101110100011000101001 - -b11001101110101110100011000101001 5 -b11001101110101110100011000101001 ? -b11001101110101110100011000101001 D -b111111111111111100100101100001 % -b111111111111111100100101100001 . -b111111111111111100100101100001 6 -b111111111111111100100101100001 @ -b111111111111111100100101100001 F -b11100110111010111010001100010100 ) -#561856000 -0& -#561872000 -b10001001001011 , -#561888000 -1& -#561904000 -b111110110111 " -b111110110111 4 -b111110110111 0 -b111110110111 H -b0 2 -b0 = -08 -b10110110101000011100100000001100 < -b110100010111101110000011000010 : -b1111101101111010001100010110101 $ -b1111101101111010001100010110101 - -b1111101101111010001100010110101 5 -b1111101101111010001100010110101 ? -b1111101101111010001100010110101 D -b1001001010111100011011111110011 % -b1001001010111100011011111110011 . -b1001001010111100011011111110011 6 -b1001001010111100011011111110011 @ -b1001001010111100011011111110011 F -b111110110111 ) -#561920000 -0& -#561936000 -b10001001001100 , -#561952000 -1& -#561968000 -b1110011111110110111110101000 " -b1110011111110110111110101000 4 -b1110011111110110111110101000 0 -b1110011111110110111110101000 H -b0 2 -b0 = -19 -08 -b100011101010101010000111011100 < -b10010111101001100001111100100000 : -b1110011111110110111110101000011 $ -b1110011111110110111110101000011 - -b1110011111110110111110101000011 5 -b1110011111110110111110101000011 ? -b1110011111110110111110101000011 D -b11011100010101010101111000100011 % -b11011100010101010101111000100011 . -b11011100010101010101111000100011 6 -b11011100010101010101111000100011 @ -b11011100010101010101111000100011 F -b1110011111110110111110101000 ) -#561984000 -0& -#562000000 -b10001001001101 , -#562016000 -1& -#562032000 -b11111110 " -b11111110 4 -b11111110 0 -b11111110 H -b0 2 -b0 = -09 -08 -b11000000011110000001111100101000 < -b111111101101001101101111110110 : -b1111111001111001011110011001101 $ -b1111111001111001011110011001101 - -b1111111001111001011110011001101 5 -b1111111001111001011110011001101 ? -b1111111001111001011110011001101 D -b111111100001111110000011010111 % -b111111100001111110000011010111 . -b111111100001111110000011010111 6 -b111111100001111110000011010111 @ -b111111100001111110000011010111 F -b11111110 ) -#562048000 -0& -#562064000 -b10001001001110 , -#562080000 -1& -#562096000 -b1011110100110100010100100000011 " -b1011110100110100010100100000011 4 -b1011110100110100010100100000011 0 -b1011110100110100010100100000011 H -b0 2 -b0 = -19 -08 -b1101010010100011010111101111111 < -b11001000111010111101100010000011 : -b1011110100110100010100100000011 $ -b1011110100110100010100100000011 - -b1011110100110100010100100000011 5 -b1011110100110100010100100000011 ? -b1011110100110100010100100000011 D -b10010101101011100101000010000000 % -b10010101101011100101000010000000 . -b10010101101011100101000010000000 6 -b10010101101011100101000010000000 @ -b10010101101011100101000010000000 F -b1011110100110100010100100000011 ) -#562112000 -0& -#562128000 -b10001001001111 , -#562144000 -1& -#562160000 -b11111111011 " -b11111111011 4 -b11111111011 0 -b11111111011 H -b1101001100000000000111011001100 < -b10101001010111111010011101101110 : -b111111110111111001100010100001 $ -b111111110111111001100010100001 - -b111111110111111001100010100001 5 -b111111110111111001100010100001 ? -b111111110111111001100010100001 D -b10010110011111111111000100110011 % -b10010110011111111111000100110011 . -b10010110011111111111000100110011 6 -b10010110011111111111000100110011 @ -b10010110011111111111000100110011 F -b11111111011 ) -#562176000 -0& -#562192000 -b10001001010000 , -#562208000 -1& -#562224000 -b1010110111011111 " -b1010110111011111 4 -b1010110111011111 0 -b1010110111011111 H -b0 2 -b0 = -09 -08 -b1110010011010001001011110000 < -b1100101001111001101000110101100 : -b1010110111011111011111010111011 $ -b1010110111011111011111010111011 - -b1010110111011111011111010111011 5 -b1010110111011111011111010111011 ? -b1010110111011111011111010111011 D -b11110001101100101110110100001111 % -b11110001101100101110110100001111 . -b11110001101100101110110100001111 6 -b11110001101100101110110100001111 @ -b11110001101100101110110100001111 F -b1010110111011111 ) -#562240000 -0& -#562256000 -b10001001010001 , -#562272000 -1& -#562288000 -b11111111101110011111111001011101 " -b11111111101110011111111001011101 4 -b11111111101110011111111001011101 0 -b11111111101110011111111001011101 H -b0 2 -b0 = -08 -b1100001101010000110001011011000 < -b111110101001111001000110110001 : -b11011100111111110010111011011000 $ -b11011100111111110010111011011000 - -b11011100111111110010111011011000 5 -b11011100111111110010111011011000 ? -b11011100111111110010111011011000 D -b10011110010101111001110100100111 % -b10011110010101111001110100100111 . -b10011110010101111001110100100111 6 -b10011110010101111001110100100111 @ -b10011110010101111001110100100111 F -b11111111101110011111111001011101 ) -#562304000 -0& -#562320000 -b10001001010010 , -#562336000 -1& -#562352000 -b1111011 " -b1111011 4 -b1111011 0 -b1111011 H -19 -b0 2 -b0 = -08 -b10101010001110011101100000111 < -b10010001000000111001110100101011 : -b1111011101111000110001000100011 $ -b1111011101111000110001000100011 - -b1111011101111000110001000100011 5 -b1111011101111000110001000100011 ? -b1111011101111000110001000100011 D -b11101010101110001100010011111000 % -b11101010101110001100010011111000 . -b11101010101110001100010011111000 6 -b11101010101110001100010011111000 @ -b11101010101110001100010011111000 F -b1111011 ) -#562368000 -0& -#562384000 -b10001001010011 , -#562400000 -1& -#562416000 -b1111 " -b1111 4 -b1111 0 -b1111 H -b11000011100101100100 < -b10000000000010011100100000100111 : -b1111111111111011000111011000010 $ -b1111111111111011000111011000010 - -b1111111111111011000111011000010 5 -b1111111111111011000111011000010 ? -b1111111111111011000111011000010 D -b11111111111100111100011010011011 % -b11111111111100111100011010011011 . -b11111111111100111100011010011011 6 -b11111111111100111100011010011011 @ -b11111111111100111100011010011011 F -b1111 ) -#562432000 -0& -#562448000 -b10001001010100 , -#562464000 -1& -#562480000 -b111111111111101 " -b111111111111101 4 -b111111111111101 0 -b111111111111101 H -b1100000001001001011001111 < -b10000001011111011101100110100011 : -b1111111111111010100011011010011 $ -b1111111111111010100011011010011 - -b1111111111111010100011011010011 5 -b1111111111111010100011011010011 ? -b1111111111111010100011011010011 D -b11111110011111110110110100110000 % -b11111110011111110110110100110000 . -b11111110011111110110110100110000 6 -b11111110011111110110110100110000 @ -b11111110011111110110110100110000 F -b111111111111101 ) -#562496000 -0& -#562512000 -b10001001010101 , -#562528000 -1& -#562544000 -b11110101110111110011011100 " -b11110101110111110011011100 4 -b11110101110111110011011100 0 -b11110101110111110011011100 H -b0 2 -b0 = -09 -08 -b10011000011000100000111100011010 < -b10011010100011010101010100100 : -b1111010111011111001101110001001 $ -b1111010111011111001101110001001 - -b1111010111011111001101110001001 5 -b1111010111011111001101110001001 ? -b1111010111011111001101110001001 D -b1100111100111011111000011100101 % -b1100111100111011111000011100101 . -b1100111100111011111000011100101 6 -b1100111100111011111000011100101 @ -b1100111100111011111000011100101 F -b11110101110111110011011100 ) -#562560000 -0& -#562576000 -b10001001010110 , -#562592000 -1& -#562608000 -b111110001 " -b111110001 4 -b111110001 0 -b111110001 H -b0 2 -b0 = -19 -08 -b100000000100110010110011101001 < -b10011100011110100100110101110010 : -b1111100011001110010000010001000 $ -b1111100011001110010000010001000 - -b1111100011001110010000010001000 5 -b1111100011001110010000010001000 ? -b1111100011001110010000010001000 D -b11011111111011001101001100010110 % -b11011111111011001101001100010110 . -b11011111111011001101001100010110 6 -b11011111111011001101001100010110 @ -b11011111111011001101001100010110 F -b111110001 ) -#562624000 -0& -#562640000 -b10001001010111 , -#562656000 -1& -#562672000 -b10111111111011111 " -b10111111111011111 4 -b10111111111011111 0 -b10111111111011111 H -b101000000110000110100111110001 < -b10001000000100000100110010001011 : -b1011111111101111110001010011001 $ -b1011111111101111110001010011001 - -b1011111111101111110001010011001 5 -b1011111111101111110001010011001 ? -b1011111111101111110001010011001 D -b11010111111001111001011000001110 % -b11010111111001111001011000001110 . -b11010111111001111001011000001110 6 -b11010111111001111001011000001110 @ -b11010111111001111001011000001110 F -b10111111111011111 ) -#562688000 -0& -#562704000 -b10001001011000 , -#562720000 -1& -#562736000 -b111111111111100011001 " -b111111111111100011001 4 -b111111111111100011001 0 -b111111111111100011001 H -b1101000000100111111100111110101 < -b11101000000100000101111000010110 : -b1111111111111000110010000100000 $ -b1111111111111000110010000100000 - -b1111111111111000110010000100000 5 -b1111111111111000110010000100000 ? -b1111111111111000110010000100000 D -b10010111111011000000011000001010 % -b10010111111011000000011000001010 . -b10010111111011000000011000001010 6 -b10010111111011000000011000001010 @ -b10010111111011000000011000001010 F -b111111111111100011001 ) -#562752000 -0& -#562768000 -b10001001011001 , -#562784000 -1& -#562800000 -b11111111101011100010100010111011 " -b11111111101011100010100010111011 4 -b11111111101011100010100010111011 0 -b11111111101011100010100010111011 H -09 -b1 2 -b1 = -18 -b10100001001000100100000100011010 < -b10010110111001110101100010010100 : -b11110101110001010001011101111001 $ -b11110101110001010001011101111001 - -b11110101110001010001011101111001 5 -b11110101110001010001011101111001 ? -b11110101110001010001011101111001 D -b1011110110111011011111011100101 % -b1011110110111011011111011100101 . -b1011110110111011011111011100101 6 -b1011110110111011011111011100101 @ -b1011110110111011011111011100101 F -b11111111101011100010100010111011 ) -#562816000 -0& -#562832000 -b10001001011010 , -#562848000 -1& -#562864000 -b11111011010111101010100100100111 " -b11111011010111101010100100100111 4 -b11111011010111101010100100100111 0 -b11111011010111101010100100100111 H -b1 2 -b1 = -09 -18 -b10110001101100010100100001011100 < -b10001100101001101001000110011010 : -b11011010111101010100100100111101 $ -b11011010111101010100100100111101 - -b11011010111101010100100100111101 5 -b11011010111101010100100100111101 ? -b11011010111101010100100100111101 D -b1001110010011101011011110100011 % -b1001110010011101011011110100011 . -b1001110010011101011011110100011 6 -b1001110010011101011011110100011 @ -b1001110010011101011011110100011 F -b11111011010111101010100100100111 ) -#562880000 -0& -#562896000 -b10001001011011 , -#562912000 -1& -#562928000 -b111111101011010000001110 " -b111111101011010000001110 4 -b111111101011010000001110 0 -b111111101011010000001110 H -b0 2 -b0 = -08 -09 -b10000001000001101010001011001 < -b1001111110011011101011111011101 : -b111111101011010000001110000011 $ -b111111101011010000001110000011 - -b111111101011010000001110000011 5 -b111111101011010000001110000011 ? -b111111101011010000001110000011 D -b11101111110111110010101110100110 % -b11101111110111110010101110100110 . -b11101111110111110010101110100110 6 -b11101111110111110010101110100110 @ -b11101111110111110010101110100110 F -b111111101011010000001110 ) -#562944000 -0& -#562960000 -b10001001011100 , -#562976000 -1& -#562992000 -b11111111111111111111111011001011 " -b11111111111111111111111011001011 4 -b11111111111111111111111011001011 0 -b11111111111111111111111011001011 H -b1 2 -b1 = -18 -b11100000010100111011100101101010 < -b10111001110001010101101001101111 : -b11011001011100011010000100000100 $ -b11011001011100011010000100000100 - -b11011001011100011010000100000100 5 -b11011001011100011010000100000100 ? -b11011001011100011010000100000100 D -b11111101011000100011010010101 % -b11111101011000100011010010101 . -b11111101011000100011010010101 6 -b11111101011000100011010010101 @ -b11111101011000100011010010101 F -b11111111111111111111111011001011 ) -#563008000 -0& -#563024000 -b10001001011101 , -#563040000 -1& -#563056000 -b11111111111101111111101110001010 " -b11111111111101111111101110001010 4 -b11111111111101111111101110001010 0 -b11111111111101111111101110001010 H -b0 2 -b0 = -08 -b100000011100101001010011010110 < -b10000011010011010100110001101 : -b11101111111101110001010010110110 $ -b11101111111101110001010010110110 - -b11101111111101110001010010110110 5 -b11101111111101110001010010110110 ? -b11101111111101110001010010110110 D -b11011111100011010110101100101001 % -b11011111100011010110101100101001 . -b11011111100011010110101100101001 6 -b11011111100011010110101100101001 @ -b11011111100011010110101100101001 F -b11111111111101111111101110001010 ) -#563072000 -0& -#563088000 -b10001001011110 , -#563104000 -1& -#563120000 -b11111111111111111111111101011111 " -b11111111111111111111111101011111 4 -b11111111111111111111111101011111 0 -b11111111111111111111111101011111 H -b0 2 -b0 = -08 -b1110000101000000100001110101000 < -b100000011000100000100100010110 : -b10101111110000011100010101101101 $ -b10101111110000011100010101101101 - -b10101111110000011100010101101101 5 -b10101111110000011100010101101101 ? -b10101111110000011100010101101101 D -b10001111010111111011110001010111 % -b10001111010111111011110001010111 . -b10001111010111111011110001010111 6 -b10001111010111111011110001010111 @ -b10001111010111111011110001010111 F -b11111111111111111111111101011111 ) -#563136000 -0& -#563152000 -b10001001011111 , -#563168000 -1& -#563184000 -b11111111101111111111010101111011 " -b11111111101111111111010101111011 4 -b11111111101111111111010101111011 0 -b11111111101111111111010101111011 H -b10000001000000011110111011010 < -b1000000111101110110101000111 : -b11110111111111101010111101101100 $ -b11110111111111101010111101101100 - -b11110111111111101010111101101100 5 -b11110111111111101010111101101100 ? -b11110111111111101010111101101100 D -b11101111110111111100001000100101 % -b11101111110111111100001000100101 . -b11101111110111111100001000100101 6 -b11101111110111111100001000100101 @ -b11101111110111111100001000100101 F -b11111111101111111111010101111011 ) -#563200000 -0& -#563216000 -b10001001100000 , -#563232000 -1& -#563248000 -b1000011111110111001001 " -b1000011111110111001001 4 -b1000011111110111001001 0 -b1000011111110111001001 H -b100011000000010011000010111 < -b100110010111011110111101110101 : -b100001111111011100100101011101 $ -b100001111111011100100101011101 - -b100001111111011100100101011101 5 -b100001111111011100100101011101 ? -b100001111111011100100101011101 D -b11111011100111111101100111101000 % -b11111011100111111101100111101000 . -b11111011100111111101100111101000 6 -b11111011100111111101100111101000 @ -b11111011100111111101100111101000 F -b1000011111110111001001 ) -#563264000 -0& -#563280000 -b10001001100001 , -#563296000 -1& -#563312000 -b110011 " -b110011 4 -b110011 0 -b110011 H -b1000000010011010100000011000111 < -b1110100001010111001110011001010 : -b110011110111100101110000000010 $ -b110011110111100101110000000010 - -b110011110111100101110000000010 5 -b110011110111100101110000000010 ? -b110011110111100101110000000010 D -b10111111101100101011111100111000 % -b10111111101100101011111100111000 . -b10111111101100101011111100111000 6 -b10111111101100101011111100111000 @ -b10111111101100101011111100111000 F -b110011 ) -#563328000 -0& -#563344000 -b10001001100010 , -#563360000 -1& -#563376000 -b111101111110011101010110 " -b111101111110011101010110 4 -b111101111110011101010110 0 -b111101111110011101010110 H -b101000010001011100111001 < -b111110100110101110110011110000 : -b111101111110011101010110110110 $ -b111101111110011101010110110110 - -b111101111110011101010110110110 5 -b111101111110011101010110110110 ? -b111101111110011101010110110110 D -b11111111010111101110100011000110 % -b11111111010111101110100011000110 . -b11111111010111101110100011000110 6 -b11111111010111101110100011000110 @ -b11111111010111101110100011000110 F -b111101111110011101010110 ) -#563392000 -0& -#563408000 -b10001001100011 , -#563424000 -1& -#563440000 -b111011 " -b111011 4 -b111011 0 -b111011 H -b11100101000001001101100101000110 < -b1011100010001000100100101001110 : -b1110111001111110111000000000111 $ -b1110111001111110111000000000111 - -b1110111001111110111000000000111 5 -b1110111001111110111000000000111 ? -b1110111001111110111000000000111 D -b11010111110110010011010111001 % -b11010111110110010011010111001 . -b11010111110110010011010111001 6 -b11010111110110010011010111001 @ -b11010111110110010011010111001 F -b111011 ) -#563456000 -0& -#563472000 -b10001001100100 , -#563488000 -1& -#563504000 -b11110 " -b11110 4 -b11110 0 -b11110 H -b11100101011000000111110110100101 < -b1011111010001011100111011001001 : -b1111001111001010101000100100011 $ -b1111001111001010101000100100011 - -b1111001111001010101000100100011 5 -b1111001111001010101000100100011 ? -b1111001111001010101000100100011 D -b11010100111111000001001011010 % -b11010100111111000001001011010 . -b11010100111111000001001011010 6 -b11010100111111000001001011010 @ -b11010100111111000001001011010 F -b11110 ) -#563520000 -0& -#563536000 -b10001001100101 , -#563552000 -1& -#563568000 -b11111101111111111001100001111101 " -b11111101111111111001100001111101 4 -b11111101111111111001100001111101 0 -b11111101111111111001100001111101 H -b0 2 -b0 = -08 -b100000010001001001110010011110 < -b11100010000111100110110011010 : -b11111011111111110011000011111011 $ -b11111011111111110011000011111011 - -b11111011111111110011000011111011 5 -b11111011111111110011000011111011 ? -b11111011111111110011000011111011 D -b11011111101110110110001101100001 % -b11011111101110110110001101100001 . -b11011111101110110110001101100001 6 -b11011111101110110110001101100001 @ -b11011111101110110110001101100001 F -b11111101111111111001100001111101 ) -#563584000 -0& -#563600000 -b10001001100110 , -#563616000 -1& -#563632000 -b11111111111111110110111101010000 " -b11111111111111110110111101010000 4 -b11111111111111110110111101010000 0 -b11111111111111110110111101010000 H -b1 2 -b1 = -18 -b1000000010000000000001101110000 < -b11110111111010000110001000111101 : -b10110111101010000101111011001100 $ -b10110111101010000101111011001100 - -b10110111101010000101111011001100 5 -b10110111101010000101111011001100 ? -b10110111101010000101111011001100 D -b10111111101111111111110010001111 % -b10111111101111111111110010001111 . -b10111111101111111111110010001111 6 -b10111111101111111111110010001111 @ -b10111111101111111111110010001111 F -b11111111111111110110111101010000 ) -#563648000 -0& -#563664000 -b10001001100111 , -#563680000 -1& -#563696000 -b11001111011111101 " -b11001111011111101 4 -b11001111011111101 0 -b11001111011111101 H -19 -b0 2 -b0 = -08 -b1010010001110000111011010010010 < -b10000110000110000010111001000111 : -b110011110111111011011110110100 $ -b110011110111111011011110110100 - -b110011110111111011011110110100 5 -b110011110111111011011110110100 ? -b110011110111111011011110110100 D -b10101101110001111000100101101101 % -b10101101110001111000100101101101 . -b10101101110001111000100101101101 6 -b10101101110001111000100101101101 @ -b10101101110001111000100101101101 F -b11001111011111101 ) -#563712000 -0& -#563728000 -b10001001101000 , -#563744000 -1& -#563760000 -b111111111111110001 " -b111111111111110001 4 -b111111111111110001 0 -b111111111111110001 H -b0 2 -b0 = -09 -08 -b10000100000110001011101011110010 < -b100000101101110001010001010 : -b1111111111111100010011110010111 $ -b1111111111111100010011110010111 - -b1111111111111100010011110010111 5 -b1111111111111100010011110010111 ? -b1111111111111100010011110010111 D -b1111011111001110100010100001101 % -b1111011111001110100010100001101 . -b1111011111001110100010100001101 6 -b1111011111001110100010100001101 @ -b1111011111001110100010100001101 F -b111111111111110001 ) -#563776000 -0& -#563792000 -b10001001101001 , -#563808000 -1& -#563824000 -b11101110111101001100100111011111 " -b11101110111101001100100111011111 4 -b11101110111101001100100111011111 0 -b11101110111101001100100111011111 H -b1 2 -b1 = -18 -19 -b10000010000000000000110011111101 < -b111101110100110011010001111010 : -b10111011110100110010011101111100 $ -b10111011110100110010011101111100 - -b10111011110100110010011101111100 5 -b10111011110100110010011101111100 ? -b10111011110100110010011101111100 D -b1111101111111111111001100000010 % -b1111101111111111111001100000010 . -b1111101111111111111001100000010 6 -b1111101111111111111001100000010 @ -b1111101111111111111001100000010 F -b11101110111101001100100111011111 ) -#563840000 -0& -#563856000 -b10001001101010 , -#563872000 -1& -#563888000 -b1111111111111111 " -b1111111111111111 4 -b1111111111111111 0 -b1111111111111111 H -b0 2 -b0 = -08 -19 -b101000000000011000100110000 < -b10000101000000000001001010100110 : -b1111111111111111110000101110101 $ -b1111111111111111110000101110101 - -b1111111111111111110000101110101 5 -b1111111111111111110000101110101 ? -b1111111111111111110000101110101 D -b11111010111111111100111011001111 % -b11111010111111111100111011001111 . -b11111010111111111100111011001111 6 -b11111010111111111100111011001111 @ -b11111010111111111100111011001111 F -b1111111111111111 ) -#563904000 -0& -#563920000 -b10001001101011 , -#563936000 -1& -#563952000 -b11111111111111101111111111100011 " -b11111111111111101111111111100011 4 -b11111111111111101111111111100011 0 -b11111111111111101111111111100011 H -09 -b0 2 -b0 = -08 -b10000001110111101110001110101 < -b1100001110110110101101101011 : -b11111011111111111000111011110101 $ -b11111011111111111000111011110101 - -b11111011111111111000111011110101 5 -b11111011111111111000111011110101 ? -b11111011111111111000111011110101 D -b11101111110001000010001110001010 % -b11101111110001000010001110001010 . -b11101111110001000010001110001010 6 -b11101111110001000010001110001010 @ -b11101111110001000010001110001010 F -b11111111111111101111111111100011 ) -#563968000 -0& -#563984000 -b10001001101100 , -#564000000 -1& -#564016000 -b1111111111111011010000100 " -b1111111111111011010000100 4 -b1111111111111011010000100 0 -b1111111111111011010000100 H -b0 2 -b0 = -08 -b10100000011100010000001100111001 < -b100000011011101010010001000110 : -b1111111111111011010000100001100 $ -b1111111111111011010000100001100 - -b1111111111111011010000100001100 5 -b1111111111111011010000100001100 ? -b1111111111111011010000100001100 D -b1011111100011101111110011000110 % -b1011111100011101111110011000110 . -b1011111100011101111110011000110 6 -b1011111100011101111110011000110 @ -b1011111100011101111110011000110 F -b1111111111111011010000100 ) -#564032000 -0& -#564048000 -b10001001101101 , -#564064000 -1& -#564080000 -b11101 " -b11101 4 -b11101 0 -b11101 H -b1 2 -b1 = -18 -b10010010011001101111101011100110 < -b11001110001101010011010111000010 : -b111011110011100011101011011011 $ -b111011110011100011101011011011 - -b111011110011100011101011011011 5 -b111011110011100011101011011011 ? -b111011110011100011101011011011 D -b1101101100110010000010100011001 % -b1101101100110010000010100011001 . -b1101101100110010000010100011001 6 -b1101101100110010000010100011001 @ -b1101101100110010000010100011001 F -b11101 ) -#564096000 -0& -#564112000 -b10001001101110 , -#564128000 -1& -#564144000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b10101110000110110101000000 < -b11111110101101101110001010100100 : -b11111011111111100111010101100011 $ -b11111011111111100111010101100011 - -b11111011111111100111010101100011 5 -b11111011111111100111010101100011 ? -b11111011111111100111010101100011 D -b11111101010001111001001010111111 % -b11111101010001111001001010111111 . -b11111101010001111001001010111111 6 -b11111101010001111001001010111111 @ -b11111101010001111001001010111111 F -b11111111111111111111111111111111 ) -#564160000 -0& -#564176000 -b10001001101111 , -#564192000 -1& -#564208000 -b1 " -b1 4 -b1 0 -b1 H -b0 2 -b0 = -08 -19 -b1000111001001010100000111100001 < -b11000101001000010000101000001001 : -b1111101111110111100100000100111 $ -b1111101111110111100100000100111 - -b1111101111110111100100000100111 5 -b1111101111110111100100000100111 ? -b1111101111110111100100000100111 D -b10111000110110101011111000011110 % -b10111000110110101011111000011110 . -b10111000110110101011111000011110 6 -b10111000110110101011111000011110 @ -b10111000110110101011111000011110 F -b1 ) -#564224000 -0& -#564240000 -b10001001110000 , -#564256000 -1& -#564272000 -b11111111111111111111111111110101 " -b11111111111111111111111111110101 4 -b11111111111111111111111111110101 0 -b11111111111111111111111111110101 H -b1 2 -b1 = -18 -19 -b10001000011000001100100010100100 < -b110101101000000000000101011000 : -b10101101001111110011100010110011 $ -b10101101001111110011100010110011 - -b10101101001111110011100010110011 5 -b10101101001111110011100010110011 ? -b10101101001111110011100010110011 D -b1110111100111110011011101011011 % -b1110111100111110011011101011011 . -b1110111100111110011011101011011 6 -b1110111100111110011011101011011 @ -b1110111100111110011011101011011 F -b11111111111111111111111111110101 ) -#564288000 -0& -#564304000 -b10001001110001 , -#564320000 -1& -#564336000 -b11011111101011010100110 " -b11011111101011010100110 4 -b11011111101011010100110 0 -b11011111101011010100110 H -09 -b1 2 -b1 = -18 -b10000101000011000000110001111000 < -b10111100111101110101111110110100 : -b110111111010110101001100111011 $ -b110111111010110101001100111011 - -b110111111010110101001100111011 5 -b110111111010110101001100111011 ? -b110111111010110101001100111011 D -b1111010111100111111001110000111 % -b1111010111100111111001110000111 . -b1111010111100111111001110000111 6 -b1111010111100111111001110000111 @ -b1111010111100111111001110000111 F -b11011111101011010100110 ) -#564352000 -0& -#564368000 -b10001001110010 , -#564384000 -1& -#564400000 -b1100110111111111 " -b1100110111111111 4 -b1100110111111111 0 -b1100110111111111 H -b10001000100010110000000110110000 < -b11101111100010101010100111001011 : -b1100110111111111010100000011010 $ -b1100110111111111010100000011010 - -b1100110111111111010100000011010 5 -b1100110111111111010100000011010 ? -b1100110111111111010100000011010 D -b1110111011101001111111001001111 % -b1110111011101001111111001001111 . -b1110111011101001111111001001111 6 -b1110111011101001111111001001111 @ -b1110111011101001111111001001111 F -b1100110111111111 ) -#564416000 -0& -#564432000 -b10001001110011 , -#564448000 -1& -#564464000 -b111111111011101000111 " -b111111111011101000111 4 -b111111111011101000111 0 -b111111111011101000111 H -19 -b0 2 -b0 = -08 -b100000000000011100100000110101 < -b10011111110111101110011010001011 : -b1111111110111010001111001010101 $ -b1111111110111010001111001010101 - -b1111111110111010001111001010101 5 -b1111111110111010001111001010101 ? -b1111111110111010001111001010101 D -b11011111111111100011011111001010 % -b11011111111111100011011111001010 . -b11011111111111100011011111001010 6 -b11011111111111100011011111001010 @ -b11011111111111100011011111001010 F -b111111111011101000111 ) -#564480000 -0& -#564496000 -b10001001110100 , -#564512000 -1& -#564528000 -b11111111111111001110101010110101 " -b11111111111111001110101010110101 4 -b11111111111111001110101010110101 0 -b11111111111111001110101010110101 H -09 -b0 2 -b0 = -08 -b111001001111011100110111100 < -b111000011110000111101101010 : -b11111111111001110101010110101101 $ -b11111111111001110101010110101101 - -b11111111111001110101010110101101 5 -b11111111111001110101010110101101 ? -b11111111111001110101010110101101 D -b11111000110110000100011001000011 % -b11111000110110000100011001000011 . -b11111000110110000100011001000011 6 -b11111000110110000100011001000011 @ -b11111000110110000100011001000011 F -b11111111111111001110101010110101 ) -#564544000 -0& -#564560000 -b10001001110101 , -#564576000 -1& -#564592000 -b11111111111111111111111111010110 " -b11111111111111111111111111010110 4 -b11111111111111111111111111010110 0 -b11111111111111111111111111010110 H -b1 2 -b1 = -18 -b10000000100101001101001 < -b11110110000000000011011010111111 : -b11110101101111111110110001010101 $ -b11110101101111111110110001010101 - -b11110101101111111110110001010101 5 -b11110101101111111110110001010101 ? -b11110101101111111110110001010101 D -b11111111101111111011010110010110 % -b11111111101111111011010110010110 . -b11111111101111111011010110010110 6 -b11111111101111111011010110010110 @ -b11111111101111111011010110010110 F -b11111111111111111111111111010110 ) -#564608000 -0& -#564624000 -b10001001110110 , -#564640000 -1& -#564656000 -b11111111111111111111111110111111 " -b11111111111111111111111110111111 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -b10000101001010010101101001 < -b11110010000100011010110110110110 : -b11101111111111010000100001001100 $ -b11101111111111010000100001001100 - -b11101111111111010000100001001100 5 -b11101111111111010000100001001100 ? -b11101111111111010000100001001100 D -b11111101111010110101101010010110 % -b11111101111010110101101010010110 . -b11111101111010110101101010010110 6 -b11111101111010110101101010010110 @ -b11111101111010110101101010010110 F -b11111111111111111111111110111111 ) -#564672000 -0& -#564688000 -b10001001110111 , -#564704000 -1& -#564720000 -1! -b0 " -b0 4 -b0 0 -b0 H -19 -b0 2 -b0 = -08 -b1000001001000101111110010100000 < -1# -b10111101100011001101001001011010 : -b1111100011010011101010110111001 $ -b1111100011010011101010110111001 - -b1111100011010011101010110111001 5 -b1111100011010011101010110111001 ? -b1111100011010011101010110111001 D -b10111110110111010000001101011111 % -b10111110110111010000001101011111 . -b10111110110111010000001101011111 6 -b10111110110111010000001101011111 @ -b10111110110111010000001101011111 F -b0 ) -b1 ( -#564736000 -0& -#564752000 -b10001001111000 , -#564768000 -1& -#564784000 -0! -b111111110110 " -b111111110110 4 -b111111110110 0 -b111111110110 H -b11010100000100110001111001100 < -0# -b10011010001110011100101101101111 : -b1111111101101110110011110100010 $ -b1111111101101110110011110100010 - -b1111111101101110110011110100010 5 -b1111111101101110110011110100010 ? -b1111111101101110110011110100010 D -b11100101011111011001110000110011 % -b11100101011111011001110000110011 . -b11100101011111011001110000110011 6 -b11100101011111011001110000110011 @ -b11100101011111011001110000110011 F -b111111110110 ) -b0 ( -#564800000 -0& -#564816000 -b10001001111001 , -#564832000 -1& -#564848000 -b11111111111111111111111111110000 " -b11111111111111111111111111110000 4 -b11111111111111111111111111110000 0 -b11111111111111111111111111110000 H -09 -b0 2 -b0 = -08 -b1001000111000010000001111110011 < -b1001000111000000000101010101001 : -b11111111111111110000011010110101 $ -b11111111111111110000011010110101 - -b11111111111111110000011010110101 5 -b11111111111111110000011010110101 ? -b11111111111111110000011010110101 D -b10110111000111101111110000001100 % -b10110111000111101111110000001100 . -b10110111000111101111110000001100 6 -b10110111000111101111110000001100 @ -b10110111000111101111110000001100 F -b11111111111111111111111111110000 ) -#564864000 -0& -#564880000 -b10001001111010 , -#564896000 -1& -#564912000 -b11111111111111110110110101111001 " -b11111111111111110110110101111001 4 -b11111111111111110110110101111001 0 -b11111111111111110110110101111001 H -b1000101000011000010100011110011 < -b111011111000111011111110101010 : -b11110110110101111001011010110110 $ -b11110110110101111001011010110110 - -b11110110110101111001011010110110 5 -b11110110110101111001011010110110 ? -b11110110110101111001011010110110 D -b10111010111100111101011100001100 % -b10111010111100111101011100001100 . -b10111010111100111101011100001100 6 -b10111010111100111101011100001100 @ -b10111010111100111101011100001100 F -b11111111111111110110110101111001 ) -#564928000 -0& -#564944000 -b10001001111011 , -#564960000 -1& -#564976000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b10001010011000001011011001100000 < -1# -b1010010111100100101011111000 : -b1111111111111011001010010010111 $ -b1111111111111011001010010010111 - -b1111111111111011001010010010111 5 -b1111111111111011001010010010111 ? -b1111111111111011001010010010111 D -b1110101100111110100100110011111 % -b1110101100111110100100110011111 . -b1110101100111110100100110011111 6 -b1110101100111110100100110011111 @ -b1110101100111110100100110011111 F -b0 ) -b1 ( -#564992000 -0& -#565008000 -b10001001111100 , -#565024000 -1& -#565040000 -0! -b11111111111111111111111111011011 " -b11111111111111111111111111011011 4 -b11111111111111111111111111011011 0 -b11111111111111111111111111011011 H -b1 2 -b1 = -18 -19 -b10000100100100110010110110000111 < -0# -b1100000100010100110011011110001 : -b11011011111101110011100101101001 $ -b11011011111101110011100101101001 - -b11011011111101110011100101101001 5 -b11011011111101110011100101101001 ? -b11011011111101110011100101101001 D -b1111011011011001101001001111000 % -b1111011011011001101001001111000 . -b1111011011011001101001001111000 6 -b1111011011011001101001001111000 @ -b1111011011011001101001001111000 F -b11111111111111111111111111011011 ) -b0 ( -#565056000 -0& -#565072000 -b10001001111101 , -#565088000 -1& -#565104000 -b11111100011011101111011110010000 " -b11111100011011101111011110010000 4 -b11111100011011101111011110010000 0 -b11111100011011101111011110010000 H -b10001000011010001100000101111100 < -b1101011111000000111111000000011 : -b11100011011101111011110010000110 $ -b11100011011101111011110010000110 - -b11100011011101111011110010000110 5 -b11100011011101111011110010000110 ? -b11100011011101111011110010000110 D -b1110111100101110011111010000011 % -b1110111100101110011111010000011 . -b1110111100101110011111010000011 6 -b1110111100101110011111010000011 @ -b1110111100101110011111010000011 F -b11111100011011101111011110010000 ) -#565120000 -0& -#565136000 -b10001001111110 , -#565152000 -1& -#565168000 -b1111110010101110110110 " -b1111110010101110110110 4 -b1111110010101110110110 0 -b1111110010101110110110 H -b0 2 -b0 = -08 -09 -b10010000010101000000110000110110 < -b1110101010110111100001101000 : -b1111110010101110110110000110001 $ -b1111110010101110110110000110001 - -b1111110010101110110110000110001 5 -b1111110010101110110110000110001 ? -b1111110010101110110110000110001 D -b1101111101010111111001111001001 % -b1101111101010111111001111001001 . -b1101111101010111111001111001001 6 -b1101111101010111111001111001001 @ -b1101111101010111111001111001001 F -b1111110010101110110110 ) -#565184000 -0& -#565200000 -b10001001111111 , -#565216000 -1& -#565232000 -b1110111111 " -b1110111111 4 -b1110111111 0 -b1110111111 H -b11100011001001001111101011001010 < -b1011011000111111110011011011110 : -b1110111111110101110110000010011 $ -b1110111111110101110110000010011 - -b1110111111110101110110000010011 5 -b1110111111110101110110000010011 ? -b1110111111110101110110000010011 D -b11100110110110000010100110101 % -b11100110110110000010100110101 . -b11100110110110000010100110101 6 -b11100110110110000010100110101 @ -b11100110110110000010100110101 F -b1110111111 ) -#565248000 -0& -#565264000 -b10001010000000 , -#565280000 -1& -#565296000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1000000010100010111001111 < -b1000000001100110101101110 : -b11111111111111111000011110011110 $ -b11111111111111111000011110011110 - -b11111111111111111000011110011110 5 -b11111111111111111000011110011110 ? -b11111111111111111000011110011110 D -b11111110111111101011101000110000 % -b11111110111111101011101000110000 . -b11111110111111101011101000110000 6 -b11111110111111101011101000110000 @ -b11111110111111101011101000110000 F -b11111111111111111111111111111111 ) -#565312000 -0& -#565328000 -b10001010000001 , -#565344000 -1& -#565360000 -b0 2 -b0 = -08 -b10000001000001001110100100010 < -b1100111110101000010011010111 : -b11111100110110011110011110110100 $ -b11111100110110011110011110110100 - -b11111100110110011110011110110100 5 -b11111100110110011110011110110100 ? -b11111100110110011110011110110100 D -b11101111110111110110001011011101 % -b11101111110111110110001011011101 . -b11101111110111110110001011011101 6 -b11101111110111110110001011011101 @ -b11101111110111110110001011011101 F -#565376000 -0& -#565392000 -b10001010000010 , -#565408000 -1& -#565424000 -b11111111111101010111111111110000 " -b11111111111101010111111111110000 4 -b11111111111101010111111111110000 0 -b11111111111101010111111111110000 H -19 -b1 2 -b1 = -18 -b10010000000000100001000010110100 < -b111100000000011001001111010100 : -b10101011111111111000001100011111 $ -b10101011111111111000001100011111 - -b10101011111111111000001100011111 5 -b10101011111111111000001100011111 ? -b10101011111111111000001100011111 D -b1101111111111011110111101001011 % -b1101111111111011110111101001011 . -b1101111111111011110111101001011 6 -b1101111111111011110111101001011 @ -b1101111111111011110111101001011 F -b11111111111101010111111111110000 ) -#565440000 -0& -#565456000 -b10001010000011 , -#565472000 -1& -#565488000 -b1111111110 " -b1111111110 4 -b1111111110 0 -b1111111110 H -b0 2 -b0 = -08 -09 -b10010001000000011000000000101010 < -b10000110111001000101110101110 : -b1111111110110110000101110000011 $ -b1111111110110110000101110000011 - -b1111111110110110000101110000011 5 -b1111111110110110000101110000011 ? -b1111111110110110000101110000011 D -b1101110111111100111111111010101 % -b1101110111111100111111111010101 . -b1101110111111100111111111010101 6 -b1101110111111100111111111010101 @ -b1101110111111100111111111010101 F -b1111111110 ) -#565504000 -0& -#565520000 -b10001010000100 , -#565536000 -1& -#565552000 -b11111111111111111111101111111011 " -b11111111111111111111101111111011 4 -b11111111111111111111101111111011 0 -b11111111111111111111101111111011 H -b1 2 -b1 = -18 -b1000000001000101111001010101011 < -b11111111111000011000111001001010 : -b10111111101111101001101110011110 $ -b10111111101111101001101110011110 - -b10111111101111101001101110011110 5 -b10111111101111101001101110011110 ? -b10111111101111101001101110011110 D -b10111111110111010000110101010100 % -b10111111110111010000110101010100 . -b10111111110111010000110101010100 6 -b10111111110111010000110101010100 @ -b10111111110111010000110101010100 F -b11111111111111111111101111111011 ) -#565568000 -0& -#565584000 -b10001010000101 , -#565600000 -1& -#565616000 -1! -b0 " -b0 4 -b0 0 -b0 H -b10100001000000100110100101000000 < -1# -b11100001000000010101111001111100 : -b111111111111101111010100111011 $ -b111111111111101111010100111011 - -b111111111111101111010100111011 5 -b111111111111101111010100111011 ? -b111111111111101111010100111011 D -b1011110111111011001011010111111 % -b1011110111111011001011010111111 . -b1011110111111011001011010111111 6 -b1011110111111011001011010111111 @ -b1011110111111011001011010111111 F -b0 ) -b1 ( -#565632000 -0& -#565648000 -b10001010000110 , -#565664000 -1& -#565680000 -0! -b1101111111100 " -b1101111111100 4 -b1101111111100 0 -b1101111111100 H -b1 2 -b1 = -18 -b10000001011110111101110010101101 < -0# -b11110001011011010010111001000000 : -b1101111111100010101000110010010 $ -b1101111111100010101000110010010 - -b1101111111100010101000110010010 5 -b1101111111100010101000110010010 ? -b1101111111100010101000110010010 D -b1111110100001000010001101010010 % -b1111110100001000010001101010010 . -b1111110100001000010001101010010 6 -b1111110100001000010001101010010 @ -b1111110100001000010001101010010 F -b1101111111100 ) -b0 ( -#565696000 -0& -#565712000 -b10001010000111 , -#565728000 -1& -#565744000 -b11110111 " -b11110111 4 -b11110111 0 -b11110111 H -b10001000001000000111110101101010 < -b10100111001000000011110001100001 : -b11110111111111011111011110110 $ -b11110111111111011111011110110 - -b11110111111111011111011110110 5 -b11110111111111011111011110110 ? -b11110111111111011111011110110 D -b1110111110111111000001010010101 % -b1110111110111111000001010010101 . -b1110111110111111000001010010101 6 -b1110111110111111000001010010101 @ -b1110111110111111000001010010101 F -b11110111 ) -#565760000 -0& -#565776000 -b10001010001000 , -#565792000 -1& -#565808000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b100000000000001111110110000000 < -1# -b1011000000000000000100111101010 : -b110111111111110000110001101001 $ -b110111111111110000110001101001 - -b110111111111110000110001101001 5 -b110111111111110000110001101001 ? -b110111111111110000110001101001 D -b11011111111111110000001001111111 % -b11011111111111110000001001111111 . -b11011111111111110000001001111111 6 -b11011111111111110000001001111111 @ -b11011111111111110000001001111111 F -b0 ) -b1 ( -#565824000 -0& -#565840000 -b10001010001001 , -#565856000 -1& -#565872000 -0! -b11111111111111111111111011110100 " -b11111111111111111111111011110100 4 -b11111111111111111111111011110100 0 -b11111111111111111111111011110100 H -b1 2 -b1 = -18 -09 -b11000111001011011000111000101011 < -0# -b10110110011111000111111001011101 : -b11101111010011101111000000110001 $ -b11101111010011101111000000110001 - -b11101111010011101111000000110001 5 -b11101111010011101111000000110001 ? -b11101111010011101111000000110001 D -b111000110100100111000111010100 % -b111000110100100111000111010100 . -b111000110100100111000111010100 6 -b111000110100100111000111010100 @ -b111000110100100111000111010100 F -b11111111111111111111111011110100 ) -b0 ( -#565888000 -0& -#565904000 -b10001010001010 , -#565920000 -1& -#565936000 -b1111111101 " -b1111111101 4 -b1111111101 0 -b1111111101 H -b0 2 -b0 = -08 -b110000000001111100101101011 < -b1000101111000000010111010001100 : -b111111110111110011010100100000 $ -b111111110111110011010100100000 - -b111111110111110011010100100000 5 -b111111110111110011010100100000 ? -b111111110111110011010100100000 D -b11111001111111110000011010010100 % -b11111001111111110000011010010100 . -b11111001111111110000011010010100 6 -b11111001111111110000011010010100 @ -b11111001111111110000011010010100 F -b1111111101 ) -#565952000 -0& -#565968000 -b10001010001011 , -#565984000 -1& -#566000000 -b1111111010111000011011110101 " -b1111111010111000011011110101 4 -b1111111010111000011011110101 0 -b1111111010111000011011110101 H -b0 2 -b0 = -19 -08 -b1100001000000011101101011011101 < -b10100000101011111111011010110100 : -b111111101011100001101111010110 $ -b111111101011100001101111010110 - -b111111101011100001101111010110 5 -b111111101011100001101111010110 ? -b111111101011100001101111010110 D -b10011110111111100010010100100010 % -b10011110111111100010010100100010 . -b10011110111111100010010100100010 6 -b10011110111111100010010100100010 @ -b10011110111111100010010100100010 F -b1111111010111000011011110101 ) -#566016000 -0& -#566032000 -b10001010001100 , -#566048000 -1& -#566064000 -b11111111111111111111111101111010 " -b11111111111111111111111101111010 4 -b11111111111111111111111101111010 0 -b11111111111111111111111101111010 H -09 -b1 2 -b1 = -18 -b10000001001001001111100001001 < -b11101110101010100110000011100111 : -b11011110100001011100000111011101 $ -b11011110100001011100000111011101 - -b11011110100001011100000111011101 5 -b11011110100001011100000111011101 ? -b11011110100001011100000111011101 D -b11101111110110110110000011110110 % -b11101111110110110110000011110110 . -b11101111110110110110000011110110 6 -b11101111110110110110000011110110 @ -b11101111110110110110000011110110 F -b11111111111111111111111101111010 ) -#566080000 -0& -#566096000 -b10001010001101 , -#566112000 -1& -#566128000 -b11111110011011011111001000100100 " -b11111110011011011111001000100100 4 -b11111110011011011111001000100100 0 -b11111110011011011111001000100100 H -19 -b1 2 -b1 = -18 -b10000000100000000111010001111111 < -b1111110111011100110011010100100 : -b11111110011011011111001000100100 $ -b11111110011011011111001000100100 - -b11111110011011011111001000100100 5 -b11111110011011011111001000100100 ? -b11111110011011011111001000100100 D -b1111111011111111000101110000000 % -b1111111011111111000101110000000 . -b1111111011111111000101110000000 6 -b1111111011111111000101110000000 @ -b1111111011111111000101110000000 F -b11111110011011011111001000100100 ) -#566144000 -0& -#566160000 -b10001010001110 , -#566176000 -1& -#566192000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -09 -18 -b10010000101000011100010111100100 < -b10001010100110010110000000011010 : -b11111001111101111001101000110101 $ -b11111001111101111001101000110101 - -b11111001111101111001101000110101 5 -b11111001111101111001101000110101 ? -b11111001111101111001101000110101 D -b1101111010111100011101000011011 % -b1101111010111100011101000011011 . -b1101111010111100011101000011011 6 -b1101111010111100011101000011011 @ -b1101111010111100011101000011011 F -b11111111111111111111111111111111 ) -#566208000 -0& -#566224000 -b10001010001111 , -#566240000 -1& -#566256000 -b11111111111111111111110111011111 " -b11111111111111111111110111011111 4 -b11111111111111111111110111011111 0 -b11111111111111111111110111011111 H -b0 2 -b0 = -09 -08 -b1100010110010001010100010001100 < -b1010001110010000110101011011010 : -b11101110111111111100001001001101 $ -b11101110111111111100001001001101 - -b11101110111111111100001001001101 5 -b11101110111111111100001001001101 ? -b11101110111111111100001001001101 D -b10011101001101110101011101110011 % -b10011101001101110101011101110011 . -b10011101001101110101011101110011 6 -b10011101001101110101011101110011 @ -b10011101001101110101011101110011 F -b11111111111111111111110111011111 ) -#566272000 -0& -#566288000 -b10001010010000 , -#566304000 -1& -#566320000 -b1011110111000110011001000 " -b1011110111000110011001000 4 -b1011110111000110011001000 0 -b1011110111000110011001000 H -19 -b0 2 -b0 = -08 -b101101000110001101110001111001 < -b10001011111111000000111010100101 : -b1011110111000110011001000101011 $ -b1011110111000110011001000101011 - -b1011110111000110011001000101011 5 -b1011110111000110011001000101011 ? -b1011110111000110011001000101011 D -b11010010111001110010001110000110 % -b11010010111001110010001110000110 . -b11010010111001110010001110000110 6 -b11010010111001110010001110000110 @ -b11010010111001110010001110000110 F -b1011110111000110011001000 ) -#566336000 -0& -#566352000 -b10001010010001 , -#566368000 -1& -#566384000 -b10111101110111111101011100 " -b10111101110111111101011100 4 -b10111101110111111101011100 0 -b10111101110111111101011100 H -b1 2 -b1 = -18 -09 -b10000000000010101011101011011010 < -b11011110111110101010011001011100 : -b1011110111011111110101110000001 $ -b1011110111011111110101110000001 - -b1011110111011111110101110000001 5 -b1011110111011111110101110000001 ? -b1011110111011111110101110000001 D -b1111111111101010100010100100101 % -b1111111111101010100010100100101 . -b1111111111101010100010100100101 6 -b1111111111101010100010100100101 @ -b1111111111101010100010100100101 F -b10111101110111111101011100 ) -#566400000 -0& -#566416000 -b10001010010010 , -#566432000 -1& -#566448000 -b11111111101111001111110111111100 " -b11111111101111001111110111111100 4 -b11111111101111001111110111111100 0 -b11111111101111001111110111111100 H -b0 2 -b0 = -08 -b1000011010000000001111001010111 < -b1111100001101100011111 : -b10111100111111011111110011000111 $ -b10111100111111011111110011000111 - -b10111100111111011111110011000111 5 -b10111100111111011111110011000111 ? -b10111100111111011111110011000111 D -b10111100101111111110000110101000 % -b10111100101111111110000110101000 . -b10111100101111111110000110101000 6 -b10111100101111111110000110101000 @ -b10111100101111111110000110101000 F -b11111111101111001111110111111100 ) -#566464000 -0& -#566480000 -b10001010010011 , -#566496000 -1& -#566512000 -b11111111111111111111111000100101 " -b11111111111111111111111000100101 4 -b11111111111111111111111000100101 0 -b11111111111111111111111000100101 H -b1 2 -b1 = -18 -b11000010010011000011101100010100 < -b11000010001111010110001100111000 : -b11111111111100010010100000100011 $ -b11111111111100010010100000100011 - -b11111111111100010010100000100011 5 -b11111111111100010010100000100011 ? -b11111111111100010010100000100011 D -b111101101100111100010011101011 % -b111101101100111100010011101011 . -b111101101100111100010011101011 6 -b111101101100111100010011101011 @ -b111101101100111100010011101011 F -b11111111111111111111111000100101 ) -#566528000 -0& -#566544000 -b10001010010100 , -#566560000 -1& -#566576000 -b1101111111 " -b1101111111 4 -b1101111111 0 -b1101111111 H -b1 2 -b1 = -18 -09 -b10000000001000011001001110101011 < -b10111000001000010010100100011101 : -b110111111111111001010101110001 $ -b110111111111111001010101110001 - -b110111111111111001010101110001 5 -b110111111111111001010101110001 ? -b110111111111111001010101110001 D -b1111111110111100110110001010100 % -b1111111110111100110110001010100 . -b1111111110111100110110001010100 6 -b1111111110111100110110001010100 @ -b1111111110111100110110001010100 F -b1101111111 ) -#566592000 -0& -#566608000 -b10001010010101 , -#566624000 -1& -#566640000 -b11110111101101101110110 " -b11110111101101101110110 4 -b11110111101101101110110 0 -b11110111101101101110110 H -b0 2 -b0 = -08 -b10110011100001100110001011000 < -b1010100010111101000011110001111 : -b111101111011011011101100110110 $ -b111101111011011011101100110110 - -b111101111011011011101100110110 5 -b111101111011011011101100110110 ? -b111101111011011011101100110110 D -b11101001100011110011001110100111 % -b11101001100011110011001110100111 . -b11101001100011110011001110100111 6 -b11101001100011110011001110100111 @ -b11101001100011110011001110100111 F -b11110111101101101110110 ) -#566656000 -0& -#566672000 -b10001010010110 , -#566688000 -1& -#566704000 -b101111011111111100101111001111 " -b101111011111111100101111001111 4 -b101111011111111100101111001111 0 -b101111011111111100101111001111 H -b10100001100101000111110010111110 < -b100101000001010001011110 : -b1011110111111111001011110011111 $ -b1011110111111111001011110011111 - -b1011110111111111001011110011111 5 -b1011110111111111001011110011111 ? -b1011110111111111001011110011111 D -b1011110011010111000001101000001 % -b1011110011010111000001101000001 . -b1011110011010111000001101000001 6 -b1011110011010111000001101000001 @ -b1011110011010111000001101000001 F -b101111011111111100101111001111 ) -#566720000 -0& -#566736000 -b10001010010111 , -#566752000 -1& -#566768000 -b1110010111100110101110011 " -b1110010111100110101110011 4 -b1110010111100110101110011 0 -b1110010111100110101110011 H -b0 2 -b0 = -19 -08 -b100010000000011000011100011001 < -b10010100111101001110001111100111 : -b1110010111100110101110011001101 $ -b1110010111100110101110011001101 - -b1110010111100110101110011001101 5 -b1110010111100110101110011001101 ? -b1110010111100110101110011001101 D -b11011101111111100111100011100110 % -b11011101111111100111100011100110 . -b11011101111111100111100011100110 6 -b11011101111111100111100011100110 @ -b11011101111111100111100011100110 F -b1110010111100110101110011 ) -#566784000 -0& -#566800000 -b10001010011000 , -#566816000 -1& -#566832000 -b100111110011101110011111 " -b100111110011101110011111 4 -b100111110011101110011111 0 -b100111110011101110011111 H -b0 2 -b0 = -09 -08 -b100000000100000101010111111000 < -b1101111101011100010010110111011 : -b1001111100111011100111111000010 $ -b1001111100111011100111111000010 - -b1001111100111011100111111000010 5 -b1001111100111011100111111000010 ? -b1001111100111011100111111000010 D -b11011111111011111010101000000111 % -b11011111111011111010101000000111 . -b11011111111011111010101000000111 6 -b11011111111011111010101000000111 @ -b11011111111011111010101000000111 F -b100111110011101110011111 ) -#566848000 -0& -#566864000 -b10001010011001 , -#566880000 -1& -#566896000 -b11111111001111110101000011010100 " -b11111111001111110101000011010100 4 -b11111111001111110101000011010100 0 -b11111111001111110101000011010100 H -b1 2 -b1 = -18 -19 -b10000000000000101010100111111110 < -b1111110100000010100101110100111 : -b11111110011111101010000110101000 $ -b11111110011111101010000110101000 - -b11111110011111101010000110101000 5 -b11111110011111101010000110101000 ? -b11111110011111101010000110101000 D -b1111111111111010101011000000001 % -b1111111111111010101011000000001 . -b1111111111111010101011000000001 6 -b1111111111111010101011000000001 @ -b1111111111111010101011000000001 F -b11111111001111110101000011010100 ) -#566912000 -0& -#566928000 -b10001010011010 , -#566944000 -1& -#566960000 -b11101011111111111100001000110110 " -b11101011111111111100001000110110 4 -b11101011111111111100001000110110 0 -b11101011111111111100001000110110 H -b1 2 -b1 = -09 -18 -b1100110000001001000100011101 < -b10111100101111111001100111110110 : -b10101111111111110000100011011000 $ -b10101111111111110000100011011000 - -b10101111111111110000100011011000 5 -b10101111111111110000100011011000 ? -b10101111111111110000100011011000 D -b11110011001111110110111011100010 % -b11110011001111110110111011100010 . -b11110011001111110110111011100010 6 -b11110011001111110110111011100010 @ -b11110011001111110110111011100010 F -b11101011111111111100001000110110 ) -#566976000 -0& -#566992000 -b10001010011011 , -#567008000 -1& -#567024000 -b11111111111111111110010111110100 " -b11111111111111111110010111110100 4 -b11111111111111111110010111110100 0 -b11111111111111111110010111110100 H -b11011000011000001110101101110000 < -b11001011010110110011000110000010 : -b11110010111110100100011000010001 $ -b11110010111110100100011000010001 - -b11110010111110100100011000010001 5 -b11110010111110100100011000010001 ? -b11110010111110100100011000010001 D -b100111100111110001010010001111 % -b100111100111110001010010001111 . -b100111100111110001010010001111 6 -b100111100111110001010010001111 @ -b100111100111110001010010001111 F -b11111111111111111110010111110100 ) -#567040000 -0& -#567056000 -b10001010011100 , -#567072000 -1& -#567088000 -b11111111111111101111111111011111 " -b11111111111111101111111111011111 4 -b11111111111111101111111111011111 0 -b11111111111111101111111111011111 H -b11000000011110000010010010 < -b11100010111111011100100101001100 : -b11011111111110111110100010111001 $ -b11011111111110111110100010111001 - -b11011111111110111110100010111001 5 -b11011111111110111110100010111001 ? -b11011111111110111110100010111001 D -b11111100111111100001111101101101 % -b11111100111111100001111101101101 . -b11111100111111100001111101101101 6 -b11111100111111100001111101101101 @ -b11111100111111100001111101101101 F -b11111111111111101111111111011111 ) -#567104000 -0& -#567120000 -b10001010011101 , -#567136000 -1& -#567152000 -b110111010111010100110001110 " -b110111010111010100110001110 4 -b110111010111010100110001110 0 -b110111010111010100110001110 H -b0 2 -b0 = -08 -b10100000001101000010101011111011 < -b1110111011101100001111101010 : -b1101110101110101001100011101110 $ -b1101110101110101001100011101110 - -b1101110101110101001100011101110 5 -b1101110101110101001100011101110 ? -b1101110101110101001100011101110 D -b1011111110010111101010100000100 % -b1011111110010111101010100000100 . -b1011111110010111101010100000100 6 -b1011111110010111101010100000100 @ -b1011111110010111101010100000100 F -b110111010111010100110001110 ) -#567168000 -0& -#567184000 -b10001010011110 , -#567200000 -1& -#567216000 -b1111 " -b1111 4 -b1111 0 -b1111 H -b0 2 -b0 = -19 -08 -b10000010000000011111110000100 < -b10001111101111110110111100100101 : -b1111111011111110010111110100000 $ -b1111111011111110010111110100000 - -b1111111011111110010111110100000 5 -b1111111011111110010111110100000 ? -b1111111011111110010111110100000 D -b11101111101111111100000001111011 % -b11101111101111111100000001111011 . -b11101111101111111100000001111011 6 -b11101111101111111100000001111011 @ -b11101111101111111100000001111011 F -b1111 ) -#567232000 -0& -#567248000 -b10001010011111 , -#567264000 -1& -#567280000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b0 2 -b0 = -08 -b1000000110101000010100110100001 < -b111011011111000000011000100011 : -b11111010101001111101110010000001 $ -b11111010101001111101110010000001 - -b11111010101001111101110010000001 5 -b11111010101001111101110010000001 ? -b11111010101001111101110010000001 D -b10111111001010111101011001011110 % -b10111111001010111101011001011110 . -b10111111001010111101011001011110 6 -b10111111001010111101011001011110 @ -b10111111001010111101011001011110 F -b11111111111111111111111111111111 ) -#567296000 -0& -#567312000 -b10001010100000 , -#567328000 -1& -#567344000 -b11111101101111111100101001001111 " -b11111101101111111100101001001111 4 -b11111101101111111100101001001111 0 -b11111101101111111100101001001111 H -b1 2 -b1 = -18 -b11010011000010001111101110011111 < -b11010000110010001100010111101111 : -b11111101101111111100101001001111 $ -b11111101101111111100101001001111 - -b11111101101111111100101001001111 5 -b11111101101111111100101001001111 ? -b11111101101111111100101001001111 D -b101100111101110000010001100000 % -b101100111101110000010001100000 . -b101100111101110000010001100000 6 -b101100111101110000010001100000 @ -b101100111101110000010001100000 F -b11111101101111111100101001001111 ) -#567360000 -0& -#567376000 -b10001010100001 , -#567392000 -1& -#567408000 -b11 " -b11 4 -b11 0 -b11 H -b0 2 -b0 = -08 -b10000100011001001000000111000010 < -b11110000000101101001100100 : -b1111111010110111101100010100001 $ -b1111111010110111101100010100001 - -b1111111010110111101100010100001 5 -b1111111010110111101100010100001 ? -b1111111010110111101100010100001 D -b1111011100110110111111000111101 % -b1111011100110110111111000111101 . -b1111011100110110111111000111101 6 -b1111011100110110111111000111101 @ -b1111011100110110111111000111101 F -b11 ) -#567424000 -0& -#567440000 -b10001010100010 , -#567456000 -1& -#567472000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11000100010110000010100011000000 < -b11000001101011111001111100001111 : -b11111101010101110111011001001110 $ -b11111101010101110111011001001110 - -b11111101010101110111011001001110 5 -b11111101010101110111011001001110 ? -b11111101010101110111011001001110 D -b111011101001111101011100111111 % -b111011101001111101011100111111 . -b111011101001111101011100111111 6 -b111011101001111101011100111111 @ -b111011101001111101011100111111 F -b11111111111111111111111111111111 ) -#567488000 -0& -#567504000 -b10001010100011 , -#567520000 -1& -#567536000 -b1101110110 " -b1101110110 4 -b1101110110 0 -b1101110110 H -19 -b0 2 -b0 = -08 -b11100100100010111101101001010 < -b10001011011000010110010111100011 : -b1101110110011111110101010011000 $ -b1101110110011111110101010011000 - -b1101110110011111110101010011000 5 -b1101110110011111110101010011000 ? -b1101110110011111110101010011000 D -b11100011011011101000010010110101 % -b11100011011011101000010010110101 . -b11100011011011101000010010110101 6 -b11100011011011101000010010110101 @ -b11100011011011101000010010110101 F -b1101110110 ) -#567552000 -0& -#567568000 -b10001010100100 , -#567584000 -1& -#567600000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -18 -09 -b10010011101001101101010100001 < -b11010001101100111010110101000001 : -b10111111001111101101001010011111 $ -b10111111001111101101001010011111 - -b10111111001111101101001010011111 5 -b10111111001111101101001010011111 ? -b10111111001111101101001010011111 D -b11101101100010110010010101011110 % -b11101101100010110010010101011110 . -b11101101100010110010010101011110 6 -b11101101100010110010010101011110 @ -b11101101100010110010010101011110 F -b11111111111111111111111111111110 ) -#567616000 -0& -#567632000 -b10001010100101 , -#567648000 -1& -#567664000 -b11111011110 " -b11111011110 4 -b11111011110 0 -b11111011110 H -b0 2 -b0 = -08 -19 -b101000000000000101111011101011 < -b10100101111011011001001100010010 : -b1111101111011010011010000100110 $ -b1111101111011010011010000100110 - -b1111101111011010011010000100110 5 -b1111101111011010011010000100110 ? -b1111101111011010011010000100110 D -b11010111111111111010000100010100 % -b11010111111111111010000100010100 . -b11010111111111111010000100010100 6 -b11010111111111111010000100010100 @ -b11010111111111111010000100010100 F -b11111011110 ) -#567680000 -0& -#567696000 -b10001010100110 , -#567712000 -1& -#567728000 -b1011011010101100101011010110 " -b1011011010101100101011010110 4 -b1011011010101100101011010110 0 -b1011011010101100101011010110 H -b0 2 -b0 = -09 -08 -b11010100000001111011001111100 < -b1110101110101110100110100101111 : -b1011011010101100101011010110010 $ -b1011011010101100101011010110010 - -b1011011010101100101011010110010 5 -b1011011010101100101011010110010 ? -b1011011010101100101011010110010 D -b11100101011111110000100110000011 % -b11100101011111110000100110000011 . -b11100101011111110000100110000011 6 -b11100101011111110000100110000011 @ -b11100101011111110000100110000011 F -b1011011010101100101011010110 ) -#567744000 -0& -#567760000 -b10001010100111 , -#567776000 -1& -#567792000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -09 -b11000000000010000100000001000001 < -b10101011111001111000111111010000 : -b11101011110111110100111110001110 $ -b11101011110111110100111110001110 - -b11101011110111110100111110001110 5 -b11101011110111110100111110001110 ? -b11101011110111110100111110001110 D -b111111111101111011111110111110 % -b111111111101111011111110111110 . -b111111111101111011111110111110 6 -b111111111101111011111110111110 @ -b111111111101111011111110111110 F -b11111111111111111111111111111111 ) -#567808000 -0& -#567824000 -b10001010101000 , -#567840000 -1& -#567856000 -b1101 " -b1101 4 -b1101 0 -b1101 H -b0 2 -b0 = -08 -b10111000011100001010000011100100 < -b100100011011010110100100000110 : -b1101011111111001100100000100001 $ -b1101011111111001100100000100001 - -b1101011111111001100100000100001 5 -b1101011111111001100100000100001 ? -b1101011111111001100100000100001 D -b1000111100011110101111100011011 % -b1000111100011110101111100011011 . -b1000111100011110101111100011011 6 -b1000111100011110101111100011011 @ -b1000111100011110101111100011011 F -b1101 ) -#567872000 -0& -#567888000 -b10001010101001 , -#567904000 -1& -#567920000 -b110101001 " -b110101001 4 -b110101001 0 -b110101001 H -b0 2 -b0 = -08 -b1000001000001011001110001011 < -b100010101110111100000111011101 : -b11010100110110000111001010001 $ -b11010100110110000111001010001 - -b11010100110110000111001010001 5 -b11010100110110000111001010001 ? -b11010100110110000111001010001 D -b11110111110111110100110001110100 % -b11110111110111110100110001110100 . -b11110111110111110100110001110100 6 -b11110111110111110100110001110100 @ -b11110111110111110100110001110100 F -b110101001 ) -#567936000 -0& -#567952000 -b10001010101010 , -#567968000 -1& -#567984000 -b11111111111111111001010111111000 " -b11111111111111111001010111111000 4 -b11111111111111111001010111111000 0 -b11111111111111111001010111111000 H -b1 2 -b1 = -18 -b1100010101001010110011110010 < -b11111111000100111011101001101111 : -b11110010101111110000110101111100 $ -b11110010101111110000110101111100 - -b11110010101111110000110101111100 5 -b11110010101111110000110101111100 ? -b11110010101111110000110101111100 D -b11110011101010110101001100001101 % -b11110011101010110101001100001101 . -b11110011101010110101001100001101 6 -b11110011101010110101001100001101 @ -b11110011101010110101001100001101 F -b11111111111111111001010111111000 ) -#568000000 -0& -#568016000 -b10001010101011 , -#568032000 -1& -#568048000 -b11111111111111111111111011111101 " -b11111111111111111111111011111101 4 -b11111111111111111111111011111101 0 -b11111111111111111111111011111101 H -b1000000000001110100101001001 < -b11000111011111110000000011010001 : -b10111111011111100001011110000111 $ -b10111111011111100001011110000111 - -b10111111011111100001011110000111 5 -b10111111011111100001011110000111 ? -b10111111011111100001011110000111 D -b11110111111111110001011010110110 % -b11110111111111110001011010110110 . -b11110111111111110001011010110110 6 -b11110111111111110001011010110110 @ -b11110111111111110001011010110110 F -b11111111111111111111111011111101 ) -#568064000 -0& -#568080000 -b10001010101100 , -#568096000 -1& -#568112000 -b11111111111111 " -b11111111111111 4 -b11111111111111 0 -b11111111111111 H -b0 2 -b0 = -08 -19 -b110000000011011010110100001110 < -b10110000000011000100010010001100 : -b1111111111111101001011101111101 $ -b1111111111111101001011101111101 - -b1111111111111101001011101111101 5 -b1111111111111101001011101111101 ? -b1111111111111101001011101111101 D -b11001111111100100101001011110001 % -b11001111111100100101001011110001 . -b11001111111100100101001011110001 6 -b11001111111100100101001011110001 @ -b11001111111100100101001011110001 F -b11111111111111 ) -#568128000 -0& -#568144000 -b10001010101101 , -#568160000 -1& -#568176000 -b111111110 " -b111111110 4 -b111111110 0 -b111111110 H -b1010001001000001110000010101001 < -b11010000110111110000000100101001 : -b1111111101111100010000001111111 $ -b1111111101111100010000001111111 - -b1111111101111100010000001111111 5 -b1111111101111100010000001111111 ? -b1111111101111100010000001111111 D -b10101110110111110001111101010110 % -b10101110110111110001111101010110 . -b10101110110111110001111101010110 6 -b10101110110111110001111101010110 @ -b10101110110111110001111101010110 F -b111111110 ) -#568192000 -0& -#568208000 -b10001010101110 , -#568224000 -1& -#568240000 -b10111011100100110100010010 " -b10111011100100110100010010 4 -b10111011100100110100010010 0 -b10111011100100110100010010 H -b1 2 -b1 = -18 -09 -b10000001100000101100110100011010 < -b11011111010011000110111101011100 : -b1011101110010011010001001000001 $ -b1011101110010011010001001000001 - -b1011101110010011010001001000001 5 -b1011101110010011010001001000001 ? -b1011101110010011010001001000001 D -b1111110011111010011001011100101 % -b1111110011111010011001011100101 . -b1111110011111010011001011100101 6 -b1111110011111010011001011100101 @ -b1111110011111010011001011100101 F -b10111011100100110100010010 ) -#568256000 -0& -#568272000 -b10001010101111 , -#568288000 -1& -#568304000 -b11111111111111011101101011011000 " -b11111111111111011101101011011000 4 -b11111111111111011101101011011000 0 -b11111111111111011101101011011000 H -19 -b1 2 -b1 = -18 -b10000000000000100111001100010011 < -b1011101101011111111110010110111 : -b11011101101011011000100110100011 $ -b11011101101011011000100110100011 - -b11011101101011011000100110100011 5 -b11011101101011011000100110100011 ? -b11011101101011011000100110100011 D -b1111111111111011000110011101100 % -b1111111111111011000110011101100 . -b1111111111111011000110011101100 6 -b1111111111111011000110011101100 @ -b1111111111111011000110011101100 F -b11111111111111011101101011011000 ) -#568320000 -0& -#568336000 -b10001010110000 , -#568352000 -1& -#568368000 -b1100101111111000100 " -b1100101111111000100 4 -b1100101111111000100 0 -b1100101111111000100 H -09 -b1 2 -b1 = -18 -b10000000010000001011101101110101 < -b10011001101111111100110000000010 : -b11001011111110001000010001100 $ -b11001011111110001000010001100 - -b11001011111110001000010001100 5 -b11001011111110001000010001100 ? -b11001011111110001000010001100 D -b1111111101111110100010010001010 % -b1111111101111110100010010001010 . -b1111111101111110100010010001010 6 -b1111111101111110100010010001010 @ -b1111111101111110100010010001010 F -b1100101111111000100 ) -#568384000 -0& -#568400000 -b10001010110001 , -#568416000 -1& -#568432000 -b11111111111111111101101111001101 " -b11111111111111111101101111001101 4 -b11111111111111111101101111001101 0 -b11111111111111111101101111001101 H -b0 2 -b0 = -08 -b1110000001101101001010010100 < -b1100111001010011110000011110 : -b11111110110111100110100110001001 $ -b11111110110111100110100110001001 - -b11111110110111100110100110001001 5 -b11111110110111100110100110001001 ? -b11111110110111100110100110001001 D -b11110001111110010010110101101011 % -b11110001111110010010110101101011 . -b11110001111110010010110101101011 6 -b11110001111110010010110101101011 @ -b11110001111110010010110101101011 F -b11111111111111111101101111001101 ) -#568448000 -0& -#568464000 -b10001010110010 , -#568480000 -1& -#568496000 -b11111111111111110111111011010011 " -b11111111111111110111111011010011 4 -b11111111111111110111111011010011 0 -b11111111111111110111111011010011 H -b1 2 -b1 = -18 -b101100000010110011 < -b11110111111011111111110011101101 : -b11110111111011010011110000111001 $ -b11110111111011010011110000111001 - -b11110111111011010011110000111001 5 -b11110111111011010011110000111001 ? -b11110111111011010011110000111001 D -b11111111111111010011111101001100 % -b11111111111111010011111101001100 . -b11111111111111010011111101001100 6 -b11111111111111010011111101001100 @ -b11111111111111010011111101001100 F -b11111111111111110111111011010011 ) -#568512000 -0& -#568528000 -b10001010110011 , -#568544000 -1& -#568560000 -b11111111111111111111111011111010 " -b11111111111111111111111011111010 4 -b11111111111111111111111011111010 0 -b11111111111111111111111011111010 H -b10001000001000000111011011101110 < -b10000110000101100000010000010011 : -b11111101111101011000110100100100 $ -b11111101111101011000110100100100 - -b11111101111101011000110100100100 5 -b11111101111101011000110100100100 ? -b11111101111101011000110100100100 D -b1110111110111111000100100010001 % -b1110111110111111000100100010001 . -b1110111110111111000100100010001 6 -b1110111110111111000100100010001 @ -b1110111110111111000100100010001 F -b11111111111111111111111011111010 ) -#568576000 -0& -#568592000 -b10001010110100 , -#568608000 -1& -#568624000 -b11111111111111111110110100111010 " -b11111111111111111110110100111010 4 -b11111111111111111110110100111010 0 -b11111111111111111110110100111010 H -b0 2 -b0 = -08 -b100000000001001001111000110010 < -b11101101010111110001101000001 : -b11111101101001110100010100001110 $ -b11111101101001110100010100001110 - -b11111101101001110100010100001110 5 -b11111101101001110100010100001110 ? -b11111101101001110100010100001110 D -b11011111111110110110000111001101 % -b11011111111110110110000111001101 . -b11011111111110110110000111001101 6 -b11011111111110110110000111001101 @ -b11011111111110110110000111001101 F -b11111111111111111110110100111010 ) -#568640000 -0& -#568656000 -b10001010110101 , -#568672000 -1& -#568688000 -b100101101101110101010111011 " -b100101101101110101010111011 4 -b100101101101110101010111011 0 -b100101101101110101010111011 H -b101010000000000100110000011011 < -b1110101011011101111011111001100 : -b1001011011011101010101110110000 $ -b1001011011011101010101110110000 - -b1001011011011101010101110110000 5 -b1001011011011101010101110110000 ? -b1001011011011101010101110110000 D -b11010101111111111011001111100100 % -b11010101111111111011001111100100 . -b11010101111111111011001111100100 6 -b11010101111111111011001111100100 @ -b11010101111111111011001111100100 F -b100101101101110101010111011 ) -#568704000 -0& -#568720000 -b10001010110110 , -#568736000 -1& -#568752000 -b1101111111111010010101011111010 " -b1101111111111010010101011111010 4 -b1101111111111010010101011111010 0 -b1101111111111010010101011111010 H -b0 2 -b0 = -19 -08 -b11000110000001100111000111111 < -b10001000101111011111100100111010 : -b1101111111111010010101011111010 $ -b1101111111111010010101011111010 - -b1101111111111010010101011111010 5 -b1101111111111010010101011111010 ? -b1101111111111010010101011111010 D -b11100111001111110011000111000000 % -b11100111001111110011000111000000 . -b11100111001111110011000111000000 6 -b11100111001111110011000111000000 @ -b11100111001111110011000111000000 F -b1101111111111010010101011111010 ) -#568768000 -0& -#568784000 -b10001010110111 , -#568800000 -1& -#568816000 -b110011 " -b110011 4 -b110011 0 -b110011 H -b1 2 -b1 = -09 -18 -b10011000010000110100000100100110 < -b11111111001111101001101110101101 : -b1100110111110110101101010000110 $ -b1100110111110110101101010000110 - -b1100110111110110101101010000110 5 -b1100110111110110101101010000110 ? -b1100110111110110101101010000110 D -b1100111101111001011111011011001 % -b1100111101111001011111011011001 . -b1100111101111001011111011011001 6 -b1100111101111001011111011011001 @ -b1100111101111001011111011011001 F -b110011 ) -#568832000 -0& -#568848000 -b10001010111000 , -#568864000 -1& -#568880000 -b11111110110001110100111100010011 " -b11111110110001110100111100010011 4 -b11111110110001110100111100010011 0 -b11111110110001110100111100010011 H -b1 2 -b1 = -19 -18 -b10001000100000000011011100111011 < -b1110100111101010010100001101111 : -b11101100011101001111000100110011 $ -b11101100011101001111000100110011 - -b11101100011101001111000100110011 5 -b11101100011101001111000100110011 ? -b11101100011101001111000100110011 D -b1110111011111111100100011000100 % -b1110111011111111100100011000100 . -b1110111011111111100100011000100 6 -b1110111011111111100100011000100 @ -b1110111011111111100100011000100 F -b11111110110001110100111100010011 ) -#568896000 -0& -#568912000 -b10001010111001 , -#568928000 -1& -#568944000 -b11111111111111111111111111101111 " -b11111111111111111111111111101111 4 -b11111111111111111111111111101111 0 -b11111111111111111111111111101111 H -b0 2 -b0 = -09 -08 -b10101010100000111100010101000 < -b1101000010110011011001101101 : -b11110111101110101011110111000100 $ -b11110111101110101011110111000100 - -b11110111101110101011110111000100 5 -b11110111101110101011110111000100 ? -b11110111101110101011110111000100 D -b11101010101011111000011101010111 % -b11101010101011111000011101010111 . -b11101010101011111000011101010111 6 -b11101010101011111000011101010111 @ -b11101010101011111000011101010111 F -b11111111111111111111111111101111 ) -#568960000 -0& -#568976000 -b10001010111010 , -#568992000 -1& -#569008000 -b11111111111111111111111111001011 " -b11111111111111111111111111001011 4 -b11111111111111111111111111001011 0 -b11111111111111111111111111001011 H -b1 2 -b1 = -18 -b100111011000111100100100000110 < -b10111110111000101101001101011010 : -b10010111011111110000101001010011 $ -b10010111011111110000101001010011 - -b10010111011111110000101001010011 5 -b10010111011111110000101001010011 ? -b10010111011111110000101001010011 D -b11011000100111000011011011111001 % -b11011000100111000011011011111001 . -b11011000100111000011011011111001 6 -b11011000100111000011011011111001 @ -b11011000100111000011011011111001 F -b11111111111111111111111111001011 ) -#569024000 -0& -#569040000 -b10001010111011 , -#569056000 -1& -#569072000 -b111111011110101010011011000 " -b111111011110101010011011000 4 -b111111011110101010011011000 0 -b111111011110101010011011000 H -b0 2 -b0 = -08 -19 -b1000000110000011111100011011011 < -b10111111101101110100011001011110 : -b1111110111101010100110110000010 $ -b1111110111101010100110110000010 - -b1111110111101010100110110000010 5 -b1111110111101010100110110000010 ? -b1111110111101010100110110000010 D -b10111111001111100000011100100100 % -b10111111001111100000011100100100 . -b10111111001111100000011100100100 6 -b10111111001111100000011100100100 @ -b10111111001111100000011100100100 F -b111111011110101010011011000 ) -#569088000 -0& -#569104000 -b10001010111100 , -#569120000 -1& -#569136000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b10000000010000001101100110100101 < -b1111101111110001001011110111111 : -b11111101101101111011111000011001 $ -b11111101101101111011111000011001 - -b11111101101101111011111000011001 5 -b11111101101101111011111000011001 ? -b11111101101101111011111000011001 D -b1111111101111110010011001011010 % -b1111111101111110010011001011010 . -b1111111101111110010011001011010 6 -b1111111101111110010011001011010 @ -b1111111101111110010011001011010 F -b11111111111111111111111111111111 ) -#569152000 -0& -#569168000 -b10001010111101 , -#569184000 -1& -#569200000 -b1011111101100101 " -b1011111101100101 4 -b1011111101100101 0 -b1011111101100101 H -09 -b1 2 -b1 = -18 -b11000100011000000011010100010010 < -b11011100010011001110101001000110 : -b10111111011001011010100110011 $ -b10111111011001011010100110011 - -b10111111011001011010100110011 5 -b10111111011001011010100110011 ? -b10111111011001011010100110011 D -b111011100111111100101011101101 % -b111011100111111100101011101101 . -b111011100111111100101011101101 6 -b111011100111111100101011101101 @ -b111011100111111100101011101101 F -b1011111101100101 ) -#569216000 -0& -#569232000 -b10001010111110 , -#569248000 -1& -#569264000 -b11110101111010111100111011111011 " -b11110101111010111100111011111011 4 -b11110101111010111100111011111011 0 -b11110101111010111100111011111011 H -b1110010011000000010101111101 < -b11100101111110110100000101101100 : -b11010111101011110011101111101110 $ -b11010111101011110011101111101110 - -b11010111101011110011101111101110 5 -b11010111101011110011101111101110 ? -b11010111101011110011101111101110 D -b11110001101100111111101010000010 % -b11110001101100111111101010000010 . -b11110001101100111111101010000010 6 -b11110001101100111111101010000010 @ -b11110001101100111111101010000010 F -b11110101111010111100111011111011 ) -#569280000 -0& -#569296000 -b10001010111111 , -#569312000 -1& -#569328000 -b11010111110111111001011000000101 " -b11010111110111111001011000000101 4 -b11010111110111111001011000000101 0 -b11010111110111111001011000000101 H -b10010110000011010001111111110 < -b11000010100000001101000000001010 : -b10101111101111110010110000001011 $ -b10101111101111110010110000001011 - -b10101111101111110010110000001011 5 -b10101111101111110010110000001011 ? -b10101111101111110010110000001011 D -b11101101001111100101110000000001 % -b11101101001111100101110000000001 . -b11101101001111100101110000000001 6 -b11101101001111100101110000000001 @ -b11101101001111100101110000000001 F -b11010111110111111001011000000101 ) -#569344000 -0& -#569360000 -b10001011000000 , -#569376000 -1& -#569392000 -b11110001111110000011 " -b11110001111110000011 4 -b11110001111110000011 0 -b11110001111110000011 H -b0 2 -b0 = -08 -b11110100100000011101010001010100 < -b1101101011111011110110011011010 : -b1111000111111000001100010000101 $ -b1111000111111000001100010000101 - -b1111000111111000001100010000101 5 -b1111000111111000001100010000101 ? -b1111000111111000001100010000101 D -b1011011111100010101110101011 % -b1011011111100010101110101011 . -b1011011111100010101110101011 6 -b1011011111100010101110101011 @ -b1011011111100010101110101011 F -b11110001111110000011 ) -#569408000 -0& -#569424000 -b10001011000001 , -#569440000 -1& -#569456000 -b11111111111111111111111011111110 " -b11111111111111111111111011111110 4 -b11111111111111111111111011111110 0 -b11111111111111111111111011111110 H -b1 2 -b1 = -18 -b11000000100100101111111010110000 < -b11000000000100100011100101001010 : -b11111111011111110011101010011001 $ -b11111111011111110011101010011001 - -b11111111011111110011101010011001 5 -b11111111011111110011101010011001 ? -b11111111011111110011101010011001 D -b111111011011010000000101001111 % -b111111011011010000000101001111 . -b111111011011010000000101001111 6 -b111111011011010000000101001111 @ -b111111011011010000000101001111 F -b11111111111111111111111011111110 ) -#569472000 -0& -#569488000 -b10001011000010 , -#569504000 -1& -#569520000 -b10111 " -b10111 4 -b10111 0 -b10111 H -b1 2 -b1 = -18 -09 -b10000010001001101011001100100111 < -b10011010000100011111001011000001 : -b10111111010110011111110011001 $ -b10111111010110011111110011001 - -b10111111010110011111110011001 5 -b10111111010110011111110011001 ? -b10111111010110011111110011001 D -b1111101110110010100110011011000 % -b1111101110110010100110011011000 . -b1111101110110010100110011011000 6 -b1111101110110010100110011011000 @ -b1111101110110010100110011011000 F -b10111 ) -#569536000 -0& -#569552000 -b10001011000011 , -#569568000 -1& -#569584000 -b111011111101011100111101100 " -b111011111101011100111101100 4 -b111011111101011100111101100 0 -b111011111101011100111101100 H -b0 2 -b0 = -08 -b100000100100101011001011011 < -b1111011111111011111010100011100 : -b1110111111010111001111011000000 $ -b1110111111010111001111011000000 - -b1110111111010111001111011000000 5 -b1110111111010111001111011000000 ? -b1110111111010111001111011000000 D -b11111011111011011010100110100100 % -b11111011111011011010100110100100 . -b11111011111011011010100110100100 6 -b11111011111011011010100110100100 @ -b11111011111011011010100110100100 F -b111011111101011100111101100 ) -#569600000 -0& -#569616000 -b10001011000100 , -#569632000 -1& -#569648000 -b11111111111111111111110111001101 " -b11111111111111111111110111001101 4 -b11111111111111111111110111001101 0 -b11111111111111111111110111001101 H -b1 2 -b1 = -18 -b10101010000010000110011110101011 < -b10000110110111111111001111011110 : -b11011100110101111000110000110010 $ -b11011100110101111000110000110010 - -b11011100110101111000110000110010 5 -b11011100110101111000110000110010 ? -b11011100110101111000110000110010 D -b1010101111101111001100001010100 % -b1010101111101111001100001010100 . -b1010101111101111001100001010100 6 -b1010101111101111001100001010100 @ -b1010101111101111001100001010100 F -b11111111111111111111110111001101 ) -#569664000 -0& -#569680000 -b10001011000101 , -#569696000 -1& -#569712000 -b11111111111101111111111011110000 " -b11111111111101111111111011110000 4 -b11111111111101111111111011110000 0 -b11111111111101111111111011110000 H -b1 2 -b1 = -09 -18 -b11001011000000100010000000010100 < -b10001010111110011010010101100000 : -b10111111111101111000010101001011 $ -b10111111111101111000010101001011 - -b10111111111101111000010101001011 5 -b10111111111101111000010101001011 ? -b10111111111101111000010101001011 D -b110100111111011101111111101011 % -b110100111111011101111111101011 . -b110100111111011101111111101011 6 -b110100111111011101111111101011 @ -b110100111111011101111111101011 F -b11111111111101111111111011110000 ) -#569728000 -0& -#569744000 -b10001011000110 , -#569760000 -1& -#569776000 -b11111111111111111111111110101011 " -b11111111111111111111111110101011 4 -b11111111111111111111111110101011 0 -b11111111111111111111111110101011 H -b0 2 -b0 = -08 -b100000011000011100001100001011 < -b11011000100110010011101101110 : -b11111010101100010110010001100010 $ -b11111010101100010110010001100010 - -b11111010101100010110010001100010 5 -b11111010101100010110010001100010 ? -b11111010101100010110010001100010 D -b11011111100111100011110011110100 % -b11011111100111100011110011110100 . -b11011111100111100011110011110100 6 -b11011111100111100011110011110100 @ -b11011111100111100011110011110100 F -b11111111111111111111111110101011 ) -#569792000 -0& -#569808000 -b10001011000111 , -#569824000 -1& -#569840000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1000100010001001001110010000011 < -b110010111111100100100111001101 : -b11101110101110011010110101001001 $ -b11101110101110011010110101001001 - -b11101110101110011010110101001001 5 -b11101110101110011010110101001001 ? -b11101110101110011010110101001001 D -b10111011101110110110001101111100 % -b10111011101110110110001101111100 . -b10111011101110110110001101111100 6 -b10111011101110110110001101111100 @ -b10111011101110110110001101111100 F -b11111111111111111111111111111110 ) -#569856000 -0& -#569872000 -b10001011001000 , -#569888000 -1& -#569904000 -b11111111111111101101 " -b11111111111111101101 4 -b11111111111111101101 0 -b11111111111111101101 H -b0 2 -b0 = -08 -b10000100000010011000110101010100 < -b100000010001111100001110010 : -b1111111111111110110101100011101 $ -b1111111111111110110101100011101 - -b1111111111111110110101100011101 5 -b1111111111111110110101100011101 ? -b1111111111111110110101100011101 D -b1111011111101100111001010101011 % -b1111011111101100111001010101011 . -b1111011111101100111001010101011 6 -b1111011111101100111001010101011 @ -b1111011111101100111001010101011 F -b11111111111111101101 ) -#569920000 -0& -#569936000 -b10001011001001 , -#569952000 -1& -#569968000 -b101001010111111101100110110 " -b101001010111111101100110110 4 -b101001010111111101100110110 0 -b101001010111111101100110110 H -b0 2 -b0 = -08 -b1100100101111010011011 < -b1010010111100100001000111111101 : -b1010010101111111011001101100001 $ -b1010010101111111011001101100001 - -b1010010101111111011001101100001 5 -b1010010101111111011001101100001 ? -b1010010101111111011001101100001 D -b11111111110011011010000101100100 % -b11111111110011011010000101100100 . -b11111111110011011010000101100100 6 -b11111111110011011010000101100100 @ -b11111111110011011010000101100100 F -b101001010111111101100110110 ) -#569984000 -0& -#570000000 -b10001011001010 , -#570016000 -1& -#570032000 -b11111111111110110111101011111011 " -b11111111111110110111101011111011 4 -b11111111111110110111101011111011 0 -b11111111111110110111101011111011 H -b1 2 -b1 = -18 -b100000110111100010100 < -b11011011111010000100100110101111 : -b11011011110101111101101010011010 $ -b11011011110101111101101010011010 - -b11011011110101111101101010011010 5 -b11011011110101111101101010011010 ? -b11011011110101111101101010011010 D -b11111111111011111001000011101011 % -b11111111111011111001000011101011 . -b11111111111011111001000011101011 6 -b11111111111011111001000011101011 @ -b11111111111011111001000011101011 F -b11111111111110110111101011111011 ) -#570048000 -0& -#570064000 -b10001011001011 , -#570080000 -1& -#570096000 -b1 " -b1 4 -b1 0 -b1 H -19 -b0 2 -b0 = -08 -b1010000010000011100000111100001 < -b11010000000111000011000010101000 : -b1111111110110100110111011000110 $ -b1111111110110100110111011000110 - -b1111111110110100110111011000110 5 -b1111111110110100110111011000110 ? -b1111111110110100110111011000110 D -b10101111101111100011111000011110 % -b10101111101111100011111000011110 . -b10101111101111100011111000011110 6 -b10101111101111100011111000011110 @ -b10101111101111100011111000011110 F -b1 ) -#570112000 -0& -#570128000 -b10001011001100 , -#570144000 -1& -#570160000 -b110101110101111110001 " -b110101110101111110001 4 -b110101110101111110001 0 -b110101110101111110001 H -b1 2 -b1 = -18 -09 -b10000001000100001000100001110101 < -b11101100110000000100111010110100 : -b1101011101011111100011000111110 $ -b1101011101011111100011000111110 - -b1101011101011111100011000111110 5 -b1101011101011111100011000111110 ? -b1101011101011111100011000111110 D -b1111110111011110111011110001010 % -b1111110111011110111011110001010 . -b1111110111011110111011110001010 6 -b1111110111011110111011110001010 @ -b1111110111011110111011110001010 F -b110101110101111110001 ) -#570176000 -0& -#570192000 -b10001011001101 , -#570208000 -1& -#570224000 -b111110101011111110 " -b111110101011111110 4 -b111110101011111110 0 -b111110101011111110 H -b0 2 -b0 = -08 -b1000010001011001010110010 < -b1111110011010001000100001110001 : -b1111101010111111101010110111110 $ -b1111101010111111101010110111110 - -b1111101010111111101010110111110 5 -b1111101010111111101010110111110 ? -b1111101010111111101010110111110 D -b11111110111101110100110101001101 % -b11111110111101110100110101001101 . -b11111110111101110100110101001101 6 -b11111110111101110100110101001101 @ -b11111110111101110100110101001101 F -b111110101011111110 ) -#570240000 -0& -#570256000 -b10001011001110 , -#570272000 -1& -#570288000 -b1111101111111111110 " -b1111101111111111110 4 -b1111101111111111110 0 -b1111101111111111110 H -b11110001001000000001100010010101 < -b10000101000000001001101010001 : -b11111011111111111101010111011 $ -b11111011111111111101010111011 - -b11111011111111111101010111011 5 -b11111011111111111101010111011 ? -b11111011111111111101010111011 D -b1110110111111110011101101010 % -b1110110111111110011101101010 . -b1110110111111110011101101010 6 -b1110110111111110011101101010 @ -b1110110111111110011101101010 F -b1111101111111111110 ) -#570304000 -0& -#570320000 -b10001011001111 , -#570336000 -1& -#570352000 -b11111111111111111111111000010011 " -b11111111111111111111111000010011 4 -b11111111111111111111111000010011 0 -b11111111111111111111111000010011 H -b1 2 -b1 = -18 -b1000001100000011101010101011 < -b11101001011100000000111101010101 : -b11100001001111111101010010101001 $ -b11100001001111111101010010101001 - -b11100001001111111101010010101001 5 -b11100001001111111101010010101001 ? -b11100001001111111101010010101001 D -b11110111110011111100010101010100 % -b11110111110011111100010101010100 . -b11110111110011111100010101010100 6 -b11110111110011111100010101010100 @ -b11110111110011111100010101010100 F -b11111111111111111111111000010011 ) -#570368000 -0& -#570384000 -b10001011010000 , -#570400000 -1& -#570416000 -b1111011101111 " -b1111011101111 4 -b1111011101111 0 -b1111011101111 H -b0 2 -b0 = -08 -19 -b1101000100011000110010101101 < -b10001000110011111101111011101001 : -b1111011101111100101001000111011 $ -b1111011101111100101001000111011 - -b1111011101111100101001000111011 5 -b1111011101111100101001000111011 ? -b1111011101111100101001000111011 D -b11110010111011100111001101010010 % -b11110010111011100111001101010010 . -b11110010111011100111001101010010 6 -b11110010111011100111001101010010 @ -b11110010111011100111001101010010 F -b1111011101111 ) -#570432000 -0& -#570448000 -b10001011010001 , -#570464000 -1& -#570480000 -b1100 " -b1100 4 -b1100 0 -b1100 H -b1 2 -b1 = -09 -18 -b10010001010100001001010000000100 < -b11110111110111111100010100100100 : -b1100110100011110011000100011111 $ -b1100110100011110011000100011111 - -b1100110100011110011000100011111 5 -b1100110100011110011000100011111 ? -b1100110100011110011000100011111 D -b1101110101011110110101111111011 % -b1101110101011110110101111111011 . -b1101110101011110110101111111011 6 -b1101110101011110110101111111011 @ -b1101110101011110110101111111011 F -b1100 ) -#570496000 -0& -#570512000 -b10001011010010 , -#570528000 -1& -#570544000 -b1110101111110100111 " -b1110101111110100111 4 -b1110101111110100111 0 -b1110101111110100111 H -b0 2 -b0 = -08 -b10011000001001000010011010010011 < -b1110000111101010010000010000 : -b1110101111110100111110101111100 $ -b1110101111110100111110101111100 - -b1110101111110100111110101111100 5 -b1110101111110100111110101111100 ? -b1110101111110100111110101111100 D -b1100111110110111101100101101100 % -b1100111110110111101100101101100 . -b1100111110110111101100101101100 6 -b1100111110110111101100101101100 @ -b1100111110110111101100101101100 F -b1110101111110100111 ) -#570560000 -0& -#570576000 -b10001011010011 , -#570592000 -1& -#570608000 -b1110111001111110 " -b1110111001111110 4 -b1110111001111110 0 -b1110111001111110 H -b100000000001101100000110000 < -b1111011010000000011110110011010 : -b1110111001111110110010101101001 $ -b1110111001111110110010101101001 - -b1110111001111110110010101101001 5 -b1110111001111110110010101101001 ? -b1110111001111110110010101101001 D -b11111011111111110010011111001111 % -b11111011111111110010011111001111 . -b11111011111111110010011111001111 6 -b11111011111111110010011111001111 @ -b11111011111111110010011111001111 F -b1110111001111110 ) -#570624000 -0& -#570640000 -b10001011010100 , -#570656000 -1& -#570672000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b0 2 -b0 = -08 -09 -b1100000000000010000111011101101 < -b1011111111110000111011101001010 : -b11111111111101110110100001011100 $ -b11111111111101110110100001011100 - -b11111111111101110110100001011100 5 -b11111111111101110110100001011100 ? -b11111111111101110110100001011100 D -b10011111111111101111000100010010 % -b10011111111111101111000100010010 . -b10011111111111101111000100010010 6 -b10011111111111101111000100010010 @ -b10011111111111101111000100010010 F -b11111111111111111111111111111101 ) -#570688000 -0& -#570704000 -b10001011010101 , -#570720000 -1& -#570736000 -b11111111111111111111110111011111 " -b11111111111111111111110111011111 4 -b11111111111111111111110111011111 0 -b11111111111111111111110111011111 H -b1 2 -b1 = -18 -b100000010001101100110001101011 < -b11111110010000100101101101010001 : -b11011101111110111000111011100101 $ -b11011101111110111000111011100101 - -b11011101111110111000111011100101 5 -b11011101111110111000111011100101 ? -b11011101111110111000111011100101 D -b11011111101110010011001110010100 % -b11011111101110010011001110010100 . -b11011111101110010011001110010100 6 -b11011111101110010011001110010100 @ -b11011111101110010011001110010100 F -b11111111111111111111110111011111 ) -#570752000 -0& -#570768000 -b10001011010110 , -#570784000 -1& -#570800000 -b110110111011111110 " -b110110111011111110 4 -b110110111011111110 0 -b110110111011111110 H -b10001000000000000010000101110010 < -b11110101110111111110101010101110 : -b1101101110111111100100100111011 $ -b1101101110111111100100100111011 - -b1101101110111111100100100111011 5 -b1101101110111111100100100111011 ? -b1101101110111111100100100111011 D -b1110111111111111101111010001101 % -b1110111111111111101111010001101 . -b1110111111111111101111010001101 6 -b1110111111111111101111010001101 @ -b1110111111111111101111010001101 F -b110110111011111110 ) -#570816000 -0& -#570832000 -b10001011010111 , -#570848000 -1& -#570864000 -b1110111001011110101100100110 " -b1110111001011110101100100110 4 -b1110111001011110101100100110 0 -b1110111001011110101100100110 H -b0 2 -b0 = -08 -b11000100001000100001000110111100 < -b111011010100010110101011110011 : -b1110111001011110101100100110110 $ -b1110111001011110101100100110110 - -b1110111001011110101100100110110 5 -b1110111001011110101100100110110 ? -b1110111001011110101100100110110 D -b111011110111011110111001000011 % -b111011110111011110111001000011 . -b111011110111011110111001000011 6 -b111011110111011110111001000011 @ -b111011110111011110111001000011 F -b1110111001011110101100100110 ) -#570880000 -0& -#570896000 -b10001011011000 , -#570912000 -1& -#570928000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b1110101000000001010100001000010 < -b1110100011101011110010010101001 : -b11111111011101010011110001100110 $ -b11111111011101010011110001100110 - -b11111111011101010011110001100110 5 -b11111111011101010011110001100110 ? -b11111111011101010011110001100110 D -b10001010111111110101011110111101 % -b10001010111111110101011110111101 . -b10001010111111110101011110111101 6 -b10001010111111110101011110111101 @ -b10001010111111110101011110111101 F -b11111111111111111111111111111111 ) -#570944000 -0& -#570960000 -b10001011011001 , -#570976000 -1& -#570992000 -b11111111101110111 " -b11111111101110111 4 -b11111111101110111 0 -b11111111101110111 H -b0 2 -b0 = -08 -b11001000001100001111011010010 < -b1011000111101010001100110001001 : -b111111111011101111101010110110 $ -b111111111011101111101010110110 - -b111111111011101111101010110110 5 -b111111111011101111101010110110 ? -b111111111011101111101010110110 D -b11100110111110011110000100101101 % -b11100110111110011110000100101101 . -b11100110111110011110000100101101 6 -b11100110111110011110000100101101 @ -b11100110111110011110000100101101 F -b11111111101110111 ) -#571008000 -0& -#571024000 -b10001011011010 , -#571040000 -1& -#571056000 -b11101101111 " -b11101101111 4 -b11101101111 0 -b11101101111 H -b0 2 -b0 = -09 -08 -b10101100000001010101001001101011 < -b100011000001001010100011101001 : -b1110110111111110101011001111101 $ -b1110110111111110101011001111101 - -b1110110111111110101011001111101 5 -b1110110111111110101011001111101 ? -b1110110111111110101011001111101 D -b1010011111110101010110110010100 % -b1010011111110101010110110010100 . -b1010011111110101010110110010100 6 -b1010011111110101010110110010100 @ -b1010011111110101010110110010100 F -b11101101111 ) -#571072000 -0& -#571088000 -b10001011011011 , -#571104000 -1& -#571120000 -b11111111110111010111011100110010 " -b11111111110111010111011100110010 4 -b11111111110111010111011100110010 0 -b11111111110111010111011100110010 H -b1 2 -b1 = -18 -b100011000010010010011010111 < -b11100001110110000101011011100001 : -b11011101011101110011001000001001 $ -b11011101011101110011001000001001 - -b11011101011101110011001000001001 5 -b11011101011101110011001000001001 ? -b11011101011101110011001000001001 D -b11111011100111101101101100101000 % -b11111011100111101101101100101000 . -b11111011100111101101101100101000 6 -b11111011100111101101101100101000 @ -b11111011100111101101101100101000 F -b11111111110111010111011100110010 ) -#571136000 -0& -#571152000 -b10001011011100 , -#571168000 -1& -#571184000 -1! -b0 " -b0 4 -b0 0 -b0 H -b1 2 -b1 = -18 -b10100000000000001110010110100000 < -1# -b11110101110111101010010010110011 : -b1010101110111011011111100010010 $ -b1010101110111011011111100010010 - -b1010101110111011011111100010010 5 -b1010101110111011011111100010010 ? -b1010101110111011011111100010010 D -b1011111111111110001101001011111 % -b1011111111111110001101001011111 . -b1011111111111110001101001011111 6 -b1011111111111110001101001011111 @ -b1011111111111110001101001011111 F -b0 ) -b1 ( -#571200000 -0& -#571216000 -b10001011011101 , -#571232000 -1& -#571248000 -0! -b111011 " -b111011 4 -b111011 0 -b111011 H -b1 2 -b1 = -18 -b10000000100000001000100101000110 < -0# -b11111000011110111101110010111101 : -b1110111111110110101001101110110 $ -b1110111111110110101001101110110 - -b1110111111110110101001101110110 5 -b1110111111110110101001101110110 ? -b1110111111110110101001101110110 D -b1111111011111110111011010111001 % -b1111111011111110111011010111001 . -b1111111011111110111011010111001 6 -b1111111011111110111011010111001 @ -b1111111011111110111011010111001 F -b111011 ) -b0 ( -#571264000 -0& -#571280000 -b10001011011110 , -#571296000 -1& -#571312000 -b11111111111111111111110001011110 " -b11111111111111111111110001011110 4 -b11111111111111111111110001011110 0 -b11111111111111111111110001011110 H -b0 2 -b0 = -08 -b1000010010001011010011110001 < -b111011000000101101110001100 : -b11111111000101111010011010011010 $ -b11111111000101111010011010011010 - -b11111111000101111010011010011010 5 -b11111111000101111010011010011010 ? -b11111111000101111010011010011010 D -b11110111101101110100101100001110 % -b11110111101101110100101100001110 . -b11110111101101110100101100001110 6 -b11110111101101110100101100001110 @ -b11110111101101110100101100001110 F -b11111111111111111111110001011110 ) -#571328000 -0& -#571344000 -b10001011011111 , -#571360000 -1& -#571376000 -b11111111111111111011011110110110 " -b11111111111111111011011110110110 4 -b11111111111111111011011110110110 0 -b11111111111111111011011110110110 H -b1 2 -b1 = -18 -b1000000000111100011110001 < -b11101110111011100010101000111101 : -b11101101111011011011000101001011 $ -b11101101111011011011000101001011 - -b11101101111011011011000101001011 5 -b11101101111011011011000101001011 ? -b11101101111011011011000101001011 D -b11111110111111111000011100001110 % -b11111110111111111000011100001110 . -b11111110111111111000011100001110 6 -b11111110111111111000011100001110 @ -b11111110111111111000011100001110 F -b11111111111111111011011110110110 ) -#571392000 -0& -#571408000 -b10001011100000 , -#571424000 -1& -#571440000 -b11111111111111111111111111111101 " -b11111111111111111111111111111101 4 -b11111111111111111111111111111101 0 -b11111111111111111111111111111101 H -b11011000010001000011101010000100 < -b11001000001000101110011110011001 : -b11101111110111101010110100010100 $ -b11101111110111101010110100010100 - -b11101111110111101010110100010100 5 -b11101111110111101010110100010100 ? -b11101111110111101010110100010100 D -b100111101110111100010101111011 % -b100111101110111100010101111011 . -b100111101110111100010101111011 6 -b100111101110111100010101111011 @ -b100111101110111100010101111011 F -b11111111111111111111111111111101 ) -#571456000 -0& -#571472000 -b10001011100001 , -#571488000 -1& -#571504000 -b1100011111111011110100 " -b1100011111111011110100 4 -b1100011111111011110100 0 -b1100011111111011110100 H -b1 2 -b1 = -18 -b10010010001100000100001000010110 < -b11110110001011100010101110010000 : -b1100011111111011110100101111001 $ -b1100011111111011110100101111001 - -b1100011111111011110100101111001 5 -b1100011111111011110100101111001 ? -b1100011111111011110100101111001 D -b1101101110011111011110111101001 % -b1101101110011111011110111101001 . -b1101101110011111011110111101001 6 -b1101101110011111011110111101001 @ -b1101101110011111011110111101001 F -b1100011111111011110100 ) -#571520000 -0& -#571536000 -b10001011100010 , -#571552000 -1& -#571568000 -b111011 " -b111011 4 -b111011 0 -b111011 H -b10100100000101110110000001000111 < -b11011111111011011001001101101111 : -b111011110101100011001100100111 $ -b111011110101100011001100100111 - -b111011110101100011001100100111 5 -b111011110101100011001100100111 ? -b111011110101100011001100100111 D -b1011011111010001001111110111000 % -b1011011111010001001111110111000 . -b1011011111010001001111110111000 6 -b1011011111010001001111110111000 @ -b1011011111010001001111110111000 F -b111011 ) -#571584000 -0& -#571600000 -b10001011100011 , -#571616000 -1& -#571632000 -b11111111111111111110110110111010 " -b11111111111111111110110110111010 4 -b11111111111111111110110110111010 0 -b11111111111111111110110110111010 H -b1 2 -b1 = -19 -18 -b10000000010000011011001111110000 < -b1110111000111101101110101101111 : -b11110110110111010010100101111110 $ -b11110110110111010010100101111110 - -b11110110110111010010100101111110 5 -b11110110110111010010100101111110 ? -b11110110110111010010100101111110 D -b1111111101111100100110000001111 % -b1111111101111100100110000001111 . -b1111111101111100100110000001111 6 -b1111111101111100100110000001111 @ -b1111111101111100100110000001111 F -b11111111111111111110110110111010 ) -#571648000 -0& -#571664000 -b10001011100100 , -#571680000 -1& -#571696000 -b11111111010101111111011110010111 " -b11111111010101111111011110010111 4 -b11111111010101111111011110010111 0 -b11111111010101111111011110010111 H -b1 2 -b1 = -09 -18 -b11000001001011001110111011000 < -b11000100001000010110100101101111 : -b10101011111110111100101110010110 $ -b10101011111110111100101110010110 - -b10101011111110111100101110010110 5 -b10101011111110111100101110010110 ? -b10101011111110111100101110010110 D -b11100111110110100110001000100111 % -b11100111110110100110001000100111 . -b11100111110110100110001000100111 6 -b11100111110110100110001000100111 @ -b11100111110110100110001000100111 F -b11111111010101111111011110010111 ) -#571712000 -0& -#571728000 -b10001011100101 , -#571744000 -1& -#571760000 -b10 " -b10 4 -b10 0 -b10 H -b0 2 -b0 = -08 -b10000000010011100011110000011 < -b111101100010010111000101001101 : -b101101011111111010100111001001 $ -b101101011111111010100111001001 - -b101101011111111010100111001001 5 -b101101011111111010100111001001 ? -b101101011111111010100111001001 D -b11101111111101100011100001111100 % -b11101111111101100011100001111100 . -b11101111111101100011100001111100 6 -b11101111111101100011100001111100 @ -b11101111111101100011100001111100 F -b10 ) -#571776000 -0& -#571792000 -b10001011100110 , -#571808000 -1& -#571824000 -b11111011100111 " -b11111011100111 4 -b11111011100111 0 -b11111011100111 H -b0 2 -b0 = -09 -08 -b10100010000000000100010000101110 < -b11111110011111101010000011101 : -b1111101110011111000111111101110 $ -b1111101110011111000111111101110 - -b1111101110011111000111111101110 5 -b1111101110011111000111111101110 ? -b1111101110011111000111111101110 D -b1011101111111111011101111010001 % -b1011101111111111011101111010001 . -b1011101111111111011101111010001 6 -b1011101111111111011101111010001 @ -b1011101111111111011101111010001 F -b11111011100111 ) -#571840000 -0& -#571856000 -b10001011100111 , -#571872000 -1& -#571888000 -b11111111111111111111111100111110 " -b11111111111111111111111100111110 4 -b11111111111111111111111100111110 0 -b11111111111111111111111100111110 H -b1 2 -b1 = -18 -19 -b11001100010000011010110000101000 < -b1101011110000010000011100001001 : -b10011111011111110101101011100000 $ -b10011111011111110101101011100000 - -b10011111011111110101101011100000 5 -b10011111011111110101101011100000 ? -b10011111011111110101101011100000 D -b110011101111100101001111010111 % -b110011101111100101001111010111 . -b110011101111100101001111010111 6 -b110011101111100101001111010111 @ -b110011101111100101001111010111 F -b11111111111111111111111100111110 ) -#571904000 -0& -#571920000 -b10001011101000 , -#571936000 -1& -#571952000 -b1111111101010110110 " -b1111111101010110110 4 -b1111111101010110110 0 -b1111111101010110110 H -b0 2 -b0 = -08 -09 -b10010000000100001000110000010011 < -b1111101110111111101010001010 : -b1111111101010110110111001110110 $ -b1111111101010110110111001110110 - -b1111111101010110110111001110110 5 -b1111111101010110110111001110110 ? -b1111111101010110110111001110110 D -b1101111111011110111001111101100 % -b1101111111011110111001111101100 . -b1101111111011110111001111101100 6 -b1101111111011110111001111101100 @ -b1101111111011110111001111101100 F -b1111111101010110110 ) -#571968000 -0& -#571984000 -b10001011101001 , -#572000000 -1& -#572016000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b100000101000100000 < -b11000110011011100000010110100110 : -b11000110011010111111101110000101 $ -b11000110011010111111101110000101 - -b11000110011010111111101110000101 5 -b11000110011010111111101110000101 ? -b11000110011010111111101110000101 D -b11111111111111011111010111011111 % -b11111111111111011111010111011111 . -b11111111111111011111010111011111 6 -b11111111111111011111010111011111 @ -b11111111111111011111010111011111 F -b11111111111111111111111111111111 ) -#572032000 -0& -#572048000 -b10001011101010 , -#572064000 -1& -#572080000 -b1110111010111 " -b1110111010111 4 -b1110111010111 0 -b1110111010111 H -b1 2 -b1 = -18 -b10000010001011010000101000101110 < -b10111101110111000111110100110111 : -b111011101011110111001100001000 $ -b111011101011110111001100001000 - -b111011101011110111001100001000 5 -b111011101011110111001100001000 ? -b111011101011110111001100001000 D -b1111101110100101111010111010001 % -b1111101110100101111010111010001 . -b1111101110100101111010111010001 6 -b1111101110100101111010111010001 @ -b1111101110100101111010111010001 F -b1110111010111 ) -#572096000 -0& -#572112000 -b10001011101011 , -#572128000 -1& -#572144000 -b11111111111111111111111111111110 " -b11111111111111111111111111111110 4 -b11111111111111111111111111111110 0 -b11111111111111111111111111111110 H -b1 2 -b1 = -18 -b10100000100101111110101000001 < -b10111011000000111111111000000000 : -b10100110111100010000000010111110 $ -b10100110111100010000000010111110 - -b10100110111100010000000010111110 5 -b10100110111100010000000010111110 ? -b10100110111100010000000010111110 D -b11101011111011010000001010111110 % -b11101011111011010000001010111110 . -b11101011111011010000001010111110 6 -b11101011111011010000001010111110 @ -b11101011111011010000001010111110 F -b11111111111111111111111111111110 ) -#572160000 -0& -#572176000 -b10001011101100 , -#572192000 -1& -#572208000 -b1111 " -b1111 4 -b1111 0 -b1111 H -b1 2 -b1 = -18 -b11000000100000000100001000100101 < -b11111110111101101100011100011000 : -b111110011101101000010011110010 $ -b111110011101101000010011110010 - -b111110011101101000010011110010 5 -b111110011101101000010011110010 ? -b111110011101101000010011110010 D -b111111011111111011110111011010 % -b111111011111111011110111011010 . -b111111011111111011110111011010 6 -b111111011111111011110111011010 @ -b111111011111111011110111011010 F -b1111 ) -#572224000 -0& -#572240000 -b10001011101101 , -#572256000 -1& -#572272000 -b1101111111111110111010111011 " -b1101111111111110111010111011 4 -b1101111111111110111010111011 0 -b1101111111111110111010111011 H -b0 2 -b0 = -08 -b1000000010101101001001111100 < -b1111000000010100100100001011001 : -b1101111111111110111010111011100 $ -b1101111111111110111010111011100 - -b1101111111111110111010111011100 5 -b1101111111111110111010111011100 ? -b1101111111111110111010111011100 D -b11110111111101010010110110000011 % -b11110111111101010010110110000011 . -b11110111111101010010110110000011 6 -b11110111111101010010110110000011 @ -b11110111111101010010110110000011 F -b1101111111111110111010111011 ) -#572288000 -0& -#572304000 -b10001011101110 , -#572320000 -1& -#572336000 -b111111010110011 " -b111111010110011 4 -b111111010110011 0 -b111111010110011 H -b1 2 -b1 = -18 -b10001010010000001011001011110000 < -b11001001100110101000010001010010 : -b111111010110011101000101100001 $ -b111111010110011101000101100001 - -b111111010110011101000101100001 5 -b111111010110011101000101100001 ? -b111111010110011101000101100001 D -b1110101101111110100110100001111 % -b1110101101111110100110100001111 . -b1110101101111110100110100001111 6 -b1110101101111110100110100001111 @ -b1110101101111110100110100001111 F -b111111010110011 ) -#572352000 -0& -#572368000 -b10001011101111 , -#572384000 -1& -#572400000 -b11111000111111 " -b11111000111111 4 -b11111000111111 0 -b11111000111111 H -19 -b0 2 -b0 = -08 -b100101000110001101000101110 < -b10000001001000101101000101101110 : -b1111100011111111011011100111111 $ -b1111100011111111011011100111111 - -b1111100011111111011011100111111 5 -b1111100011111111011011100111111 ? -b1111100011111111011011100111111 D -b11111011010111001110010111010001 % -b11111011010111001110010111010001 . -b11111011010111001110010111010001 6 -b11111011010111001110010111010001 @ -b11111011010111001110010111010001 F -b11111000111111 ) -#572416000 -0& -#572432000 -b10001011110000 , -#572448000 -1& -#572464000 -b1110110 " -b1110110 4 -b1110110 0 -b1110110 H -b0 2 -b0 = -09 -08 -b1101111000010000101000 < -b111011011001101010001100111111 : -b111011001011110001111100010110 $ -b111011001011110001111100010110 - -b111011001011110001111100010110 5 -b111011001011110001111100010110 ? -b111011001011110001111100010110 D -b11111111110010000111101111010111 % -b11111111110010000111101111010111 . -b11111111110010000111101111010111 6 -b11111111110010000111101111010111 @ -b11111111110010000111101111010111 F -b1110110 ) -#572480000 -0& -#572496000 -b10001011110001 , -#572512000 -1& -#572528000 -b11010 " -b11010 4 -b11010 0 -b11010 H -b1 2 -b1 = -18 -b10000101101011011001100010000101 < -b11110001010111001101001110111100 : -b1101011101011110011101100110110 $ -b1101011101011110011101100110110 - -b1101011101011110011101100110110 5 -b1101011101011110011101100110110 ? -b1101011101011110011101100110110 D -b1111010010100100110011101111010 % -b1111010010100100110011101111010 . -b1111010010100100110011101111010 6 -b1111010010100100110011101111010 @ -b1111010010100100110011101111010 F -b11010 ) -#572544000 -0& -#572560000 -b10001011110010 , -#572576000 -1& -#572592000 -b11111111111111111111111111101101 " -b11111111111111111111111111101101 4 -b11111111111111111111111111101101 0 -b11111111111111111111111111101101 H -b11000100110100100111000110010011 < -b11000100110100010101000010110001 : -b11111111111111101101111100011101 $ -b11111111111111101101111100011101 - -b11111111111111101101111100011101 5 -b11111111111111101101111100011101 ? -b11111111111111101101111100011101 D -b111011001011011000111001101100 % -b111011001011011000111001101100 . -b111011001011011000111001101100 6 -b111011001011011000111001101100 @ -b111011001011011000111001101100 F -b11111111111111111111111111101101 ) -#572608000 -0& -#572624000 -b10001011110011 , -#572640000 -1& -#572656000 -b1111101 " -b1111101 4 -b1111101 0 -b1111101 H -b0 2 -b0 = -08 -b10101100000100101011101100100111 < -b101010000010100111010110010001 : -b1111101111101111011101001101001 $ -b1111101111101111011101001101001 - -b1111101111101111011101001101001 5 -b1111101111101111011101001101001 ? -b1111101111101111011101001101001 D -b1010011111011010100010011011000 % -b1010011111011010100010011011000 . -b1010011111011010100010011011000 6 -b1010011111011010100010011011000 @ -b1010011111011010100010011011000 F -b1111101 ) -#572672000 -0& -#572688000 -b10001011110100 , -#572704000 -1& -#572720000 -b11111111111111111001111111101010 " -b11111111111111111001111111101010 4 -b11111111111111111001111111101010 0 -b11111111111111111001111111101010 H -b1 2 -b1 = -18 -b10010000000000001011001001110010 < -b10000011111111011111010000100001 : -b11110011111111010100000110101110 $ -b11110011111111010100000110101110 - -b11110011111111010100000110101110 5 -b11110011111111010100000110101110 ? -b11110011111111010100000110101110 D -b1101111111111110100110110001101 % -b1101111111111110100110110001101 . -b1101111111111110100110110001101 6 -b1101111111111110100110110001101 @ -b1101111111111110100110110001101 F -b11111111111111111001111111101010 ) -#572736000 -0& -#572752000 -b10001011110101 , -#572768000 -1& -#572784000 -b11111111111111111110011111110100 " -b11111111111111111110011111110100 4 -b11111111111111111110011111110100 0 -b11111111111111111110011111110100 H -b1 2 -b1 = -09 -18 -b1101000000000000100010101101 < -b10101100110100110100010011011100 : -b10011111110100110011110000101110 $ -b10011111110100110011110000101110 - -b10011111110100110011110000101110 5 -b10011111110100110011110000101110 ? -b10011111110100110011110000101110 D -b11110010111111111111011101010010 % -b11110010111111111111011101010010 . -b11110010111111111111011101010010 6 -b11110010111111111111011101010010 @ -b11110010111111111111011101010010 F -b11111111111111111110011111110100 ) -#572800000 -0& -#572816000 -b10001011110110 , -#572832000 -1& -#572848000 -b1111 " -b1111 4 -b1111 0 -b1111 H -b0 2 -b0 = -08 -b100001010111011101100100101 < -b1000011100010101100110001100011 : -b111111010111110001000100111101 $ -b111111010111110001000100111101 - -b111111010111110001000100111101 5 -b111111010111110001000100111101 ? -b111111010111110001000100111101 D -b11111011110101000100010011011010 % -b11111011110101000100010011011010 . -b11111011110101000100010011011010 6 -b11111011110101000100010011011010 @ -b11111011110101000100010011011010 F -b1111 ) -#572864000 -0& -#572880000 -b10001011110111 , -#572896000 -1& -#572912000 -b1111111110111000 " -b1111111110111000 4 -b1111111110111000 0 -b1111111110111000 H -b0 2 -b0 = -19 -08 -b100001010000011001111110000 < -b10000100000001001000010011001101 : -b1111111110111000101000011011100 $ -b1111111110111000101000011011100 - -b1111111110111000101000011011100 5 -b1111111110111000101000011011100 ? -b1111111110111000101000011011100 D -b11111011110101111100110000001111 % -b11111011110101111100110000001111 . -b11111011110101111100110000001111 6 -b11111011110101111100110000001111 @ -b11111011110101111100110000001111 F -b1111111110111000 ) -#572928000 -0& -#572944000 -b10001011111000 , -#572960000 -1& -#572976000 -b1111111111111110100101110 " -b1111111111111110100101110 4 -b1111111111111110100101110 0 -b1111111111111110100101110 H -b1000010001000000000100011111001 < -b11000010000111110101010010110111 : -b1111111111111110100101110111101 $ -b1111111111111110100101110111101 - -b1111111111111110100101110111101 5 -b1111111111111110100101110111101 ? -b1111111111111110100101110111101 D -b10111101110111111111011100000110 % -b10111101110111111111011100000110 . -b10111101110111111111011100000110 6 -b10111101110111111111011100000110 @ -b10111101110111111111011100000110 F -b1111111111111110100101110 ) -#572992000 -0& -#573008000 -b10001011111001 , -#573024000 -1& -#573040000 -b11111111111111111110011101111100 " -b11111111111111111110011101111100 4 -b11111111111111111110011101111100 0 -b11111111111111111110011101111100 H -b1 2 -b1 = -18 -b10011010000100101101110000001110 < -b1101001000011000100011111101110 : -b11001110111110010110101111011111 $ -b11001110111110010110101111011111 - -b11001110111110010110101111011111 5 -b11001110111110010110101111011111 ? -b11001110111110010110101111011111 D -b1100101111011010010001111110001 % -b1100101111011010010001111110001 . -b1100101111011010010001111110001 6 -b1100101111011010010001111110001 @ -b1100101111011010010001111110001 F -b11111111111111111110011101111100 ) -#573056000 -0& -#573072000 -b10001011111010 , -#573088000 -1& -#573104000 -b11111111110010011111100011010000 " -b11111111110010011111100011010000 4 -b11111111110010011111100011010000 0 -b11111111110010011111100011010000 H -b1 2 -b1 = -09 -18 -b11000000010100001000001011011000 < -b10100101010011001110101100100111 : -b11100100111111000110100001001110 $ -b11100100111111000110100001001110 - -b11100100111111000110100001001110 5 -b11100100111111000110100001001110 ? -b11100100111111000110100001001110 D -b111111101011110111110100100111 % -b111111101011110111110100100111 . -b111111101011110111110100100111 6 -b111111101011110111110100100111 @ -b111111101011110111110100100111 F -b11111111110010011111100011010000 ) -#573120000 -0& -#573136000 -b10001011111011 , -#573152000 -1& -#573168000 -b11111111111111110110001111100110 " -b11111111111111110110001111100110 4 -b11111111111111110110001111100110 0 -b11111111111111110110001111100110 H -b0 2 -b0 = -08 -b100111001110000101101101110010 < -b10011101101010010010111011000 : -b11101100011111001100101001100101 $ -b11101100011111001100101001100101 - -b11101100011111001100101001100101 5 -b11101100011111001100101001100101 ? -b11101100011111001100101001100101 D -b11011000110001111010010010001101 % -b11011000110001111010010010001101 . -b11011000110001111010010010001101 6 -b11011000110001111010010010001101 @ -b11011000110001111010010010001101 F -b11111111111111110110001111100110 ) -#573184000 -0& -#573200000 -b10001011111100 , -#573216000 -1& -#573232000 -b11110101 " -b11110101 4 -b11110101 0 -b11110101 H -19 -b0 2 -b0 = -08 -b100000000010101110011111101000 < -b10011010110110010100001100101001 : -b1111010110011100101101101000000 $ -b1111010110011100101101101000000 - -b1111010110011100101101101000000 5 -b1111010110011100101101101000000 ? -b1111010110011100101101101000000 D -b11011111111101010001100000010111 % -b11011111111101010001100000010111 . -b11011111111101010001100000010111 6 -b11011111111101010001100000010111 @ -b11011111111101010001100000010111 F -b11110101 ) -#573248000 -0& -#573264000 -b10001011111101 , -#573280000 -1& -#573296000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -09 -b1 2 -b1 = -18 -b10000110000000001100010101000100 < -b10000101110010001000011100010001 : -b11111111110001111100000111001100 $ -b11111111110001111100000111001100 - -b11111111110001111100000111001100 5 -b11111111110001111100000111001100 ? -b11111111110001111100000111001100 D -b1111001111111110011101010111011 % -b1111001111111110011101010111011 . -b1111001111111110011101010111011 6 -b1111001111111110011101010111011 @ -b1111001111111110011101010111011 F -b11111111111111111111111111111111 ) -#573312000 -0& -#573328000 -b10001011111110 , -#573344000 -1& -#573360000 -b1100111101111110 " -b1100111101111110 4 -b1100111101111110 0 -b1100111101111110 H -b0 2 -b0 = -08 -09 -b10100100001100011010010001 < -b110110011100000111011110100011 : -b110011110111111011000100010001 $ -b110011110111111011000100010001 - -b110011110111111011000100010001 5 -b110011110111111011000100010001 ? -b110011110111111011000100010001 D -b11111101011011110011100101101110 % -b11111101011011110011100101101110 . -b11111101011011110011100101101110 6 -b11111101011011110011100101101110 @ -b11111101011011110011100101101110 F -b1100111101111110 ) -#573376000 -0& -#573392000 -b10001011111111 , -#573408000 -1& -#573424000 -b111110110111 " -b111110110111 4 -b111110110111 0 -b111110110111 H -b0 2 -b0 = -09 -08 -b10010101111000111001100 < -b1111110000010101110011000110000 : -b1111101101111111111010001100011 $ -b1111101101111111111010001100011 - -b1111101101111111111010001100011 5 -b1111101101111111111010001100011 ? -b1111101101111111111010001100011 D -b11111111101101010000111000110011 % -b11111111101101010000111000110011 . -b11111111101101010000111000110011 6 -b11111111101101010000111000110011 @ -b11111111101101010000111000110011 F -b111110110111 ) -#573440000 -0& -#573456000 -b10001100000000 , -#573472000 -1& -#573488000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -09 -08 -b10010000001001101000110110000000 < -1# -b10000000010011011000111110111 : -b1111111111000110010010001110110 $ -b1111111111000110010010001110110 - -b1111111111000110010010001110110 5 -b1111111111000110010010001110110 ? -b1111111111000110010010001110110 D -b1101111110110010111001001111111 % -b1101111110110010111001001111111 . -b1101111110110010111001001111111 6 -b1101111110110010111001001111111 @ -b1101111110110010111001001111111 F -b0 ) -b1 ( -#573504000 -0& -#573520000 -b10001100000001 , -#573536000 -1& -#573552000 -0! -b11011011011 " -b11011011011 4 -b11011011011 0 -b11011011011 H -b0 2 -b0 = -08 -b100011011000011100101011 < -0# -b1101110010001010111100000010111 : -b1101101101101111111000011101011 $ -b1101101101101111111000011101011 - -b1101101101101111111000011101011 5 -b1101101101101111111000011101011 ? -b1101101101101111111000011101011 D -b11111111011100100111100011010100 % -b11111111011100100111100011010100 . -b11111111011100100111100011010100 6 -b11111111011100100111100011010100 @ -b11111111011100100111100011010100 F -b11011011011 ) -b0 ( -#573568000 -0& -#573584000 -b10001100000010 , -#573600000 -1& -#573616000 -b11111111111111111111111011111110 " -b11111111111111111111111011111110 4 -b11111111111111111111111011111110 0 -b11111111111111111111111011111110 H -b1 2 -b1 = -18 -b11100110001000001011001001001101 < -b11100010000110111101100111110000 : -b11111011111110110010011110100010 $ -b11111011111110110010011110100010 - -b11111011111110110010011110100010 5 -b11111011111110110010011110100010 ? -b11111011111110110010011110100010 D -b11001110111110100110110110010 % -b11001110111110100110110110010 . -b11001110111110100110110110010 6 -b11001110111110100110110110010 @ -b11001110111110100110110110010 F -b11111111111111111111111011111110 ) -#573632000 -0& -#573648000 -b10001100000011 , -#573664000 -1& -#573680000 -b11111111111111111111111101010101 " -b11111111111111111111111101010101 4 -b11111111111111111111111101010101 0 -b11111111111111111111111101010101 H -b10100000000010001000100101101011 < -b10010101011001110111000001101110 : -b11110101010111101110011100000010 $ -b11110101010111101110011100000010 - -b11110101010111101110011100000010 5 -b11110101010111101110011100000010 ? -b11110101010111101110011100000010 D -b1011111111101110111011010010100 % -b1011111111101110111011010010100 . -b1011111111101110111011010010100 6 -b1011111111101110111011010010100 @ -b1011111111101110111011010010100 F -b11111111111111111111111101010101 ) -#573696000 -0& -#573712000 -b10001100000100 , -#573728000 -1& -#573744000 -b11111111111111111111111111001001 " -b11111111111111111111111111001001 4 -b11111111111111111111111111001001 0 -b11111111111111111111111111001001 H -b10011010001000001101000101001001 < -b10001100100111000111010110011000 : -b11110010011110111010010001001110 $ -b11110010011110111010010001001110 - -b11110010011110111010010001001110 5 -b11110010011110111010010001001110 ? -b11110010011110111010010001001110 D -b1100101110111110010111010110110 % -b1100101110111110010111010110110 . -b1100101110111110010111010110110 6 -b1100101110111110010111010110110 @ -b1100101110111110010111010110110 F -b11111111111111111111111111001001 ) -#573760000 -0& -#573776000 -b10001100000101 , -#573792000 -1& -#573808000 -b11111110110111011101000110011000 " -b11111110110111011101000110011000 4 -b11111110110111011101000110011000 0 -b11111110110111011101000110011000 H -b11000000000100000100101011011101 < -b10111011100001111001000101000001 : -b11111011011101110100011001100011 $ -b11111011011101110100011001100011 - -b11111011011101110100011001100011 5 -b11111011011101110100011001100011 ? -b11111011011101110100011001100011 D -b111111111011111011010100100010 % -b111111111011111011010100100010 . -b111111111011111011010100100010 6 -b111111111011111011010100100010 @ -b111111111011111011010100100010 F -b11111110110111011101000110011000 ) -#573824000 -0& -#573840000 -b10001100000110 , -#573856000 -1& -#573872000 -b11111111111111110111111011101100 " -b11111111111111110111111011101100 4 -b11111111111111110111111011101100 0 -b11111111111111110111111011101100 H -b10000011000011001111010010 < -b11110001111010011100111011010110 : -b11101111110111011001101100000011 $ -b11101111110111011001101100000011 - -b11101111110111011001101100000011 5 -b11101111110111011001101100000011 ? -b11101111110111011001101100000011 D -b11111101111100111100110000101101 % -b11111101111100111100110000101101 . -b11111101111100111100110000101101 6 -b11111101111100111100110000101101 @ -b11111101111100111100110000101101 F -b11111111111111110111111011101100 ) -#573888000 -0& -#573904000 -b10001100000111 , -#573920000 -1& -#573936000 -b11111111111111011101110110111101 " -b11111111111111011101110110111101 4 -b11111111111111011101110110111101 0 -b11111111111111011101110110111101 H -b10100000000100010111001010010101 < -b10010111100010000110100110110001 : -b11110111011101101111011100011011 $ -b11110111011101101111011100011011 - -b11110111011101101111011100011011 5 -b11110111011101101111011100011011 ? -b11110111011101101111011100011011 D -b1011111111011101000110101101010 % -b1011111111011101000110101101010 . -b1011111111011101000110101101010 6 -b1011111111011101000110101101010 @ -b1011111111011101000110101101010 F -b11111111111111011101110110111101 ) -#573952000 -0& -#573968000 -b10001100001000 , -#573984000 -1& -#574000000 -b111111111011111100001 " -b111111111011111100001 4 -b111111111011111100001 0 -b111111111011111100001 H -19 -b0 2 -b0 = -08 -b1000000010010000000110000010101 < -b11000000001001111001000110001110 : -b1111111110111111000010101111000 $ -b1111111110111111000010101111000 - -b1111111110111111000010101111000 5 -b1111111110111111000010101111000 ? -b1111111110111111000010101111000 D -b10111111101101111111001111101010 % -b10111111101101111111001111101010 . -b10111111101101111111001111101010 6 -b10111111101101111111001111101010 @ -b10111111101101111111001111101010 F -b111111111011111100001 ) -#574016000 -0& -#574032000 -b10001100001001 , -#574048000 -1& -#574064000 -b11111111111111111111110010111110 " -b11111111111111111111110010111110 4 -b11111111111111111111110010111110 0 -b11111111111111111111110010111110 H -b1 2 -b1 = -18 -19 -b10000001000001000000011110001010 < -b11000110100110001100001110001 : -b10010111110011110001000011100110 $ -b10010111110011110001000011100110 - -b10010111110011110001000011100110 5 -b10010111110011110001000011100110 ? -b10010111110011110001000011100110 D -b1111110111110111111100001110101 % -b1111110111110111111100001110101 . -b1111110111110111111100001110101 6 -b1111110111110111111100001110101 @ -b1111110111110111111100001110101 F -b11111111111111111111110010111110 ) -#574080000 -0& -#574096000 -b10001100001010 , -#574112000 -1& -#574128000 -b100111010001110111 " -b100111010001110111 4 -b100111010001110111 0 -b100111010001110111 H -09 -b1 2 -b1 = -18 -b10010111000010100001011010010010 < -b11100101100110001111101111001100 : -b1001110100011101110010100111001 $ -b1001110100011101110010100111001 - -b1001110100011101110010100111001 5 -b1001110100011101110010100111001 ? -b1001110100011101110010100111001 D -b1101000111101011110100101101101 % -b1101000111101011110100101101101 . -b1101000111101011110100101101101 6 -b1101000111101011110100101101101 @ -b1101000111101011110100101101101 F -b100111010001110111 ) -#574144000 -0& -#574160000 -b10001100001011 , -#574176000 -1& -#574192000 -b111111101111110010000 " -b111111101111110010000 4 -b111111101111110010000 0 -b111111101111110010000 H -b0 2 -b0 = -08 -19 -b1100100000000100101011000010111 < -b10000011111000011110011000101010 : -b11111110111111001000000010010 $ -b11111110111111001000000010010 - -b11111110111111001000000010010 5 -b11111110111111001000000010010 ? -b11111110111111001000000010010 D -b10011011111111011010100111101000 % -b10011011111111011010100111101000 . -b10011011111111011010100111101000 6 -b10011011111111011010100111101000 @ -b10011011111111011010100111101000 F -b111111101111110010000 ) -#574208000 -0& -#574224000 -b10001100001100 , -#574240000 -1& -#574256000 -b11111111111111111111111111100111 " -b11111111111111111111111111100111 4 -b11111111111111111111111111100111 0 -b11111111111111111111111111100111 H -09 -b0 2 -b0 = -08 -b101000001000001101001011000111 < -b1111111111010010111100111100 : -b11100111110111000101110001110100 $ -b11100111110111000101110001110100 - -b11100111110111000101110001110100 5 -b11100111110111000101110001110100 ? -b11100111110111000101110001110100 D -b11010111110111110010110100111000 % -b11010111110111110010110100111000 . -b11010111110111110010110100111000 6 -b11010111110111110010110100111000 @ -b11010111110111110010110100111000 F -b11111111111111111111111111100111 ) -#574272000 -0& -#574288000 -b10001100001101 , -#574304000 -1& -#574320000 -b11111111111111111111111111110011 " -b11111111111111111111111111110011 4 -b11111111111111111111111111110011 0 -b11111111111111111111111111110011 H -b1 2 -b1 = -18 -b10011000010100001000001010001001 < -b10010101001011101101100101011001 : -b11111100110111100101011011001111 $ -b11111100110111100101011011001111 - -b11111100110111100101011011001111 5 -b11111100110111100101011011001111 ? -b11111100110111100101011011001111 D -b1100111101011110111110101110110 % -b1100111101011110111110101110110 . -b1100111101011110111110101110110 6 -b1100111101011110111110101110110 @ -b1100111101011110111110101110110 F -b11111111111111111111111111110011 ) -#574336000 -0& -#574352000 -b10001100001110 , -#574368000 -1& -#574384000 -b11111111111111111111111011111111 " -b11111111111111111111111011111111 4 -b11111111111111111111111011111111 0 -b11111111111111111111111011111111 H -b1 2 -b1 = -19 -18 -b10000100000000100111100111001100 < -b1111100000000001010011111100100 : -b11110111111111100010111000010111 $ -b11110111111111100010111000010111 - -b11110111111111100010111000010111 5 -b11110111111111100010111000010111 ? -b11110111111111100010111000010111 D -b1111011111111011000011000110011 % -b1111011111111011000011000110011 . -b1111011111111011000011000110011 6 -b1111011111111011000011000110011 @ -b1111011111111011000011000110011 F -b11111111111111111111111011111111 ) -#574400000 -0& -#574416000 -b10001100001111 , -#574432000 -1& -#574448000 -b11111111111111111111110111101110 " -b11111111111111111111110111101110 4 -b11111111111111111111110111101110 0 -b11111111111111111111110111101110 H -b10001000001100000101011010001010 < -b1000110000011010111000001001001 : -b10111101110111010001100110111110 $ -b10111101110111010001100110111110 - -b10111101110111010001100110111110 5 -b10111101110111010001100110111110 ? -b10111101110111010001100110111110 D -b1110111110011111010100101110101 % -b1110111110011111010100101110101 . -b1110111110011111010100101110101 6 -b1110111110011111010100101110101 @ -b1110111110011111010100101110101 F -b11111111111111111111110111101110 ) -#574464000 -0& -#574480000 -b10001100010000 , -#574496000 -1& -#574512000 -b111011110110001010111110000 " -b111011110110001010111110000 4 -b111011110110001010111110000 0 -b111011110110001010111110000 H -09 -b0 2 -b0 = -08 -b110011000011101101011111011 < -b1111110000100110011100111111111 : -b1110111101100010101111100000011 $ -b1110111101100010101111100000011 - -b1110111101100010101111100000011 5 -b1110111101100010101111100000011 ? -b1110111101100010101111100000011 D -b11111001100111100010010100000100 % -b11111001100111100010010100000100 . -b11111001100111100010010100000100 6 -b11111001100111100010010100000100 @ -b11111001100111100010010100000100 F -b111011110110001010111110000 ) -#574528000 -0& -#574544000 -b10001100010001 , -#574560000 -1& -#574576000 -b1100011111110101011 " -b1100011111110101011 4 -b1100011111110101011 0 -b1100011111110101011 H -b10000000110101111010011 < -b1100100001110110010100011101101 : -b1100011111110101011110100011001 $ -b1100011111110101011110100011001 - -b1100011111110101011110100011001 5 -b1100011111110101011110100011001 ? -b1100011111110101011110100011001 D -b11111111101111111001010000101100 % -b11111111101111111001010000101100 . -b11111111101111111001010000101100 6 -b11111111101111111001010000101100 @ -b11111111101111111001010000101100 F -b1100011111110101011 ) -#574592000 -0& -#574608000 -b10001100010010 , -#574624000 -1& -#574640000 -b1010101 " -b1010101 4 -b1010101 0 -b1010101 H -b1 2 -b1 = -18 -b10010010000000100101010100000111 < -b11100111011111011100111000100111 : -b1010101011110110111100100011111 $ -b1010101011110110111100100011111 - -b1010101011110110111100100011111 5 -b1010101011110110111100100011111 ? -b1010101011110110111100100011111 D -b1101101111111011010101011111000 % -b1101101111111011010101011111000 . -b1101101111111011010101011111000 6 -b1101101111111011010101011111000 @ -b1101101111111011010101011111000 F -b1010101 ) -#574656000 -0& -#574672000 -b10001100010011 , -#574688000 -1& -#574704000 -b11111111101101111001001100110110 " -b11111111101101111001001100110110 4 -b11111111101101111001001100110110 0 -b11111111101101111001001100110110 H -b1 2 -b1 = -18 -b1000100001101101011111110010111 < -b11111011110010011111011000100010 : -b10110111100100110011011010001010 $ -b10110111100100110011011010001010 - -b10110111100100110011011010001010 5 -b10110111100100110011011010001010 ? -b10110111100100110011011010001010 D -b10111011110010010100000001101000 % -b10111011110010010100000001101000 . -b10111011110010010100000001101000 6 -b10111011110010010100000001101000 @ -b10111011110010010100000001101000 F -b11111111101101111001001100110110 ) -#574720000 -0& -#574736000 -b10001100010100 , -#574752000 -1& -#574768000 -b111100110 " -b111100110 4 -b111100110 0 -b111100110 H -b10000010000010000011011100001001 < -b11111011110001111110011111011111 : -b1111001101111111011000011010101 $ -b1111001101111111011000011010101 - -b1111001101111111011000011010101 5 -b1111001101111111011000011010101 ? -b1111001101111111011000011010101 D -b1111101111101111100100011110110 % -b1111101111101111100100011110110 . -b1111101111101111100100011110110 6 -b1111101111101111100100011110110 @ -b1111101111101111100100011110110 F -b111100110 ) -#574784000 -0& -#574800000 -b10001100010101 , -#574816000 -1& -#574832000 -b11111111111111111111110111010001 " -b11111111111111111111110111010001 4 -b11111111111111111111110111010001 0 -b11111111111111111111110111010001 H -b1 2 -b1 = -18 -b1010000000000010011111101010 < -b11000100001001010110111010000000 : -b10111010001001010100011010010101 $ -b10111010001001010100011010010101 - -b10111010001001010100011010010101 5 -b10111010001001010100011010010101 ? -b10111010001001010100011010010101 D -b11110101111111111101100000010101 % -b11110101111111111101100000010101 . -b11110101111111111101100000010101 6 -b11110101111111111101100000010101 @ -b11110101111111111101100000010101 F -b11111111111111111111110111010001 ) -#574848000 -0& -#574864000 -b10001100010110 , -#574880000 -1& -#574896000 -b1110111011111111 " -b1110111011111111 4 -b1110111011111111 0 -b1110111011111111 H -b0 2 -b0 = -08 -b11000111000001100100011100010000 < -b111110100001100001010100101011 : -b1110111011111111100111000011010 $ -b1110111011111111100111000011010 - -b1110111011111111100111000011010 5 -b1110111011111111100111000011010 ? -b1110111011111111100111000011010 D -b111000111110011011100011101111 % -b111000111110011011100011101111 . -b111000111110011011100011101111 6 -b111000111110011011100011101111 @ -b111000111110011011100011101111 F -b1110111011111111 ) -#574912000 -0& -#574928000 -b10001100010111 , -#574944000 -1& -#574960000 -b1111011111011110111011 " -b1111011111011110111011 4 -b1111011111011110111011 0 -b1111011111011110111011 H -b11011000000100011100100101110110 < -b1010100000000010100000100110100 : -b1111011111011110111011110111101 $ -b1111011111011110111011110111101 - -b1111011111011110111011110111101 5 -b1111011111011110111011110111101 ? -b1111011111011110111011110111101 D -b100111111011100011011010001001 % -b100111111011100011011010001001 . -b100111111011100011011010001001 6 -b100111111011100011011010001001 @ -b100111111011100011011010001001 F -b1111011111011110111011 ) -#574976000 -0& -#574992000 -b10001100011000 , -#575008000 -1& -#575024000 -1! -b0 " -b0 4 -b0 0 -b0 H -b10001100100000000110111001100000 < -1# -b1100011111011101101100100011 : -b1111111111111010110110011000010 $ -b1111111111111010110110011000010 - -b1111111111111010110110011000010 5 -b1111111111111010110110011000010 ? -b1111111111111010110110011000010 D -b1110011011111111001000110011111 % -b1110011011111111001000110011111 . -b1110011011111111001000110011111 6 -b1110011011111111001000110011111 @ -b1110011011111111001000110011111 F -b0 ) -b1 ( -#575040000 -0& -#575056000 -b10001100011001 , -#575072000 -1& -#575088000 -0! -b11111111111111111101110011110111 " -b11111111111111111101110011110111 4 -b11111111111111111101110011110111 0 -b11111111111111111101110011110111 H -b1000000100000100111110010001111 < -0# -b11101011110011101100111101001 : -b11011100111101110101110101011001 $ -b11011100111101110101110101011001 - -b11011100111101110101110101011001 5 -b11011100111101110101110101011001 ? -b11011100111101110101110101011001 D -b10111111011111011000001101110000 % -b10111111011111011000001101110000 . -b10111111011111011000001101110000 6 -b10111111011111011000001101110000 @ -b10111111011111011000001101110000 F -b11111111111111111101110011110111 ) -b0 ( -#575104000 -0& -#575120000 -b10001100011010 , -#575136000 -1& -#575152000 -b1111101 " -b1111101 4 -b1111101 0 -b1111101 H -b0 2 -b0 = -08 -b11100001001000001000110000100111 < -b1011110110011111100110110011111 : -b1111101101011110100000101110111 $ -b1111101101011110100000101110111 - -b1111101101011110100000101110111 5 -b1111101101011110100000101110111 ? -b1111101101011110100000101110111 D -b11110110111110111001111011000 % -b11110110111110111001111011000 . -b11110110111110111001111011000 6 -b11110110111110111001111011000 @ -b11110110111110111001111011000 F -b1111101 ) -#575168000 -0& -#575184000 -b10001100011011 , -#575200000 -1& -#575216000 -b111101001111101111000011 " -b111101001111101111000011 4 -b111101001111101111000011 0 -b111101001111101111000011 H -b11101000000000011000000100011000 < -b1100010011111110110001010100010 : -b1111010011111011110000110001001 $ -b1111010011111011110000110001001 - -b1111010011111011110000110001001 5 -b1111010011111011110000110001001 ? -b1111010011111011110000110001001 D -b10111111111100111111011100111 % -b10111111111100111111011100111 . -b10111111111100111111011100111 6 -b10111111111100111111011100111 @ -b10111111111100111111011100111 F -b111101001111101111000011 ) -#575232000 -0& -#575248000 -b10001100011100 , -#575264000 -1& -#575280000 -b11101111111101111001001111101 " -b11101111111101111001001111101 4 -b11101111111101111001001111101 0 -b11101111111101111001001111101 H -b1 2 -b1 = -18 -b10000000110001001000000101111101 < -b11111000110000000100101101110100 : -b1110111111110111100100111110110 $ -b1110111111110111100100111110110 - -b1110111111110111100100111110110 5 -b1110111111110111100100111110110 ? -b1110111111110111100100111110110 D -b1111111001110110111111010000010 % -b1111111001110110111111010000010 . -b1111111001110110111111010000010 6 -b1111111001110110111111010000010 @ -b1111111001110110111111010000010 F -b11101111111101111001001111101 ) -#575296000 -0& -#575312000 -b10001100011101 , -#575328000 -1& -#575344000 -b11111111111111111111111111110111 " -b11111111111111111111111111110111 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -b1 2 -b1 = -18 -b11000001001000111000010000001001 < -b10111111000111010010110001110101 : -b11111101111110011010100001101011 $ -b11111101111110011010100001101011 - -b11111101111110011010100001101011 5 -b11111101111110011010100001101011 ? -b11111101111110011010100001101011 D -b111110110111000111101111110110 % -b111110110111000111101111110110 . -b111110110111000111101111110110 6 -b111110110111000111101111110110 @ -b111110110111000111101111110110 F -b11111111111111111111111111110111 ) -#575360000 -0& -#575376000 -b10001100011110 , -#575392000 -1& -#575408000 -b11110 " -b11110 4 -b11110 0 -b11110 H -b0 2 -b0 = -08 -b10100001000000000001010000100101 < -b11010110110001010011111000011 : -b1111001110110001001001110011101 $ -b1111001110110001001001110011101 - -b1111001110110001001001110011101 5 -b1111001110110001001001110011101 ? -b1111001110110001001001110011101 D -b1011110111111111110101111011010 % -b1011110111111111110101111011010 . -b1011110111111111110101111011010 6 -b1011110111111111110101111011010 @ -b1011110111111111110101111011010 F -b11110 ) -#575424000 -0& -#575440000 -b10001100011111 , -#575456000 -1& -#575472000 -b1111111111010110110111 " -b1111111111010110110111 4 -b1111111111010110110111 0 -b1111111111010110110111 H -b1 2 -b1 = -18 -b10000100010010001111011011010111 < -b11000100001111101010111011000001 : -b111111111101011011011111101001 $ -b111111111101011011011111101001 - -b111111111101011011011111101001 5 -b111111111101011011011111101001 ? -b111111111101011011011111101001 D -b1111011101101110000100100101000 % -b1111011101101110000100100101000 . -b1111011101101110000100100101000 6 -b1111011101101110000100100101000 @ -b1111011101101110000100100101000 F -b1111111111010110110111 ) -#575488000 -0& -#575504000 -b10001100100000 , -#575520000 -1& -#575536000 -b111 " -b111 4 -b111 0 -b111 H -b1 2 -b1 = -18 -b10000000000000011100111110000011 < -b11111100011100011100111000101001 : -b1111100011011111111111010100101 $ -b1111100011011111111111010100101 - -b1111100011011111111111010100101 5 -b1111100011011111111111010100101 ? -b1111100011011111111111010100101 D -b1111111111111100011000001111100 % -b1111111111111100011000001111100 . -b1111111111111100011000001111100 6 -b1111111111111100011000001111100 @ -b1111111111111100011000001111100 F -b111 ) -#575552000 -0& -#575568000 -b10001100100001 , -#575584000 -1& -#575600000 -b11111111100111110111 " -b11111111100111110111 4 -b11111111100111110111 0 -b11111111100111110111 H -b0 2 -b0 = -08 -19 -b1001000000001100000010000010100 < -b11000111110101011011111011001001 : -b1111111110011111011101010110100 $ -b1111111110011111011101010110100 - -b1111111110011111011101010110100 5 -b1111111110011111011101010110100 ? -b1111111110011111011101010110100 D -b10110111111110011111101111101011 % -b10110111111110011111101111101011 . -b10110111111110011111101111101011 6 -b10110111111110011111101111101011 @ -b10110111111110011111101111101011 F -b11111111100111110111 ) -#575616000 -0& -#575632000 -b10001100100010 , -#575648000 -1& -#575664000 -b100 " -b100 4 -b100 0 -b100 H -b1 2 -b1 = -18 -09 -b10010100010001101011100110100011 < -b11011000000101001010011111001110 : -b1000011110011011110111000101010 $ -b1000011110011011110111000101010 - -b1000011110011011110111000101010 5 -b1000011110011011110111000101010 ? -b1000011110011011110111000101010 D -b1101011101110010100011001011100 % -b1101011101110010100011001011100 . -b1101011101110010100011001011100 6 -b1101011101110010100011001011100 @ -b1101011101110010100011001011100 F -b100 ) -#575680000 -0& -#575696000 -b10001100100011 , -#575712000 -1& -#575728000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -b10100110100000011000101101000000 < -1# -b10010010111000110001110011100 : -b1101011110110101101100001011011 $ -b1101011110110101101100001011011 - -b1101011110110101101100001011011 5 -b1101011110110101101100001011011 ? -b1101011110110101101100001011011 D -b1011001011111100111010010111111 % -b1011001011111100111010010111111 . -b1011001011111100111010010111111 6 -b1011001011111100111010010111111 @ -b1011001011111100111010010111111 F -b0 ) -b1 ( -#575744000 -0& -#575760000 -b10001100100100 , -#575776000 -1& -#575792000 -0! -b11111110111111011111110101101010 " -b11111110111111011111110101101010 4 -b11111110111111011111110101101010 0 -b11111110111111011111110101101010 H -19 -b1 2 -b1 = -18 -b10001000011000001010011111011010 < -0# -b1101000001000000101010100101110 : -b11011111101111111010110101010011 $ -b11011111101111111010110101010011 - -b11011111101111111010110101010011 5 -b11011111101111111010110101010011 ? -b11011111101111111010110101010011 D -b1110111100111110101100000100101 % -b1110111100111110101100000100101 . -b1110111100111110101100000100101 6 -b1110111100111110101100000100101 @ -b1110111100111110101100000100101 F -b11111110111111011111110101101010 ) -b0 ( -#575808000 -0& -#575824000 -b10001100100101 , -#575840000 -1& -#575856000 -b11111111111111010011110111110001 " -b11111111111111010011110111110001 4 -b11111111111111010011110111110001 0 -b11111111111111010011110111110001 H -b1 2 -b1 = -09 -18 -b10110000011011101001000011110111 < -b10101101101011001000001010110011 : -b11111101001111011111000110111011 $ -b11111101001111011111000110111011 - -b11111101001111011111000110111011 5 -b11111101001111011111000110111011 ? -b11111101001111011111000110111011 D -b1001111100100010110111100001000 % -b1001111100100010110111100001000 . -b1001111100100010110111100001000 6 -b1001111100100010110111100001000 @ -b1001111100100010110111100001000 F -b11111111111111010011110111110001 ) -#575872000 -0& -#575888000 -b10001100100110 , -#575904000 -1& -#575920000 -b11111111111110011111011111001111 " -b11111111111110011111011111001111 4 -b11111111111110011111011111001111 0 -b11111111111110011111011111001111 H -b1 2 -b1 = -09 -18 -b1000100000001101101110111010011 < -b11100011100000111101011011001101 : -b10011111011111001111100011111001 $ -b10011111011111001111100011111001 - -b10011111011111001111100011111001 5 -b10011111011111001111100011111001 ? -b10011111011111001111100011111001 D -b10111011111110010010001000101100 % -b10111011111110010010001000101100 . -b10111011111110010010001000101100 6 -b10111011111110010010001000101100 @ -b10111011111110010010001000101100 F -b11111111111110011111011111001111 ) -#575936000 -0& -#575952000 -b10001100100111 , -#575968000 -1& -#575984000 -b11111001110011111111010000010110 " -b11111001110011111111010000010110 4 -b11111001110011111111010000010110 0 -b11111001110011111111010000010110 H -b1 2 -b1 = -19 -18 -b10101001011000001111110110011011 < -b1000110011000000011111100000000 : -b10011100111111110100000101100100 $ -b10011100111111110100000101100100 - -b10011100111111110100000101100100 5 -b10011100111111110100000101100100 ? -b10011100111111110100000101100100 D -b1010110100111110000001001100100 % -b1010110100111110000001001100100 . -b1010110100111110000001001100100 6 -b1010110100111110000001001100100 @ -b1010110100111110000001001100100 F -b11111001110011111111010000010110 ) -#576000000 -0& -#576016000 -b10001100101000 , -#576032000 -1& -#576048000 -b111110111111111011011101101000 " -b111110111111111011011101101000 4 -b111110111111111011011101101000 0 -b111110111111111011011101101000 H -09 -b0 2 -b0 = -08 -b11010100000111001101010111111111 < -b10011000111001000110101101000 : -b111110111111111011011101101000 $ -b111110111111111011011101101000 - -b111110111111111011011101101000 5 -b111110111111111011011101101000 ? -b111110111111111011011101101000 D -b101011111000110010101000000000 % -b101011111000110010101000000000 . -b101011111000110010101000000000 6 -b101011111000110010101000000000 @ -b101011111000110010101000000000 F -b111110111111111011011101101000 ) -#576064000 -0& -#576080000 -b10001100101001 , -#576096000 -1& -#576112000 -b111110 " -b111110 4 -b111110 0 -b111110 H -b10001000010101000000010001100110 < -b101000101110010010001001101 : -b1111100110000110001111111100110 $ -b1111100110000110001111111100110 - -b1111100110000110001111111100110 5 -b1111100110000110001111111100110 ? -b1111100110000110001111111100110 D -b1110111101010111111101110011001 % -b1110111101010111111101110011001 . -b1110111101010111111101110011001 6 -b1110111101010111111101110011001 @ -b1110111101010111111101110011001 F -b111110 ) -#576128000 -0& -#576144000 -b10001100101010 , -#576160000 -1& -#576176000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b0 2 -b0 = -08 -b11000010001001000011110000101 < -b10111101011101111001001000100 : -b11111111011010100110101010111110 $ -b11111111011010100110101010111110 - -b11111111011010100110101010111110 5 -b11111111011010100110101010111110 ? -b11111111011010100110101010111110 D -b11100111101110110111100001111010 % -b11100111101110110111100001111010 . -b11100111101110110111100001111010 6 -b11100111101110110111100001111010 @ -b11100111101110110111100001111010 F -b11111111111111111111111111111111 ) -#576192000 -0& -#576208000 -b10001100101011 , -#576224000 -1& -#576240000 -b11111111111111111111111111010111 " -b11111111111111111111111111010111 4 -b11111111111111111111111111010111 0 -b11111111111111111111111111010111 H -b11000100010000101110110001000 < -b100011011011000111010001100 : -b11101011111001010011000100000011 $ -b11101011111001010011000100000011 - -b11101011111001010011000100000011 5 -b11101011111001010011000100000011 ? -b11101011111001010011000100000011 D -b11100111011101111010001001110111 % -b11100111011101111010001001110111 . -b11100111011101111010001001110111 6 -b11100111011101111010001001110111 @ -b11100111011101111010001001110111 F -b11111111111111111111111111010111 ) -#576256000 -0& -#576272000 -b10001100101100 , -#576288000 -1& -#576304000 -b1010111101111010110 " -b1010111101111010110 4 -b1010111101111010110 0 -b1010111101111010110 H -b10010010010010010010001110011 < -b1101010000001101001001100100000 : -b1010111101111010110111010101100 $ -b1010111101111010110111010101100 - -b1010111101111010110111010101100 5 -b1010111101111010110111010101100 ? -b1010111101111010110111010101100 D -b11101101101101101101101110001100 % -b11101101101101101101101110001100 . -b11101101101101101101101110001100 6 -b11101101101101101101101110001100 @ -b11101101101101101101101110001100 F -b1010111101111010110 ) -#576320000 -0& -#576336000 -b10001100101101 , -#576352000 -1& -#576368000 -b11111111111111110111111110110010 " -b11111111111111110111111110110010 4 -b11111111111111110111111110110010 0 -b11111111111111110111111110110010 H -b0 2 -b0 = -08 -09 -b10110001100000101111001110010 < -b110001001101010001000001011 : -b11101111111101100100001110011000 $ -b11101111111101100100001110011000 - -b11101111111101100100001110011000 5 -b11101111111101100100001110011000 ? -b11101111111101100100001110011000 D -b11101001110011111010000110001101 % -b11101001110011111010000110001101 . -b11101001110011111010000110001101 6 -b11101001110011111010000110001101 @ -b11101001110011111010000110001101 F -b11111111111111110111111110110010 ) -#576384000 -0& -#576400000 -b10001100101110 , -#576416000 -1& -#576432000 -b1101110001111010110 " -b1101110001111010110 4 -b1101110001111010110 0 -b1101110001111010110 H -b1 2 -b1 = -18 -b10001001110000000110111100010011 < -b11110111111111011101101001111111 : -b1101110001111010110101101101011 $ -b1101110001111010110101101101011 - -b1101110001111010110101101101011 5 -b1101110001111010110101101101011 ? -b1101110001111010110101101101011 D -b1110110001111111001000011101100 % -b1110110001111111001000011101100 . -b1110110001111111001000011101100 6 -b1110110001111111001000011101100 @ -b1110110001111111001000011101100 F -b1101110001111010110 ) -#576448000 -0& -#576464000 -b10001100101111 , -#576480000 -1& -#576496000 -b11111111111111111111111110010001 " -b11111111111111111111111110010001 4 -b11111111111111111111111110010001 0 -b11111111111111111111111110010001 H -b1 2 -b1 = -18 -b1000000010100110100111111000111 < -b11010010010011011001010110011001 : -b10010001111110100100010111010001 $ -b10010001111110100100010111010001 - -b10010001111110100100010111010001 5 -b10010001111110100100010111010001 ? -b10010001111110100100010111010001 D -b10111111101011001011000000111000 % -b10111111101011001011000000111000 . -b10111111101011001011000000111000 6 -b10111111101011001011000000111000 @ -b10111111101011001011000000111000 F -b11111111111111111111111110010001 ) -#576512000 -0& -#576528000 -b10001100110000 , -#576544000 -1& -#576560000 -b110110110111110101111001 " -b110110110111110101111001 4 -b110110110111110101111001 0 -b110110110111110101111001 H -b0 2 -b0 = -08 -b10000000111000010011010111000 < -b1111101110110101110001110000000 : -b1101101101111101011110011000111 $ -b1101101101111101011110011000111 - -b1101101101111101011110011000111 5 -b1101101101111101011110011000111 ? -b1101101101111101011110011000111 D -b11101111111000111101100101000111 % -b11101111111000111101100101000111 . -b11101111111000111101100101000111 6 -b11101111111000111101100101000111 @ -b11101111111000111101100101000111 F -b110110110111110101111001 ) -#576576000 -0& -#576592000 -b10001100110001 , -#576608000 -1& -#576624000 -b11111110101111011100010110011101 " -b11111110101111011100010110011101 4 -b11111110101111011100010110011101 0 -b11111110101111011100010110011101 H -b0 2 -b0 = -08 -09 -b100000001001010011101000011110 < -b11101101000001100010101011001 : -b11111101011110111000101100111010 $ -b11111101011110111000101100111010 - -b11111101011110111000101100111010 5 -b11111101011110111000101100111010 ? -b11111101011110111000101100111010 D -b11011111110110101100010111100001 % -b11011111110110101100010111100001 . -b11011111110110101100010111100001 6 -b11011111110110101100010111100001 @ -b11011111110110101100010111100001 F -b11111110101111011100010110011101 ) -#576640000 -0& -#576656000 -b10001100110010 , -#576672000 -1& -#576688000 -b1100111011101110000010000010 " -b1100111011101110000010000010 4 -b1100111011101110000010000010 0 -b1100111011101110000010000010 H -b0 2 -b0 = -08 -b11000100001000011000010100111100 < -b101011100110001000100101001111 : -b1100111011101110000010000010010 $ -b1100111011101110000010000010010 - -b1100111011101110000010000010010 5 -b1100111011101110000010000010010 ? -b1100111011101110000010000010010 D -b111011110111100111101011000011 % -b111011110111100111101011000011 . -b111011110111100111101011000011 6 -b111011110111100111101011000011 @ -b111011110111100111101011000011 F -b1100111011101110000010000010 ) -#576704000 -0& -#576720000 -b10001100110011 , -#576736000 -1& -#576752000 -b11111111111111111111111101111110 " -b11111111111111111111111101111110 4 -b11111111111111111111111101111110 0 -b11111111111111111111111101111110 H -b1 2 -b1 = -18 -b11010010101001001001111000101000 < -b10010001111010111010010000110001 : -b10111111010001110000011000001000 $ -b10111111010001110000011000001000 - -b10111111010001110000011000001000 5 -b10111111010001110000011000001000 ? -b10111111010001110000011000001000 D -b101101010110110110000111010111 % -b101101010110110110000111010111 . -b101101010110110110000111010111 6 -b101101010110110110000111010111 @ -b101101010110110110000111010111 F -b11111111111111111111111101111110 ) -#576768000 -0& -#576784000 -b10001100110100 , -#576800000 -1& -#576816000 -b11111111111001 " -b11111111111001 4 -b11111111111001 0 -b11111111111001 H -b0 2 -b0 = -08 -b11000000010000001010001010001110 < -b1000000001101000000101010100000 : -b1111111111100110110100000010001 $ -b1111111111100110110100000010001 - -b1111111111100110110100000010001 5 -b1111111111100110110100000010001 ? -b1111111111100110110100000010001 D -b111111101111110101110101110001 % -b111111101111110101110101110001 . -b111111101111110101110101110001 6 -b111111101111110101110101110001 @ -b111111101111110101110101110001 F -b11111111111001 ) -#576832000 -0& -#576848000 -b10001100110101 , -#576864000 -1& -#576880000 -b11111111111111111111110111011110 " -b11111111111111111111110111011110 4 -b11111111111111111111110111011110 0 -b11111111111111111111110111011110 H -b1 2 -b1 = -18 -b110100001000010110010110001010 < -b11101111111111010101111101110101 : -b10111011110110111111100111101010 $ -b10111011110110111111100111101010 - -b10111011110110111111100111101010 5 -b10111011110110111111100111101010 ? -b10111011110110111111100111101010 D -b11001011110111101001101001110101 % -b11001011110111101001101001110101 . -b11001011110111101001101001110101 6 -b11001011110111101001101001110101 @ -b11001011110111101001101001110101 F -b11111111111111111111110111011110 ) -#576896000 -0& -#576912000 -b10001100110110 , -#576928000 -1& -#576944000 -b11111111111111111111111100111011 " -b11111111111111111111111100111011 4 -b11111111111111111111111100111011 0 -b11111111111111111111111100111011 H -b10000100000010011101000 < -b10011110010000010100011111000011 : -b10011101111111110100001011011010 $ -b10011101111111110100001011011010 - -b10011101111111110100001011011010 5 -b10011101111111110100001011011010 ? -b10011101111111110100001011011010 D -b11111111101111011111101100010111 % -b11111111101111011111101100010111 . -b11111111101111011111101100010111 6 -b11111111101111011111101100010111 @ -b11111111101111011111101100010111 F -b11111111111111111111111100111011 ) -#576960000 -0& -#576976000 -b10001100110111 , -#576992000 -1& -#577008000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b11100011010010101100010111000100 < -b11100000110001111101001011111001 : -b11111101011111010000110100110100 $ -b11111101011111010000110100110100 - -b11111101011111010000110100110100 5 -b11111101011111010000110100110100 ? -b11111101011111010000110100110100 D -b11100101101010011101000111011 % -b11100101101010011101000111011 . -b11100101101010011101000111011 6 -b11100101101010011101000111011 @ -b11100101101010011101000111011 F -b11111111111111111111111111111111 ) -#577024000 -0& -#577040000 -b10001100111000 , -#577056000 -1& -#577072000 -b11101111 " -b11101111 4 -b11101111 0 -b11101111 H -b1 2 -b1 = -18 -b10000000000110000111000000101001 < -b10111011111101111111011110011011 : -b111011110111111000011101110001 $ -b111011110111111000011101110001 - -b111011110111111000011101110001 5 -b111011110111111000011101110001 ? -b111011110111111000011101110001 D -b1111111111001111000111111010110 % -b1111111111001111000111111010110 . -b1111111111001111000111111010110 6 -b1111111111001111000111111010110 @ -b1111111111001111000111111010110 F -b11101111 ) -#577088000 -0& -#577104000 -b10001100111001 , -#577120000 -1& -#577136000 -b101111011111010001 " -b101111011111010001 4 -b101111011111010001 0 -b101111011111010001 H -b10000000101000101110100001010010 < -b11011111100111010010011000100011 : -b1011110111110100011110111010000 $ -b1011110111110100011110111010000 - -b1011110111110100011110111010000 5 -b1011110111110100011110111010000 ? -b1011110111110100011110111010000 D -b1111111010111010001011110101101 % -b1111111010111010001011110101101 . -b1111111010111010001011110101101 6 -b1111111010111010001011110101101 @ -b1111111010111010001011110101101 F -b101111011111010001 ) -#577152000 -0& -#577168000 -b10001100111010 , -#577184000 -1& -#577200000 -b11111111111111111111111111110111 " -b11111111111111111111111111110111 4 -b11111111111111111111111111110111 0 -b11111111111111111111111111110111 H -19 -b1 2 -b1 = -18 -b10010101100100000100110001000100 < -b1010011111111100010110110111111 : -b10111110011011011110000101111010 $ -b10111110011011011110000101111010 - -b10111110011011011110000101111010 5 -b10111110011011011110000101111010 ? -b10111110011011011110000101111010 D -b1101010011011111011001110111011 % -b1101010011011111011001110111011 . -b1101010011011111011001110111011 6 -b1101010011011111011001110111011 @ -b1101010011011111011001110111011 F -b11111111111111111111111111110111 ) -#577216000 -0& -#577232000 -b10001100111011 , -#577248000 -1& -#577264000 -b11111111111111111111111110111111 " -b11111111111111111111111110111111 4 -b11111111111111111111111110111111 0 -b11111111111111111111111110111111 H -b1 2 -b1 = -19 -18 -b10000000000001100111000111001001 < -b1101111111001010010001101111000 : -b11101111110111101011000110101110 $ -b11101111110111101011000110101110 - -b11101111110111101011000110101110 5 -b11101111110111101011000110101110 ? -b11101111110111101011000110101110 D -b1111111111110011000111000110110 % -b1111111111110011000111000110110 . -b1111111111110011000111000110110 6 -b1111111111110011000111000110110 @ -b1111111111110011000111000110110 F -b11111111111111111111111110111111 ) -#577280000 -0& -#577296000 -b10001100111100 , -#577312000 -1& -#577328000 -b11111111100111111001010101000000 " -b11111111100111111001010101000000 4 -b11111111100111111001010101000000 0 -b11111111100111111001010101000000 H -b0 2 -b0 = -08 -09 -b1010100000011000101100001111100 < -b1010001000010010000001001111111 : -b11111100111111001010101000000010 $ -b11111100111111001010101000000010 - -b11111100111111001010101000000010 5 -b11111100111111001010101000000010 ? -b11111100111111001010101000000010 D -b10101011111100111010011110000011 % -b10101011111100111010011110000011 . -b10101011111100111010011110000011 6 -b10101011111100111010011110000011 @ -b10101011111100111010011110000011 F -b11111111100111111001010101000000 ) -#577344000 -0& -#577360000 -b10001100111101 , -#577376000 -1& -#577392000 -b11111111111111111111111110101111 " -b11111111111111111111111110101111 4 -b11111111111111111111111110101111 0 -b11111111111111111111111110101111 H -b1 2 -b1 = -18 -b11001010000010000110010111111001 < -b11001010000010000101000111101110 : -b11111111111111111110101111110100 $ -b11111111111111111110101111110100 - -b11111111111111111110101111110100 5 -b11111111111111111110101111110100 ? -b11111111111111111110101111110100 D -b110101111101111001101000000110 % -b110101111101111001101000000110 . -b110101111101111001101000000110 6 -b110101111101111001101000000110 @ -b110101111101111001101000000110 F -b11111111111111111111111110101111 ) -#577408000 -0& -#577424000 -b10001100111110 , -#577440000 -1& -#577456000 -b1111110011010101000011 " -b1111110011010101000011 4 -b1111110011010101000011 0 -b1111110011010101000011 H -b0 2 -b0 = -08 -09 -b100000000001110101111111000 < -b100011100110111000110110101111 : -b11111100110101010000110110110 $ -b11111100110101010000110110110 - -b11111100110101010000110110110 5 -b11111100110101010000110110110 ? -b11111100110101010000110110110 D -b11111011111111110001010000000111 % -b11111011111111110001010000000111 . -b11111011111111110001010000000111 6 -b11111011111111110001010000000111 @ -b11111011111111110001010000000111 F -b1111110011010101000011 ) -#577472000 -0& -#577488000 -b10001100111111 , -#577504000 -1& -#577520000 -b1010011100011110111111000111010 " -b1010011100011110111111000111010 4 -b1010011100011110111111000111010 0 -b1010011100011110111111000111010 H -b11000011110000000000101101011111 < -b10111010011111000100110011010 : -b1010011100011110111111000111010 $ -b1010011100011110111111000111010 - -b1010011100011110111111000111010 5 -b1010011100011110111111000111010 ? -b1010011100011110111111000111010 D -b111100001111111111010010100000 % -b111100001111111111010010100000 . -b111100001111111111010010100000 6 -b111100001111111111010010100000 @ -b111100001111111111010010100000 F -b1010011100011110111111000111010 ) -#577536000 -0& -#577552000 -b10001101000000 , -#577568000 -1& -#577584000 -b100111100 " -b100111100 4 -b100111100 0 -b100111100 H -b1 2 -b1 = -18 -b10001000100000000110011101001001 < -b11010111101011000010101101010111 : -b1001111001010111100010000001101 $ -b1001111001010111100010000001101 - -b1001111001010111100010000001101 5 -b1001111001010111100010000001101 ? -b1001111001010111100010000001101 D -b1110111011111111001100010110110 % -b1110111011111111001100010110110 . -b1110111011111111001100010110110 6 -b1110111011111111001100010110110 @ -b1110111011111111001100010110110 F -b100111100 ) -#577600000 -0& -#577616000 -b10001101000001 , -#577632000 -1& -#577648000 -b11111111111111111111111111111111 " -b11111111111111111111111111111111 4 -b11111111111111111111111111111111 0 -b11111111111111111111111111111111 H -b1 2 -b1 = -18 -b11111111111111111111111111100011 < -b11101111111111111111111111100100 : -b11110000000000000000000000000000 $ -b11110000000000000000000000000000 - -b11110000000000000000000000000000 5 -b11110000000000000000000000000000 ? -b11110000000000000000000000000000 D -b11100 % -b11100 . -b11100 6 -b11100 @ -b11100 F -b11111111111111111111111111111111 ) -#577664000 -0& -#577680000 -b10001101000010 , -#577696000 -1& -#577712000 -1! -b0 " -b0 4 -b0 0 -b0 H -b0 2 -b0 = -08 -09 -b11111111111111111111111111111011 < -1# -b1011 : -b1111 $ -b1111 - -b1111 5 -b1111 ? -b1111 D -b100 % -b100 . -b100 6 -b100 @ -b100 F -b0 ) -b1 ( -#577728000 -0& -#577744000 -b10001101000011 , -#577760000 -1& -- cgit v1.2.3